Datasets:
Tasks:
Text Generation
Modalities:
Text
Formats:
json
Sub-tasks:
language-modeling
Languages:
code
Size:
100K - 1M
License:
Update README.md
Browse files
README.md
CHANGED
@@ -42,13 +42,6 @@ The dataset is created for research purposes and consists of source code from a
|
|
42 |
"size": "217",
|
43 |
"content": "-- VHDL example file\n\nlibrary ieee;\nuse ieee.std_logic_1164.all;\n\nentity inverter is\n\tport(a : in std_logic;\n\t b : out std_logic);\nend entity;\n\narchitecture rtl of inverter is\nbegin\n\tb \u003c\u003d not a;\nend architecture;\n",
|
44 |
"license": "mit"
|
45 |
-
}, {
|
46 |
-
"repo_name": "cybernet14/linguist",
|
47 |
-
"path": "samples/VHDL/foo.vhd",
|
48 |
-
"copies": "91",
|
49 |
-
"size": "217",
|
50 |
-
"content": "-- VHDL example file\n\nlibrary ieee;\nuse ieee.std_logic_1164.all;\n\nentity inverter is\n\tport(a : in std_logic;\n\t b : out std_logic);\nend entity;\n\narchitecture rtl of inverter is\nbegin\n\tb \u003c\u003d not a;\nend architecture;\n",
|
51 |
-
"license": "mit"
|
52 |
}
|
53 |
```
|
54 |
|
|
|
42 |
"size": "217",
|
43 |
"content": "-- VHDL example file\n\nlibrary ieee;\nuse ieee.std_logic_1164.all;\n\nentity inverter is\n\tport(a : in std_logic;\n\t b : out std_logic);\nend entity;\n\narchitecture rtl of inverter is\nbegin\n\tb \u003c\u003d not a;\nend architecture;\n",
|
44 |
"license": "mit"
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
45 |
}
|
46 |
```
|
47 |
|