diff --git "a/SU/Subcellular_location/train/location_train.json" "b/SU/Subcellular_location/train/location_train.json" deleted file mode 100644--- "a/SU/Subcellular_location/train/location_train.json" +++ /dev/null @@ -1,56152 +0,0 @@ -[ - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MMKTLSSGNCTLNVPAKNSYRMVVLGASRVGKSSIVSRFLNGRFEDQYTPTIEDFHRKVYNIHGDMYQLDILDTSGNHPFPAMRRLSILTGDVFILVFSLDSRESFDEVKRLQKQILEVKSCLKNKTKEAAELPMVICGNKNDHSELCRQVPAMEAELLVSGDENCAYFEVSAKKNTNVNEMFYVLFSMAKLPHEMSPALHHKISVQYGDAFHPRPFCMRRTKVAGAYGMVSPFARRPSVNSDLKYIKAKVLREGQARERDKCSIQ", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAKRTFSNLETFLIFLLVMMSAITVALLSLLFITSGTIENHKDLGGHFFSTTQSPPATQGSTAAQRSTATQHSTATQSSTATQTSPVPLTPESPLFQNFSGYHIGVGRADCTGQVADINLMGYGKSGQNAQGILTRLYSRAFIMAEPDGSNRTVFVSIDIGMVSQRLRLEVLNRLQSKYGSLYRRDNVILSGTHTHSGPAGYFQYTVFVIASEGFSNQTFQHMVTGILKSIDIAHTNMKPGKIFINKGNVDGVQINRSPYSYLQNPQSERARYSSNTDKEMIVLKMVDLNGDDLGLISWFAIHPVSMNNSNHLVNSDNVGYASYLLEQEKNKGYLPGQGPFVAAFASSNLGDVSPNILGPRCINTGESCDNANSTCPIGGPSMCIAKGPGQDMFDSTQIIGRAMYQRAKELYASASQEVTGPLASAHQWVDMTDVTVWLNSTHASKTCKPALGYSFAAGTIDGVGGLNFTQGKTEGDPFWDTIRDQILGKPSEEIKECHKPKPILLHTGELSKPHPWHPDIVDVQIITLGSLAITAIPGEFTTMSGRRLREAVQAEFASHGMQNMTVVISGLCNVYTHYITTYEEYQAQRYEAASTIYGPHTLSAYIQLFRNLAKAIATDTVANLSRGPEPPFFKQLIVPLIPSIVDRAPKGRTFGDVLQPAKPEYRVGEVAEVIFVGANPKNSVQNQTHQTFLTVEKYEATSTSWQIVCNDASWETRFYWHKGLLGLSNATVEWHIPDTAQPGIYRIRYFGHNRKQDILKPAVILSFEGTSPAFEVVTI", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGNCQAGHNLHLCLAHHPPLVCATLILLLLGLSGLGLGSFLLTHRTGLRSPDIPQDWVSFLRSFGQLTLCPRNGTVTGKWRGSHVVGLLTTLNFGDGPDRNKTRTFQATVLGSQMGLKGSSAGQLVLITARVTTERTAGTCLYFSAVPGILPSSQPPISCSEEGAGNATLSPRMGEECVSVWSHEGLVLTKLLTSEELALCGSRLLVLGSFLLLFCGLLCCVTAMCFHPRRESHWSRTRL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDPSKQGTLNRVENSVYRTAFKLRSVQTLCQLDLMDSFLIQQVLWRGRSGESTETSISVQQLFQELRELFQRTGMGNAAQVHPRAPELTLSLLMAMFDRTGSGILKRQPVAAALVALSGDSPLTKYRAFFQLYAEKNRRGDDSQARMTRRVLRALLTDLQQIPTVVGESYTLRPVESAIHSCFRGVLSSGIKEEKFLSWAQSEPLVLLWLPTCYRLSAAETVTHPVRCSVCRTFPIIGLRYHCLKCLDFDICELCFLSGLHKNSHEKSHTVMEECVQMSATENTKLLFRSLRNNLPQKRQRVGAVGRQWLLDQLASRDSASHGPARLCLQYKCPKAPGYASSRRAVETAATGVLCQRPSPALQGNHNRHGQTIVNIKNELWRTRDSLNTLLRERRLLRKQLHRYKQKLQGTYALQEEQNCRFETKIRELTTNQDNLWTKLQQMRRDLQAMLQPLHPSSSPQTTASKIFHSLPDDGIRRGGDSSHIKRVTRDGPEWELLPNSAKVDRKHKCQASSGKALPDSEPQEIILQSTRKQNHPQKMLSKVHSSPSAHQQDTLQIPPTEVKIPAQTLSAGKEMESCSEKRNNLEDEELQALLPRLLDAFDLDSPTGLQPLMDMELYGRAQQVCRAFSVLVDQITLPTWSEEESRLKGPLV", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTPPPPGRAAPSAPRARVPGPPARLGLPLRLRLLLLLWAAAASAQGHLRSGPRIFAVWKGHVGQDRVDFGQTEPHTVLFHEPGSSSVWVGGRGKVYLFDFPEGKNASVRTVNIGSTKGSCLDKRDCENYITLLERRSEGLLACGTNARHPSCWNLVNGTVVPLGEMRGYAPFSPDENSLVLFEGDEVYSTIRKQEYNGKIPRFRRIRGESELYTSDTVMQNPQFIKATIVHQDQAYDDKIYYFFREDNPDKNPEAPLNVSRVAQLCRGDQGGESSLSVSKWNTFLKAMLVCSDAATNKNFNRLQDVFLLPDPSGQWRDTRVYGVFSNPWNYSAVCVYSLGDIDKVFRTSSLKGYHSSLPNPRPGKCLPDQQPIPTETFQVADRHPEVAQRVEPMGPLKTPLFHSKYHYQKVAVHRMQASHGETFHVLYLTTDRGTIHKVVEPGEQEHSFAFNIMEIQPFRRAAAIQTMSLDAERRKLYVSSQWEVSQVPLDLCEVYGGGCHGCLMSRDPYCGWDQGRCISIYSSERSVLQSINPAEPHKECPNPKPDKAPLQKVSLAPNSRYYLSCPMESRHATYSWRHKENVEQSCEPGHQSPNCILFIENLTAQQYGHYFCEAQEGSYFREAQHWQLLPEDGIMAEHLLGHACALAASLWLGVLPTLTLGLLVH", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSKEVSEEGKTHHGKDYVDPPPAPLLDMGELKSWSFYRALIAEFIATLLFLYVTVATVIGHKKQTGPCDGVGLLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRALGYMIAQCLGAICGVGFVKAFMKTPYNTLGGGANTVADGYSKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNEKAWDDQWIFWVGPFLGALAAAAYHQYILRASAIKALGSFRSNATN", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLLAWVQAFLVSNMLLAEAYGSGGCFWDNGHLYREDQTSPAPGLRCLNWLDAQSGLASAPVSGAGNHSYCRNPDEDPRGPWCYVSGEAGVPEKRPCEDLRCPETTSQALPAFTTEIQEASEGPGADEVQVFAPANALPARSEAAAVQPVIGISQRVRMNSKEKKDLGTLGYVLGITMMVIIIAIGAGIILGYSYKRGKDLKEQHDQKVCEREMQRITLPLSAFTNPTCEIVDEKTVVVHTSQTPVDPQEGTTPLMGQAGTPGA", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGCMKSKQTFPFPTIYEGEKQHESEEPFMPEERCLPRMASPVNVKEEVKEPPGTNTVILEYAHRLSQDILCDALQQWACNNIKYHDIPYIESEGP", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEKLLRLCCWYSWLLLFYYNFQVRGVYSRSQDHPGFQVLASASHYWPLENVDGIHELQDTTGDIVEGKVNKGIYLKEEKGVTLLYYGRYNSSCISKPEQCGPEGVTFSFFWKTQGEQSRPIPSAYGGQVISNGFKVCSSGGRGSVELYTRDNSMTWEASFSPPGPYWTHVLFTWKSKEGLKVYVNGTLSTSDPSGKVSRDYGESNVNLVIGSEQDQAKCYENGAFDEFIIWERALTPDEIAMYFTAAIGKHALLSSTLPSLFMTSTASPVMPTDAYHPIITNLTEERKTFQSPGVILSYLQNVSLSLPSKSLSEQTALNLTKTFLKAVGEILLLPGWIALSEDSAVVLSLIDTIDTVMGHVSSNLHGSTPQVTVEGSSAMAEFSVAKILPKTVNSSHYRFPAHGQSFIQIPHEAFHRHAWSTVVGLLYHSMHYYLNNIWPAHTKIAEAMHHQDCLLFATSHLISLEVSPPPTLSQNLSGSPLITVHLKHRLTRKQHSEATNSSNRVFVYCAFLDFSSGEGVWSNHGCALTRGNLTYSVCRCTHLTNFAILMQVVPLELARGHQVALSSISYVGCSLSVLCLVATLVTFAVLSSVSTIRNQRYHIHANLSFAVLVAQVLLLISFRLEPGTTPCQVMAVLLHYFFLSAFAWMLVEGLHLYSMVIKVFGSEDSKHRYYYGMGWGFPLLICIISLSFAMDSYGTSNNCWLSLASGAIWAFVAPALFVIVVNIGILIAVTRVISQISADNYKIHGDPSAFKLTAKAVAVLLPILGTSWVFGVLAVNGCAVVFQYMFATLNSLQGLFIFLFHCLLNSEVRAAFKHKTKVWSLTSSSARTSNAKPFHSDLMNGTRPGMASTKLSPWDKSSHSAHRVDLSAV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKTSVMDLKVEPMDIDFDQPPPLQVFAHTSTLHGISHIFSYEKITAKCCLWVVFFLSSLTFLMYVCIDRIQFYLEYPHVTKLDEITTPVMVFPAVTICNLNSIRFSRITRNDLYHAGELLALLNSRHEVREAHLVEESVMEVLKSKTDFRSFKPRHFNMWEFYNRTGHDIKDMLLSCQFRGSPCRPEDFSVVFTRYGKCYTFNSGETGPPRVSVKGGMGNGLEIMLDIQQDEYLPVWGESDESSFEAGIKVQIHSQDEPPFIDQLGFGVAPGFQTFVSCQEQRLVYLPAPWGSCKSTPPSSDYFRAYSISACRTDCETRYLVENCNCRMVHMPGDAPYCTPVLYKECAHPALDFLVETDSDYCSCETPCNITRYSKELSFVKIPSKASVKYLAKKYSKSEKYITENVMVLDVFFEALNYETIEQRKAYEVAGLLGDIGGQMGLFIGASILTILELFDYLYEVMKYRLCRCSNKKHHNNNNNTDHNAVFSLDDVNCHVSKFH", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTAMKGDSEDSIESMRPSNLQVFANNSTLHGMSHIFAYGHMTFRRFLWTLSFMGSLGLLMYVCMDRVYYYFEFPHVTKLDEVAAPNLTFPAVTFCNLNEFRFSKITKNDLYHVGELLALLNENYQIANPHLADPEVLTLLKEKASFNGFKPKQFNMTDFYNRTGHDINEMLLQCSFRGEECFPLNFTTIYTRYGKCYTFNSGLDGNPLLTTLKGGTGNGLEIMLDIQQDEYLPVWGDTDETSYEAGIKVQIHSQDEPPFIDQLGFGVAPGFQTFVSCQQQLLLYLPPPWGDCRSAPMDSEYFSTYSITACRIDCETRYLLENCNCRMVHMPGTSTVCTPEQYKDCADPALDFLVEKDNDYCVCDTPCNMTRYGKELSMVKIPSKASAKYLAKKFNKTEQYITDNILVLDIFFEALNYEKIEQKKAYEVAGLLGDIGGQMGLFIGASVLTILEIFDYLYEVLKDKILGSVLRKRRPHRSASDNLVIVSLHDFKISSVFLLASYMCFVCYIVLLNAACVYLPFVVGSNSGK", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSRNLIYRRNRALCFVLILFCFPYRFGARNTPEAEQSTAKATQIIHVSNSTWHDFSRLVDVQIGSHVSGVSELKRYLHRFGYVNDGSEIFSDVFDGPLESAISLYQENLGLPITGRLDTSTVTLMSLPRCGVSDTHMTINNDFLHTTAHYTYFNGKPKWNRDTLTYAISKTHKLDYLTSEDVKTVFRRAFSQWSSVIPVSFEEVDDFTTADLKIGFYAGDHGDGLPFDGVLGTLAHAFAPENGRLHLDAAETWIVDDDLKGSSEVAVDLESVATHEIGHLLGLGHSSQESAVMYPSLRPRTKKVDLTVDDVAGVLKLYGPNPKLRLDSLTQSEDSIKNGTVSHRFLSGNFIGYVLLVVGLILFL", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALRGFCSADGSDPLWDWNVTWNTSNPDFTKCFQNTVLVWVPCFYLWACFPFYFLYLSRHDRGYIQMTPLNKTKTALGFLLWIVCWADLFYSFWERSRGIFLAPVFLVSPTLLGITMLLATFLIQLERRKGVQSSGIMLTFWLVALVCALAILRSKIMTALKEDAQVDLFRDITFYVYFSLLLIQLVLSCFSDRSPLFSETIHDPNPCPESSASFLSRITFWWITGLIVRGYRQPLEGSDLWSLNKEDTSEQVVPVLVKNWKKECAKTRKQPVKVVYSSKDPAQPKESSKVDANEEVEALIVKSPQKEWNPSLFKVLYKTFGPYFLMSFFFKAIHDLMMFSGPQILKLLIKFVNDTKAPDWQGYFYTVLLFVTACLQTLVLHQYFHICFVSGMRIKTAVIGAVYRKALVITNSARKSSTVGEIVNLMSVDAQRFMDLATYINMIWSAPLQVILALYLLWLNLGPSVLAGVAVMVLMVPVNAVMAMKTKTYQVAHMKSKDNRIKLMNEILNGIKVLKLYAWELAFKDKVLAIRQEELKVLKKSAYLSAVGTFTWVCTPFLVALCTFAVYVTIDENNILDAQTAFVSLALFNILRFPLNILPMVISSIVQASVSLKRLRIFLSHEELEPDSIERRPVKDGGGTNSITVRNATFTWARSDPPTLNGITFSIPEGALVAVVGQVGCGKSSLLSALLAEMDKVEGHVAIKGSVAYVPQQAWIQNDSLRENILFGCQLEEPYYRSVIQACALLPDLEILPSGDRTEIGEKGVNLSGGQKQRVSLARAVYSNADIYLFDDPLSAVDAHVGKHIFENVIGPKGMLKNKTRILVTHSMSYLPQVDVIIVMSGGKISEMGSYQELLARDGAFAEFLRTYASTEQEQDAEENGVTGVSGPGKEAKQMENGMLVTDSAGKQLQRQLSSSSSYSGDISRHHNSTAELQKAEAKKEETWKLMEADKAQTGQVKLSVYWDYMKAIGLFISFLSIFLFMCNHVSALASNYWLSLWTDDPIVNGTQEHTKVRLSVYGALGISQGIAVFGYSMAVSIGGILASRCLHVDLLHSILRSPMSFFERTPSGNLVNRFSKELDTVDSMIPEVIKMFMGSLFNVIGACIVILLATPIAAIIIPPLGLIYFFVQRFYVASSRQLKRLESVSRSPVYSHFNETLLGVSVIRAFEEQERFIHQSDLKVDENQKAYYPSIVANRWLAVRLECVGNCIVLFAALFAVISRHSLSAGLVGLSVSYSLQVTTYLNWLVRMSSEMETNIVAVERLKEYSETEKEAPWQIQETAPPSSWPQVGRVEFRNYCLRYREDLDFVLRHINVTINGGEKVGIVGRTGAGKSSLTLGLFRINESAEGEIIIDGINIAKIGLHDLRFKITIIPQDPVLFSGSLRMNLDPFSQYSDEEVWTSLELAHLKDFVSALPDKLDHECAEGGENLSVGQRQLVCLARALLRKTKILVLDEATAAVDLETDDLIQSTIRTQFEDCTVLTIAHRLNTIMDYTRVIVLDKGEIQEYGAPSDLLQQRGLFYSMAKDAGLV", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSYYGSSYHIINADAKYPGYPPEHIIAEKRRARRRLLHKDGSCNVYFKHIFGEWGSYVVDIFTTLVDTKWRHMFVIFSLSYILSWLIFGSVFWLIAFHHGDLLNDPDITPCVDNVHSFTGAFLFSLETQTTIGYGYRCVTEECSVAVLMVILQSILSCIINTFIIGAALAKMATARKRAQTIRFSYFALIGMRDGKLCLMWRIGDFRPNHVVEGTVRAQLLRYTEDSEGRMTMAFKDLKLVNDQIILVTPVTIVHEIDHESPLYALDRKAVAKDNFEILVTFIYTGDSTGTSHQSRSSYVPREILWGHRFNDVLEVKRKYYKVNCLQFEGSVEVYAPFCSAKQLDWKDQQLHIEKAPPVRESCTSDTKARRRSFSAVAIVSSCENPEETTTSATHEYRETPYQKALLTLNRISVESQM", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVRITCTISFSTDDEPVGRSRQGSFDDHYKRVVWSKDGEQGKYQEEGDDPDAYDGPEDEEAPDISLATFFGGCSLHGANHVFVEDKKFSIRQGLWALVFLLAISMFLLQVVDRVIYYLQYDYVTLLDERNAKNMTFPAITLCNYNTFRRSQLSYSDLLFMGPLLGYEDNMAPGIPLAPEPDRQGSRFSLAEFFNRTRHRMDDMLLECNFAGKECGAEHWREIFTRYGKCYTFNSGQDGRPLLITTKGGMGNGLEIMLDIQQDEYLPVWGETDETTFEAGIKVQIHTQDEPPFIDQLGFGVAPGFQTFVSCQEQRLTYLPPPWGDCKATPIDSDFFNTYSITACRIDCETRYLVENCNCRMVHMPGDAPYCTPEQYKECADPALDFLVERDNDYCVCETPCNMTRYGKELSFVRIPSKASAKYLAKKYNKTEQYISDNIMVLDIFFEALNYETIEQKKAYELAGLLGDIGGQMGLFIGASILTILELFDYLYEVIKFKLCRCAKKKHQRSNNNERGAVLSLDDVKRHAPCDNLRTPSTYPANMLPHHPGQGNFEDFTC", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVNATVARNIAGICGNVISLFLFLSPIPTFITIYKKKKVEEYKADPYLATVLNCALWVFYGLPMVQPDSLLVITINGTGLAIELVYLAIFFFFSPTSRKVKVGLWLIGEMVFVGIVATCTLLLFHTHNQRSSFVGIFCVIFVSLMYIAPLTIMSKVIKTKSVKYMPFSLSLANFLNGVVWVIYALIKFDLFILIGNGLGTVSGAVQLILYACYYKTTPKDDEDEEDEENLSKVNSQLQLSGNSGQAKRVSA", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MATPSAAFEALMNGVTSWDVPEDAVPCELLLIGEASFPVMVNDMGQVLIAASSYGRGRLVVVSHEDYLVEAQLTPFLLNAVGWLCSSPGAPIGVHPSLAPLAKILEGSGVDAKVEPEVKDSLGVYCIDAYNETMTEKLVKFMKCGGGLLIGGQAWDWANQGEDERVLFTFPGNLVTSVAGIYFTDNKGDTSFFKVSKKMPKIPVLVSCEDDLSDDREELLHGISELDISNSDCFPSQLLVHGALAFPLGLDSYHGCVIAAARYGRGRVVVTGHKVLFTVGKLGPFLLNAVRWLDGGRRGKVVVQTELRTLSGLLAVGGIDTSIEPNLTSDASVYCFEPVSEVGVKELQEFVAEGGGLFVGAQAWWWAFKNPGVSPLARFPGNLLLNPFGISITSQSLNPGPFRTPKAGIRTYHFRSTLAEFQVIMGRKRGNVEKGWLAKLGPDGAAFLQIPAEEIPAYMSVHRLLRKLLSRYRLPVATRENPVINDCCRGAMLSLATGLAHSGSDLSLLVPEIEDMYSSPYLRPSESPITVEVNCTNPGTRYCWMSTGLYIPGRQIIEVSLPEAAASADLKIQIGCHTDDLTRASKLFRGPLVINRCCLDKPTKSITCLWGGLLYIIVPQNSKLGSVPVTVKGAVHAPYYKLGETTLEEWKRRIQENPGPWGELATDNIILTVPTANLRTLENPEPLLRLWDEVMQAVARLGAEPFPLRLPQRIVADVQISVGWMHAGYPIMCHLESVQELINEKLIRTKGLWGPVHELGRNQQRQEWEFPPHTTEATCNLWCVYVHETVLGIPRSRANIALWPPVREKRVRIYLSKGPNVKNWNAWTALETYLQLQEAFGWEPFIRLFTEYRNQTNLPTENVDKMNLWVKMFSHQVQKNLAPFFEAWAWPIQKEVATSLAYLPEWKENIMKLYLLTQMPH", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEYKGKVVAGLLTATCVFSIIALILSAVDVKDVFLPPGTKYGLVFDAGSTHTALYVYQWPADKENGTGIVSQVESCTVNGSGISSYADDPAGAGASLKPCLDKAMAVIPVEQQWQTPTYLGATAGMRLLREQNSTKAEQVFAEVSKAIREFPVDFRGAQILTGNEEGSFGWITVNYLLETLIKFSFAGKWEHPQNTEVLGALDLGGASTQITFQPGVTIEDKNTSVLFRLYGTNYSLYTHSYLCYGQIQASKRLMAALHQDGSYVQNISHPCYPKGYRRIITIAEIYDSPCVPTPSMLSPAQILTVTGTGNPAACPTAILKLFNLTCGANRTCGFDGVYQPPVRGQFFAFAGFYYTFSFLNLTGQQSLSHVNATVWDFCNKNWSELVETFPQNKEHLHTYCVVGLYILTLLVDGYKFDEHTWSNIHFSQKAGNADIGWTLGFMLNLTNMIPTEALEHVKGHEPSLWAGAISFIVLAIVAGLVAILLQCFWKSK", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MADKTDIISSSSDKASPPPPSAFRNYLSSGSKEPVLLLESAGKESCCIFRVPESFVALNPKAYKPKVVSIGPYHYGEKHLQMIQQHKPRLLQLFLDEAKKKDVEENVLVKAVVDLEDKIRKSYSEELKTGHDLMFMMVLDGCFILMVFLIMSGNIELSEDPIFSIPWLLSSIQSDLLLLENQVPFFVLQTLYVGSKIGVSSDLNRIAFHFFKNPIDKEGSYWEKHRNYKAKHLLDLIRETFLPNTSESDKASSPHVQVQLHEGKSGNVPSVDSKAVPLILSAKRLRLQGIKFRLRRSKEDSILNVRLKKNKLQIPQLRFDGFISSFFLNCVAFEQFYTDSSNEITTYIVFMGCLLNNEEDVTFLRNDKLIIENHFGSNNEVSEFFKTISKDVVFEVDTSYLNNVFKGVNEYTKKWYNGLWAGFRHTHFESPWTFLSSCAVLFVILLTMLQSTVAILSYLNDKKGNGNAAPPPLGLP", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSTSLTTCEWKKVFYEKMEVAKPADSWELIIDPTLKPNELGPGWKQYLEQHASGRFHCSWCWHTWQSANVVILFHMHLDRAQRVGSVRMRVFKQLCYQCGTSRLDESSMLEENIEGLVDNLITSLREQCYDEDGGQYRIHVASRPDSGLHRSEFCEACQEGIVHWKPSEKLLEEDAAYTDASKKKGQAGFISSFFSFRWCLFWGTLCLVIVYLQFFRGRSGFL", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MANFLLPRGTSSFRRFTRESLAAIEKRMAEKQARGSTTLQESREGLPEEEAPRPQLDLQASKKLPDLYGNPPQELIGEPLEDLDPFYSTQKTFIVLNKGKTIFRFSATNALYVLSPFHPIRRAAVKILVHSLFNMLIMCTILTNCVFMAQHDPPPWTKYVEYTFTAIYTFESLVKILARGFCLHAFTFLRDPWNWLDFSVIIMAYTTEFVDLGNVSALRTFRVLRALKTISVISGLKTIVGALIQSVKKLADVMVLTVFCLSVFALIGLQLFMGNLRHKCVRNFTALNGTNGSVEADGLVWESLDLYLSDPENYLLKNGTSDVLLCGNSSDAGTCPEGYRCLKAGENPDHGYTSFDSFAWAFLALFRLMTQDCWERLYQQTLRSAGKIYMIFFMLVIFLGSFYLVNLILAVVAMAYEEQNQATIAETEEKEKRFQEAMEMLKKEHEALTIRGVDTVSRSSLEMSPLAPVNSHERRSKRRKRMSSGTEECGEDRLPKSDSEDGPRAMNHLSLTRGLSRTSMKPRSSRGSIFTFRRRDLGSEADFADDENSTAGESESHHTSLLVPWPLRRTSAQGQPSPGTSAPGHALHGKKNSTVDCNGVVSLLGAGDPEATSPGSHLLRPVMLEHPPDTTTPSEEPGGPQMLTSQAPCVDGFEEPGARQRALSAVSVLTSALEELEESRHKCPPCWNRLAQRYLIWECCPLWMSIKQGVKLVVMDPFTDLTITMCIVLNTLFMALEHYNMTSEFEEMLQVGNLVFTGIFTAEMTFKIIALDPYYYFQQGWNIFDSIIVILSLMELGLSRMSNLSVLRSFRLLRVFKLAKSWPTLNTLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKNYSELRDSDSGLLPRWHMMDFFHAFLIIFRILCGEWIETMWDCMEVSGQSLCLLVFLLVMVIGNLVVLNLFLALLLSSFSADNLTAPDEDREMNNLQLALARIQRGLRFVKRTTWDFCCGLLRQRPQKPAALAAQGQLPSCIATPYSPPPPETEKVPPTRKETRFEEGEQPGQGTPGDPEPVCVPIAVAESDTDDQEEDEENSLGTEEESSKQQESQPVSGGPEAPPDSRTWSQVSATASSEAEASASQADWRQQWKAEPQAPGCGETPEDSCSEGSTADMTNTAELLEQIPDLGQDVKDPEDCFTEGCVRRCPCCAVDTTQAPGKVWWRLRKTCYHIVEHSWFETFIIFMILLSSGALAFEDIYLEERKTIKVLLEYADKMFTYVFVLEMLLKWVAYGFKKYFTNAWCWLDFLIVDVSLVSLVANTLGFAEMGPIKSLRTLRALRPLRALSRFEGMRVVVNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFGRCINQTEGDLPLNYTIVNNKSQCESLNLTGELYWTKVKVNFDNVGAGYLALLQVATFKGWMDIMYAAVDSRGYEEQPQWEYNLYMYIYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKLGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPLNKYQGFIFDIVTKQAFDVTIMFLICLNMVTMMVETDDQSPEKINILAKINLLFVAIFTGECIVKLAALRHYYFTNSWNIFDFVVVILSIVGTVLSDIIQKYFFSPTLFRVIRLARIGRILRLIRGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYSIFGMANFAYVKWEAGIDDMFNFQTFANSMLCLFQITTSAGWDGLLSPILNTGPPYCDPTLPNSNGSRGDCGSPAVGILFFTTYIIISFLIVVNMYIAIILENFSVATEESTEPLSEDDFDMFYEIWEKFDPEATQFIEYSVLSDFADALSEPLRIAKPNQISLINMDLPMVSGDRIHCMDILFAFTKRVLGESGEMDALKIQMEEKFMAANPSKISYEPITTTLRRKHEEVSAMVIQRAFRRHLLQRSLKHASFLFRQQAGSGLSEEDAPEREGLIAYVMSENFSRPLGPPSSSSISSTSFPPSYDSVTRATSDNLQVRGSDYSHSEDLADFPPSPDRDRESIV", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGTSEHVPLPTDEAKAKELEQSQHSEEPDRGQWTGKFDFLMSMVAYAVGLGNVWRFPYLCYKNGGGSFLVVYMIFFCLAAVPIFLMEVTVGQYLQKGAMEMWLMCPLFRGVGIGNVVIAFMCIAYFCVIVAWAMFYMISSIAWVFPWETCNNYWNDATCVTGKENFTELARIKALVASAGGHTQTSVEQFWEKRVLHDTGDISEFGGIQWELFFIMAAAWLIVYFALWKGITQARKFVYFCALFPYVLIFILLIRGLTLEGAGTGIYFYLKPNATRLLDTAVWKDAGTQVFYSYGVGFGALIALGSHNKFNHNCFKDAITMCFINGCTSITAGFAVFSILGYMSHVAQKDISEIVKPGVGLAFLAYPEVASNLPMKQVFAVLFFLMITILGLDSQVCMMEGLFTALEDAFPILRKYKKQSLGIFCLFFFCIGIPMVTHSGSHWLTLFDAYGASGYALLFVVFFEVVGLAYGFGAHNIRKALHEMIGVTLPKGIEYVWKFCAPATSLVLFVFCVVYYHPVKYPDGKDFPFWANAFGWFLSSCSMVVIPGYAIYYLFFTNKHLTLKERVRKGLNLDGSFESPAKKNLVNNAEELKFIESSSQ", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPMKSLRNDHGTLKAMIGSDFNELTIAAKNLATHAFTLTGLGFGTSVLEWVASIAAIYLLVLDRTNWKTNMLTSLLIPYIFFSLPSLIFGIFRGEIGKWIAFVAVVVQLFFPKHAREYLELPVALVLLAVVAPNLIAGTFRDSWIGLAICLGIGCYLLQEHIRASGGFRNAFTKANGISNTVGIICLVVFPVWALIF", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSQTLLDSLNQKELTETSCTIEIQAAFILSSLATFFGGLIILFLFRIALKSSRSWKYVKGPRGLLELFSSRRIEANPLRKLYFHGVFRQRIEMLLSAQTVVGQVLVILVFVLSIGSLVIYFINSMDPVRRCSSYEDKIVHGDLSFNAFFSFYFGLRFWAAEDKIKFWLEMNSIVDIFTIPPTFISYYLKSNWLGLRFLRALRLLELPKILQILQVIKTSNSVKLSKLLSIVISTWFTAAGFLHLVENSGDPWLNGRNSQTMSYFESIYLVTATMSTVGFGDVVAKTSLGRIFIVFFTLGSLILFANYIPEMVELFSTRKKYTKPYEAVKGKKFIVVCGNITVDSVTAFLRNFLHWKSGEINIEIVFLGETLPCLELETLLKCHTSCTNFVCGTALKFEDLKRVAVENSEACLILANHFCSDLHDEDNSNIMRVLSIKNYYPQTRVIIQILQSQNKVFLSKIPNWDWSAGDNILCFAELKLGFIAQGCLVPGLCTFLTTLFIEQNQKVFPKHPWQKHFLNGLKNKILTQRLSNDFVGMTFPQVSRLCFVKLNLMLIAIQHKPFFHSCCTLILNPSSQVRLNKDTLGFFIADSSKAVKRAFFYCSNCHSDVCNPELIGKCNCKIKSRQQLIAPTIMVMKSSLTDFTTSSHIHASMSTEIHTCFSREQPSLITITTNRPTTNDTVDDTDMLDSSGMFHWCRAMPLDKVVLKRSEKAKHEFQNHIVVCVFGDAQCTLVGLRNFVMPLRASNYTRQELKDIVFIGSLEYFQREWRFLRNFPKIHIMPGSALYMGDLIAVNVEQCSMCVILATPYKALSSQILVDTEAIMATLNIQSLRITSPTPGSSKSEVKPSSAFDSKERKQRYKQIPILTELKNPSNIHFIEQMGGLDGMLKGTSLHLSTSFSTGAVFSDTFLDSLLATSFYNYHVVELLQMLVTGGISSEMEHYLVKEKPYKTTDDYEAIKSGRTRCKLGLLSLDQTVLSGINPRKTFGQLFCGSLDNFGILCVGLYRMIDEEEPSQEHKRFVITRPSNECHLLPSDLVFCAIPFNTTCGKSDSSPSIQAQNNSTNATTPLAQGSNFFDSHHADESHDLYPVDDTGERWSQHHHSRVYPLDTLDASDIVQEK", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTEDEILFDDVYELCEVIGKGPFSIVRRCIHRESNQQFAVKIVDVAKFTASPGLSTADLKREATICHMLKHPHIVELLETYSSEGMLYMVFEFMEGSDLCFEVVRRAVAGFVYSEAVACHYMRQILEALRYCHENDILHRDVRPACALLATVDNSAPVKLGGFGSAIQLPGTRETIETHGRVGCPHYMAPEVVTRRLYGKGCDVWGAGVMLHVLLSGRLPFLGSGVRLQQSVARGRLSFEAPEWKSISANAKDLVMKMLAANPHHRLSITEVLDHPWIRDRDKLQRTHLADTVEELKRYNARRKLKGAVQAIAGGTNMDPLYATDADMPITGATDEWADEEAGIEAVQRILDCLDDIYSLQDAHVDADVLRDMLRDNRLHQFLQLFDRIAATVVTSNGRAPAAEAVGRCRDVLEQLSSTSGGNSLGGKYAKEELMRLLAAPHMQALLHSHDVVARDVYGEEALRVTPPPMVPYLNGDELDNVEGGELQHVTRVRLVQFQKNTDEPMGITLKMTEDGRCIVARIMHGGMIHRQATLHVGDEIREINGQPVQHQSVGQLQRMLREARGSVTFKIVPSYRSAPPPCEIFVRAQFDYNPLDDELIPCAQAGISFQVGDILQIISKDDHHWWQARLDTVGGSAGLIPSPELQEWRIACQTVDKTKQEQVNCSIFGRKKKQCRDKYLAKHNAIFDTLDVVTYEEVVKVPVGDPNFQRKTLVLLGAHGVGRRHIKNTLISKYPDKYAYPIPHTTRPAKPEEENGRSYYFVSHDEMMADIGANEYLEYGTHEDAMYGTKLDTIRRIHTEGKMAILDVEPQALKILRTAEFTPYVVFIAAPSLQNIADYDGSLERLAKESEMLRQLYGHFFDLTIVNNDISETIATLETAIDRVHTTPQWVPVSWLY", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASALSCSASDLIPLLSGGANATAAAAAAEYICGRFDTVAGKFTDAAYAIDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVIDAAAGGLFYYLFGFAFAFGSPSNGFIGKHFFGMYDFPQPTFDYPYFLYQWTFAIAAAGITSGSIAERTQFVAYLIYSSFLTGLVYPIVSHWFWSSDGWASPARSENLLFQSGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFGVGGKPVTLRGHSATLVVLGTFLLWFGWYGFNPGSFATIFKAYGETPGSSFYGQWSAVGRTAVTTTLAGCTAALTTLFGKRLIDGYWNVTDVCNGLLGGFAAITSGCSVVEPWAALVCGFVAAWVLMGCNRLAEKLQFDDPLEAAQLHGGCGAWGIIFTGLFAEKRYIAEIFGGDPNRPFGLLMGGGGRLLAAHVVQILVITGWVSVTMGTLFFILHKLKLLRIPAEDEIAGVDPTSHGGLAYMYTEDEIRNGIMVRRVGGDNDPNVGV", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTLLPGDNSDYDYSALSCASDTSFHPAFFPQRQAIKGVFYRRAQRLGPQDDLHQSISLGDRRRQIIINVGGIKYSLPWTTLDEFPLTRLGQLKACTNFDDILSVCDDYDVTCNEFFFDRNPGAFGTILTFLRAGKLRLLREMCALSFQEELLYWGIAEDHLDGCCKRRYLQKIEEFAEMMEREDEEEALDSEDQESEGPSTSEGRLSRCMRRLRDMVEKPHSGLPGKVFACLSVLFVTVTAVNLSVSTLPSLREEEEQGQCSQMCHNVFIVESVCVGWFSLEFLLRFIQAPSKFAFLRSPLTLIDLVAILPYYVTLLVDGAASSRRKPSTGNSYLDKVGLVLRVLRALRILYVMRLARHSLGLQTLGLTARRCTREFGLLLLFLCVAIALFAPLLYVIENEMADSPEFTSIPACYWWAVITMTTVGYGDMVPRSTPGQVVALSSILSGILLMAFPVTSIFHTFSRSYLELKQEQERVLIRRAQYLIKTKSQLSGMSQDSDILFGSASSDTRDNN", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGNCCASPGSETGSKKGKPKIKSNPFYSEAYTTNGSGTGFKLSVLKDPTGHDISLMYDLGREVGRGEFGITYLCTDIKTGEKYACKSISKKKLRTAVDIEDVRREVEIMKHMPRHPNIVSLKDAFEDDDAVHIVMELCEGGELFDRIVARGHYTERAAAAVMKTILEVVQICHKHGVMHRDLKPENFLFANKKETSALKAIDFGLSVFFKPGEGFNEIVGSPYYMAPEVLRRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSETAKDLVRKMLEPDPKKRLSAAQVLEHSWIQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEVAGIKEAFEMMDSKKTGKINLEELKFGLHKLGQQQIPDTDLQILMEAADVDGDGTLNYGEFVAVSVHLKKMANDEHLHKAFSFFDQNQSDYIEIEELREALNDEVDTNSEEVVAAIMQDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSLKLMREGSLQLEGEN", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSEEVTYADLQFQNSSEMEKIPEIGKFGEKAPPAPSHVWRPAALFLTLLCLLLLIGLGVLASMFHVTLKIEMKKMNKLQNISEELQRNISLQLMSNMNISNKIRNLSTTLQTIATKLCRELYSKEQEHKCKPCPRRWIWHKDSCYFLSDDVQTWQESKMACAAQNASLLKINNKNALEFIKSQSRSYDYWLGLSPEEDSTRGMRVDNIINSSAWVIRNAPDLNNMYCGYINRLYVQYYHCTYKKRMICEKMANPVQLGSTYFREA", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSSVNQIYDLFPNKHNIQFTDSHSQEHDTSSSLAKNDTDGTISIPGSIDTGILKSIIEEQGWNDAELYRSSIQNQRFFLTDKYTKKKHLTMEDMLSPEEEQIYQEPIQDFQTYNKRVQREYELRERMEEFFRQNTKNDLHILNEDSLNQQYSPLGPADYVLPLDRYSRMKHIASNFFRKKLGIPRKLKRRSHYNPNAEGHTKGNSSILSSTTDVIDNASYRNIAIDENVDITHKEHAIDEINEQGASGSESVVEGGSLLHDIEKVFNRSRATRKYHIQRKLKVRHIQMLSIGACFSVGLFLTSGKAFSIAGPFGTLLGFGLTGSIILATMLSFTELSTLIPVSSGFSGLASRFVEDAFGFALGWTYWISCMLALPAQVSSSTFYLSYYNNVNISKGVTAGFITLFSAFSIVVNLLDVSIMGEIVYVAGISKVIIAILMVFTMIILNAGHGNDIHEGVGFRYWDSSKSVRNLTYGLYRPTFDLADAGEGSKKGISGPKGRFLATASVMLISTFAFSGVEMTFLASGEAINPRKTIPSATKRTFSIVLISYVFLIFSVGINIYSGDPRLLSYFPGISEKRYEAIIKGTGMDWRLRTNCRGGIDYRQISVGTGYSSPWVVALQNFGLCTFASAFNAILIFFTATAGISSLFSCSRTLYAMSVQRKAPPVFEICSKRGVPYVSVIFSSLFSVIAYIAVDQTAIENFDVLANVSSASTSIIWMGLNLSFLRFYYALKQRKDIISRNDSSYPYKSPFQPYLAIYGLVGCSLFVIFMGYPNFIHHFWSTKAFFSAYGGLMFFFISYTAYKVLGTSKIQRLDQLDMDSGRREMDRTDWTEHSQYLGTYRERAKKLVTWLI", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MWPLVVVVLLGSAYCGSAQLIFNITKSVEFTVCNTTVTIPCFVNNMEAKNISELYVKWKFKGKDIFIFDGAQHISKPSEAFPSSKISPSELLHGIASLKMDKRDAVIGNYTCEVTELSREGETIIELKRRFVSWFSPNENILIVIFPILAILLFWGQFGILTLKYKSSYTKEKTIFLLVAGLMLTIIVIVGAILFIPGEYSTKNACGLGLIVIPTAILILLQYCVFMMALGMSSFTIAILILQVLGHVLSVVGLSLCVSECTPVHGPLLISGLGIIALAELLGLVYMKCVASDHKTIQPPRNN", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPGMVLFGRRWAIASDDLVFPGFFELVVRVLWWIGILTLYLMHRGKLDCAGGALLSSYLIVLMILLAVVICTVSAIMCVSMRGTICNPGPRKSMSKLLYIRLALFFPEMVWASLGAAWVADGVQCDRTVVNGIIATVVVSWIIIAATVVSIIIVFDPLGGKMAPYSSAGPSHLDSHDSSQLLNGLKTAATSVWETRIKLLCCCIGKDDHTRVAFSSTAELFSTYFSDTDLVPSDIAAGLALLHQQQDNIRNNQEPAQVVCHAPGSSQEADLDAELENCHHYMQFAAAAYGWPLYIYRNPLTGLCRIGGDCCRSRTTDYDLVGGDQLNCHFGSILHTTGLQYRDFIHVSFHDKVYELPFLVALDHRKESVVVAVRGTMSLQDVLTDLSAESEVLDVECEVQDRLAHKGISQAARYVYQRLINDGILSQAFSIAPEYRLVIVGHSLGGGAAALLATMLRAAYPQVRCYAFSPPRGLWSKALQEYSQSFIVSLVLGKDVIPRLSVTNLEDLKRRILRVVAHCNKPKYKILLHGLWYELFGGNPNNLPTELDGGDQEVLTQPLLGEQSLLTRWSPAYSFSSDSPLDSSPKYPPLYPPGRIIHLQEEGASGRFGCCSAAHYSAKWSHEAEFSKILIGPKMLTDHMPDILMRALDSVVSDRAACVSCPAQGVSSVDVA", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRGFNLLLFWGCCVMHSWEGHIRPTRKPNTKGNNCRDSTLCPAYATCTNTVDSYYCACKQGFLSSNGQNHFKDPGVRCKDIDECSQSPQPCGPNSSCKNLSGRYKCSCLDGFSSPTGNDWVPGKPGNFSCTDINECLTSSVCPEHSDCVNSMGSYSCSCQVGFISRNSTCEDVDECADPRACPEHATCNNTVGNYSCFCNPGFESSSGHLSFQGLKASCEDIDECTEMCPINSTCTNTPGSYFCTCHPGFAPSNGQLNFTDQGVECRDIDECRQDPSTCGPNSICTNALGSYSCGCIAGFHPNPEGSQKDGNFSCQRVLFKCKEDVIPDNKQIQQCQEGTAVKPAYVSFCAQINNIFSVLDKVCENKTTVVSLKNTTESFVPVLKQISTWTKFTKEETSSLATVFLESVESMTLASFWKPSANITPAVRTEYLDIESKVINKECSEENVTLDLVAKGDKMKIGCSTIEESESTETTGVAFVSFVGMESVLNERFFKDHQAPLTTSEIKLKMNSRVVGGIMTGEKKDGFSDPIIYTLENIQPKQKFERPICVSWSTDVKGGRWTSFGCVILEASETYTICSCNQMANLAVIMASGELTMDFSLYIISHVGIIISLVCLVLAIATFLLCRSIRNHNTYLHLHLCVCLLLAKTLFLAGIHKTDNKMGCAIIAGFLHYLFLACFFWMLVEAVILFLMVRNLKVVNYFSSRNIKMLHICAFGYGLPMLVVVISASVQPQGYGMHNRCWLNTETGFIWSFLGPVCTVIVINSLLLTWTLWILRQRLSSVNAEVSTLKDTRLLTFKAFAQLFILGCSWVLGIFQIGPVAGVMAYLFTIINSLQGAFIFLIHCLLNGQVREEYKRWITGKTKPSSQSQTSRILLSSMPSASKTG", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDKLPPSMRKRLYSLPQQVGAKAWIMDEEEDAEEEGAGGRQDPRRRSIRLRPLPSPSPSPSAAAAAAGGAESRGAALGGAADGEGPARGAAKSSTNGDCRRFRGSLASLGSRGGGGGGGSTGGGSHGHLHDSAEERRLIAEGDASPGEDRTPPGLAAEPERPGAPAPPAASPPQVPSSCGEQRPADAAVKVEGGAAAGDQILPEAEARLGQAGFMQRQFGAMLQPGVNKFSLRMFGSQKAVEREQERVKSAGFWIIHPYSDFRFYWDLTMLLLMVGNLIIIPVGITFFKDENTTPWIVFNVVSDTFFLIDLVLNFRTGIVVEDNTDIILDPRRIKMKYLKSWFVVDFVSSIPVDYIFLIVETRIDSEVYKTARALRIVRFTKILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVVRIVNLIGMMLLLCHWDGCLQFLVPMLQDFPDDCWVSLNNMVNNSWGKQYSYALFKAMSHMLCIGYGRQAPMGMSDVWLTMLSMIVGATCYAMFIGHATALIQSLDSSRRQYQEKYKQVEQYMSFHKLPPDTRQRIHDYYEHRYQGKMFDEESILGELSEPLREEIINFNCRKLVASMPLFANADPNFVTSMLTKLRFEVFQPGDYIIREGTIGKKMYFIQHGVVSVLTKGNKETKLADGSYFGEICLLTRGRRTASVRADTYCRLYSLSVDNFNEVLEEYPMMRRAFETVALDRLDRIGKKNSILLHKVQHDLSSGVSNYQENAIVQRIVQHDREMAHCARRAQATTPVAPAIWTPLIQAPLQAAAATTSVAIALTHHPRLPAAIFRPPPGPTTLGSLGAGQTPRHLRRLQSLAPSAPSPASPASSPSQPDTPSSASLHVQPLPGCSTPAGLGSLLPTAGSPPAPTPPTTAGAAGFSHFHRALGGSLSSSDSPLLTPMQSAARSPQQPPPPPGAPAGLGLLEHFLPPPARSPTSSPGQLGQPPGELSPGLGSGPPGTPETPPRQPERLPFAAGASAGASPVAFSPRGGPSPPGHSPGTPRTFPSAPPRASGSHGSLLLPPASSPPPPPPPPAPQRRATPPLAPGRLSQDLKLISASQPALPQDGAQTLRRASPHSSSGESVAALPPFPRAPGRPPGAGPGQHVTLTLPRKASSGSLPPPLSLFGPRAAPAGGPRLTAAPQREPGAKSEPVRSKLPSNL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAGEEDWIELKFRLADGTDIGPSKYNQSMTVSSLKEKLISQWPKDKENTPKTVNDMKLINAGKILENNRTLAESRLPVCELPGMIITMHIVLRLPTLDKKSEKLQNDPPMKNRCVCTIL", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRLLPLLVGFSTLLNCSYTQNCSKTTCLPNAKCEVHNGVEACFCSQGYSGNGVTICEDIDECSESSVCGDHAVCENVNGGFSCFCREGYQTATGKSQFTPNDGSYCQDIDECSESSVCGDHAVCENVNGGFSCFCREGYQTATGKSQFTPNDGSYCQESMNSNCHLEHACIAANINKTLKRIGPITEQTTLLQEIYRNSEAELSLMDIVTYIEILTESSSLLGHPNSTTSYKDAHFNSTLTEFGETINNFVERSTHKMWDQLPTNHRRLHLTKLMHTAELVTLQIAQNTQKNSQFDMNSTDLALKVFAFDSTHMKHAHPHMNVDGGYVKISPRRKAAHGTTGNVVVAFLCYKSIGPLLSSSDNFLLDTQNDNSEGKEKVISSVISASISSNPPTLYELEKITFTLSHVKLSDKHRTQCAFWNYSVDAMNNGSWSTEGCELTHSNDTHTSCRCSHLTHFAILMSSTSSIGIKDYNILTRITQLGIIISLICLAICIFTFWFFSEIQSTRTTIHKNLCCSLFLAELVFLIGININTNKLVCSIIAGLLHYFFLAAFAWMCIEGIHLYLIVVGVIYNKGFLHKNFYIFGYLSPAVVVGFSASLGYRYYGTTKVCWLSTENNFIWSFIGPACLIILVNLLAFGVIIYKVFRHTAGLKPEVSCYENIRSCARGALALLFLLGTTWIFGVLHVVHASVVTAYLFTVSNAFQGMFIFLFLCVLSRKIQEEYYRLFKNVPCCFGCLR", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEHESKNKVDGMEMEKGKKESGSRKGLELTMRVLALVLTMVAATVLGVAKQTKVVPIKLIPTLPPLNVSTTAKASYLSAFVYNISANAIACGYTAISIVIVMISKGKRSKSLLMAVLIGDLMMVALLFSSTGAAGAIGLMGRHGNKHVMWKKVCGVFGKFCNQAAVSVAITLIASVVFMLLVVLDALKLP", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEPADLSNLRGRSLRASIRGSMRGSIRENSNSIWRNNGAEVFSRSARDEDDEEALKWAALEKLPTYDRLRKGILFGSQGAAAEVDVDDSGVLERKNLLERLVKVADEDNEKFLLKLKNRIDRVGIDFPSIEVRFEHLNIDADAYVGSRALPTFTNFISNFVEGLLDSIHILPSKKRQVTILKDVSGIVKPCRMTLLLGPPGSGKTTLLLALAGKLDSALKVTGKVTYNGHELHEFVPQRTAAYISQHDLHIGEMTVRETLEFSARCQGVGSRYEMLAELSRREKAANIKPDADIDMFMKAASTEGQEAKVVTDYILKILGLDICADTMVGDQMIRGISGGQKKRVTTGEMIVGPSKALFMDEISTGLDSSTTYSIVNSLKQSVRIMKGTALISLLQPAPETYNLFDDIILLSDGYIVYEGPREEVLEFFESMGFKCPERKGAADFLQEVTSKKDQQQYWIRRDEPYRFITSKEFAEAYQSFHVGRKVSDELKTTFDKSKSHPAALTTQKYGIGKRQLLKVCTERELLLMQRNSFVYLFKFFQLLIIALMTMTIFFRTKMPRDSAEDGGIYSGALFFVVIMIMFNGLSELPMTLYKLPVFYKQRDFLFYPSWAYAIPSWILKIPVTFAEVGMWVFLTYYVMGFDPNVGRFFKQFLLLLLVNQMASALFRFIAAVGRTMGVASTFGAFALLLQFALGGFILARNDVKDWWIWGYWTSPLMYSVNAILVNEFDGQKWKHIVAGGTEPLGAAVVRARGFFPDAYWYWIGVGALAGFIVMFNIAYSVALAYLNPFDKPQATISDESENNESESSPQITSTQEGDSASENKKKGMVLPFDPHSITFDEVVYSVDMPPEMRESGTSDNRLVLLKSVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGSIKISGYPKKQDTFARISGYCEQNDIHSPYVTVFESLVYSAWLRLPQDVNEEKRMMFVEEVMDLVELTPLRSALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRQSCHLIKYFESIPGVSKIVEGYNPATWMLEVTASSQEMALGVDFTDLYKKSDLYRRNKALIDELSVPRPGTSDLHFDSEFSQPFWTQCMACLWKQHWSYWRNPAYTAVRLIFTTFIALIFGTMFWDIGTKVSRNQDLVNAMGSMYAAVLFLGVQNSSSVQPVVSVERTVFYREKAAGMYSAIPYAFAQVLIEIPYIFVQATVYGLIVYSMIGFEWTVAKFFWDFFFMFFTFLYFTFFGMMTVAVTPNQNVASIVAGFFYTVWNLFSGFIVPRPRIPIWWRWYYWGCPIAWTLYGLVASQFGDLQDPLTDQNQTVEQFLRSNFGFKHDFLGVVAAVIVAFAVVFAFTFALGIKAFNFQRR", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "METVSVLLFFFLFLLAAEARSTKRTGCKDFTCGEHDFKFPFFRTDMPSRCGLFKLNCSANIPEIQLEKDGKWYTVKSVSQANTITIIDPRLNQSLTTGGCSDLSSFSLPDSPWLKLNTLYKCNNSSRKNGFSYANCRGEGSSLYYNLGDDHDVSGCSPIKTPESWVTPKNGNLSDVNATFSLHIELPGNCFRCHNNGGECTKVKNNYRCVGANTEPNNYHAEMRLGLGIGGSVILIIILVALFAVIHRNYRRKDGSELSRDNSKSDVEFSQVFFKIPIFSYKELQAATDNFSKDRLLGDGGFGTVYYGKVRDGREVAVKRLYEHNYRRLEQFMNEIEILTRLHHKNLVSLYGCTSRRSRELLLVYEFIPNGTVADHLYGENTPHQGFLTWSMRLSIAIETASALAYLHASDIIHRDVKTTNILLDRNFGVKVADFGLSRLLPSDVTHVSTAPQGTPGYVDPEYHRCYHLTDKSDVYSFGVVLVELISSKPAVDISRCKSEINLSSLAINKIQNHATHELIDQNLGYATNEGVRKMTTMVAELAFQCLQQDNTMRPTMEQVVHELKGIQNEEQKCPTYDYREETIIPHPSPPDWGEAALLKNMKFPRSPVSVTDQWTSKSTTPNTSAYEF", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQKHSPGPPALALLSQSLLTTGNGDTLIINCPGFGQHRVDPAAFQAVFDRKAIGPVTNYSVATHVNISFTLSAIWNCYSRIHTFNCHHARPWHNQFVQWNPDECGGIKKSGMATENLWLSDVFIEESVDQTPAGLMASMSIVKATSNTISQCGWSASANWTPSISPSMDRARAWRRMSRSFQIHHRTSFRTRREWVLLGIQKRTIKVTVATNQYEQAIFHVAIRRRCRPSPYVVNFLVPSGILIAIDALSFYLPLESGNCAPFKMTVLLGYSVFLLMMNDLLPATSTSSHASLVAPLALMQTPLPAGVYFALCLSLMVGSLLETIFITHLLHVATTQPLPLPRWLHSLLLHCTGQGRCCPTAPQKGNKGPGLTPTHLPGVKEPEVSAGQMPGPGEAELTGGSEWTRAQREHEAQKQHSVELWVQFSHAMDALLFRLYLLFMASSIITVICLWNT", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGFGWQGSVSIAFTALAFVVMAADWVGPDVTFTVLLAFLTAFDGQIVTVAKAAAGYGNTGLLTVIFLYWVAEGITQTGGLELIMNFVLGRSRSVHWALARSMFPVMCLSAFLNNTPCVTFMIPILISWGRRCGVPIKKLLIPLSYASVLGGTCTSIGTSTNLVIVGLQDARYTKAKQLDQAKFQIFDIAPYGVPYALWGFVFILLTQAFLLPGNSSRYAKDLLIAVRVLPSSSVAKKKLKDSGLLQQSGFSVSGIYRDGKYLSKPDPNWVLEPNDILYAAGEFDVVEFVGEEFGLGLVNADAETSAERPFTTGEESVFTPTGGAPYQKLVQATIAPTSDLIGRTVREVSWQGRFGLIPVAIQRGNGREDGRLNDVVLAAGDVLILDTTPFYDEEREDSKNNFAGKVRAVKDGAAKEFVVGVKVKKSSEVVNKTVSAAGLRGIPGLFVLSVDRADGSSVEASDYLYKIQPDDTIWIATDIGAVGFLAKFPGLELVQQEQVDKTGTSILYRHLVQAAVSHKGPIVGKTVRDVRFRTLYNAAVVAVHREGARVPLKVQDIVLQGGDVLLISCHTNWADEHRHDKSFVLLQPVPDSSPPKRSRMVIGVLLATGMVLTQIVGGLKSREYIHLWPAAVLTSALMLLTGCMNADQARKAIYWDVYLTIAAAFGVSAALEGTGVAASFANGIISIGKNLHSDGAALIAIYIATAMLSELLTNNAAGAIMYPIAAIAGDALKISPKETSVAIMLGASAGFINPFSYQCNLMVYAAGNYSVREFAIIGAPFQIWLMIVAGFILCYMKEWHQVWIVSWICTAGIVLLPALYFLLPTKVQLRIDAFFDRVAQTLNPKLIIERRNSIRRQASRTGSDGTGSSDSPRALGVPKVITA", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEDDKDIYTKDGTLDIHKKPANKNKTGTWKACRFILGTECCERLAYYGMSTNLINYLEKQMNMENVSASKSVSNWSGTCYATPLIGAFIADAYLGRYWTIASFVVIYIAGMTLLTISASVPGLTPTCSGETCHATAGQTAITFIALYLIALGTGGIKPCVSSFGADQFDDTDEKEKESKSSFFNWFYFVINVGAMIASSVLVWIQMNVGWGWGLGVPTVAMAIAVVFFFAGSNFYRLQKPGGSPLTRMLQVIVASCRKSKVKIPEDESLLYENQDAESSIIGSRKLEHTKILTFFDKAAVETESDNKGAAKSSSWKLCTVTQVEELKALIRLLPIWATGIVFASVYSQMGTVFVLQGNTLDQHMGPNFKIPSASLSLFDTLSVLFWAPVYDKLIVPFARKYTGHERGFTQLQRIGIGLVISIFSMVSAGILEVARLNYVQTHNLYNEETIPMTIFWQVPQYFLVGCAEVFTFIGQLEFFYDQAPDAMRSLCSALSLTAIAFGNYLSTFLVTLVTKVTRSGGRPGWIAKNLNNGHLDYFFWLLAGLSFLNFLVYLWIAKWYTYKKTTGHAL", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSSTDEHIEKDISSRSNHDDDYANSVQSYAASEGQVDNEDLAATSQLSRHLSNILSNEEGIERLESMARVISHKTKKEMDSFEINDLDFDLRSLLHYLRSRQLEQGIEPGDSGIAFKNLTAVGVDASAAYGPSVEEMFRNIASIPAHLISKFTKKSDVPLRNIIQNCTGVVESGEMLFVVGRPGAGCSTFLKCLSGETSELVDVQGEFSYDGLDQSEMMSKYKGYVIYCPELDFHFPKITVKETIDFALKCKTPRVRIDKMTRKQYVDNIRDMWCTVFGLRHTYATKVGNDFVRGVSGGERKRVSLVEAQAMNASIYSWDNATRGLDASTALEFAQAIRTATNMVNNSAIVAIYQAGENIYELFDKTTVLYNGRQIYFGPADKAVGYFQRMGWVKPNRMTSAEFLTSVTVDFENRTLDIKPGYEDKVPKSSSEFEEYWLNSEDYQELLRTYDDYQSRHPVNETRDRLDVAKKQRLQQGQRENSQYVVNYWTQVYYCMIRGFQRVKGDSTYTKVYLSSFLIKALIIGSMFHKIDDKSQSTTAGAYSRGGMLFYVLLFASVTSLAEIGNSFSSRPVIVKHKSYSMYHLSAESLQEIITEFPTKFVAIVILCLITYWIPFMKYEAGAFFQYILYLLTVQQCTSFIFKFVATMSKSGVDAHAVGGLWVLMLCVYAGFVLPIGEMHHWIRWLHFINPLTYAFESLVSTEFHHREMLCSALVPSGPGYEGISIANQVCDAAGAVKGNLYVSGDSYILHQYHFAYKHAWRNWGVNIVWTFGYIVFNVILSEYLKPVEGGGDLLLYKRGHMPELGTENADARTASREEMMEALNGPNVDLEKVIAEKDVFTWNHLDYTIPYDGATRKLLSDVFGYVKPGKMTALMGESGAGKTTLLNVLAQRINMGVITGDMLVNAKPLPASFNRSCGYVAQADNHMAELSVRESLRFAAELRQQSSVPLEEKYEYVEKIITLLGMQNYAEALVGKTGRGLNVEQRKKLSIGVELVAKPSLLLFLDEPTSGLDSQSAWSIVQFMRALADSGQSILCTIHQPSATLFEQFDRLLLLKKGGKMVYFGDIGPNSETLLKYFERQSGMKCGVSENPAEYILNCIGAGATASVNSDWHDLWLASPECAAARAEVEELHRTLPGRAVNDDPELATRFAASYMTQIKCVLRRTALQFWRSPVYIRAKFFECVACALFVGLSYVGVNHSVGGAIEAFSSIFMLLLIALAMINQLHVFAYDSRELYEVREAASNTFHWSVLLLCHAAVENFWSTLCQFMCFICYYWPAQFSGRASHAGFFFFFYVLIFPLYFVTYGLWILYMSPDVPSASMINSNLFAAMLLFCGILQPREKMPAFWRRLMYNVSPFTYVVQALVTPLVHNKKVVCNPHEYNIMDPPSGKTCGEFLSTYMDNNTGYLVNPTATENCQYCPYTVQDQVVAKYNVKWDHRWRNFGFMWAYICFNIAAMLICYYVVRVKVWSLKSVLNFKKWFNGPRKERHEKDTNIFQTVPGDENKITKK", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVAQEQLVLLLMLLAGCRGGANAILDPGWVIPSKVEQLIGGDFNLSCTLNEDYFNGKSAEDCPVEKLYFTGGGRVYRDSKHIRILNNTTILFSDTNAVEQENDYHCMCDEYVINKSKVYVGTRPLLVRDFNCLDYDFQFMVCNFTQPPNTVITKYNISYNTNNDWRYSNTLDCNFDSAPVVTCNLTDDNYKRFSETFYFRLSISNALGHETQPITINHFERLVPARPGQNLTLLNRTESSVCLSWEMPRRSNYNRGLVWQVRVTPQNFEPITRPSWRNHTLTIKDTLCLTELPFAGYNYTLRVRVRANQNNTLWSEPMIYAFATAPAPPRRPPRVTYGSFYVYSSEKAMRFYWEPLEEHELNGPDFRYSISEYRINGTAVDPGLIKVESNSAMIDHWSMSAVHHFLIRSSNSQGLSVNATPMTIGPISNRDFKVREPRNIRSVYHPTNKSYTLSWDPPSDQRELQNYTVFWCVPKPGLQSECEGSIRFAEVASGLHHFTTSPDQLLTLHMAVSANYQSHNTGLHWAICSSDKKDDLAKMEPSIDVATSTSLTVSWSERVCAVILAGYNLTYCQRSAGRPDNCTTVTIDRYTNKHVIQNLVPYTDYSVKMLMYSDSRVSKYSDELVNRTGEAAPSQPRELQLIRVTSDSVELAWKPPLLANGVVRAYEGTFRSLHDNVTDTFRVSASADELVNNEKPITYRLGNLTAFTKYEISVRARTVYPSEPSNVILFSTAIGVPSPPQLYVINNPDQSSRLDWEPPRTPAGRIDFYEISLRDNNASCLTSTILPGRNLSYVMATPRCTSHNPFQLAVRAINVEQHPQLNGADAAEGAVLLMSTNGKGCEARTDALGEEERLQFEAYAANMTAYRLYRSDWGIYGFICTPDTHSVKAMYQTIEVTVAILVLGVIFYLVYKKYRKMSDIGLVLPQGIMETMKKPIDMGGLGLGLGPDSSVSGGIVCTRVDDSPPYTPQDLPHDFSSCGSESSKLLLRTASSSGGGGCVDRDGYDDNHETGPISAVGPPTSYLAMRHGLLVQNDRERERERDREQEREREQQQQQRESEMDREQSCTNGYIKPTQMKSWGGNGPSDNDHTFSVPSTAMTAPMSQPLSQIPLSGYVPVPIPQSRFNPAPVQPFGSPAVPSAATAAAASTFFPPAHLLNMDNYVQASDLHKLKPLVAAPLSQTGGPAFAGSSPATSPPLQLPPVHAASPAAATPKMADIGYTTMEQLQLTGLIKPPLAATVGSPTHAAGGAPGGGNQHSRLQPQINGYVTPQDLNAMAHNRHVL", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGTVVAKLLLPTLSSLAFLPTVSIATKRRFYMEAMVYLFTMFFVAFSHACDGPGLSVLCFMRRDILEYFSIYGTALSMWVSLMALADFDEPQRSTFTMLGVLTIAVRTFHDRWGYGVYSGPIGTATLIIAVKWLKKMKEKKGLYPDKSIYTQQIGPGLCFGALALMLRFFFEEWDYTYVHSFYHCALAMSFVLLLPKVNKKAGNAGAPAKLTFSTLCCTCV", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGNCCRSPAAVAREDVKSNYSGHDHARKDAAGGKKSAPIRVLSDVPKENIEDRYLLDRELGRGEFGVTYLCIERSSRDLLACKSISKRKLRTAVDIEDVKREVAIMKHLPKSSSIVTLKEACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAGVTKTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGVIDFKREPWPNISETAKNLVRQMLEPDPKRRLTAKQVLEHPWIQNAKKAPNVPLGDVVKSRLKQFSVMNRFKRKALRVIAEFLSTEEVEDIKVMFNKMDTDNDGIVSIEELKAGLRDFSTQLAESEVQMLIEAVDTKGKGTLDYGEFVAVSLHLQKVANDEHLRKAFSYFDKDGNGYILPQELCDALKEDGGDDCVDVANDIFQEVDTDKDGRISYEEFAAMMKTGTDWRKASRHYSRGRFNSLSIKLMKDGSLNLGNE", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MERTEESAPGPGGADAASERRGLRCLLLPGFLEELRALLVLAGPAFLAQLMMFLISFISSVFCGHLGKLELDAVTLAIAVINVTGISVGHGLSSACDTLISQTYGSQNLKHVGVILQRGTLILLLCCFPCWALFINTEQILLLFRQDPDVSRLTQTYVMIFIPALPAAFLYTLQVKYLLNQGIVLPQIMTGIAANLVNALANYVFLYHLHLGVMGSALANTISQFALAIFLFLYILWRRLHQATWGGWSWECLQDWASFLRLAIPSMLMLCIEWWAYEVGSFLSGILGMVELGAQSITYELAIIVYMIPSGFSVAANVRVGNALGAGNIDQAKKSSAISLIVTELFAVTFCVLLLGCKDLVGYIFTTDRDIVALVAQVIPIYAVSHLFEGLACTCGGILRGTGNQKVGAIVNAIGYYVIGLPIGIALMFAAKLGVIGLWSGIIICTTCQTTCFLAFIARLNWKRACQQAQVHANLKVNVALNSAVSHEPAHPVCPESHGEIMMTDLEKKDETQLDQPMNQQQALPIRPKDSNKLSGKQLALRRGLLLLGVVLVLVGGILVRVYIRIE", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGKIENNERVILNVGGTRHETYRSTLKTLPGTRLALLASSEPQGDCLTAAGDKLQPLPPPLSPPPRPPPLSPVPSGCFEGGAGNCSSHGGNGSDHPGGGREFFFDRHPGVFAYVLNYYRTGKLHCPADVCGPLFEEELAFWGIDETDVEPCCWMTYRQHRDAEEALDIFETPDLIGGDPGDDEDLGGKRLGIEDAAGLGGPDGKSGRWRKLQPRMWALFEDPYSSRAARFIAFASLFFILVSITTFCLETHEAFNIVKNKTEPVINGTSAVLQYEIETDPALTYVEGVCVVWFTFEFLVRIVFSPNKLEFIKNLLNIIDFVAILPFYLEVGLSGLSSKAAKDVLGFLRVVRFVRILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERVGAQPNDPSASEHTQFKNIPIGFWWAVVTMTTLGYGDMYPQTWSGMLVGALCALAGVLTIAMPVPVIVNNFGMYYSLAMAKQKLPRKRKKHIPPAPLASSPTFCKTELNMACNSTQSDTCLGKENRLLEHNRSVLSGDDSTGSEPPLSPPERLPIRRSSTRDKNRRGETCFLLTTGDYTCASDGGIRKASTLEPMESTSQTKGDTRPEAHWNCAHLLNFGCPTGSSFPTL", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGKIENNERVILNVGGTRHETYRSTLKTLPGTRLALLASSEPQGDCLTAAGDKLQPLPPPLSPPPRPPPLSPVPSGCFEGGAGNCSSHGGNGSDHPGGGREFFFDRHPGVFAYVLNYYRTGKLHCPADVCGPLFEEELAFWGIDETDVEPCCWMTYRQHRDAEEALDIFETPDLIGGDPGDDEDLGGKRLGIEDAAGLGGPDGKSGRWRKLQPRMWALFEDPYSSRAARFIAFASLFFILVSITTFCLETHEAFNIVKNKTEPVINGTSAVLQYEIETDPALTYVEGVCVVWFTFEFLVRIVFSPNKLEFIKNLLNIIDFVAILPFYLEVGLSGLSSKAAKDVLGFLRVVRFVRILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERVGAQPNDPSASEHTQFKNIPIGFWWAVVTMTTLGYGDMYPQTWSGMLVGALCALAGVLTIAMPVPVIVNNFGMYYSLAMAKQKLPRKRKKHIPPAPLASSPTFCKTELNMACNSTQSDTCLGKENRLLEHNRSVLSGDDSTGSEPPLSPPERLPIRRSSTRDKNRRGETCFLLTTGDYTCASDGGIRKDNCKDVVITGYTQAEARSLT", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MILRYLIFFAQLWALCLANVNMFISKEEMNRTFGVKAELNYIEMGNVSSYSTKFHYRVMANIDYLSFTWNAVGIVHYEVYVESDDSSVLPIVRIPLKGTVPESLQDFTVEYRCAGHRSGQFAVSLYFTFKYGNKEPLKVKLRQEKICASRDGRRGLNGGYEGHEVDDTDSIDKAFFVIICIAAAFLLIVAATLICYFKRSKKEDMIPTRLPTSFRNSLKSTKSAQPFLLSTPRDGPPTLSAISSAPCSSSSASGNSIIPSKPRNIDVRRALLQLYQDRDAFQSLPLDMEGTFGEVRYAIWRQVDDVLNGDVDDEEDTFCNQEAVYTKTLKNNASPIQLDRFLSDALLFYNITPHQNLSQVACVASFGRFDRPETVTDFPLVCYRHQGFGNLKKFLTICRHGDKTKGAQTLRTHQLVSLATQVSSAVAHIHKYRIVHNDIAARNCLIAEVNGRLQVQLCDSALSRDLFPADYHCLGDNENRPLKWMSPEAIANELYSSAADVWSLGVLLWELMSLGGSPHAEIDPEEVYTMILKGKRLQQPNNCPDQLYEVMLCCWRVLSEDRPSSEQVVHGLRDFNIQLSQYI", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSELKERLLPPRPASAINLRGDAGSRPSPSGRQPLLGVDVLGLKKRGQGLKSWIRVDTSANSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDNYVLRYVVELQQRLKASSVTEVWNQDSLELSRRRSRSLDNVLQNSSPDYLPFEFRALEVALEAACTFLDSQASELEIEAYPLLDELTSKISTLNLERARRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKKRMEGSLYGDQSLPVYRTNDCFSLSAPVSPVSSPPESRRLEKSLSIVRSRHDSARSSEDATENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFEIDFFEKPGAFKWVLAITGVCGLVVFLAFLWYYKRRRLMPL", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MQLVPTDDPDEKIGRTSNGMQNATLPIDGPVNTEPKDPAREQWSGKLDFLLSVVGFAVDLGNIWRFPYLCFKNGGGVFLIPYSIMVLLTGVPLFYMELCLGQYYRKGAITTWGRICPLFKGIGYCVILTAFYVDFFYNVILAWGLHYLYTSFSFNLPWASCNNSYNSPACYEPHWSEDGTAMCRSANQSVSAEKISAAEEYFYKGFLGLHEANAPNSHVIRSVTDLGNVRWDIALSLFVVYLICYFSMWKGIHTSGKVVWFTALFPYVVLGILFIRGVTLPGWQNGIEYYLRPNFEMLKRPSVWQDAATQVFFSLGPGFGVLMAYSSYNDFHNNVYVDALFTSFINCATSFLSGFVIFSVLGYMSCKSGKPIEAVAQEGPGLVFVVYPEALSTMPYAPFWSVLFFLMLMTLGLDSSFGGSEAIITGLSDEFPILKKNREVFVGCLFAFYMVIGIAMCTEGGILIMEWLIIYGTTWGLLIAVFCEAMVIAYIYGLRQFVHDVKEMMGFRPGNYWKFCWSCAAPFILLSMITSNFINYQALTYQDYTYPTAANVIGIIFALSGASFIPLVGIYKFVNARGNTISEKWQRVTMPYRKRPNQTEYIPIPTTQPHSDIML", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKPALLPWALLLLATALGPGPGPTADAQESCSMRCGALDGPCSCHPTCSGLGTCCLDFRDFCLEILPYSGSMMGGKDFVVRHFKMSSPTDASVICRFKDSIQTLGHVDSSGQVHCVSPLLYESGRIPFTVSLDNGHSFPRAGTWLAVHPNKVSMMEKSELVNETRWQYYGTANTSGNLSLTWHVKSLPTQTITIELWGYEETGMPYSQEWTAKWSYLYPLATHIPNSGSFTFTPKPAPPSYQRWRVGALRIIDSKNYAGQKDVQALWTNDHALAWHLSDDFREDPVAWARTQCQAWEELEDQLPNFLEELPDCPCTLTQARADSGRFFTDYGCDMEQGSVCTYHPGAVHCVRSVQASLRYGSGQQCCYTADGTQLLTADSSGGSTPDRGHDWGAPPFRTPPRVPSMSHWLYDVLSFYYCCLWAPDCPRYMQRRPSNDCRNYRPPRLASAFGDPHFVTFDGTNFTFNGRGEYVLLEAALTDLRVQARAQPGTMSNGTETRGTGLTAVAVQEGNSDVVEVRLANRTGGLEVLLNQEVLSFTEQSWMDLKGMFLSVAAGDRVSIMLASGAGLEVSVQGPFLSVSVLLPEKFLTHTHGLLGTLNNDPTDDFTLHSGRVLPPGTSPQELFLFGANWTVHNASSLLTYDSWFLVHNFLYQPKHDPTFEPLFPSETTLNPSLAQEAAKLCGDDHFCNFDVAATGSLSTGTATRVAHQLHQRRMQSLQPVVSCGWLAPPPNGQKEGNRYLAGSTIYFHCDNGYSLAGAETSTCQADGTWSSPTPKCQPGRSYAVLLGIIFGGLAVVAAVALVYVLLRRRKGNTHVWGAQP", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNWTAATCWALLLAAAFLCDSCSAKGGRGGARGSARGVRGGARGASRVRVRPAPRYGSSLRVAAAGAAAGAAAGVAAGLATGSGWRRTSGPGELGLEDDENGAMGGNGTDRGVYSYWAWTSGSGSVHSPRICLLLGGTLGALELLRP", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEYATMSSSNSTHNFQRKIALIGARNVGKTTLTVRFVESRFVESYYPTIENEFTRIIPYKSHDCTLEILDTAGQDEVSLLNIKSLTGVRGIILCYSIINRASFDLIPILWDKLVDQLGKDNLPVILVGTKADLGRSTKGVKRCVTKAEGEKLASTIGSQDKRNQAAFIECSAELDYNVEETFMLLLKQMERVEGTLGLDAENNNKCSIM", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MQAVDNLTSAPGNTSLCTRDYKITQVLFPLLYTVLFFVGLITNGLAMRIFFQIRSKSNFIIFLKNTVISDLLMILTFPFKILSDAKLGTGPLRTFVCQVTSVIFYFTMYISISFLGLITIDRYQKTTRPFKTSNPKNLLGAKILSVVIWAFMFLLSLPNMILTNRQPRDKNVKKCSFLKSEFGLVWHEIVNYICQVIFWINFLIVIVCYTLITKELYRSYVRTRGVGKVPRKKVNVKVFIIIAVFFICFVPFHFARIPYTLSQTRDVFDCTAENTLFYVKESTLWLTSLNACLDPFIYFFLCKSFRNSLISMLKCPNSATSLSQDNRKKEQDGGDPNEETPM", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSSELLISNSKPRPEGLRKLCEGETVILPRDITPSKCAYFLKQNIVFISYIFIHIIITIILNRLALSAHGNTLIIILAALLITISLFLLLLLPYLSCSRYKLRCLDDDCKFKLLAEVITHKPNMDLSTWDRIAYDMNQFVYDRRICADRSFFYDGSYCYQVFKKLVATPYLVNSNMNSIYADLEMRSNGATNINDSGNSSLHIELGTYIFKALAVFRNSVDKYWEDKYPEMGVTV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDQFPESVTENFEYDDLAEACYIGDIVVFGTVFLSIFYSVIFAIGLVGNLLVVFALTNSKKPKSVTDIYLLNLALSDLLFVATLPFWTHYLINEKGLHNAMCKFTTAFFFIGFFGSIFFITVISIDRYLAIVLAANSMNNRTVQHGVTISLGVWAAAILVAAPQFMFTKQKENECLGDYPEVLQEIWPVLRNVETNFLGFLLPLLIMSYCYFRIIQTLFSCKNHKKAKAIKLILLVVIVFFLFWTPYNVMIFLETLKLYDFFPSCDMRKDLRLALSVTETVAFSHCCLNPLIYAFAGEKFRRYLYHLYGKCLAVLCGRSVHVDFSSSESQRSRHGSVLSSNFTYHTSDGDALLLL", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEIAGYRGGSLRGSLQGSLRRSVSAWRSPSTSDVFGRSSREEDDEEALKWAALEKLPTYDRLRKGIMTGDGGEIQEVDIQGLGFQERKNLLEKLVRNAEEDNERFLLKLRNRMERVGIDNPTIEVRFEHLNINAEAFVGNRGVPTLVNFFVNKAIWILSALHLMPSGKRPISILHDVSGIIKPCRMTLLLGPPGAGKTTLLLALAGKLDNTLKVTGNVTYNGHGMHEFVPQRTSAYISQHDVHIGEMTVRETLAFSSRCQGVGTRYEMLTELSRREKEANIKPDPDVDVYMKAVAVEGQESVVTDYILKILGLDICADTMVGDGMIRGISGGQKKRVTTGEMLVGPSKALFMDEISTGLDSSTTFQIVNSLRQSVHILGGTALIALLQPAPETYDLFDDILLLSDGQIVYQGPRENVLEFFESMGFKCPERKGVADFLQEVTSRKDQQQYWVRENEPYRFVPVNEFSEAFKSFHVGAKLHEELSTPFDRSRNHPAALTTSKYGISKMELLKACIDREWLLMKRNSFVYIFKVVQLIVLALIAMTVFFRTKLPRNGLEDATIFFGAMFLGLVTHLFNGFAELAMSIAKLPVFYKQRDLLFYPPWAYALPTWILKIPISFVECGVWIAMTYYVIGFDPNVVRMFRHYLLLVLISQVASGLFRLLAAVGRDMVVADTFGAFAQLVLLVLGGFIIAREKIKKFWIWGYWSSPLMYAQNAIAVNEFLGHSWNKLVDATGQTLGERFLRNRGIFVDKNWYWIGVGALIGYMVLFNFLFILFLEWLDPLGKGQTTVSEEALQEKEANRTGANVELATRGSAATSDGGSVEIRKDGNRKKGMVLPFTPLSITFDNVKYSVDMPQEMKDRGVTEDKLLLLKGVSGAFRPGVLTALMGVSGRGKTTLMDVLAGRKTGGYIEGDIRISGYPKNQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPAEVDEKQRKMFVDEVMDLVELNSLRGSLVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRQSSHLIKYFESIDGVKKIKERYNPATWMLEVTTISQEEILGLNFAEVYRNSDLYKRNKDLIKELSTPPPGSKDLFFATQFSQSFVMQCLACLWKQHKSYWRNPSYTATRLFFTVVIALIFGTIFWDLGKKRSTSLDLINAMGSMYAAVLFIGIQNAQTVQPIVDVERTVFYREKAAGMYSALPYAYAQVLIEVPHILVQTLLYGLLVYSMIGFDWTAAKFLWYMFFMFFTFLYFTYYGMMAVAMTPNSDIAAIVAAAFYAIWNIFAGFIIPRPRIPIWWRWYYWACPVAWTLYGLVVSQFGEYTDTMSDVDETVKDFLRRFLGFRHDFLPVVGVMVVVFTVLFASIFAFSIKTLNFQRR", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLRQVLHRGLRTCFSRLGHFIASHPVFFASAPVLISILLGASFSRYQVEESVEHLLAPQHSLAKIERNLVNSLFPVNRSKHRLYSDLQTPGRYGRVIVTSFQKANMLDQHHTDLILKLHAAVTKIQVPRPGFNYTFAHICILNNDKTCIVDDIVHVLEELKNARATNRTNFAITYPITHLKDGRAVYNGHQLGGVTVHSKDRVKSAEAIQLTYYLQSINSLNDMVAERWESSFCDTVRLFQKSNSKVKMYPYTSSSLREDFQKTSRVSERYLVTSLILVVTMAILCCSMQDCVRSKPWLGLLGLVTISLATLTAAGIINLTGGKYNSTFLGVPFVMLGHGLYGTFEMLSSWRKTREDQHVKERTAAVYADSMLSFSLTTAMYLVTFGIGASPFTNIEAARIFCCNSCIAIFFNYLYVLSFYGSSLVFTGYIENNYQHSIFCRKVPKPEALQEKPAWYRFLLTARFSEDTAEGEEANTYESHLLVCFLKRYYCDWITNTYVKPFVVLFYLIYISFALMGYLQVSEGSDLSNIVATATQTIEYTTAQQKYFSNYSPVIGFYIYESIEYWNTSVQEDVLEYTKGFVRISWFESYLNYLRKLNVSTGLPKKNFTDMLRNSFLKAPQFSHFQEDIIFSKKYNDEVDVVASRMFLVAKTMETNREELYDLLETLRRLSVTSKVKFIVFNPSFVYMDRYASSLGAPLHNSCISALFLLFFSAFLVADSLINVWITLTVVSVEFGVIGFMTLWKVELDCISVLCLIYGINYTIDNCAPMLSTFVLGKDFTRTKWVKNALEVHGVAILQSYLCYIVGLIPLAAVPSNLTCTLFRCLFLIAFVTFFHCFAILPVILTFLPPSKKKRKEKKNPENREEIECVEMVDIDSTRVVDQITTV", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MARSVLVPPGPDSFRFFTRESLAAIEQRIAEEKAKRPKQERKDEDDENGPKPNSDLEAGKSLPFIYGDIPPEMVSEPLEDLDPYYINKKTFIVLNKGKAISRFSATSALYILTPFNPIRKLAIKILVHSLFNVLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKILARGFCLEDFTFLRNPWNWLDFTVITFAYVTEFVNLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCLQWPPDNSTFEINITSFFNNSLDWNGTAFNRTVNMFNWDEYIEDKSHFYFLEGQNDALLCGNSSDAGQCPEGYICVKAGRNPNYGYTSFDTFSWAFLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLILAVVAMAYEEQNQATLEEAEQKEAEFQQMLEQLKKQQEEAQAAAAAASAESRDFSGAGGIGVFSESSSVASKLSSKSEKELKNRRKKKKQKEQAGEEEKEDAVRKSASEDSIRKKGFQFSLEGSRLTYEKRFSSPHQSLLSIRGSLFSPRRNSRASLFNFKGRVKDIGSENDFADDEHSTFEDNDSRRDSLFVPHRHGERRPSNVSQASRASRGIPTLPMNGKMHSAVDCNGVVSLVGGPSALTSPVGQLLPEGTTTETEIRKRRSSSYHVSMDLLEDPSRQRAMSMASILTNTMEELEESRQKCPPCWYKFANMCLIWDCCKPWLKVKHVVNLVVMDPFVDLAITICIVLNTLFMAMEHYPMTEQFSSVLSVGNLVFTGIFTAEMFLKIIAMDPYYYFQEGWNIFDGFIVSLSLMELGLANVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKISNDCELPRWHMHHFFHSFLIVFRVLCGEWIETMWDCMEVAGQTMCLTVFMMVMVIGNLVVLNLFLALLLSSFSSDNLAATDDDNEMNNLQIAVGRMQKGIDFVKRKIREFIQKAFVRKQKALDEIKPLEDLNNKKDSCISNHTTIEIGKDLNYLKDGNGTTSGIGSSVEKYVVDESDYMSFINNPSLTVTVPIALGESDFENLNTEEFSSESDMEESKEKLNATSSSEGSTVDIGAPAEGEQPEAEPEESLEPEACFTEDCVRKFKCCQISIEEGKGKLWWNLRKTCYKIVEHNWFETFIVFMILLSSGALAFEDIYIEQRKTIKTMLEYADKVFTYIFILEMLLKWVAYGFQMYFTNAWCWLDFLIVDVSLVSLTANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVVVNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCINYTTGEMFDVSVVNNYSECQALIESNQTARWKNVKVNFDNVGLGYLSLLQVATFKGWMDIMYAAVDSRNVELQPKYEDNLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPANKFQGMVFDFVTKQVFDISIMILICLNMVTMMVETDDQSQEMTNILYWINLVFIVLFTGECVLKLISLRHYYFTIGWNIFDFVVVILSIVGMFLAELIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKREVGIDDMFNFETFGNSMICLFQITTSAGWDGLLAPILNSGPPDCDPEKDHPGSSVKGDCGNPSVGIFFFVSYIIISFLVVVNMYIAVILENFSVATEESAEPLSEDDFEMFYEVWEKFDPDATQFIEFCKLSDFAAALDPPLLIAKPNKVQLIAMDLPMVSGDRIHCLDILFAFTKRVLGESGEMDALRIQMEERFMASNPSKVSYEPITTTLKRKQEEVSAIVIQRAYRRYLLKQKVKKVSSIYKKDKGKEDEGTPIKEDIITDKLNENSTPEKTDVTPSTTSPPSYDSVTKPEKEKFEKDKSEKEDKGKDIRESKK", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEGKEEDVRVGANKFPERQPIGTSAQTDKDYKEPPPAPFFEPGELSSWSFYRAGIAEFIATFLFLYITVLTVMGVKRAPNMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQCLGAICGAGVVKGFQPNPYQTLGGGANTVAHGYTKGSGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWDDHWIFWVGPFIGAALAALYHQLVIRAIPFKSRS", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNKFITLFVLLASVSVAMSATCLTCVKEGAVCDATANICEEGTVCIKPNSTAANTICFVLPTLNEDCSGPLACADSYYCNTTSKICVEAYYLGVGESCSSENQCSTSLVCTGGKCVNEVYPLCGASNSRVGCKAGEGCAFNGTALVCSPFIANGAACNTSTSGLCHPVSSCSNGVCTAPLTGALNSNCTSNTDCNIANGLYCSSGKCTAVPEALNNCTTTPTVDNCLGYSACMCPSNDDTAKTGSCKDTIEYSDVTSDAYNKYDSCVVSCPAVTIVQKQSCLSKCTNPLAGAANNVCSSATTIAFNAFVVFAIVLSVLLF", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVLEDRKDGSSLPGRSGSFSKSSPSELDVVDPYKRISSPGSILDAEKVEKKPGGWRAVSFILGNETLERLGSIGLLANFMVYLTKVFHLEQVDAANVINIWSGFTNLTPLVGAYISDTYVGRFKTIAFASFATLLGLITITLTASFPQLHPASCNSQDPLSCGGPNKLQIGVLLLGLCFLSVGSGGIRPCSIPFGVDQFDQRTEEGVKGVASFFNWYYMTFTVVLIITQTVVVYIQDQVSWIIGFSIPTGLMALAVVMFFAGMKRYVYVKPEGSIFSGIAQVIVAARKKRKLKLPAEDDGTVTYYDPAIKSSVLSKLHRSNQFRCLDKAAVVIEGDLTPEGPPADKWRLCSVQEVEEVKCLIRIVPIWSAGIISLAAMTTQGTFTVSQALKMDRNLGPKFEIPAGSLSVISLLTIGIFLPFYDRVFVPFMRRITGHKSGITLLQRIGTGIVFAIFSMIVAGIVERMRRIRSINAGDPTGMTPMSVFWLSPQLILMGLCEAFNIIGQIEFFNSQFPEHMRSIANSLFSLSFAGSSYLSSFLVTVVHKFSGGHDRPDWLNKNLNAGKLDYFYYLIAVLGVVNLVYFWYCARGYRYKVGLPIEDFEEDKSSDDVEMTSKKSMK", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAANKSKGQSSLALHKVIMVGSGGVGKSALTLQFMYDEFVEDYEPTKADSYRKKVVLDGEEVQIDILDTAGQEDYAAIRDNYFRSGEGFLLVFSITEHESFTATAEFREQILRVKAEEDKIPLLVVGNKSDLEERRQVPVEEARSKAEEWGVQYVETSAKTRANVDKVFFDLMREIRTKKMSENKDKNGKKSSKNKKSFKERCCLL", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MADPAAESIDASSSRFGRVVCYNQTAMHGSNTISAAAPFFMTQLSVANLTYRILYYFLKPLCLPPFVAQILCGLLFSPTVLGNNEVVLKLIFPYKYTMLLETFANLALVYNVFLLGLGLDLRMIKIKDIKPVIIAIVGLLAALLAGAGLYYLPSNGEADKILAGCMYWSIAFGCTNFPDLARILADLKLLRTDMGHTAMCAAVVTDLCTWILFIFGMAIFSKSGVRNEMLPYSLASTIAFVLLCYFVIQPGVAWIFNNTVEGGQVGDTHVWYTLAGVIICSLITEVCGVHSITGAFLFGLSIPHDHIIRKMIEEKLHDFLSGMLMPLFYIICGLRADIGYMNRTVSVGMMAVVTSASVMVKILSTMFCSIFLRIPLRDGLAIGALMNTKGTMALVILNAGRDTKALDVIMYTHLTLAFLVMSMVVQPLLAIAYKPKKKLIFYKNRTIQKHKGESELCVLTCVHVLPNVSGITNLLQLSNPTKKSPLNVFAIHLVELTGRTTASLLIMNDEAKPKANFADRVRAESDQIAEMFTALEVNNDGVMVQTITAVSPYATMDEDICLLAEDKQACFILLPYHKNMTSDGRLNEGNAVHAEINQNVMSHAPCSVGILVDRGMTTVRFESFMFQGETTKKEIAMLFLGGRDDREALAYAWRMVGQEMVQLTVVRFVPSQEALVSAGEAADEYEKDKHVDEESIYEFNFKTMNDPSVTYVEKVVKNGQETITAILELEDNNSYDLYIVGRGYQVETPVTSGLTDWNSTPDLGIIGDTLISSNFTMQASVLVVQQYSSANRQTAENNNQEPVQGKAKTDHEATPFMEDEDDEVEHQYSMRR", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSRLKAASELALLVIILQLLQWPGSEASFGRDACSEMSIDGLCQCAPIMSEYEIICPANAENPTFRLTIQPKDYVQIMCNLTDTTDYQQLPKKLRIGEVDRVQMRRCMLPGHTPIASILDYLGIVSPTTLIFESDNLGMNITRQHLDRLHGLKRFRFTTRRLTHIPANLLTDMRNLSHLELRANIEEMPSHLFDDLENLESIEFGSNKLRQMPRGIFGKMPKLKQLNLWSNQLHNLTKHDFEGATSVLGIDIHDNGIEQLPHDVFAHLTNVTDINLSANLFRSLPQGLFDHNKHLNEVRLMNNRVPLATLPSRLFANQPELQILRLRAELQSLPGDLFEHSTQITNISLGDNLLKTLPATLLEHQVNLLSLDLSNNRLTHLPDSLFAHTTNLTDLRLEDNLLTGISGDIFSNLGNLVTLVMSRNRLRTIDSRAFVSTNGLRHLHLDHNDIDLQQPLLDIMLQTQINSPFGYMHGLLTLNLRNNSIIFVYNDWKNTMLQLRELDLSYNNISSLGYEDLAFLSQNRLHVNMTHNKIRRIALPEDVHLGEGYNNNLVHVDLNDNPLVCDCTILWFIQLVRGVHKPQYSRQFKLRTDRLVCSQPNVLEGTPVRQIEPQTLICPLDFSDDPRERKCPRGCNCHVRTYDKALVINCHSGNLTHVPRLPNLHKNMQLMELHLENNTLLRLPSANTPGYESVTSLHLAGNNLTSIDVDQLPTNLTHLDISWNHLQMLNATVLGFLNRTMKWRSVKLSGNPWMCDCTAKPLLLFTQDNFERIGDRNEMMCVNAEMPTRMVELSTNDICPAEKGVFIALAVVIALTGLLAGFTAALYYKFQTEIKIWLYAHNLLLWFVTEEDLDKDKKFDAFISYSHKDQSFIEDYLVPQLEHGPQKFQLCVHERDWLVGGHIPENIMRSVADSRRTIIVLSQNFIKSEWARLEFRAAHRSALNEGRSRIIVIIYSDIGDVEKLDEELKAYLKMNTYLKWGDPWFWDKLRFALPHRRPVGNIGNGALIKTALKGSTDDKLELIKPSPVTPPLTTPPAEATKNPLVAQLNGVTPHQAIMIANGKNGLTNLYTPNGKSHGNGHINGAFIINTNAKQSDV", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MYLSRFLSIHALWVTVSSVMQPYLFVWGHYDVCKSLIYTEEGKVWDYTACQPESTDMTKYLKVKLDPPDITCGDPPESFCAMGNPYMCNNECDASTPELAHPPELMFDFEGRHPSTFWQSATWKEYPKPLQVNITLSWSKTIELTDNIVITFESGRPDQMILEKSLDYGRTWQPYQYYATDCLHAFHMDPKSVKDLSQHTVLEIICTEEYSTGYSTNSKIIHFEIKDRFAFFAGPRLRNMASLYGQLDTTKKLRDFFTVTDLRIRLLRPAVGEIFVDELHLARYFYAISDIKVRGRCKCNLHATSCLYDNSKLTCECEHNTTGPDCGKCKKNYQGRPWSPGSYLPIPKGTANTCIPSISSIGNCECFGHSNRCSYIDLLNTVICVSCKHNTRGQHCELCRLGYFRNASAQLDDENVCIECYCNPLGSIHDRCNGSGFCECKTGTTGPKCDECLPGNSWYYGCQPNVCDNELLHCQNGGTCQNNVRCACPDAYTGILCEKLRCEEAGSCGSESGQGAPPRGSPALLLLTMLLGTAGPLVF", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKQRRRRNGCSSSNTISLLLLFFLVFFSRTSTSTSCRRRTVKHLSTTSTSSTPLESRITSKVIVISIVSGILTGLVSALVLAFLVRSIVKFMKQTPILKGPVVFSPKITPKSLHAALSNGIQLLGSDLNGKYYKMVLDNGLVVAVKRLGSLEGVGSPESSSSKSVKRRLQKELELLAGLRHRNLMSLRAYVRESDEFSLVYDYMPNGSLEDVMNKVRTKEVELGWEIRLRVAVGIVKGLQYLHFSCETQILHYNLKPTNVMLDSEFEPRLADCGLAKIMPSSHTAVSCYSAPESSQSNRYTDKSDIFSFGMILGVLLTGRDPTHPFCEESASGGSLGQWLKHLQQSGEAREALDKTILGEEVEEDEMLMALRITIICLSDFPADRPSSDELVHMLTQLHSF", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLLQRRSWLWLYIRIGVILGDILGRKPSIREQHGGNSCYQLNRLFCDFQEADNYCHAQRGRLAHTWNPKLRGFLKSFLNEETVWWVRGNLTLPGSHPGINQTGGDDVLRNQKPGECPSVVTHSNAVFSRWNLCIEKHHFICQAAAFPPQGASIWRNEFGPGPLLPMKRRGAETERHMIPGNGPPLAMCHQPAPPELFETLCFPIDPASSAPPKATHRMTITSLTGRPQVTSDTLASSSPPQGTSDTPASSSPPQVTSATSASSSPPQGTSDTPASSSPPQVTSATSASSSPPQGTSDTPASSSPPQVTSATSASSSPPQGTSDTPASSSPPQVTSATSASSSPPQGTSDTPASSSPPQGTLDTPSSSSPPQGTSDTPASSSPPQGTSETPASNSPPQGTSETPGFSSPPQVTTATLVSSSPPQVTSETPASSSPTQVTSETPASSSPTQVTSDTPASNSPPQGTSDTPGFSSPTQVTTATLVSSSPPQVTSDTPASSSPPQVTSDTPASSSPPQVTSETPASSSPPQVTSDTSASISPPQVISDTPASSSPPQVTSETPASSSPTNMTSDTPASSSPTNMTSDTPASSSPTNMTSDTPASSSPPWPVITEVTRPESTIPAGRSLANITSKAQEDSPLGVISTHPQMSFQSSTSQALDETAGERVPTIPDFQAHSEFQKACAILQRLRDFLPTSPTSAQKNNSWSSQTPAVSCPFQPLGRLTTTEKSSHQMAQQDMEQHPMDGAHNAFGISAGGSEIQSDIQLRSEFEVEDMLETSLMALGEIHRAFCQQSLCPQSAVTLASPSATLMLSSQNVSTLPLSTYTLGEPAPLTLGFPSAEALKELLNKHPGVNLQVTGLAFNPFKTLDDKNIVGSIGNVQLSSAYQSIRVHDLIEDIEIMLWRNASMETQPTSLNTSTDHFTISVNITSLEKTLIVTIEPESPLLMTLHLGFQDQLAHTHFYLNISLPRDQVWQKDEEYTWVLTPENLWYGTGTYYIMAVENKSTEAAQHTPVLVSVVTAVTQCYFWDRYNRTWKSDGCQVGPKSTILKTQCLCDHLTFFSSDFFIVPRTVDVENTIKLLLHVTNNPVGVSLLSSLLGFYILLAMWASRKDREDMQKVKVTVLADNDPSSASHYLIQVYTGYRRRAATTAKVVITLYGSEGHSEPHHLCDPEKTVFERGALDVFLLSTGSWLGDLHGLRLWHDNSGDSPSWYVSQVIVSDMTTRKKWHFQCNCWLAVDLGNCERDRVFTPASRSELSSFRHLFSSTIVEKFTQDYLWLSVATRHPWNQFTRVQRLSCCMALLLCDMVINIMFWKMGGTTAKRGTEQLGPLAVTLSELLVSIQTSIILFPIHLIFGRLFQLIHPPEALPQLPFIQAAWPPALVCESPSLTQVVKELKETVGFLLRRNTQLLSECEPSSCSSCDINKLAKLLSGLIYCHLEDEGCHQQTESHWEDAVSENHYHFCRYLLQLLRRLKAHLEALGATQDHQSCDFSEAVSQLQNLQELLETQTLRRGPGPCRHSTSFPILSPGEGKKPMSFCLFRWLKCSCWLLLGVISLASAFFITLYSLELDKDQATSWVISMMLSVLQDIFISQPIKVIFLTLLFSLMANHMPWLNKDKEQHARRIVALWAKCPWSAPGLRDKNNPIYTAPAMNNLAKPTRKAWKKQLSKLTGGTLVQILFLTLLMTTVYSAKDSSRFFLHRAIWKRFSHRFSEIKTVEDFYPWANGTLLPNLYGDYRGFITDGNSFLLGNVLIRQTRIPNDIFFPGSLHKQMKSPPQHQEDRENYGAGWVPPDTNITKVDSIWHYQNQESLGGYPIQGELATYSGGGYVVRLGRNHSAATRVLQHLEQRRWLDHCTKALFVEFTVFNANVNLLCAVTLILESSGVGTFLTSLQLDSLTSLQSSERGFAWIVSQVVYYLLVCYYAFIQGCRLKRQRLAFFTRKRNLLDTSIVLISFSILGLSMQSLSLLHKKMQQYHCDRDRFISFYEALRVNSAVTHLRGFLLLFATVRVWDLLRHHAQLQVINKTLSKAWDEVLGFILIIVVLLSSYAMTFNLLFGWSISDYQSFFRSIVTVVGLLMGTSKHKEVIALYPILGSLLVLSSIILMGLVIINLFVSAILIAFGKERKACEKEATLTDMLLQKLSSLLGIRLHQNPSEEHADNTGSSNLRERSSKSMSSDAEVLAPADAVGSVSGTDGNSGSTKVL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGNISSSGGEGRRRRRRNHTAAPPPPPPPPSSSLPPPPLPTEIQANPIVFAAVTPYPNPNPNPVYQYPASYYHHPPPGAMPLPPYDHHLQHHPPHPYHNHSWAPVAMARYPYAGHMMAQPTPYVEHQKAVTIRNDVNLKKESLRLEPDPDNPGRFLVSFTFDATVSGRISVIFFAKESEDCKLTATKEDILPPITLDFEKGLGQKFKQSSGSGIDFSVFEDVELFKAAADTEIYPLAVKAEAAPSGGENEEEERSGSKNAQITQAVYEKDKGEIKIRVVKQILWVNGTRYELQEIYGIGNTVEGDDDSADDANDPGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIKVHGNNGSGNNTGQGETVEQE", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRIFRPWRLRCPALHLPSFPTFSIKCSLPPLPTDEDMCKSVTTGEWKKVFYEKMEEVKPADSWDFIIDPNLKHNVLAPGWKQYLELHASGRFHCSWCWHTWQSPHVVILFHMYLDKAQRAGSVRMRVFKQLCYECGTARLDESSMLEENIESLVDNLITSLREQCYGERGGHYRIHVASRQDNRRHRGEFCEACQEGIVHWKPSEKLLEEEATTYTFSRAPSPTKPQAETGSGCNFCSIPWCLFWATVLMLIIYLQFSFRTSV", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASKSRRLSGLEISLIVLFLLMTAVSVALITVLALKQESDKKEEVTPEEPSPSVTPPEKPYLIGVGRADCTGPVADLPMMGYANTDQTARGLHTRLFSRAFIVDDGNKRVVFVTSDIGMVSQRLRLEVFQALKEKYGDLYRQDNVVLSGTHTHSGVGGYFQYTLFMITSKGYIKPSIQAIVSGIVKSIDIAHRNLRPGRIFINKGQVADSNFNRSPHSYMNNPEEERNRYEFNTDKQIVVLKFTDLDGDGIGLLSWFAVHPVSMNYTNRMVSSDNLGYASYIFEQEKNIGFLPGEKGPFVAGFSSSNLGDSSPNIRGPVCVNTGLKCDYINSSCPVGGKKACIAFGPGEDMFESTRIIGENMFKIAKELYGSAKQELHGPVYGAHQWVNMTDETVQFNSTHTGRTCKPALGHSFAAGTTDGGGEFNFLQGDTEGDPFWDGIRDAVLGPPSNETKACHQPKPILFSTGEMDSPLPWHPAIVDVQIITIGSLAVVAVPGEFTTMSGRRIREAVKRELEVKEPFTNAEVVVAGLCNIYTHYITTYEEYQIQRYEGASTIFGPHTLSAYIQRYRGLAKAIAHGTIGELPKGPEPPFFDEDKLFNQVRDPVADVAPVGTTFGDVLQEVNPVYKVGEIASVTFVSGNPRHSGDIRDTTLVTVERFHNDTGSWEIIHNDASWETRFHWIKGLAGRSQAKVEWHIPQTAQAGTYQIQYFGHYKQTTENTTVITPYVGTSAAFKVARSFYYF", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEGGGKPNSASNSRDDGNSVYPSKAPATGPAAADKRLGTPPGGGAAGKEHGNSVCFKVDGGGGEEPAGSFEDAEGPRRQYGFMQRQFTSMLQPGVNKFSLRMFGSQKAVEKEQERVKTAGFWIIHPYSDFRFYWDLIMLIMMVGNLVIIPVGITFFTEQTTTPWIIFNVASDTVFLLDLIMNFRTGTVNEDSSEIILDPKVIKMNYLKSWFVVDFISSIPVDYIFLIVEKGMDSEVYKTARALRIVRFTKILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVVRIFNLIGMMLLLCHWDGCLQFLVPLLQDFPPDCWVSLNEMVNDSWGKQYSYALFKAMSHMLCIGYGAQAPVSMSDLWITMLSMIVGATCYAMFVGHATALIQSLDSSRRQYQEKYKQVEQYMSFHKLPADMRQKIHDYYEHRYQGKIFDEENILSELNDPLREEIVNFNCRKLVATMPLFANADPNFVTAMLSKLRFEVFQPGDYIIREGAVGKKMYFIQHGVAGVITKSSKEMKLTDGSYFGEICLLTKGRRTASVRADTYCRLYSLSVDNFNEVLEEYPMMRRAFETVAIDRLDRIGKKNSILLQKFQKDLNTGVFNNQENEILKQIVKHDREMVQAIPPINYPQMTALNCTSSTTTPTSRMRTQSPPVYTATSLSHSNLHSPSPSTQTPQPSAILSPCSYTTAVCSPPIQSPLATRTFHYASPTASQLSLMQQPQPQLQQSQVQQTQTQTQQQQQQQQPQPQPQQPQQQQQQQQQQQQQQQQQQQQQPQTPGSSTPKNEVHKSTQALHNTHLTREVRPLSASQPSLPHEVSTMISRPHPTVGESLASIPQPVATVHSTGLQAGSRSTVPQRVTLFRQMSSGAIPPNRGVPPAPPPPAAVQRESPSVLNKDPDAEKPRFASNL", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDAMRQSTPRAMLLLCAVLMLAVAPPGAATAAAVAGCECGNAAAAAVAGEDARGALRLKLVAIASILAAGAAGVLVPVLGRSFAALRPDGDVFFAVKAFAAGVILATGMVHILPAAFDALASPCGGGRGGGGGFPFAGLVAMAAAMATMMIDSVAAGYYRRSHFKKPRPVDDPADAARAAGVEEGGAEHAGHVHVHTHATHGHAHGHVHSHGHGHGHSHGSAPAAATSPEDASVAETIRHRVVSQVLELGILVHSVIIGVSLGASLRPSSIRPLVGALSFHQFFEGIGLGGCIVQANFKAKATVIMATFFSLTAPVGIALGIAISSSYSKHSSTALVVEGVFNSAAAGILIYMSLVDLLAADFNNPKLQTNTKLQLAVYLALFLGAGMMSLLAIWA", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MELKAEEEEVGGVQPVSIQAFASSSTLHGLAHIFSYERLSLKRALWALCFLGSLAVLLCVCTERVQYYFHYHHVTKLDEVAASQLTFPAVTLCNLNEFRFSQVSKNDLYHAGELLALLNNRYEIPDTQMADEKQLEILQDKANFRSFKPKPFNMREFYDRAGHDIRDMLLSCHFRGEVCSAEDFKVVFTRYGKCYTFNSGRDGRPRLKTMKGGTGNGLEIMLDIQQDEYLPVWGETDETSFEAGIKVQIHSQDEPPFIDQLGFGVAPGFQTFVACQEQRLIYLPPPWGTCKAVTMDSDLDFFDSYSITACRIDCETRYLVENCNCRMVHMPGDAPYCTPEQYKECADPALDFLVEKDQEYCVCEMPCNLTRYGKELSMVKIPSKASAKYLAKKFNKSEQYIGENILVLDIFFEVLNYETIEQKKAYEIAGLLGDIGGQMGLFIGASILTVLELFDYAYEVIKHKLCRRGKCQKEAKRSSADKGVALSLDDVKRHNPCESLRGHPAGMTYAANILPHHPARGTFEDFTC", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MIPAVVLLLLLLVEQAAALGEPQLCYILDAILFLYGIVLTLLYCRLKIQVRKAAITSYEKSDGVYTGLSTRNQETYETLKHEKPPQ", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MQLYHHPYSIDSQRVRLALEEKGIDYTSYHVNPITGKHMDPSFFRMNPNAKLPVFRNGSHIILDTIEIIEYLERIAEVSSGIEDATFNREVVEWMRKIREWESKLFTLAHIPDNRRLYVSKFLRMVVIARMAESPDLASAYHRKLREAYDTEDKLKDPGALRRSKDHLLRLLDEVETKLEGTTYLAGNEFSMADVMLIPVLARLSLLDLEEEYISSRKNLAEYWALVRRRPSYKKVIGRYFNGWRKYATLVKTWMFVRVRSLLRKY", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSPHPTALLGLVLCLAQTIHTQEEDLPRPSISAEPGTVIPLGSHVTFVCRGPVGVQTFRLERESRSTYNDTEDVSQASPSESEARFRIDSVSEGNAGPYRCIYYKPPKWSEQSDYLELLVKETSGGPDSPDTEPGSSAGPTQRPSDNSHNEHAPASQGLKAEHLYILIGVSVVFLFCLLLLVLFCLHRQNQIKQGPPRSKDEEQKPQQRPDLAVDVLERTADKATVNGLPEKDRETDTSALAAGSSQEVTYAQLDHWALTQRTARAVSPQSTKPMAESITYAAVARH", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRLFFTPSMSNLSIFFSILLLSLPLPSIGDLAADKSALLSFRSAVGGRTLLWDVKQTSPCNWTGVLCDGGRVTALRLPGETLSGHIPEGIFGNLTQLRTLSLRLNGLTGSLPLDLGSCSDLRRLYLQGNRFSGEIPEVLFSLSNLVRLNLAENEFSGEISSGFKNLTRLKTLYLENNKLSGSLLDLDLSLDQFNVSNNLLNGSIPKSLQKFDSDSFVGTSLCGKPLVVCSNEGTVPSQPISVGNIPGTVEGSEEKKKRKKLSGGAIAGIVIGCVVGLSLIVMILMVLFRKKGNERTRAIDLATIKHHEVEIPGEKAAVEAPENRSYVNEYSPSAVKAVEVNSSGMKKLVFFGNATKVFDLEDLLRASAEVLGKGTFGTAYKAVLDAVTLVAVKRLKDVTMADREFKEKIEVVGAMDHENLVPLRAYYYSGDEKLLVYDFMPMGSLSALLHGNKGAGRPPLNWEVRSGIALGAARGLDYLHSQDPLSSHGNVKSSNILLTNSHDARVSDFGLAQLVSASSTTPNRATGYRAPEVTDPRRVSQKADVYSFGVVLLELLTGKAPSNSVMNEEGMDLARWVHSVAREEWRNEVFDSELMSIETVVSVEEEMAEMLQLGIDCTEQHPDKRPVMVEVVRRIQELRQSGADRVG", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAWPGTGPSSRGAPGGVGLRLGLLLQFLLLLRPTLGFGDEEERRCDPIRIAMCQNLGYNVTKMPNLVGHELQTDAELQLTTFTPLIQYGCSSQLQFFLCSVYVPMCTEKINIPIGPCGGMCLSVKRRCEPVLREFGFAWPDTLNCSKFPPQNDHNHMCMEGPGDEEVPLPHKTPIQPGEECHSVGSNSDQYIWVKRSLNCVLKCGYDAGLYSRSAKEFTDIWMAVWASLCFISTTFTVLTFLIDSSRFSYPERPIIFLSMCYNIYSIAYIVRLTVGRERISCDFEEAAEPVLIQEGLKNTGCAIIFLLMYFFGMASSIWWVILTLTWFLAAGLKWGHEAIEMHSSYFHIAAWAIPAVKTIVILIMRLVDADELTGLCYVGNQNLDALTGFVVAPLFTYLVIGTLFIAAGLVALFKIRSNLQKDGTKTDKLERLMVKIGVFSVLYTVPATCVIACYFYEISNWALFRYSADDSNMAVEMLKIFMSLLVGITSGMWIWSAKTLHTWQKCSNRLVNSGKVKREKRGNGWVKPGKGNETVV", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAKDVEGPEGFQTRDYEDPPPTPFFDADELTKWSLYRAVIAEFVATLLFLYITVLTVIGYKIQSDTKAGGVDCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYMVAQCLGAICGVGFVKAFQSSYYDRYGGGANSLADGYNTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNKSKPWDDHWIFWVGPFIGAAIAAFYHQFVLRASGSKSLGSFRSAANV", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAKDVEAVPGEGFQTRDYQDPPPAPFIDGAELKKWSFYRAVIAEFVATLLFLYITVLTVIGYKIQSDTDAGGVDCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLPRALLYIIAQCLGAICGVGFVKAFQSSYYTRYGGGANSLADGYSTGTGLAAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNKSKPWDDHWIFWVGPFIGAAIAAFYHQFVLRASGSKSLGSFRSAANV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPSESSVKATAAPPPFPLPPDGGWGWVVVCASFISIGFSYAFPKAVTVFFNDIKDIFKTTSSQIAWISSIMLAVMYAGGPISSVLVNNYGSRPVLIVGGLLCCTGMILASFSSSVIELYLTVGFIGGLGLAFNLQPALTIIGKYFYRKRPLANGFAMAGSPVFLSTLAPFNQFLFNSYGWKGSFLILGAIFLHSCVAGCLMRPVGPSPRAAKSKSKVGSRQDSSTKRLSKVSTAEKINRFLDFGLFTHRGFLIYLSGNVVLFLGMFAPIIFLAPYAKDKGVDDYNSAFLLSVMAFTDMFARPSVGLIANTSLIRPRIQYLFSVAIMFTGICHLLCPLAHSYTALVVYVIFFGIGFGSISSLLFECLMDQVGASRFSSAVGLVTIVECCPVLFGPPLAGKLLDITGQYKYLYIASGIVVLSSGIYLLICNAINYRLLEKERKREKARRKKSASQASKEMEALSRSKQDDVTVKVSNTHNPPSDRDKESSI", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDPMELRNVNIEPDDESSSGESAPDSYIGIGNSEKAAMSSQFANEDTESQKFLTNGFLGKKKLADYADEHHPGTTSFGMSSFNLSNAIMGSGILGLSYAMANTGIILFIIMLLAVAILSLYSVHLLLKTAKEGGSLIYEKLGEKAFGWPGKIGAFVSITMQNIGAMSSYLFIIKYELPEVIRAFMGLEENTGEWYLNGNYLIIFVSVGIILPLSLLKNLGYLGYTSGFSLTCMVFFVSVVIYKKFQIPCPLPVLDHSVGNLSFNNTLPMHVVMLPNNSESSDVNFMMDYTHRNPAGLDENQAKGSLHDSGVEYEAHSDDKCEPKYFVFNSRTAYAIPILVFAFVCHPEVLPIYSELKDRSRRKMQTVSNISITGMLVMYLLAALFGYLTFYGEVEDELLHAYSKVYTLDIPLLMVRLAVLVAVTLTVPIVLFPIRTSVITLLFPKRPFSWIRHFLIAAVLIALNNVLVILVPTIKYIFGFIGASSATMLIFILPAVFYLKLVKKETFRSPQKVGALIFLVVGIFFMIGSMALIIIDWIYDPPNSKHH", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGKIMEWAARSDHLGGIPRNTVIMAVSAFAKAVANLCNKSSVHNADTLMNLVQSRPPGVPLITVSNHMSTLDDPVMWGAFKGLLSLDPELARWVLAAEDICFRNPIFSYIFRTGKCIPITRGGGIYQENMNEALQRLKDGSWLHTFPEGKVFQDDVPIRRLKWGTASLIARSPVTPIVLPIIHRGFEEMMPENYNNGRRPLVPLPNKHLKVVVGEPIEFDVPMMVETAVLDSRHVTPPLQEVKWPVLTSAGQVLDETAQRHLYIALSEKIQSSLETLRLLAKRL", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKLSVLTFVVDALLVCSSIVDAGVTDFPSLPSNEVYVKMNFQKKYGSSFENALDDTKGRTRLMTRDDDYELVELTNQNSFYSVELDIGTPPQKVTVLVDTGSSDLWVTGSDNPYCSTKKKDTTGSSFKQVNKDALASVVESVFTEISYDTTIVTSEATATFDSTASTSQLIDCATYGTFNTSKSSTFNSNNTEFSIAYGDTTFASGTWGHDQLSLNDLNITGLSFAVANETNSTVGVLGIGLPGLESTYSGVSLSSVQKSYTYNNFPMVLKNSGVIKSTAYSLFANDSDSKHGTILFGAVDHGKYAGDLYTIPIINTLQHRGYKDPIQFQVTLQGLGTSKGDKEDNLTTLTTTKIPVLLDSGTTISYMPTELVKMLADQVGATYSSAYGYYIMDCIKEMEEESSIIFDFGGFYLSNWLSDFQLVTDSRSNICILGIAPQSDPTIILGDNFLANTYVVYDLDNMEISMAQANFSDDGEYIEIIESAVPSALKAPGYSSTWSTYESIVSGGNMFSTAANSSISYFASTSHSATSSSSSKGQKTQTSTTALSISKSTSSTSSTGMLSPTSSSSTRKENGGHNLNPPFFARFITAIFHHI", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSDSKMSSQDESKLEKAISQDSSSENHSINEYHGFDAHTSENIQNLARTFTHDSFKDDSSAGLLKYLTHMSEVPGVNPYEHEEINNDQLNPDSENFNAKFWVKNLRKLFESDPEYYKPSKLGIGYRNLRAYGVANDSDYQPTVTNALWKLATEGFRHFQKDDDSRYFDILKSMDAIMRPGELTVVLGRPGAGCSTLLKTIAVNTYGFHIGKESQITYDGLSPHDIERHYRGDVIYSAETDVHFPHLSVGDTLEFAARLRTPQNRGEGIDRETYAKHMASVYMATYGLSHTRNTNVGNDFVRGVSGGERKRVSIAEASLSGANIQCWDNATRGLDSATALEFIRALKTSAVILDTTPLIAIYQCSQDAYDLFDKVVVLYEGYQIFFGKATKAKEYFEKMGWKCPQRQTTADFLTSLTNPAEREPLPGYEDKVPRTAQEFETYWKNSPEYAELTKEIDEYFVECERSNTRETYRESHVAKQSNNTRPASPYTVSFFMQVRYGVARNFLRMKGDPSIPIFSVFGQLVMGLILSSVFYNLSQTTGSFYYRGAAMFFAVLFNAFSSLLEIMSLFEARPIVEKHKKYALYRPSADALASIISELPVKLAMSMSFNFVFYFMVNFRRNPGRFFFYWLMCIWCTFVMSHLFRSIGAVSTSISGAMTPATVLLLAMVIYTGFVIPTPSMLGWSRWINYINPVGYVFESLMVNEFHGREFQCAQYVPSGPGYENISRSNQVCTAVGSVPGNEMVSGTNYLAGAYQYYNSHKWRNLGITIGFAVFFLAIYIALTEFNKGAMQKGEIVLFLKGSLKKHKRKTAASNKGDIEAGPVAGKLDYQDEAEAVNNEKFTEKGSTGSVDFPENREIFFWRDLTYQVKIKKEDRVILDHVDGWVKPGQITALMGASGAGKTTLLNCLSERVTTGIITDGERLVNGHALDSSFQRSIGYVQQQDVHLETTTVREALQFSAYLRQSNKISKKEKDDYVDYVIDLLEMTDYADALVGVAGEGLNVEQRKRLTIGVELVAKPKLLLFLDEPASGLDSQTAWSICKLMRKLADHGQAILCTIHQPSALIMAEFDRLLFLQKGGRTAYFGELGENCQTMINYFEKYGADPCPKEANPAEWMLQVVGAAPGSHAKQDYFEVWRNSSEYQAVREEINRMEAELSKLPRDNDPEALLKYAAPLWKQYLLVSWRTIVQDWRSPGYIYSKIFLVVSAALFNGFSFFKAKNNMQGLQNQMFSVFMFFIPFNTLVQQMLPYFVKQRDVYEVREAPSRTFSWFAFIAGQITSEIPYQVAVGTIAFFCWYYPLGLYNNATPTDSVNPRGVLMWMLVTAFYVYTATMGQLCMSFSELADNAANLATLLFTMCLNFCGVLAGPDVLPGFWIFMYRCNPFTYLVQAMLSTGLANTFVKCAEREYVSVKPPNGESCSTYLDPYIKFAGGYFETRNDGSCAFCQMSSTNTFLKSVNSLYSERWRNFGIFIAFIAINIILTVIFYWLARVPKGNREKKNKK", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MWQFSALLLFFLPGCCTAQDSVTGPEEVSGQEQGSLTVQCRYSSYWKGYKKYWCRGVPQRSCDILVETDKSEQLVKKNRVSIRDNQRDFIFTVTMEDLRMSDAGIYWCGITKGGPDPMFKVNVNIDQAPKSSMMTTTATVLKSIQPSAENTGKEQVTQSKEVTQSRPHTRSLLSSIYFLLMVFVELPLLLSMLSAVLWVTRPQRCFGRGENDLVKTHSPVA", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPSSLTKTESNSDPRTNIQQVPKALDKNVTNSGNLDSTSSSTGSITEDEKRSEPNADSNNMTGGEPIDPRDLDWDGPDDPDNPHNWSSLKKWYTTMTSAFLCLVVTMGSSLYVSSVPELVERYHVSQTLALAGLTFYLLGLSTVIGAPLSEVFGRKPVYLFSLPVSMLFTMGVGLSNGHMRIILPLRFLSGVFASPALSVGSGTILDIFDVDQVSVAMTYFVLSPFLGPVLSPIMAGFATEAKGWRWSEWIQLIAGGLILPFIALMPETHKGIILRKRAKKRNIALKKFSREAQKEFLKTTVTITILRPLKMLVVEPIVFVFSVYVAFIFAILFGFFEAYAVIYRGVYHMSMGISGLPFIGIGVGLWIGAFFYLYIDRKYLFPKPPAGTQPLTEKERTSKRTTPYRGARDAETGELLPVVPEKFLIACKFGSVALPIGLFWQAWTARSDVHWMAPVAAGVPFGFGLILIFFSVLMYFSTCYPPLTVASCLAANNLLRYVMSSVFPLFTIQMYTKMKIKWASTLFALVCVVMIPIPWVFEKWGSKLRHKSQFGYAAMEKEAETEGGIDDVNAVDGELNLTRMTTLRTMETDPSTREKPGERLSLRRTHTQPVPASFDREDGQHAQNRNEPISNSLYSAIKDNEDGYSYTEMATDASARMV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MITAADFYHVMTAMVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFIAANNPYAMNLRFLAADSLQKVIVLSLLFLWCKLSRNGSLDWTITLFSLSTLPNTLVMGIPLLKGMYGNFSGDLMVQIVVLQCIIWYTLMLFLFEYRGAKLLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKEDGKLHVTVRRSNASRSDIYSRRSQGLSATPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMASGGGRNSNFGPGEAVFGSKGPTPRPSNYEEDGGPAKPTAAGTAAGAGRFHYQSGGSGGGGGAHYPAPNPGMFSPNTGGGGGTAAKGNAPVVGGKRQDGNGRDLHMFVWSSSASPVSDVFGGGGGNHHADYSTATNDHQKDVKISVPQGNSNDNQYVEREEFSFGNKDDDSKVLATDGGNNISNKTTQAKVMPPTSVMTRLILIMVWRKLIRNPNSYSSLFGITWSLISFKWNIEMPALIAKSISILSDAGLGMAMFSLGLFMALNPRIIACGNRRAAFAAAMRFVVGPAVMLVASYAVGLRGVLLHVAIIQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLLYYILLGL", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDVFSFGQGNNTTASQEPFGTGGNVTSISDVTFSYQVITSLLLGTLIFCAVLGNACVVAAIALERSLQNVANYLIGSLAVTDLMVSVLVLPMAALYQVLNKWTLGQVTCDLFIALDVLCCTSSILHLCAIALDRYWAITDPIDYVNKRTPRRAAALISLTWLIGFLISIPPMLGWRTPEDRSDPDACTISKDHGYTIYSTFGAFYIPLLLMLVLYGRIFRAARFRIRKTVRKVEKKGAGTSLGTSSAPPPKKSLNGQPGSGDWRRCAENRAVGTPCTNGAVRQGDDEATLEVIEVHRVGNSKEHLPLPSESGSNSYAPACLERKNERNAEAKRKMALARERKTVKTLGIIMGTFILCWLPFFIVALVLPFCESSCHMPALLGAIINWLGYSNSLLNPVIYAYFNKDFQNAFKKIIKCKFCRR", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNITNCTTEASMAIRPKTITEKMLICMTLVVITTLTTLLNLAVIMAIGTTKKLHQPANYLICSLAVTDLLVAVLVMPLSIIYIVMDRWKLGYFLCEVWLSVDMTCCTCSILHLCVIALDRYWAITNAIEYARKRTAKRAALMILTVWTISIFISMPPLFWRSHRRLSPPPSQCTIQHDHVIYTIYSTLGAFYIPLTLILILYYRIYHAAKSLYQKRGSSRHLSNRSTDSQNSFASCKLTQTFCVSDFSTSDPTTEFEKFHASIRIPPFDNDLDHPGERQQISSTRERKAARILGLILGAFILSWLPFFIKELIVGLSIYTVSSEVADFLTWLGYVNSLINPLLYTSFNEDFKLAFKKLIRCREHT", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSLPNQSLEGLPQEASNRSLNATGAWDPEVLQALRISLVVVLSIITLATVLSNAFVLTTILLTKKLHTPANYLIGSLATTDLLVSILVMPISIAYTTTRTWNFGQILCDIWVSSDITCCTASILHLCVIALDRYWAITDALEYSKRRTAGHAAAMIAAVWAISICISIPPLFWRQATAHEEMSDCLVNTSQISYTIYSTCGAFYIPSILLIILYGRIYVAARSRILNPPSLYGKRFTTAQLITGSAGSSLCSLNPSLHESHTHTVGSPLFFNQVKIKLADSILERKRISAARERKATKTLGIILGAFIICWLPFFVVSLVLPICRDSCWIHPALFDFFTWLGYLNSLINPVIYTVFNEDFRQAFQRVVHFRKAS", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEEQGIQCAPPPPATSQTGVPLANLSHNCSADDYIYQDSIALPWKVLLVALLALITLATTLSNAFVIATVYRTRKLHTPANYLIASLAVTDLLVSILVMPISTMYTVTGRWTLGQVVCDFWLSSDITCCTASIMHLCVIALDRYWAITDAVDYSAKRTPKRAAIMIVLVWVFSISISLPPFFWRQAKAEEEVLDCFVNTDHVLYTVYSTVGAFYLPTLLLIALYGRIYVEARSRILKQTPNKTGKRLTRAQLITDSPGSTSSVTSINSRVPEVPSESGSPVYVNQVKVRVSDALLEKKKLMAARERKATKTLGIILGAFIVCWLPFFIISLVMPICKDACWFHMAIFDFFNWLGYLNSLINPIIYTMSNEDFKQAFHKLIRFKCTG", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVNKVVDEVQRLVSAIILTSFMTGLFILSLWKNYVTVHFQHKNDPRDTRSSRTKIQPNDKKKKRPARHSRPLSISSTTPLDLQRDQENNIEYDRTVTSKLSMTSNASLSENGDGNANIKMETNVNQAPYAAENPFQNIALAEDTKLVPDLKYYYKEYGIDIEEFEVETDDGFIIDLWHFKSRLNDGVEEVKREPILLLHGLLQSCGAFASSGRKSLAYFLYESGFDVWLGNNRCGLNAKWNMKKLGNDHSKKWDWDMHQMVQYDLKALINYVLDSTGYAKLSLVAHSQGTTQGFMGLVNGEKLYASDFKLVDKLENFVALAPAVYPGPLLDEKAFVRLMAKGIDSPWYFGRRSFIPLMMTMRKLMVGTKIFSFLSYIMFNYLFDWNDVLWDRVLRDRNFLFSPVHISVKLMQWWLSPLPNKLSFKKGAEKIFPDKKTWFPIAKNDDDSGNNLDNNKLHLNPKRQNSEEFPHIIMFIPKQDRLVDGERLINHFINHEANAVYKIWYIDEYSHLDVLWAHDVIDRIGKPMIENLRFPNAR", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNSPSESRVPSSLTQDPSFTASPALLQGIWNSTQNISVRVQLLSVSPTTPGLQAAAWVPFPTVDVPDHAHYTLGTVILLVGLTGMLGNLTVIYTFCRNRGLRTPANMLIINLAVSDFLMSFTQAPVFFASSLYKKWLFGETGCKFYAFCGAVFGIVSMITLTAIAMDRYLVITRPLATIGMRSKRRTALVLLGVWLYALAWSLPPFFGWSAYVPEGLLTSCSWDYVTFTPLVRAYTMLLFCFVFFLPLLIIIFCYIFIFRAIRETGRACEGCGESPLRRRQWQRLQSEWKMAKVALIVILLFVLSWAPYSTVALVGFAGYSHILTPYMSSVPAVIAKASAIHNPIIYAITHPKYRAAIAQHLPCLGVLLGVSGQRSHPSLSYRSTHRSTLSSQSSDLSWISGQKRQESLGSESEVGWTDTETTAAWGAAQQASGQSFCSHDLEDGEVKAPSSPQEQKSKTPKTKRHLPSLDRRM", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRAQEDLEGRTQHETTRDPSTPLPTEPKFDMLYKIEDVPPWYLCILLGFQHYLTCFSGTIAVPFLLAEALCVGHDQHMVSQLIGTIFTCVGITTLIQTTVGIRLPLFQASAFAFLVPAKAILALERWKCPPEEEIYGNWSLPLNTSHIWHPRIREVQGAIMVSSVVEVVIGLLGLPGALLNYIGPLTVTPTVSLIGLSVFQAAGDRAGSHWGISACSILLIILFSQYLRNLTFLLPVYRWGKGLTLLRIQIFKMFPIMLAIMTVWLLCYVLTLTDVLPTDPKAYGFQARTDARGDIMAIAPWIRIPYPCQWGLPTVTAAAVLGMFSATLAGIIESIGDYYACARLAGAPPPPVHAINRGIFTEGICCIIAGLLGTGNGSTSSSPNIGVLGITKVGSRRVVQYGAAIMLVLGTIGKFTALFSSLPDPILGGMFCTLFGMITAVGLSNLQFVDMNSSRNLFVLGFSMFFGLTLPNYLESNPGAINTGILEVDQILIVLLTTEMFVGGCLAFILDNTVPGSPEERGLIQWKAGAHANSDMSSSLKSYDFPIGMGIVKRITFLKYIPICPVFKGFSSSSKDQIAIPEDTPENTETASVCTKV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDPLGDTLRRLREAFHAGRTRPAEFRAAQLQGLGRFLQENKQLLHDALAQDLHKSAFESEVSEVAISQGEVTLALRNLRAWMKDERVPKNLATQLDSAFIRKEPFGLVLIIAPWNYPLNLTLVPLVGALAAGNCVVLKPSEISKNVEKILAEVLPQYVDQSCFAVVLGGPQETGQLLEHRFDYIFFTGSPRVGKIVMTAAAKHLTPVTLELGGKNPCYVDDNCDPQTVANRVAWFRYFNAGQTCVAPDYVLCSPEMQERLLPALQSTITRFYGDDPQSSPNLGRIINQKQFQRLRALLGCGRVAIGGQSDESDRYIAPTVLVDVQEMEPVMQEEIFGPILPIVNVQSLDEAIEFINRREKPLALYAFSNSSQVVKRVLTQTSSGGFCGNDGFMHMTLASLPFGGVGASGMGRYHGKFSFDTFSHHRACLLRSPGMEKLNALRYPPQSPRRLRMLLVAMEAQGCSCTLL", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTVATGDLTDGSVGFAGHPQDSYDPEPDHECCERVVINISGLRFETQLKTLSQFPETLLGDPKKRMRYFDPLRNEYFFDRNRPSFDAILYFYQSGGRLRRPVNVPLDIFSEEIRFYELGEEAMEIFREDEGFIKEEERPLPDNEFQKQVWLLFEYPESSGPARIIAIISVTVILISIVSFCLETLPVFRDENEDMHGSGGNYYSYPNSTVRFQKSNTFTDPFFIVETLCIIWFSFEFLVRFLACPSKAVFFTNLMNIIDIVAIIPYFITLGTELAEKTEDGQQGQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGQTLNASMRELGLLIFFLFIGVILFSSAVFFAEADERDSQFPSIPDAFWWAVVSMTTVGYGDMVPTTIGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETEGEEQAQYLQVTSCPKIPSSPDLQKSRSASTLSKSDYMEIQEGVNHSNEDFREKNLKTANCTLGNTNYVNITKMLTDV", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAWRCPRMGRVPLAWCLALCGWACMAPRGTQAEESPFVGNPGNITGARGLTGTLRCQLQVQGEPPEVHWLRDGQILELADSTQTQVPLGEDEQDDWIVVSQLRITSLQLSDTGQYQCLVFLGHQTFVSQPGYVGLEGLPYFLEEPEDRTVAANTPFNLSCQAQGPPEPVDLLWLQDAVPLATAPGHGPQRSLHVPGLNKTSSFSCEAHNAKGVTTSRTATITVLPQQPRNLHLVSRQPTELEVAWTPGLSGIYPLTHCTLQAVLSNDGMGIQAGEPDPPEEPLTSQASVPPHQLRLGSLHPHTPYHIRVACTSSQGPSSWTHWLPVETPEGVPLGPPENISATRNGSQAFVHWQEPRAPLQGTLLGYRLAYQGQDTPEVLMDIGLRQEVTLELQGDGSVSNLTVCVAAYTAAGDGPWSLPVPLEAWRPGQAQPVHQLVKEPSTPAFSWPWWYVLLGAVVAAACVLILALFLVHRRKKETRYGEVFEPTVERGELVVRYRVRKSYSRRTTEATLNSLGISEELKEKLRDVMVDRHKVALGKTLGEGEFGAVMEGQLNQDDSILKVAVKTMKIAICTRSELEDFLSEAVCMKEFDHPNVMRLIGVCFQGSERESFPAPVVILPFMKHGDLHSFLLYSRLGDQPVYLPTQMLVKFMADIASGMEYLSTKRFIHRDLAARNCMLNENMSVCVADFGLSKKIYNGDYYRQGRIAKMPVKWIAIESLADRVYTSKSDVWSFGVTMWEIATRGQTPYPGVENSEIYDYLRQGNRLKQPADCLDGLYALMSRCWELNPQDRPSFTELREDLENTLKALPPAQEPDEILYVNMDEGGGYPEPPGAAGGADPPTQPDPKDSCSCLTAAEVHPAGRYVLCPSTTPSPAQPADRGSPAAPGQEDGA", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPGGCSRGPAAGDGRLRLARLALVLLGWVSSSSPTSSASSFSSSAPFLASAVSAQPPLPDQCPALCECSEAARTVKCVNRNLTEVPTDLPAYVRNLFLTGNQLAVLPAGAFARRPPLAELAALNLSGSRLDEVRAGAFEHLPSLRQLDLSHNPLADLSPFAFSGSNASVSAPSPLVELILNHIVPPEDERQNRSFEGMVVAALLAGRALQGLRRLELASNHFLYLPRDVLAQLPSLRHLDLSNNSLVSLTYVSFRNLTHLESLHLEDNALKVLHNGTLAELQGLPHIRVFLDNNPWVCDCHMADMVTWLKETEVVQGKDRLTCAYPEKMRNRVLLELNSADLDCDPILPPSLQTSYVFLGIVLALIGAIFLLVLYLNRKGIKKWMHNIRDACRDHMEGYHYRYEINADPRLTNLSSNSDV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGAIGLLWLLPLLLSTAAVGSGMGTGQRAGSPAAGPPLQPREPLSYSRLQRKSLAVDFVVPSLFRVYARDLLLPPSSSELKAGRPEARGSLALDCAPLLRLLGPAPGVSWTAGSPAPAEARTLSRVLKGGSVRKLRRAKQLVLELGEEAILEGCVGPPGEAAVGLLQFNLSELFSWWIRQGEGRLRIRLMPEKKASEVGREGRLSAAIRASQPRLLFQIFGTGHSSLESPTNMPSPSPDYFTWNLTWIMKDSFPFLSHRSRYGLECSFDFPCELEYSPPLHDLRNQSWSWRRIPSEEASQMDLLDGPGAERSKEMPRGSFLLLNTSADSKHTILSPWMRSSSEHCTLAVSVHRHLQPSGRYIAQLLPHNEAAREILLMPTPGKHGWTVLQGRIGRPDNPFRVALEYISSGNRSLSAVDFFALKNCSEGTSPGSKMALQSSFTCWNGTVLQLGQACDFHQDCAQGEDESQMCRKLPVGFYCNFEDGFCGWTQGTLSPHTPQWQVRTLKDARFQDHQDHALLLSTTDVPASESATVTSATFPAPIKSSPCELRMSWLIRGVLRGNVSLVLVENKTGKEQGRMVWHVAAYEGLSLWQWMVLPLLDVSDRFWLQMVAWWGQGSRAIVAFDNISISLDCYLTISGEDKILQNTAPKSRNLFERNPNKELKPGENSPRQTPIFDPTVHWLFTTCGASGPHGPTQAQCNNAYQNSNLSVEVGSEGPLKGIQIWKVPATDTYSISGYGAAGGKGGKNTMMRSHGVSVLGIFNLEKDDMLYILVGQQGEDACPSTNQLIQKVCIGENNVIEEEIRVNRSVHEWAGGGGGGGGATYVFKMKDGVPVPLIIAAGGGGRAYGAKTDTFHPERLENNSSVLGLNGNSGAAGGGGGWNDNTSLLWAGKSLQEGATGGHSCPQAMKKWGWETRGGFGGGGGGCSSGGGGGGYIGGNAASNNDPEMDGEDGVSFISPLGILYTPALKVMEGHGEVNIKHYLNCSHCEVDECHMDPESHKVICFCDHGTVLAEDGVSCIVSPTPEPHLPLSLILSVVTSALVAALVLAFSGIMIVYRRKHQELQAMQMELQSPEYKLSKLRTSTIMTDYNPNYCFAGKTSSISDLKEVPRKNITLIRGLGHGAFGEVYEGQVSGMPNDPSPLQVAVKTLPEVCSEQDELDFLMEALIISKFNHQNIVRCIGVSLQSLPRFILLELMAGGDLKSFLRETRPRPSQPSSLAMLDLLHVARDIACGCQYLEENHFIHRDIAARNCLLTCPGPGRVAKIGDFGMARDIYRASYYRKGGCAMLPVKWMPPEAFMEGIFTSKTDTWSFGVLLWEIFSLGYMPYPSKSNQEVLEFVTSGGRMDPPKNCPGPVYRIMTQCWQHQPEDRPNFAIILERIEYCTQDPDVINTALPIEYGPLVEEEEKVPVRPKDPEGVPPLLVSQQAKREEERSPAAPPPLPTTSSGKAAKKPTAAEISVRVPRGPAVEGGHVNMAFSQSNPPSELHKVHGSRNKPTSLWNPTYGSWFTEKPTKKNNPIAKKEPHDRGNLGLEGSCTVPPNVATGRLPGASLLLEPSSLTANMKEVPLFRLRHFPCGNVNYGYQQQGLPLEAATAPGAGHYEDTILKSKNSMNQPGP", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGCVQSFRTLCDRPKNTNVRISLPAVCFVWQIAMIILFGVFIRYDEESDTHWIEHRKKENISSDIENDFYFRYPSFQDVHVMIFVGFGFLMTFLKRYSFGAVGFNFLIAAFGLQWALLMQGWFHSLDYTDGKIKIGVESLINADFCVAGCLIAYGAVLGKVSPVQLMVLTLFGITLFAVEEYIILSLIHARDAGGSMVIHTFGGYYGLSISWMLYRPNLDQSSNLQGSVYHSDVFAMIGTLFLWMFWPSFNSAITDHGDGQHRAAINTYLALASTVLTTVAISSLFQKHGKLDMVHIQNSTLAGGVAVGTAAEFMLMPYGSLIVGFCCGIISTLGYIYLTPFMEKHLKIQDTCGIHNLHAMPGVIGGIVGAITAAAASESVYGKEGLINTFDFEGAFKNMVPTKQGGHQAAGLCVAICFGIGGGIIVGCILRLPIWGDPADDNCFNDEPYWELPEDEESAPPILHYNNHMANKDVVDTNFGMEQN", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MWRVRKRGYFGIWSFPLIIAAVCAQSVNDPSNMSLVKETVDRLLKGYDIRLRPDFGGPPVAVGMNIDIASIDMVSEVNMDYTLTMYFQQAWRDKRLSYNVIPLNLTLDNRVADQLWVPDTYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTTAACMMDLRRYPLDEQNCTLEIESYGYTTDDIEFYWRGDDNAVTGVTKIELPQFSIVDYKLITKKVVFSTGSYPRLSLSFKLKRNIGYFILQTYMPSILITILSWVSFWINYDASAARVALGITTVLTMTTINTHLRETLPKIPYVKAIDMYLMGCFVFVFMALLEYALVNYIFFGRGPQRQKKAAEKAANANNEKMRLDVNKMDPHENILLSTLEIKNEMATSEAVMGLGDPRSTMLAYDASSIQYRKAGLPRHSFGRNALERHVAQKKSRLRRRASQLKITIPDLTDVNAIDRWSRIFFPVVFSFFNIVYWLYYVN", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEMEIKDENLRNSVPFVRQLSTDSVKTKTEYDNEDGTPYKSTTQKLFLWTQLLAAFAVSVGSMNVGFSSGYTSPAVLTMNITLDITKEEITWVGGLMPLAALVGGIVGGPLIEYLGRKKTIMGTAVPFTIGWMLIANAINVVMVFAGRVICGVCVGIVSLAFPVYIGETIQPEVRGALGLLPTAFGNTGILLAFLVGSYLDWSNLAFFGAAIPVPFFLLMILTPETPRWYVSKARVQEARKSLRWLRGKNVNIEKEMRDLTISQTESDRTGGNAFKQLFSKRYLPAVMISLGLMLFQQLTGINAVIFYAASIFQMSGSSVDENLASIIIGVVNFISTFIATMLIDRLGRKVLLYISSVAMITTLLALGAYFYLKQNHIDVTAYGWLPLACLVIYVLGFSIGFGPIPWLMLGEILPSKIRGTAASLATGFNWTCTFIVTKTFQNIIDAIYMHGTLWLFAVICIGGLLFVIFFVPETKGKSLEEIEMKLTSGSRRVRNISKQPENIC", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAEHRSMDGRMEAATRGGSHLQAAAQTPPRPGPPSAPPPPPKEGHQEGLVELPASFRELLTFFCTNATIHGAIRLVCSRGNRLKTTSWGLLSLGALVALCWQLGLLFERHWHRPVLMAVSVHSERKLLPLVTLCDGNPRRPSPVLRHLELLDEFARENIDSLYNVNLSKGRAALSATVPRHEPPFHLDREIRLQRLSHSGSRVRVGFRLCNSTGGDCFYRGYTSGVAAVQDWYHFHYVDILALLPAAWEDSHGSQDGHFVLSCSYDGLDCQARQFRTFHHPTYGSCYTVDGVWTAQRPGITHGVGLVLRVEQQPHLPLLSTLAGIRVMVHGRNHTPFLGHHSFSVRPGTEATISIREDEVHRLGSPYGHCTAGGEGVEVELLHNTSYTRQACLVSCFQQLMVETCSCGYYLHPLPAGAEYCSSARHPAWGHCFYRLYQDLETHRLPCTSRCPRPCRESAFKLSTGTSRWPSAKSAGWTLATLGEQGLPHQSHRQRSSLAKINIVYQELNYRSVEEAPVYSVPQLLSAMGSLCSLWFGASVLSLLELLELLLDASALTLVLGGRRLRRAWFSWPRASPASGASSIKPEASQMPPPAGGTSDDPEPSGPHLPRVMLPGVLAGVSAEESWAGPQPLETLDT", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGCFHSKAAKEFRGHEDPVKLASETAFSVSEVEALFELFKSISSSVVDDGLINKEEFQLALFKSRKRENIFANRIFDMFDVKRKGVIDFGDFVRSLNVFHPNASLEDKIDFTFRLYDMDCTGYIERQEVKQMLIALLCESEMKLADETIEIILDKTFEDADVNQDGKIDKLEWSDFVNKNPSLLKIMTLPYLRDITTTFPSFVFHSEVDEIAT", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKLQLAAVATLAVLTSPAFGRVLPDGKYVKIPFTKKKNGDNGELSKRSNGHEKFVLANEQSFYSVELAIGTPSQNLTVLLDTGSADLWVPGKGNPYCGSVMDCDQYGVFDKTKSSTFKANKSSPFYAAYGDGTYAEGAFGQDKLKYNELDLSGLSFAVANESNSTFGVLGIGLSTLEVTYSGKVAIMDKRSYEYDNFPLFLKHSGAIDATAYSLFLNDESQSSGSILFGAVDHSKYEGQLYTIPLVNLYKSQGYQHPVAFDVTLQGLGLQTDKRNITLTTTKLPALLDSGTTLTYLPSQAVALLAKSLNASYSKTLGYYEYTCPSSDNKTSVAFDFGGFRINAPLSDFTMQTSVGTCVLAIIPQAGNATAILGDSFLRNAYVVYDLDNYEISLAQAKYGTGKENVEVIKSTVPSAIRAPSYNNTWSNYASATSGGNIFTTVRTFNGTSTATTTRSTTTKKTNSTTTAKSTHKSKRALQRAATNSASSIRSTLGLLLVPSLLILSVFFS", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAWMLLLILIMVHPGSCALWVSQPPEIRTLEGSSAFLPCSFNASQGRLAIGSVTWFRDEVVPGKEVRNGTPEFRGRLAPLASSRFLHDHQAELHIRDVRGHDASIYVCRVEVLGLGVGTGNGTRLVVEKEHPQLGAGTVLLLRAGFYAVSFLSVAVGSTVYYQGKCLTWKGPRRQLPAVVPAPLPPPCGSSAHLLPPVPGG", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MHRIYTFLIFISQLALGLSNNPDIPIQYELANNIMENYQKGLIPKVRKGSPINVTLSLQLYQIIQVNEPQQYLLLNAWAVERWVDQMLGWDPSEFDNETEIMARHDDIWLPDTTLYNSLEMDDSASKKLTHVKLTTLGKNQGAMVELLYPTIYKISCLLNLKYFPFDTQTCRMTFGSWSFDNSLIDYFPRTFTNGPIGLANFLENDAWSVLGTKVNREEKKYTCCPVNYTLLHYDVVIQRKPLYYVLNLIAPTAVITFISIIGFFTSVNPFTNFCNVSSSVHDLRQEKITLGITTLLSMSIMIFMVSDKMPSTSTCVPLIALFYTLMITIISVGTLAASSVIFVQKLGSIGNPPASKTMKWTHRIAPFVLIQMPLVMKQAYAKRAKEEKHRKRMSRKNSMWTKVYHLARDHSKLMETVPDGAVKFNQISDFKNNDIGNMESPRMAESQTSETFAAPMDTSFTESLHIPELNRVASSNSIQSVLKPTEIQLTPYCTRNIVELEWDWVAAVLERVFLIFFTICFLFSAIGINLYGWYIWYTENHFLF", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPEEDLVELKFRLYDGSDVGPFQYSPTATVSMLKERIVSEWPKDKKIVPKSASDIKLINAGKILENGKTVAQCKAPFDDLPKSVITMHVVVQLSPTKARPEKKIEKEEAPQRSFCSCTIM", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSHSWDGLGEIASVAQLTGLDAVKLIGLIVKAANTAWMHKKNCRQFAQHLKLIGNLLEQLKISEMKKYPETREPLEGLEDALRRSYLLVNSCRDRSYLYLLAMGWNIVYQFRKHQDEIDRFLKIIPLITLVDNARIRERFEYIDRDQREYTLDEEDRHVQDVILKQESTREAASVLKKTLSCSYPNLRFCEALKTENEKLQIELQRSQEHYDVAQCEVIQRLIGVTQAAAAVEPDSEKELTKKASKKSERSSSMKTEYSYDEDSPKKSSTRAASRSTSNVSSGHDLLSRRASQAQHHEEWHTDLLACCSEPSLCFKTFFFPCGTLAKIATAASNRHISSAEACNELMAYSLILSCCCYTCCVRRKLRKTLNITGGFIDDFLSHVMCCCCALVQELREVEIRGAYGTEKTKISPPSSQFMEH", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAKAAVTKRHHFMIQKLLILLSYGYTNGLDDAHSLRCNLTIKDPTPADPLWYEAKCFVGEILILHLSNINKTMTSGDPGETANATEVKKCLTQPLKNLCQKLRNKVSNTKVDTHKTNGYPHLQVTMIYPQSQGRTPSATWEFNISDSYFFTFYTENMSWRSANDESGVIMNKWKDDGEFVKQLKFLIHECSQKMDEFLKQSKEKPRSTSRSPSITQLTSTSPLPPPSHSTSKKGFISVGLIFISLLFAFAFAM", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MMREPLVSEEEEEEATEVLLVEKTKLCKRRGDEEKTEERRDDLLLLALTPMVRSKSQGTTRRVTPTPPPVDVEKPLPNGDLYMGTFSGGFPNGSGKYLWKDGCMYEGEWKRGKASGKGKFSWPSGATYEGEFKSGRMEGSGTFVGVDGDTYRGSWVADRKQGHGQKRYANGDYYEGTWRRNLQDGRGRYVWMNGNQYTGEWRNGVICGKGVLAWPNGNRYEGQWENGVPKGSGVFTWADGSSWIGSWNESSNLMRNFFDGIEKNELIVATRKRSSVDSGAGSLTGEKIFPRICIWESDGEAGDITCDIVDNVEASVIYRDRISIDKDGFRQFRKNPCCFSGEAKKPGETISKGHKKYDLMLNLQHGIRYSVGKHASVVRDLKQSDFDPSEKFWTRFPPEGSKTTPPHLSVDFRWKDYCPLVFRRLRELFTVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLLRMLPSYYKHVCQYENTLVTRFYGVHCIKPVGGQKTRFIVMGNLFCSEYRIQRRFDLKGSSHGRYTSKPEGEIDETTTLKDLDLNFAFRLQRNWYQELMTQIKRDCEFLEAERIMDYSLLVGVHFRDDNTGDKMGLSPFVLRSGKIESYQSEKFMRGCRFLEAELQDMDRILAGRKPLIRLGANMPARAERMARRSDYDQYSSGGTNYQSHGEVYEVVLYFGIIDILQDYDISKKIEHAYKSLQADPASISAVDPKLYSRRFRDFISRIFIEDG", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFSPVLFRFSKPNSFLVLLFFLSYIHFLPCAQSQREPCDTLFRCGDLTAGFPFWGVARPQPCGHPSLGLHCQKQTNSTSLIISSLMYRVLEVNTTTSTLKLVRQDFSGPFCSASFSGATLTPELFELLPDYKTLSAYYLCNPSLHYPAKFICPNKGVGSIHQDDLYHNHCGGIFNITVPIGYAPEEGALNVTNLESVLKKGFEVKLSIDERPCQECKTNGGICAYHVATPVCCKTNSSSEVNCTPMMPSGSSAHAGLSKKGKIGIGFASGFLGATLIGGCLLCIFIRRRKKLATQYTNKGLSTTTPYSSNYTMSNTPTSTTISGSNHSLVPSISNLGNGSVYSGIQVFSYEELEEATENFSKELGDGGFGTVYYGTLKDGRAVAVKRLFERSLKRVEQFKNEIDILKSLKHPNLVILYGCTTRHSRELLLVYEYISNGTLAEHLHGNQAQSRPICWPARLQIAIETASALSYLHASGIIHRDVKTTNILLDSNYQVKVADFGLSRLFPMDQTHISTAPQGTPGYVDPEYYQCYRLNEKSDVYSFGVVLSELISSKEAVDITRHRHDINLANMAISKIQNDAVHELADLSLGFARDPSVKKMMSSVAELAFRCLQQERDVRPSMDEIVEVLRVIQKDGISDSKDVVVEIDVNGGDDVGLLKHGVPPPLSPETDKTTASSSNTTASSF", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEVTMEDRSVKADKADRDDNNTTSTELLGKMRQTKVISTNQNNNHLIDRMVSIYEMEMIKSQSTETISDVSDVLEFTVIDNCSHGTHTLEHDLRLKGEPIGKSESVKGVSRSLIIQIGMTVIFCALEFITGVVCSSIAMLADSYHMAADVMALIVAFTCIKIATRPSTRLGYGWVRAETLGGFFNGIFMCTVCVLVFQEAVGRIINVHMITHPLQVLVIGFIGLLINLFGMFNLSGHGHSHGGGSHGHSHGGSHGHSHNNKKTKKNDGHGHSHANGHGHSHDGKSDCNGEEEPDHTRLNGKFRSASAMANSDANVRLLDNDDNSNDIIERRLSGVNSQNTIIATVDRQMTPYGTHMASEVLNVSSNNLDKSAQKTEQKKDKNVNIHGVWLHLLSDAFGSVIVMISAGFVYFLPTWKIAAYLDPILSISLASIMGFTAVVLVKTSGEKLLKQTPEGLDLEKVKKDLCSIVGVSKVEKLSVWTLCGQRIIAAAHVNICHPAVFPEAAYKIKNYFHDLGVHSTTIEPTFEDTCMQSMRIMVKKVVDGKSIEEPVSVSTENEITE", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKQRWLLVLILCFFTTSLVMGIHGKHLINDDFNETALLLAFKQNSVKSDPNNVLGNWKYESGRGSCSWRGVSCSDDGRIVGLDLRNSGLTGTLNLVNLTALPNLQNLYLQGNYFSSGGDSSGSDCYLQVLDLSSNSISDYSMVDYVFSKCSNLVSVNISNNKLVGKLGFAPSSLQSLTTVDLSYNILSDKIPESFISDFPASLKYLDLTHNNLSGDFSDLSFGICGNLTFFSLSQNNLSGDKFPITLPNCKFLETLNISRNNLAGKIPNGEYWGSFQNLKQLSLAHNRLSGEIPPELSLLCKTLVILDLSGNTFSGELPSQFTACVWLQNLNLGNNYLSGDFLNTVVSKITGITYLYVAYNNISGSVPISLTNCSNLRVLDLSSNGFTGNVPSGFCSLQSSPVLEKILIANNYLSGTVPMELGKCKSLKTIDLSFNELTGPIPKEIWMLPNLSDLVMWANNLTGTIPEGVCVKGGNLETLILNNNLLTGSIPESISRCTNMIWISLSSNRLTGKIPSGIGNLSKLAILQLGNNSLSGNVPRQLGNCKSLIWLDLNSNNLTGDLPGELASQAGLVMPGSVSGKQFAFVRNEGGTDCRGAGGLVEFEGIRAERLERLPMVHSCPATRIYSGMTMYTFSANGSMIYFDISYNAVSGFIPPGYGNMGYLQVLNLGHNRITGTIPDSFGGLKAIGVLDLSHNNLQGYLPGSLGSLSFLSDLDVSNNNLTGPIPFGGQLTTFPVSRYANNSGLCGVPLRPCGSAPRRPITSRIHAKKQTVATAVIAGIAFSFMCFVMLVMALYRVRKVQKKEQKREKYIESLPTSGSCSWKLSSVPEPLSINVATFEKPLRKLTFAHLLEATNGFSAETMVGSGGFGEVYKAQLRDGSVVAIKKLIRITGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKWGSLETVLHEKSSKKGGIYLNWAARKKIAIGAARGLAFLHHSCIPHIIHRDMKSSNVLLDEDFEARVSDFGMARLVSALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGKKPIDPGEFGEDNNLVGWAKQLYREKRGAEILDPELVTDKSGDVELFHYLKIASQCLDDRPFKRPTMIQLMAMFKEMKADTEEDESLDEFSLKETPLVEESRDKEP", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSCLEIYNKDTMKKKEGEEETRDGTVDYYGRPSIRSNSGQWVAGIVILLNQGLATLAFFGVGVNLVLFLTRVLQQNNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQVIFVIGLSSLSLSSYMFLIRPRGCGDEVTPCGSHSMMEITMFYFSIYLIALGYGGYQPNIATLGADQFDEEHPKEGYSKIAFFSYFYLALNLGSLFSNTILGYFEDEGMWALGFWASTGSAIIGLILFLVGTPRYRYFKPTGNPLSRFCQVLVAATKKSSVEAPLRGREEMYDGDSEGKNASVNTGRRIVHTDEFKFLDKAAYITARDLDDKKQDSVNPWRLCPVTQVEEVKCILRLMPIWLCTIIYSVVFTQMASLFVEQGAAMNTSVSDFKIPPASMSSFDILSVALFIFLYRRVLEPVANRFKKNGSKGITELHRMGIGLVIAVIAMIAAGIVECYRLKYADKSCTHCDGSSSLSIFWQAPQYSLIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMMSMSMGNFVSSLLVTMVVKISTEDHMPGWIPRNLNKGHLDRFYFLLAALTSIDLVVYIACAKWYKPIQLEGKDEMQDMSDDDYDTESEEEREKDSKV", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MMFRSDRMWSCHWKWKPSPLLFLFALYIMCVPHSVWGCANCRVVLSNPSGTFTSPCYPNDYPNSQACMWTLRAPTGYIIQITFNDFDIEEAPNCIYDSLSLDNGESQTKFCGATAKGLSFNSSANEMHVSFSSDFSIQKKGFNASYIRVAVSLRNQKVILPQTSDAYQVSVAKSISIPELSAFTLCFEATKVGHEDSDWTAFSYSNASFTQLLSFGKAKSGYFLSISDSKCLLNNALPVKEKEDIFAESFEQLCLVWNNSLGSIGVNFKRNYETVPCDSTISKVIPGNGKLLLGSNQNEIVSLKGDIYNFRLWNFTMNAKILSNLSCNVKGNVVDWQNDFWNIPNLALKAESNLSCGSYLIPLPAAELASCADLGTLCQATVNSPSTTPPTVTTNMPVTNRIDKQRNDGIIYRISVVIQNILRHPEVKVQSKVAEWLNSTFQNWNYTVYVVNISFHLSAGEDKIKVKRSLEDEPRLVLWALLVYNATNNTNLEGKIIQQKLLKNNESLDEGLRLHTVNVRQLGHCLAMEEPKGYYWPSIQPSEYVLPCPDKPGFSASRICFYNATNPLVTYWGPVDISNCLKEANEVANQILNLTADGQNLTSANITNIVEQVKRIVNKEENIDITLGSTLMNIFSNILSSSDSDLLESSSEALKTIDELAFKIDLNSTSHVNITTRNLALSVSSLLPGTNAISNFSIGLPSNNESYFQMDFESGQVDPLASVILPPNLLENLSPEDSVLVRRAQFTFFNKTGLFQDVGPQRKTLVSYVMACSIGNITIQNLKDPVQIKIKHTRTQEVHHPICAFWDLNKNKSFGGWNTSGCVAHRDSDASETVCLCNHFTHFGVLMDLPRSASQLDARNTKVLTFISYIGCGISAIFSAATLLTYVAFEKLRRDYPSKILMNLSTALLFLNLLFLLDGWITSFNVDGLCIAVAVLLHFFLLATFTWMGLEAIHMYIALVKVFNTYIRRYILKFCIIGWGLPALVVSVVLASRNNNEVYGKESYGKEKGDEFCWIQDPVIFYVTCAGYFGVMFFLNIAMFIVVMVQICGRNGKRSNRTLREEVLRNLRSVVSLTFLLGMTWGFAFFAWGPLNIPFMYLFSIFNSLQGLFIFIFHCAMKENVQKQWRQHLCCGRFRLADNSDWSKTATNIIKKSSDNLGKSLSSSSIGSNSTYLTSKSKSSSTTYFKRNSHTDNVSYEHSFNKSGSLRQCFHGQVLVKTGPC", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGPGRCLLTALLLLALAPPPEASQYCGRLEYWNPDNKCCSSCLQRFGPPPCPDYEFRENCGLNDHGDFVTPPFRKCSSGQCNPDGAELCSPCGGGAVTPTPAAGGGRTPWRCRERPVPAKGHCPLTPGNPGAPSSQERSSPASSIAWRTPEPVPQQAWPNFLPLVVLVLLLTLAVIAILLFILLWHLCWPKEKADPYPYPGLVCGVPNTHTPSSSHLSSPGALETGDTWKEASLLPLLSRELSSLASQPLSRLLDELEVLEELIVLLDPEPGPGGGMAHGTTRHLAARYGLPAAWSTFAYSLRPSRSPLRALIEMVVAREPSASLGQLGTHLAQLGRADALRVLSKLGSSGVCWA", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDHQDPYSVQATAAIAAAITFLILFTIFGNALVILAVLTSRSLRAPQNLFLVSLAAADILVATLIIPFSLANELLGYWYFRRTWCEVYLALDVLFCTSSIVHLCAISLDRYWAVSRALEYNSKRTPRRIKCIILTVWLIAAVISLPPLIYKGDQGPQPRGRPQCKLNQEAWYILASSIGSFFAPCLIMILVYLRIYLIAKRSNRRGPRAKGGPGQGESKQPRPDHGGALASAKLPALASVASAREVNGHSKSTGEKEEGETPEDTGTRALPPSWAALPNSGQGQKEGVCGASPEDEAEEEEEEEEECEPQAVPVSPASACSPPLQQPQGSRVLATLRGQVLLGRGVGAIGGQWWRRRAQLTREKRFTFVLAVVIGVFVLCWFPFFFSYSLGAICPKHCKVPHGLFQFFFWIGYCNSSLNPVIYTIFNQDFRRAFRRILCRPWTQTAW", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGCCYSLSSTVDPVQDHTTDASSEPRNGGGEDPPLTKFSFSALKTATNHFSPENIVSDQTSDVVFKGRLQNGGFVAIKRFNNMAWSDPKLFLEEAQRVGKLRHKRLVNLIGYCCDGDKRFLVADFMANDTLAKRLFQRKYQTMDWSIRLRVAYFVAEALDYCNTAGFASYNNLSAYKVLFDEDGDACLSCFGLMKEINNDQITTGSVNPENVIYRFGTVLVNLLSGKQIPPSHAPEMIHRKNVFKLMDPYLKGKFSIDEANVVYKLASQCLKYEGQESPNTKEIVATLETLQTRTEAPSYEVVEMTNQEKDASSSSNLSPLGEACLRMDLASIHSILVLAGYDDDKDIIELSFEEWIQEVKELQDVRRNGDRAFVEQDFKTAIACYSQFVEERSLVYPSVYARRSLSYLFCDEPEKALLDGMHAQGVFPDWPTAFYLQSVALAKLDMNTDSADTLKEAALLEVKK", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKAELVPVCTAWILGLLLCLGPAAAKVCGPNMDIRNDVSELKQLRDCVVIEGYLQILLISNAKAEDFRNLRFPNLTVITDYLLLFRVSGLVSLSNLFPNLTVIRGRVLFYNYALVIFEMTDLKEIGLYNLRNITRGAVRIEKNSELCYVSTVDWSLVLDAVYNNYIVGNKPPKECVDLCPGAREKMQICEKSSINNEFADRCWSDEHCQKVCPSVCGKRACSDNNECCHPECLGSCTAPDNDTACVACHHYFYEGRCVPTCPSNTYKFEGWRCITREVCAKMHIWIHSTIPFIIHKGECVYECPSGYMLNKSQSMTCSPCEGPCPKICEEKMKTIDSVTSAQMLEGCTVLKGNLQLNIRKGQNIAAELENFLGLIETVTGYVKIRHSHALVSLSFLKSLRYILGEEQMPGNYSFYVFDNNNLQQLWDWSKHNLTIKEGKIRFAFNSKLCASEIYRMEEVTGTKGRQAEEDISLSTNGNMASCESHVLNFTSRSKIKNRIKLTWERYRPPDYRDLISFTVYYKEAPFRNVTEYDGQDACGSNSWNMVDVDLPASKESDPGILLQGLKPWTQYAIYVKAITLTMLENRHIHGAKSKIIYMRTDAAVPSIPQDMISASNSSSQLVVKWNPPSLPNGNLSYYIVRWQQQPQDRHLYQYNYCFKDKVPNRKYANGTIDTEGGTEPTKPEGSVGEKGHYCACPKTEAEEKAEKDEAEYRKVFENFLHNSIFVPRPNRRRRDVLAVGNSTVTSYEKNSTTEDFSNFSDSERDDIEYPFYETKVDYKWERTVISNLQPFTLYRIDIHSCNHEAEKLGCSASNFVFARTMPAAGADDIPGIVNTKEEDDGVIFLGWPEPLRPNGLILMYEIEYKHQGEVHRECVSRQDYRKNGGIKLVRLPPGNYSAQVQAISLYGNGSWTEMVSFCVKLKPDVRNNILQMVVAIPLALSFLLVGIISIVCFVFKKRNSNRLGNGVLYASVNPEYFSAAEMYVPDKWEVPREKITMNRELGQGSFGMVYEGIAKGVVKDEAETKVAIKTVNEAASMRERIEFLNEASVMKEFNCHHVVRLLGVVSQGQPTLVIMELMTRGDLKSYLRSLRPDTESNSGQPTPSLKKMIQMAGEIADGMSYLNANKFVHRDLAARNCMVTEDFTVKIGDFGMTRDIYETDYYRKGGKGLLPVRWMSPESLKDGVFTTNSDVWSFGVVLWEIATLAEQPYQGMSNEQVLRFVMEGGLLEKPDNCPDMLFELMRMCWQFNPKMRPSFLEIISSIKDELDPGFKEVSFFYSEENKPPDTEELDLEAENMESIPLDPSCALQNSEHHAGHKSENGPGVVVLRASFDERQPYAHMNGGRKNERALPLPQSSAC", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGRNRSGCVARCVSLTALVLLLCCPVVRSSEAETDPDSHTEHGDSHGGSREGNDTGFQIVTFRWEHVQTPYVIALWILVASLGKIVFHLSEKVTSVVPESALLIVLGLILGGIVWAADHSASFTLTPTVFFFYLLPPIVLDAGYFMPNRHFFGNLGTILTYAVIGTVWNAATTGLSLYGVFLLGLMGDLKAGLLEFLLFGSLIAAVDPVAVLAVFEEVHVNEVLFIIVFGESLLNDAVTVVLYNVFNSFVEVGAGNVQGLDYFKGIVSFFVVSLGGTAVGIIFAFILSLVTRFTKHVRVIEPGFVFVISYLSYLTADMLSLSAILAITFCGICCQKYVKANLCEQSITTVRYAMKMLASGAETIIFMFLGISAVNPTIWTWNTAFILLTLVFISVYRVIGVVIQTWILNHYRVVQLEIIDQVVMSYGGLRGAVAFALVVLLDSNYVGERRLFVSTTIIVVYFTVIFQGLTIKPLVKWLKVKRSQHKEPLLNEKLHGRAFDHILSAIEDISGQIGHNYLRDKWTNFDRKYLSKIMMRKSAQISRDKILSVFRELNLKDAISYVSEGERKGSLAFIRSSSDVNVDFTGPRHSVVDSSVSAVLRESTSEVCLDMHAVENRAKSPKDREEIVTHHMLQQHLYKPRKRYRLNYSRHKLARSEGEKQDKEIFQRTMKKRLENFKPTKLGTNYTTKFRNMKKERAAKKKHSDAVPNGRLATHSVSFHVNKDSEVEDPADGGISFLITPASNDADETGTGIDNPSFSNEEDQSIYQMIPPWISNEETVIPSQRARLQIPRSPTNFRRLTPLQLSNRSIDAFLLADISDEHPLSFLPESSM", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MALFRDIVLLGFLFCLSLVATVTSEEGATLLEIKKSFKDVNNVLYDWTTSPSSDYCVWRGVSCENVTFNVVALNLSDLNLDGEISPAIGDLKSLLSIDLRGNRLSGQIPDEIGDCSSLQNLDLSFNELSGDIPFSISKLKQLEQLILKNNQLIGPIPSTLSQIPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRGNNLVGNISPDLCQLTGLWYFDVRNNSLTGSIPETIGNCTAFQVLDLSYNQLTGEIPFDIGFLQVATLSLQGNQLSGKIPSVIGLMQALAVLDLSGNLLSGSIPPILGNLTFTEKLYLHSNKLTGSIPPELGNMSKLHYLELNDNHLTGHIPPELGKLTDLFDLNVANNDLEGPIPDHLSSCTNLNSLNVHGNKFSGTIPRAFQKLESMTYLNLSSNNIKGPIPVELSRIGNLDTLDLSNNKINGIIPSSLGDLEHLLKMNLSRNHITGVVPGDFGNLRSIMEIDLSNNDISGPIPEELNQLQNIILLRLENNNLTGNVGSLANCLSLTVLNVSHNNLVGDIPKNNNFSRFSPDSFIGNPGLCGSWLNSPCHDSRRTVRVSISRAAILGIAIGGLVILLMVLIAACRPHNPPPFLDGSLDKPVTYSTPKLVILHMNMALHVYEDIMRMTENLSEKYIIGHGASSTVYKCVLKNCKPVAIKRLYSHNPQSMKQFETELEMLSSIKHRNLVSLQAYSLSHLGSLLFYDYLENGSLWDLLHGPTKKKTLDWDTRLKIAYGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDLEARLTDFGIAKSLCVSKSHTSTYVMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTRRKAVDDESNLHHLIMSKTGNNEVMEMADPDITSTCKDLGVVKKVFQLALLCTKRQPNDRPTMHQVTRVLGSFMLSEQPPAATDTSATLAGSCYVDEYANLKTPHSVNCSSMSASDAQLFLRFGQVISQNSE", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSDETTISLEDGYPPLEALTTMVPPADATGFSQSLLTFAAVMTFLIMIVGICGNLLTVVALLKCPKVRNVAAAFIISLCIADLLFCALVLPFQGLRFVQGTWRHGQVLCRLIPFIQYGNIGVSLLCIAMITINRYVMITHHGLYARIYKRHWIAVMIAACWLFSYGMQLPTLLGEWGRFGYDSRLQTCSIMTDDHGHSSKTTLFITAFVIPCLVIIACYAKIFWVVHKSEQRLKRHATKQNSIPNNLRPLASTGSGALPSGAECQPSNRVSSDSSSSFSIDVPETAPSGKQQPTRVKDQREVRAKRNEWRITKMVLAIFLSFVVCYLPITIVKVADKNVEHPSLHICSYILLYLSACINPIIYVIMNKQYRKAYKTVVFCQPARLLLPFGKTNGASSAAEKWKDTGLSNNHSRTIVSQMSGGTGAASGAGTATGTAAVAVMQTPPEVQQAQALEMVSRGPDLISKSNLPQPNVTPPPPSVLTATPNGSNSNSLTLRLPLKKNNHCYTNSGFNSSTPSPSSGLGIGISSSSIYRPGVGSLGSGSASIRRITMVGDDIILEEEELPPTPPATSAPTTPAPPPPSSPLHPLSTDSSTTTISGGAVVAGSSAPKPATPTPHIYMNVDSPKRNQYYMDRNTNAVAPESDSGPANTSATVSISGSKLTAKMKFPKD", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTKKDKKEVKVQTVTTEDGETVKVFEDLQGFETFIANETEDDDFDHLHCKLNYYPPFVLHESHEDPEKISDAANSHSKKFVRHLHQHIEKHLLKDIKQAVRKPELKFHEKSKEETFDKITWHYGEETEYHGRPFKIDVQVVCTHEDAMVFVDYKTHPVGAN", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MANGGGGGGGSSGGGGGGGGSSLRMSSNIHANHLSLDASSSSSSSSSSSSSSSSSSSSSSVHEPKMDALIIPVTMEVPCDSRGQRMWWAFLASSMVTFFGGLFIILLWRTLKYLWTVCCHCGGKTKEAQKINNGSSQADGTLKPVDEKEEAVAAEVGWMTSVKDWAGVMISAQTLTGRVLVVLVFALSIGALVIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDKLWFWLEVNSVVDFFTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIKLVNLLSIFISTWLTAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVYAKTTLGRLFMVFFILGGLAMFASYVPEIIELIGNRKKYGGSYSAVSGRKHIVVCGHITLESVSNFLKDFLHKDRDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGSVLNPHDLARVKIESADACLILANKYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYHNKAHLLNIPSWNWKEGDDAICLAELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTWQKYYLEGVSNEMYTEYLSSAFVGLSFPTVCELCFVKLKLLMIAIEYKSANRESRILINPGNHLKIQEGTLGFFIASDAKEVKRAFFYCKACHDDITDPKRIKKCGCKRPKMSIYKRMRRACCFDCGRSERDCSCMSGRVRGNVDTLERAFPLSSVSVNDCSTSFRAFEDEQPSTLSPKKKQRNGGMRNSPNTSPKLMRHDPLLIPGNDQIDNMDSNVKKYDSTGMFHWCAPKEIEKVILTRSEAAMTVLSGHVVVCIFGDVSSALIGLRNLVMPLRASNFHYHELKHIVFVGSIEYLKREWETLHNFPKVSILPGTPLSRADLRAVNINLCDMCVILSANQNNIDDTSLQDKECILASLNIKSMQFDDSIGVLQANSQGFTPPGMDRSSPDNSPVHGMLRQPSITTGVNIPIITELVNDTNVQFLDQDDDDDPDTELYLTQPFACGTAFAVSVLDSLMSATYFNDNILTLIRTLVTGGATPELEALIAEENALRGGYSTPQTLANRDRCRVAQLALLDGPFADLGDGGCYGDLFCKALKTYNMLCFGIYRLRDAHLSTPSQCTKRYVITNPPYEFELVPTDLIFCLMQFDHNAGQSRASLSHSSHSSQSSSKKSSSVHSIPSTANRQNRPKSRESRDKQKYVQEERL", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDLKESPSEGSLQPSSIQIFANTSTLHGIRHIFVYGPLTIRRVLWAVAFVGSLGLLLVESSERVSYYFSYQHVTKVDEVVAQSLVFPAVTLCNLNGFRFSRLTTNDLYHAGELLALLDVNLQIPDPHLADPTVLEALRQKANFKHYKPKQFSMLEFLHRVGHDLKDMMLYCKFKGQECGHQDFTTVFTKYGKCYMFNSGEDGKPLLTTVKGGTGNGLEIMLDIQQDEYLPIWGETEETTFEAGVKVQIHSQSEPPFIQELGFGVAPGFQTFVATQEQRLTYLPPPWGECRSSEMGLDFFPVYSITACRIDCETRYIVENCNCRMVHMPGDAPFCTPEQHKECAEPALGLLAEKDSNYCLCRTPCNLTRYNKELSMVKIPSKTSAKYLEKKFNKSEKYISENILVLDIFFEALNYETIEQKKAYEVAALLGDIGGQMGLFIGASLLTILELFDYIYELIKEKLLDLLGKEEEEGSHDENMSTCDTMPNHSETISHTVNVPLQTALGTLEEIAC", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSRLLPLLGSRTARSLRPGPAAAPRLPSWCCCGRGLLALGVPGGPRLLGTHPKKEPMEALNTAQGARDFIYSLHSTERSCLLKELHRFESIAIAQEKLEALPPTPGQLRYVFFHNAIPFVGFGFLDNAIMIVAGTQIELSIGIILGISTMAAAALGNLVSDLAGLGLAGYVEALASRLGLSIPDLTPKQVDMWQTRVSTHLGKAVGVTIGCILGMFPLIFFGGSEEDEKLETTN", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASEIKKKLFWRAVVAEFLAMTLFVFISIGSALGFNYPLERNQTLVQDNVKVSLAFGLSIATLAQSVGHISGAHLNPAVTLGLLLSCQISILRAVMYIIAQCVGAIVATAILSGITSSLVDNSLGRNDLAHGVNSGQGLGIEIIGTLQLVLCVLATTDRRRRDLGGSAPLAIGLSVALGHLLAIDYTGCGINPARSFGSAVLTRNFSNHWIFWVGPFIGGALAVLIYDFILAPRSSDFTDRMKVWTSGQVEEYDLDADDINSRVEMKPK", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSHSPNLSPQISNDIIDADTTSLASTETQQNIQHSQIHPIGHHGREQSEEPQNTTKTTTTTTNKIHTTTPSVDPDLGPLRELEQDLSSLESQQEQYLSQKPTSTSIKTNKVPLRERRGLLAQIVLIPEYEDARDYPNKIKYLIVFIIAFASLAGPFGTSVMLPAIDDIVNDLNTNVSTVNVSVGIYLLSLGIFPLWWSSFSERFGRRSVYMVSFTLFVAFSIGTALSPNIAALIVLRVLQGGSSASVQAVGAGTIADLFIPQERGQAMGLYYLGPLAGPFLAPILGGAVSQAWGWRATQWLLMIISACSFVLITFFLPETLRRVDTIQVAKDLMKKSDNNGSQNEKIHDDFAGADNSSVHDIDGNPIPGTELHQVVSNLSRRSSNARSIVTYMEEQENEGPIIDPVMPSISRLTTNRSAYSQRIHQNYVTDELRKTTSNLTQSNHPQYNNNNNNDNDKWSSVKTNCYDLIIRPLHSIILLKHPPVVLVISFSAISFAAIYFFNMAISYEYARSPYNFSSVILGLMYIPNSVTYFMASIIGGKWNDRLLNRYAQKHGELVPESRLSWNIVVAIILYPMACLIFGWTIKYREFWVIPLIGTALFGFASMLVIGATVTYLVDSLPGKGATGVALNNLIRQILAAIATFIVEPLLRAIGAGVLFSIIAGILLVSSLVLLYLKKRGAFFREHYDVMDLYAKL", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDQNQHLNKTAEAQPSENKKTRYCNGLKMFLAALSLSFIAKTLGAIIMKSSIIHIERRFEISSSLVGFIDGSFEIGNLLVIVFVSYFGSKLHRPKLIGIGCFIMGIGGVLTALPHFFMGYYRYSKETNINSSENSTSTLSTCLINQILSLNRASPEIVGKGCLKESGSYMWIYVFMGNMLRGIGETPIVPLGLSYIDDFAKEGHSSLYLGILNAIAMIGPIIGFTLGSLFSKMYVDIGYVDLSTIRITPTDSRWVGAWWLNFLVSGLFSIISSIPFFFLPQTPNKPQKERKASLSLHVLETNDEKDQTANLTNQGKNITKNVTGFFQSFKSILTNPLYVMFVLLTLLQVSSYIGAFTYVFKYVEQQYGQPSSKANILLGVITIPIFASGMFLGGYIIKKFKLNTVGIAKFSCFTAVMSLSFYLLYFFILCENKSVAGLTMTYDGNNPVTSHRDVPLSYCNSDCNCDESQWEPVCGNNGITYISPCLAGCKSSSGNKKPIVFYNCSCLEVTGLQNRNYSAHLGECPRDDACTRKFYFFVAIQVLNLFFSALGGTSHVMLIVKIVQPELKSLALGFHSMVIRALGGILAPIYFGALIDTTCIKWSTNNCGTRGSCRTYNSTSFSRVYLGLSSMLRVSSLVLYIILIYAMKKKYQEKDINASENGSVMDEANLESLNKNKHFVPSAGADSETHC", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAANSTSDLHTPGTQLSVADIIVITVYFALNVAVGIWSSCRASRNTVNGYFLAGRDMTWWPIGASLFASSEGSGLFIGLAGSGAAGGLAVAGFEWNATYVLLALAWVFVPIYISSEIVTLPEYIQKRYGGQRIRMYLSVLSLLLSVFTKISLDLYAGALFVHICLGWNFYLSTILTLGITALYTIAGGLAAVIYTDALQTLIMVVGAVILTIKAFDQIGGYGQLEAAYAQAIPSRTIANTTCHLPRTDAMHMFRDPHTGDLPWTGMTFGLTIMATWYWCTDQVIVQRSLSARDLNHAKAGSILASYLKMLPMGLIIMPGMISRALFPDDVGCVVPSECLRACGAEVGCSNIAYPKLVMELMPIGLRGLMIAVMLAALMSSLTSIFNSSSTLFTMDIWRRLRPRSGERELLLVGRLVIVALIGVSVAWIPVLQDSNSGQLFIYMQSVTSSLAPPVTAVFVLGVFWRRANEQGAFWGLIAGLVVGATRLVLEFLNPAPPCGEPDTRPAVLGSIHYLHFAVALFALSGAVVVAGSLLTPPPQSVQIENLTWWTLAQDVPLGTKAGDGQTPQKHAFWARVCGFNAILLMCVNIFFYAYFA", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVPSLERGISITSSFNLDRMFDSSPGKEQQQPHLAETTMPESQTQDSLGGSPVETSRPMTSRLISRRQDKQQSETEMMKDRFTKLLLGEDMSGGGKGVSSALALSNAITNLAASIFGEQTKLQPMAPDRRARWKKEIDWLLSVTDHIVEFVPSQQISKEGVCTEIMVTRQRGDLLMNIPALRKLDAMLIDTLDNFRGHNEFWYVSRDSEEGKQARNERTKDKWWLPPVKVPPNGLSESARRMLHFQKDSVSQVQKAAMAINAQVLSEMAIPDSYIESLPKNGRVSLGDSLYKSITEEWFDPEQFLSTLDLSTEHKVLDVKNRIEASIVIWKRKLHLKDNKSSWGSAVSLEKRELFEERAETILVLLKQKFPGLPQSSLDISKIQYNKDVGHAVLESYSRILESLGYTEMSRIDDVLYADSLARKQCTGEETSDGGKIATETDSASAGSSNYSGEEIEKLESQNSSKTTLLDFIGWSDNSSKGQSEKPPKSPRMTPKKLSYLEKLENLNGFRSPKDRH", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDLKWDDFFNDYEWLIVFLKGMVKPAAALVVVLLAVILSYSQNLSLEGEMIYSVSRSFLQLSVIGFVLQFIFNQENSGWIILAYLFMVSVAGYTAGQRARHVPRGKYVAGLSILAGTSITMFLLVLLNVFPFTPRYMIPIAGMLVGNAMTVTGVTMKQLRDDIKMQLNLVETALALGATPRQATLQQVKRALVISLSPVLDSCKTVGLISLPGAMTGMIMGGASPLEAIQLQIVVMNMMVGAATVSSITSTYLCWPSFFTKAYQLQTHVFSSD", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTPNSTGEVPSPIPKGALGLSLALASLIITANLLLALGIAWDRRLRSPPAGCFFLSLLLAGLLTGLALPTLPGLWNQSRRGYWSCLLVYLAPNFSFLSLLANLLLVHGERYMAVLRPLQPPGSIRLALLLTWAGPLLFASLPALGWNHWTPGANCSSQAIFPAPYLYLEVYGLLLPAVGAAAFLSVRVLATAHRQLQDICRLERAVCRDEPSALARALTWRQARAQAGAMLLFGLCWGPYVATLLLSVLAYEQRPPLGPGTLLSLLSLGSASAAAVPVAMGLGDQRYTAPWRAAAQRCLQGLWGRASRDSPGPSIAYHPSSQSSVDLDLN", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MITWHDLYTVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISSNNPYAMNLRFIAADTLQKLIMLTLLIIWANFTRSGSLEWSITIFSLSTLPNTLVMGIPLLIAMYGEYSGSLMVQIVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETGASIVSFKVESDVVSLDGHDFLETDAQIGDDGKLHVTVRKSNASRRSFYGGGGTNMTPRPSNLTGAEIYSLNTTPRGSNFNHSDFYSMMGFPGGRLSNFGPADMYSVQSSRGPTPRPSNFEESCAMASSPRFGYYPGGAPGSYPAPNPEFSTGNKTGSKAPKENHHHVGKSNSNDAKELHMFVWGSNGSPVSDRAGLQVDNGANEQVGKSDQGGAKEIRMLISDHTQNGENKAGPMNGDYGGEEESERVKEVPNGLHKLRCNSTAELNPKEAIETGETVPVKHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWALVAFRWDVAMPKIIQQSISILSDAGLGMAMFSLGLFMALQPKLIACGNSTATFAMAVRFFTGPAVMAVAAMAIGLRGDLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLVYYILLGL", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLLVSTVSAVPGLFSPPSSPSNSSQEELLDDRDPLLVRAELALLSTIFVAVALSNGLVLGALIRRGRRGRWAPMHVFISHLCLADLAVALFQVLPQLAWDATDRFHGPDALCRAVKYLQMVGMYASSYMILAMTLDRHRAICRPMLAYRHGGGARWNRPVLVAWAFSLLLSLPQLFIFAQRDVGNGSGVFDCWARFAEPWGLRAYVTWIALMVFVAPALGIAACQVLIFREIHASLVPGPSERAGRRRRGRRTGSPSEGAHVSAAMAKTVRMTLVIVIVYVLCWAPFFLVQLWAAWDPEAPLERPPFVLLMLLASLNSCTNPWIYASFSSSVSSELRSLLCCAQRHTTHSLGPQDESCATASSSLMKDTPS", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVRCDRGLQMLLTTAGAFAAFSLMAIAIGTDYWLYSSAHICNGTNLTMDDGPPPRRARGDLTHSGLWRVCCIEGIYRGHCFRINHFPEDNDYDHDSSEYLLRIVRASSVFPILSTILLLLGGLCIGAGRIYSRKNNIVLSAGILFVAAGLSNIIGIIVYISSNTGDPSDKRDEDKKNHYNYGWSFYFGALSFIVAETVGVLAVNIYIEKNKELRFKTKREFLKASSSSPYARMPSYRYRRRRSRSSSRSTEASPSRDASPVGLKITGAIPMGELSMYTLSREPLKVTTAASYSPDQDAGFLQMHDFFQQDLKEGFHVSMLNRRTTPV", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRSTTLLALLALVLLYLVSGALVFQALEQPHEQQAQKKMDHGRDQFLRDHPCVSQKSLEDFIKLLVEALGGGANPETSWTNSSNHSSAWNLGSAFFFSGTIITTIGYGNIVLHTDAGRLFCIFYALVGIPLFGMLLAGVGDRLGSSLRRGIGHIEAIFLKWHVPPGLVRSLSAVLFLLIGCLLFVLTPTFVFSYMESWSKLEAIYFVIVTLTTVGFGDYVPGDGTGQNSPAYQPLVWFWILFGLAYFASVLTTIGNWLRAVSRRTRAEMGGLTAQAASWTGTVTARVTQRTGPSAPPPEKEQPLLPSSLPAPPAVVEPAGRPGSPAPAEKVETPSPPTASALDYPSENLAFIDESSDTQSERGCALPRAPRGRRRPNPSKKPSRPRGPGRLRDKAVPV", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKTLLVLRISTVILVVLVIQKSYADILECDYFDTVDISAAQKLQNGSYLFEGLLVPAILTGEYDFRILPDDSKQKVARHIRGCVCKLKPCVRFCCPHDHIMDNGVCYDNMSDEELAELDPFLNVTLDDGSVSRRHFKNELIVQWDLPMPCDGMFYLDNREEQDKYTLFENGTFFRHFDRVTLRKREYCLQHLTFADGNATSIRIAPHNCLIVPSITGQTVVMISSLICMVLTIAVYLFVKKLQNLHGKCFICYMVCLFMGYLFLLLDLWQISISFCKPAGFLGYFFVMAAFFWLSVISLHLWNTFRGSSHKANRFLFEHRFLAYNTYAWGMAVVLTGITVLADNIVENQDWNPRVGHEGHCWIYTQAWSAMLYFYGPMVFLIAFNITMFILTAKRILGVKKDIQNFAHRQERKQKLNSDKQTYTFFLRLFIIMGLSWSLEIGSYFSQSNQTWANVFLVADYLNWSQGIIIFILFVLKRSTWRLLQESIRGEGEEVNNSEEEISLENTTTRNVLL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSSDPKPGPKPGPWPPTPESAAMPPSSWAKKTGFRPKFSGETTATDSSSGQLSLPVRAKQQETQPDLEAGQTRLRPPPPVSAAVTNGETDKDKKEKPPPPPPGSVAVPVKDQPVKRRRDSDGVVGRSNGPDGANGSGDPVRRPGRIEETVEVLPQSMDDDLVARNLHMKYGLRDTPGLVPIGFYGLQHYLSMLGSLILVPLVIVPAMGGSHEEVANVVSTVLFVSGITTLLHTSFGSRLPLIQGPSFVFLAPALAIINSPEFQGLNGNNNFKHIMRELQGAIIIGSAFQAVLGYSGLMSLILRLVNPVVVAPTVAAVGLSFYSYGFPLVGKCLEIGVVQILLVIIFALYLRKISVLSHRIFLIYAVPLSLAITWAAAFLLTETGAYTYKGCDPNVPVSNVVSTHCRKYMTRMKYCRVDTSHALSSAPWFRFPYPLQWGVPLFNWKMAFVMCVVSVIASVDSVGSYHASSLLVASRPPTRGVVSRAIGLEGFTSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRVVELGACVLVIFSLVGKVGGFLASIPQVMVASLLCFMWAMFTALGLSNLRYSEAGSSRNIIIVGLSLFFSLSVPAYFQQYGISPNSNLSVPSYYQPYIVSSHGPFKSQYKGMNYVMNTLLSMSMVIAFIMAVILDNTVPGSKQERGVYVWSDSETATREPALAKDYELPFRVGRFFRWVKWVGI", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDHSAHMGMSTHMGMSDMNHSTTMPPSHHHPTSSGSHESMMMPMTFYFGFKKVEVLFAGLVINTAGEMAGAFVAVFLLAMFYEGLKIAREGLLRKSQVSIRYNSMPVPGPNGTILMETHKTVGQQMLSFPHLLQTVLHIIQVVISYFLMLIFMTYNGYLCIAVAAGAGTGYFLFSWKKAVVVDITEHCH", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MARHIMAVCVVCLLCAHRLHCQDHIESLLGPARVTTHNSQDQLNARVYTNLSPSSETTDRRQQRSASGDDDTFNYSISPPSRREKRHAGHEHGPTSESRVPQITQYYLEKLMAQDELMNSSGFDGLLQQLSLHSLASGASEGTCVPGSRLVHHVQPHDHHHAHHHEEEDHSLQLNNCTLIQNGTTSNVICPSLPNNNTHPLGKEAKNFTLSDKDLLHLCPILLYELKAQSGGCIEPAILSDIDTTEELLEAEKDKDIFYVWIYAFISVFACGILGLVGVAIIPFMGSRYYKYIIQYLVALAVGTMTGDALLHLLPHSLAGQDERGMIMKGLGCLGGIIFFYVMEHALTMISEWRKSVEKKETKKPSRAKVMRDPDSSVNNSVAGDKICKQKYSSYPYCYDEITMNNKQSEWMHLPFDVAAGAGGDAPSVAELRNGVGDHDGSNDMAAAAESLISPLHTNCVEMNHHNHNHKHNSHQQNHEGQDSNTIVTDLDGNAVYAVNKAKDKDSRNDHVTVILREHESSHHGHSHRHGHVHSPPETLSAVAWMIIMGDGLHNFTDGMAIGAAFAENIAGGFSTSLAVFCHELPHELGDFAILIKAGMSVKSAVYYNLLTGVLSFIGMIFGIAFGQSQDVAQWMFAVAAGLFIYIALVDMMPEISASHKSLGQFLLQILGMLSGVGIMLLIALYEGDLMSAFGTAGAASHQHAH", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAFLTALFLFLFFSFSSSAIVDLSSETTSLISFKRSLENPSLLSSWNVSSSASHCDWVGVTCLLGRVNSLSLPSLSLRGQIPKEISSLKNLRELCLAGNQFSGKIPPEIWNLKHLQTLDLSGNSLTGLLPRLLSELPQLLYLDLSDNHFSGSLPPSFFISLPALSSLDVSNNSLSGEIPPEIGKLSNLSNLYMGLNSFSGQIPSEIGNISLLKNFAAPSCFFNGPLPKEISKLKHLAKLDLSYNPLKCSIPKSFGELHNLSILNLVSAELIGLIPPELGNCKSLKSLMLSFNSLSGPLPLELSEIPLLTFSAERNQLSGSLPSWMGKWKVLDSLLLANNRFSGEIPHEIEDCPMLKHLSLASNLLSGSIPRELCGSGSLEAIDLSGNLLSGTIEEVFDGCSSLGELLLTNNQINGSIPEDLWKLPLMALDLDSNNFTGEIPKSLWKSTNLMEFTASYNRLEGYLPAEIGNAASLKRLVLSDNQLTGEIPREIGKLTSLSVLNLNANMFQGKIPVELGDCTSLTTLDLGSNNLQGQIPDKITALAQLQCLVLSYNNLSGSIPSKPSAYFHQIEMPDLSFLQHHGIFDLSYNRLSGPIPEELGECLVLVEISLSNNHLSGEIPASLSRLTNLTILDLSGNALTGSIPKEMGNSLKLQGLNLANNQLNGHIPESFGLLGSLVKLNLTKNKLDGPVPASLGNLKELTHMDLSFNNLSGELSSELSTMEKLVGLYIEQNKFTGEIPSELGNLTQLEYLDVSENLLSGEIPTKICGLPNLEFLNLAKNNLRGEVPSDGVCQDPSKALLSGNKELCGRVVGSDCKIEGTKLRSAWGIAGLMLGFTIIVFVFVFSLRRWAMTKRVKQRDDPERMEESRLKGFVDQNLYFLSGSRSREPLSINIAMFEQPLLKVRLGDIVEATDHFSKKNIIGDGGFGTVYKACLPGEKTVAVKKLSEAKTQGNREFMAEMETLGKVKHPNLVSLLGYCSFSEEKLLVYEYMVNGSLDHWLRNQTGMLEVLDWSKRLKIAVGAARGLAFLHHGFIPHIIHRDIKASNILLDGDFEPKVADFGLARLISACESHVSTVIAGTFGYIPPEYGQSARATTKGDVYSFGVILLELVTGKEPTGPDFKESEGGNLVGWAIQKINQGKAVDVIDPLLVSVALKNSQLRLLQIAMLCLAETPAKRPNMLDVLKALKEI", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVKLLPAQEAAKIYHTNYVRNSRAVGVMWGTLTICFSVLVMALFIQPYWIGDSVSTPQAGYFGLFSYCVGNVLSSELICKGGPLDFSSIPSRAFKTAMFFVALAMFLIIGSIICFSLFFVCNTATVYKICAWMQLAAATGLMIGCLVYPDGWDSSEVRRMCGEQTGKYTLGHCTIRWAFMLAILSIGDALILSFLAFVLGYRQDKLLPDDYKADGNEEV", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTLKIAFFTLILLVSIERVYSSDEEYRLLKDLREGYDPVERPVADHRKPVNVKLRLILQQLVDVDERNQVITLVVWNQYTWNDYKLRWSPEEYGNITTLQIPHGTLWKPDILLFNSANEHFDASFPVHMVVSSNGDVLFAPPGIVSFSCSLSMTWFPYDQQVCYLKFGSWTYGKKLDLQIDDSDLPDGHKMDLQYYIPNGEFDLLATPAFRKSTTFLDETYVELYFHMHLKRRTMYYGLNWIVPSILISLSNILGFTMPPECGEKITLQITNFLSVMVFLAMVSEVAPPTSESIPIIAAFFSLSIVILGLSICASLIIVNIFFRHPKTHRMGDWTRYVFLEWLPWFLLMSRPEHTFCRPRREEEKNDEEAGGDGTKLLENQQHQPRPRLLVNSQLVMDSTVPYLEEIIGYLKVFKAKLDDDEEEEEEILNWRFMAMVIDRLSLFLFTGLIFGTTALIFAFCPNLFTDSPIVDIE", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEEENLLNENLLHPNESSPEETQVTTVSKSKWTILVLAMILLLVYLTFGVCTYSFFRDQFSGTETNLFVDAFYFSIVTFSTVGYGDIVPSTSTTKILTIVLVSTGVVFLDYLLNRVVSHVLSLQENAILDRINKTRNRAIRDHIAEDGKIRLKWKLCLAFCAVGLCVGSGALFLHVFERLDWLDSVYLSVISVTTVGYGDKTFKTVEGRGFAVFWLLLSTIAMATLFLYLAEMRIDRTTVMKLPPSESEFIVFKLRESGRISEDDIKQIVREFENLEEVPSSGS", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGQIEWAMWANEQALASGLILITGGIVATAGRFTQWYFGAYSIVAGVFVCLLEYPRGKRKKGSTMERWGQKYMTAVVKLFGPFTRNYYVRAVLHLLLSVPAGFLLATILGTACLAIASGIYLLAAVRGEQWTPIEPKPRERPQIGGTIKQPPSNPPPRPPAEARKKPSEEEAAVAAGGPPGGPQVNPIPVTDEVV", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNRPHSCLSFCWMYFAASGIRAVETANGKYAQKLFSDLFEDYSSALRPVEDTDAVLNVTLQVTLSQIKDMDERNQILTAYLWIRQTWHDAYLTWDRDQYDRLDSIRIPSDLVWRPDIVLYNKADDESSEPVNTNVVLRYDGLITWDSPAITKSSCVVDVTYFPFDSQQCNLTFGSWTYNGNQVDIFNALDSGDLSDFIEDVEWEVHGMPAVKNVISYGCCSEPYPDVTFTLLLKRRSSFYIVNLLIPCVLISFLAPLSFYLPAASGEKVSLGVTILLAMTVFQLMVAEIMPASENVPLIGKYYIATMALITASTALTIMVMNIHFCGAEARPVPHWAKVVILKYMSRILFVYDVGESCLSPRHSQEPEQVTKVYSKLPESNLKTSRNKDLSRKKEVRKLLKNDLGYQGGIPQNTDSYCARYEALTKNIEYIAKCLKDHKATNSKGSEWKKVAKVIDRFFMWIFFAMVFVMTVLIIARAD", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPCTTYLPLLLLLFLLPPPSVQSKVIIKGNQTILSFKAIFRLGFFSTTNGSSNWYLGISYASMPTPTHVWVANRIRPVSDPDSSTLELTSTGYLIVSNLRDGVVWQTDNKQPGTDFRFSETGNLILINDDGSPVWQSFDNPTDTWLPGMNVTGLTAMTSWRSLFDPSPGFYSLRLSPSFNEFQLVYKGTTPYWSTGNWTGEAFVGVPEMTIPYIYRFHFVNPYTPTASFWYIVPPLDSVSEPRLTRFMVGANGQLKQYTWDPQTQSWNMFWLQPEDPCRVYNLCGQLGFCSSELLKPCACIRGFRPRNDAAWRSDDYSDGCRRENGDSGEKSDTFEAVGDLRYDGDVKMSRLQVSKSSCAKTCLGNSSCVGFYHKEKSNLCKILLESPNNLKNSKGNISKSIIILCSVVGSISVLGFTLLVPLILLKRSRKRKKTRKQDEDGFAVLNLKVFSFKELQSATNGFSDKVGHGGFGAVFKGTLPGSSTFVAVKRLERPGSGESEFRAEVCTIGNIQHVNLVRLRGFCSENLHRLLVYDYMPQGSLSSYLSRTSPKLLSWETRFRIALGTAKGIAYLHEGCRDCIIHCDIKPENILLDSDYNAKVSDFGLAKLLGRDFSRVLATMRGTWGYVAPEWISGLPITTKADVYSFGMTLLELIGGRRNVIVNSDTLGEKETEPEKWFFPPWAAREIIQGNVDSVVDSRLNGEYNTEEVTRMATVAIWCIQDNEEIRPAMGTVVKMLEGVVEVTVPPPPKLIQALVSGDSYRGVSGTSCSEGHGCSDLNTGLSSPGSRSSFGRPSP", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAKDVEGPDGFQTRDYEDPPPTPFFDAEELTKWSLYRAVIAEFVATLLFLYVTVLTVIGYKIQSDTKAGGVDCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYMVAQCLGAICGVGFVKAFQSSHYVNYGGGANFLADGYNTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIFNKSKPWDDHWIFWVGPFIGATIAAFYHQFVLRASGSKSLGSFRSAANV", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MENEDGYMTLSFKNRCKSKQKSKDFSLYPQYYCLLLIFGCIVILIFIMTGIDLKFWHKKMDFSQNVNVSSLSGHNYLCPNDWLLNEGKCYWFSTSFKTWKESQRDCTQLQAHLLVIQNLDELEFIQNSLKPGHFGWIGLYVTFQGNLWMWIDEHFLVPELFSVIGPTDDRSCAVITGNWVYSEDCSSTFKGICQRDAILTHNGTSGV", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEGEERGYWRWSKRDFFPEESFQSFGSYRAALSQTCSRFKNRLVSRSDDENERFELKKQSEHEMKRCLTWWDLVWFGFGSVIGAGIFVLTGQEAHEQAGPAIVLSYVVSGLSAMLSVFCYTEFAVEIPVAGGSFAYLRIELGDFAAFITAGNILLESIVGTAAVARAWTSYFATLLNRSPNALRIKTDLSSGFNLLDPIAVVVIAASATIASISTRKTSLLNWIASAINTLVIFFVIIAGFIHADTSNLTPFLPFGPEGVFRAAAVVYFAYGGFDSIATMAEETKNPSRDIPIGLLGSMSIITVIYCLMALSLSMMQKYTDIDPNAAYSVAFQSVGMKWGKYLVALGALKGMTTVLLVGALGQARYVTHIARTHMIPPIFALVHPKTGTPINANLLVAIPSALIAFFSGLDVLASLLSISTLFIFTMMPIALLVRRYYVRQDTPRVHLIKLITCLLFVVVSSMGTSAYWGMQRKGSWIGYTVTVPFWFLGTLGIVFFVPQQRTPKVWGVPLVPWLPCLSIATNIFLMGSLGAMAFVRFGVCTLAMLLYYFLLGLHATFDMAHQQIVPRT", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MQAIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANVMVDGRPINLGLWDTAGQEDYDRLRPLSYPQTDVFLVCFALNNPASFENVRAKWYPEVSHHCPNTPIILVGTKADLREDRDTVERLRERRLQPVSQTQGYVMAKEIKAVKYLECSALTQRGLKQVFDEAIRAVLTPPQRAKKSKCTVL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRFCVFGFLSLFLIVSPASAWFFPNSTAVPPSLRNTTRVFWDAFSNFTGCHHGQNVDGLYRIKKYFQRFGYIPETFSGNFTDDFDDILKAAVELYQTNFNLNVTGELDALTIQHIVIPRCGNPDVVNGTSLMHGGRRKTFEVNFSRTHLHAVKRYTLFPGEPRWPRNRRDLTYAFDPKNPLTEEVKSVFSRAFGRWSDVTALNFTLSESFSTSDITIGFYTGDHGDGEPFDGVLGTLAHAFSPPSGKFHLDADENWVVSGDLDSFLSVTAAVDLESVAVHEIGHLLGLGHSSVEESIMYPTITTGKRKVDLTNDDVEGIQYLYGANPNFNGTTSPPSTTKHQRDTGGFSAAWRIDGSSRSTIVSLLLSTVGLVLWFLP", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTSGPFFFCVFIIGRYFTLGNAQDVSCPLGSFPCGNISKCLPQLLHCNGVDDCGNQADEDNCGDNNGWSLQLDKYFANYYKLTSTNSIEAETSECLVGSVPMHCLCRDLELDCDEANLRAVPSVSSNVTVMSLQWNFIRTLPPNSFRKYHDLQKLCLQNNKIRSVSVSAFRGLHSLTKLYLSHNRITFLKPGVFEDLHRLEWLIIEDNHLSRISPLTFYGLNSLILLVLMNNALTRLPDKPLCQHMPRLHWLDFEGNRIHNLRNLTFISCNNLTVLVMRKNKINHLNEHAFTHLQKLDELDLGSNKIENLPPNIFKDLKELSQLNISYNPIQKIEVNQFDYLAKLKSLSLEGIEISNIQQRMFRPLINLSHIYFKKFQYCGYAPHVRSCKPNTDGISSLENLLASIIQRVFVWVVSAITCFGNIFVICMRPYIRSENKLHAMSIMSLCCADCLMGVYLFVIGAFDLKFRGEYRKHAQPWMESVHCQFMGSLAVLSTEVSVLLLTFLTLEKYICIVYPFRCLRPRKCRTVAVLIFIWITGFIVAFAPLGNKEFFKNYYGTNGVCFPLHSEDTGSTGAQIYSVVIFLGINLVAFIIIVFSYGSMFYSVHQSTITATEIQKQVKKEMILAKRFFFIVFTDALCWIPIFILKFLSLIRVEIPDTITSWVVIFILPINSALNPIIYTLTTRPFKEMIHQLWYNYRQRRSVDRKGTQKAYTPSFIWVEMWPLQEMSTEFMKPDAFTDPCDLSLVSRSSRLNSYS", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MIKIADFTLFFFIFVFSPSLPLAQSQLPDLDPQDKASLLIFRVSIHDLNRSLSTWYGSSCSNWTGLACQNPTGKVLSLTLSGLNLSSQIHPSLCKLSSLQSLDLSHNNFSGNIPSCFGSLRNLRTLNLSRNRFVGSIPATFVSLKELREVVLSENRDLGGVVPHWFGNFSMNLERVDFSFCSFVGELPESLLYLKSLKYLNLESNNMTGTLRDFQQPLVVLNLASNQFSGTLPCFYASRPSLSILNIAENSLVGGLPSCLGSLKELSHLNLSFNGFNYEISPRLMFSEKLVMLDLSHNGFSGRLPSRISETTEKLGLVLLDLSHNSFSGDIPLRITELKSLQALRLSHNLLTGDIPARIGNLTYLQVIDLSHNALTGSIPLNIVGCFQLLALMISNNNLSGEIQPELDALDSLKILDISNNHISGEIPLTLAGLKSLEIVDISSNNLSGNLNEAITKWSNLKYLSLARNKFSGTLPSWLFKFDKIQMIDYSSNRFSWFIPDDNLNSTRFKDFQTGGGEGFAEPPGKVEIKISAAVVAKDELSFSYNLLSMVGIDLSDNLLHGEIPEALFRQKNIEYLNLSYNFLEGQLPRLEKLPRLKALDLSHNSLSGQVIGNISAPPGLTLLNLSHNCFSGIITEKEGLGKFPGALAGNPELCVETPGSKCDPANIDASQEEIYQNELVEGPISIWIFCLSAFISFDFGVLGIFCSARARSYILQTKA", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRNWEDPFTLACNTALKKNLPSCIFIIIFISVLCPVAMSQVVVPDSDADCLLRFKDTLANGSEFRSWDPLSSPCQGNTANWFGVLCSNYVWGLQLEGMGLTGKLNLDPLVPMKNLRTISFMNNNFNGPMPQVKRFTSLKSLYLSNNRFSGEIPADAFLGMPLLKKILLANNAFRGTIPSSLASLPMLLELRLNGNQFQGQIPSFQQKDLKLASFENNDLDGPIPESLRNMDPGSFAGNKGLCDAPLSPCSSSSPGVPVVPVSPVDPKSTSPPTGKKAGSFYTLAIILIVIGIILVIIALVFCFVQSRRRNFLSAYPSSAGKERIESYNYHQSTNKNNKPAESVNHTRRGSMPDPGGRLLFVRDDIQRFDLQDLLRASAEVLGSGTFGASYKAAISSGQTLVVKRYKHMNNVGRDEFHEHMRRLGRLNHPNILPLVAYYYRREEKLLVTEFMPNSSLASHLHANNSAGLDWITRLKIIKGVAKGLSYLFDELPTLTIPHGHMKSSNIVLDDSFEPLLTDYALRPMMSSEHAHNFMTAYKSPEYRPSKGQIITKKTDVWCFGVLILEVLTGRFPENYLTQGYDSNMSLVTWVNDMVKEKKTGDVFDKEMKGKKNCKAEMINLLKIGLRCCEEEEERRMDMREVVEMVEMLREGESEDDFGSMDHRGTHNNVYSSMLLDDDDFGFSMNR", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDLPPQLSFALYVSAFALGFPLNLLAIRGAVSHAKLRLTPSLVYTLHLGCSDLLLAITLPLKAVEALASGAWPLPLPFCPVFALAHFAPLYAGGGFLAALSAGRYLGAAFPFGYQAIRRPRYSWGVCVAIWALVLCHLGLALGLETSGSWLDNSTSSLGINIPVNGSPVCLEAWDPDSARPARLSFSILLFFLPLVITAFCYVGCLRALVRSGLSHKRKLRAAWVAGGALLTLLLCLGPYNASNVASFINPDLGGSWRKLGLITGAWSVVLNPLVTGYLGTGPGRGTICVTRTQRGTIQK", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSVSSNDPEQRNGRGMASGNNVDMSLYPPFIKQLDAKLPDYTREGDIEYPFEEITGVGDENRIRGNWSNKSDYLLAVIGFTAGVGSFWKFPFLVFQHGGAAFLVPYLCMLCLASLPMFFMEMVLGQFSSSAAISVWKVVPLFKGIGFAQVTISGFFAVFFNIISAWTLFYLINSFSFSIPWSNCANSWSGENCTLGTRIQCKEMNGTLLVNGSCIVEHASSNETTVIPLHDLGSIPSLKYFQNDVLMLSKGVDDFGTLNWYLGLCVLACWIAVFLCLFQGVKSSGKVVYVAVIVPFIILTVLLTRLLTLDGSLAAVFYFLTPKWEILMDLHVWGEAAVQAFYSVSCCSGGLFTIASYSRFHNNIYKDIWLVLIVDVIVSLVGCLLTFSAIGFTCYEFAISLDKFHIRDGFHLVFVFLAEALAGVSVAPLYAGLFFIMILLVVHATQMFVVETIVSSICDEYPERLRRNRRHVLTTVCALFILLSIPFCLSSGLFWMELLTQFVLTWPLVVIAFLECMAINWVYGVDNMLDNAKWIVGYWPPCYIFWKILFKFICPMVYLAILCFLWLDWNSIQYESYQFPYWSILTAWCIASFPLILIPIVGIWQFCIAKGTITQKWWRVLYPDDAWGPAMAIHRAEKFPLQIPEARRLLLPPEVEIASSRGVLQEEMPMSYDYNTSSAADVRSNRSTGHGATDVRSVAATNNTIPKFERETAI", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MWGYLSLMPVFLAVWAISGVWIVFAIAVTNRTVDLSKGFPYISICGSFPPQSCIFSQVLNMGAALAAWICIVRYHQLRDWGVRRWPNQLILWTGLLCALGTSVVGNFQEKNQRPTHLAGAFLAFILGNVYFWLQLLLWRLKRLPQPGAAWIGPLRLGLCSVCTILIVAMIVLHACSLRSVSAACEWVVAMLLFALFGLLAVDFSALESCTLCVQPWPSLSPPPASPISLPVQL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLGIMAWNATCKNWLAAEAALEKYYLSIFYGIEFVVGVLGNTIVVYGYIFSLKNWNSSNIYLFNLSVSDLAFLCTLPMLIRSYANGNWIYGDVLCISNRYVLHANLYTSILFLTFISIDRYLIIKYPFREHLLQKKEFAILISLAIWVLVTLELLPILPLINPVITDNGTTCNDFASSGDPNYNLIYSMCLTLLGFLIPLFVMCFFYYKIALFLKQRNRQVATALPLEKPLNLVIMAVVIFSVLFTPYHVMRNVRIASRLGSWKQYQCTQVVINSFYIVTRPLAFLNSVINPVFYFLLGDHFRDMLMNQLRHNFKSLTSFSRWAHELLLSFREK", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MWFLTTLLLWVPVDGQVDTTKAVITLQPPWVSVFQEETVTLHCEVLHLPGSSSTQWFLNGTATQTSTPSYRITSASVNDSGEYRCQRGLSGRSDPIQLEIHRGWLLLQVSSRVFMEGEPLALRCHAWKDKLVYNVLYYRNGKAFKFFHWNSNLTILKTNISHNGTYHCSGMGKHRYTSAGISQYTVKGLQLPTPVWFHVLFYLAVGIMFLVNTVLWVTIRKELKRKKKWNLEISLDSGHEKKVISSLQEDRHLEEELKCQEQKEEQLQEGVHRKEPQGAT", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAAGQLCLLYLSAGLLSRLGAAFNLDTREDNVIRKYGDPGSLFGFSLAMHWQLQPEDKRLLLVGAPRAEALPLQRANRTGGLYSCDITARGPCTRIEFDNDADPTSESKEDQWMGVTVQSQGPGGKVVTCAHRYEKRQHVNTKQESRDIFGRCYVLSQNLRIEDDMDGGDWSFCDGRLRGHEKFGSCQQGVAATFTKDFHYIVFGAPGTYNWKGIVRVEQKNNTFFDMNIFEDGPYEVGGETEHDESLVPVPANSYLGLLFLTSVSYTDPDQFVYKTRPPREQPDTFPDVMMNSYLGFSLDSGKGIVSKDEITFVSGAPRANHSGAVVLLKRDMKSAHLLPEHIFDGEGLASSFGYDVAVVDLNKDGWQDIVIGAPQYFDRDGEVGGAVYVYMNQQGRWNNVKPIRLNGTKDSMFGIAVKNIGDINQDGYPDIAVGAPYDDLGKVFIYHGSANGINTKPTQVLKGISPYFGYSIAGNMDLDRNSYPDVAVGSLSDSVTIFRSRPVINIQKTITVTPNRIDLRQKTACGAPSGICLQVKSCFEYTANPAGYNPSISIVGTLEAEKERRKSGLSSRVQFRNQGSEPKYTQELTLKRQKQKVCMEETLWLQDNIRDKLRPIPITASVEIQEPSSRRRVNSLPEVLPILNSDEPKTAHIDVHFLKEGCGDDNVCNSNLKLEYKFCTREGNQDKFSYLPIQKGVPELVLKDQKDIALEITVTNSPSNPRNPTKDGDDAHEAKLIATFPDTLTYSAYRELRAFPEKQLSCVANQNGSQADCELGNPFKRNSNVTFYLVLSTTEVTFDTPDLDINLKLETTSNQDNLAPITAKAKVVIELLLSVSGVAKPSQVYFGGTVVGEQAMKSEDEVGSLIEYEFRVINLGKPLTNLGTATLNIQWPKEISNGKWLLYLVKVESKGLEKVTCEPQKEINSLNLTESHNSRKKREITEKQIDDNRKFSLFAERKYQTLNCSVNVNCVNIRCPLRGLDSKASLILRSRLWNSTFLEEYSKLNYLDILMRAFIDVTAAAENIRLPNAGTQVRVTVFPSKTVAQYSGVPWWIILVAILAGILMLALLVFILWKCGFFKRSRYDDSVPRYHAVRIRKEEREIKDEKYIDNLEKKQWITKWNENESYS", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGRLGYWTLLVLPALLVWRDPAQNAAAEKGPPALNIAVLLGHSHDVTERELRNLWGPEQATGLPLDVNVVALLMNRTDPKSLITHVCDLMSGARIHGLVFGDDTDQEAVAQMLDFISSQTFIPILGIHGGASMIMADKDPTSTFFQFGASIQQQATVMLKIMQDYDWHVFSLVTTIFPGYRDFISFIKTTVDNSFVGWDMQNVITLDTSFEDAKTQVQLKKIHSSVILLYCSKDEAVLILSEARSLGLTGYDFFWIVPSLVSGNTELIPKEFPSGLISVSYDDWDYSLEARVRDGLGILTTAASSMLEKFSYIPEAKASCYGQAEKPETPLHTLHQFMVNVTWDGKDLSFTEEGYQVHPRLVVIVLNKDREWEKVGKWENQTLSLRHAVWPRYKSFSDCEPDDNHLSIVTLEEAPFVIVEDIDPLTETCVRNTVPCRKFVKINNSTNEGMNVKKCCKGFCIDILKKLSRTVKFTYDLYLVTNGKHGKKVNNVWNGMIGEVVYQRAVMAVGSLTINEERSEVVDFSVPFVETGISVMVSRSNGTVSPSAFLEPFSASVWVMMFVMLLIVSAIAVFVFEYFSPVGYNRNLAKGKAPHGPSFTIGKAIWLLWGLVFNNSVPVQNPKGTTSKIMVSVWAFFAVIFLASYTANLAAFMIQEEFVDQVTGLSDKKFQRPHDYSPPFRFGTVPNGSTERNIRNNYPYMHQYMTRFNQRGVEDALVSLKTGKLDAFIYDAAVLNYKAGRDEGCKLVTIGSGYIFASTGYGIALQKGSPWKRQIDLALLQFVGDGEMEELETLWLTGICHNEKNEVMSSQLDIDNMAGVFYMLAAAMALSLITFIWEHLFYWKLRFCFTGVCSDRPGLLFSISRGIYSCIHGVHIEEKKKSPDFNLTGSQSNMLKLLRSAKNISNMSNMNSSRMDSPKRATDFIQRGSLIVDMVSDKGNLIYSDNRSFQGKDSIFGDNMNELQTFVANRHKDNLSNYVFQGQHPLTLNESNPNTVEVAVSTESKGNSRPRQLWKKSMESLRQDSLNQNPVSQRDEKTAENRTHSLKSPRYLPEEVAHSDISETSSRATCHREPDNNKNHKTKDNFKRSMASKYPKDCSDVDRTYMKTKASSPRDKIYTIDGEKEPSFHLDPPQFVENITLPENVGFPDTYQDHNENFRKGDSTLPMNRNPLHNEDGLPNNDQYKLYAKHFTLKDKGSPHSEGSDRYRQNSTHCRSCLSNLPTYSGHFTMRSPFKCDACLRMGNLYDIDEDQMLQETGNPATREEVYQQDWSQNNALQFQKNKLRINRQHSYDNILDKPREIDLSRPSRSISLKDRERLLEGNLYGSLFSVPSSKLLGNKSSLFPQGLEDSKRSKSLLPDHASDNPFLHTYGDDQRLVIGRCPSDPYKHSLPSQAVNDSYLRSSLRSTASYCSRDSRGHSDVYISEHVMPYAANKNTMYSTPRVLNSCSNRRVYKKMPSIESDV", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALLFLLPLVMQGVSRAEMGTADLGPSSVPTPTNVTIESYNMNPIVYWEYQIMPQVPVFTVEVKNYGVKNSEWIDACINISHHYCNISDHVGDPSNSLWVRVKARVGQKESAYAKSEEFAVCRDGKIGPPKLDIRKEEKQIMIDIFHPSVFVNGDEQEVDYDPETTCYIRVYNVYVRMNGSEIQYKILTQKEDDCDEIQCQLAIPVSSLNSQYCVSAEGVLHVWGVTTEKSKEVCITIFNSSIKGSLWIPVVAALLLFLVLSLVFICFYIKKINPLKEKSIILPKSLISVVRSATLETKPESKYVSLITSYQPFSLEKEVVCEEPLSPATVPGMHTEDNPGKVEHTEELSSITEVVTTEENIPDVVPGSHLTPIERESSSPLSSNQSEPGSIALNSYHSRNCSESDHSRNGFDTDSSCLESHSSLSDSEFPPNNKGEIKTEGQELITVIKAPTSFGYDKPHVLVDLLVDDSGKESLIGYRPTEDSKEFS", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGPQAAAGRMILLVVLMLSAKVGSGALTSTEDPEPPSVPVPTNVLIKSYNLNPVVCWEYQNMSQTPIFTVQVKVYSGSWTDSCTNISDHCCNIYEQIMYPDVSAWARVKAKVGQKESDYARSKEFLMCLKGKVGPPGLEIRRKKEEQLSVLVFHPEVVVNGESQGTMFGDGSTCYTFDYTVYVEHNRSGEILHTKHTVEKEECNETLCELNISVSTLDSRYCISVDGISSFWQVRTEKSKDVCIPPFHDDRKDSIWILVVAPLTVFTVVILVFAYWYTKKNSFKRKSIMLPKSLLSVVKSATLETKPESKYSLVTPHQPAVLESETVICEEPLSTVTAPDSPEAAEQEELSKETKALEAGGSTSAMTPDSPPTPTQRRSFSLLSSNQSGPCSLTAYHSRNGSDSGLVGSGSSISDLESLPNNNSETKMAEHDPPPVRKAPMASGYDKPHMLVDVLVDVGGKESLMGYRLTGEAQELS", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPPRRAQAPGAPLLPVLALLPLLLGAGPQSGCLASPVSAAPLPAPGPCASQPCRNGGVCTPRSVTDQEHPAADAEPRYSCTCPAGVSGTYCQFVADPCASNPCHHGNCSSSSSSSSDSYLCICNDGYEGLNCEQPLPSIPTSGWTESTAPRQLQPVPATQEPDIILPRSQATVTLPTWQPKTGQKVVEMKWDQVEVVPDVACGNASSNNSAGGRLVSFEVPQNTSVKIRQDANSLLILLWKVTATGFQQCSLIDGRSVTPLQAPGGLVLLEEMLALGPNHFIGFVNDSVAKSIVALRLTLVVKASNCVPGDSHSNDLECSGKGKCATKPSEATFSCTCQDQYIGTFCEEFDACQRKPCQNEASCIDANEKQDGSNFTCLCLPGYTGELCQSKIDYCVLDPCRNGATCVSSLSGFTCQCLEGYFGSACEEKVDPCMSSPCQNNGTCYVDGVHFTCSCSPGFTGPTCAQLVDFCALSPCAHGMCRSVGTSYKCLCDPGYHGLYCEEEYNECLSAPCLNAATCRDLINGYECVCLAEYKGTHCELYKDPCANISCLNGGTCDSEGLNGTCICAPGFTGEECDIDINECDSNPCHHAGTCLDQPNGYTCHCPHGWVGANCEIHLQWKSGHMAESLTNMPRHSLYIIIGALCVAFILMLIILIVGICRISRIEYQGSSRPAYEEFYNCRSIDSEFSNAIASIRHARFGKKSRPAMYDVTPIAYEDYSPDDKPLVTLIKTKDL", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASVAELKEKHAAATASVNSLRERLRQRRQMLLDTDVERYSRTQGRTPVSFNPTDLVCCRTLQGHSGKVYSLDWTPEKNWIVSASQDGRLIVWNALTSQKTHAIKLHCPWVMTCAFAPNGQSVACGGLDSACSIFNLNSQADRDGNIPVSRILTGHKGYVSSCQYVPDQETRLITSSGDQTCVLWDVTTGQRISIFGGEFPSGHTADVLSLSINSSNSNMFVSGSCDATVRLWDIRIASRAVRTYHGHEGDINSVKFFPDGQRFGTGSDDGTCRLFDVRTGHQLQVYSREPDRNDNELPTVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVVLNLGNLQNSHEGRISCLGLSSDGSALCTGSWDKNLKIWAFSGHRKIV", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTAWILLPVSLSAFSITGIWTVYAMAVMNHHVCPVENWSYNESCPPDPAEQGGPKTCCTLDDVPLISKCGSYPPESCLFSLIGNMGAFMVALICLLRYGQLLEQSRHSWVNTTALITGCTNAAGLLVVGNFQVDHARSLHYVGAGVAFPAGLLFVCLHCALSYQGATAPLDLAVAYLRSVLAVIAFITLVLSGVFFVHESSQLQHGAALCEWVCVIDILIFYGTFSYEFGAVSSDTLVAALQPTPGRACKSSGSSSTSTHLNCAPESIAMI", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAARGGRSEPPQLAEYSCSYTVSRPVYSELAFQQQRERRLPERRTLRDSLARSCSCSRKRAFGVVKTLLPILDWLPKYRVKEWLLSDIISGVSTGLVGTLQGMAYALLAAVPVQFGLYSAFFPILTYFVFGTSRHISVGPFPVVSLMVGSVVLSMAPDDHFLVPSGNGSALNSTTLDTGTRDAARVLLASTLTLLVGIIQLVFGGLQIGFIVRYLADPLVGGFTTAAAFQVLVSQLKIVLNVSTKNYNGILSIIYTLIEIFQNIGDTNIADFIAGLLTIIVCMAVKELNDRFKHRIPVPIPIEVIVTIIATAISYGANLEKNYNAGIVKSIPSGFLPPVLPSVGLFSDMLAASFSIAVVAYAIAVSVGKVYATKHDYVIDGNQEFIAFGISNVFSGFFSCFVATTALSRTAVQESTGGKTQVAGLISAVIVMVAIVALGRLLEPLQKSVLAAVVIANLKGMFMQVCDVPRLWKQNKTDAVIWVFTCIMSIILGLDLGLLAGLLFALLTVVLRVQFPSWNGLGSVPSTDIYKSITHYKNLEEPEGVKILRFSSPIFYGNVDGFKKCINSTVGFDAIRVYNKRLKALRRIQKLIKKGQLRATKNGIISDIGSSNNAFEPDEDVEEPEELNIPTKEIEIQVDWNSELPVKVNVPKVPIHSLVLDCGAVSFLDVVGVRSLRMIVKEFQRIDVNVYFALLQDDVLEKMEQCGFFDDNIRKDRFFLTVHDAILHLQNQVKSREGQDSLLETVARIRDCKDPLDLMEAEMNAEELDVQDEAMRRLAS", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLSKFFPHIKEKPLSERVKSRDAFIYLDRVMWSFGWTEPENKRWILPYKLWLAFVNIVMLILLPISISIEYLHRFKTFSAGEFLSSLEIGVNMYGSSFKCAFTLIGFKKRQEAKVLLDQLDKRCLSDKERSTVHRYVAMGNFFDILYHIFYSTFVVMNFPYFLLERRHAWRMYFPYIDSDEQFYISSIAECFLMTEAIYMDLCTDVCPLISMLMARCHISLLKQRLRNLRSKPGRTEDEYLEELTECIRDHRLLLDYVDALRPVFSGTIFVQFLLIGTVLGLSMINLMFFSTFWTGVATCLFMFDVSMETFPFCYLCNMIIDDCQEMSNCLFQSDWTSADRRYKSTLVYFLHNLQQPITLTAGGVFPISMQTNLAMVKLAFSVVTVIKQFNLAERFQ", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPAFFCLPMACQRQVDSIDRSQSNLQAIPSDIFRFRKLEDLNLTMNNIKELDHRLFSLRHLRILDVSDNELAVLPAEIGNLTQLIELNLNRNSIAKLPDTMQNCKLLTTLNLSSNPFTRLPETICECSSITILSLNETSLTLLPSNIGSLTNLRVLEARDNLLRTIPLSIVELRKLEELDLGQNELEALPAEIGKLTSLREFYVDINSLTSLPDSISGCRMLDQLDVSENQIIRLPENLGRMPNLTDLNISINEIIELPSSFGELKRLQMLKADRNSLHNLTSEIGKCQSLTELYLGQNFLTDLPDTIGDLRQLTTLNVDCNNLSDIPDTIGNCKSLTVLSLRQNILTELPMTIGKCENLTVLDVASNKLPHLPFTVKVLYKLQALWLSENQTQSILKLSETRDDRKGIKVVTCYLLPQVDAIDGEGRSGSAQHNTDRGAFLGGPKVHFHDQADTTFEENKEAEIHLGNFERHNTPHPKTPKHKKGSIDGHMLPHEIDQPRQLSLVSNHRTSTSSFGESSNSINRDLADIRAQNGVREATLSPEREERMATSLSSLSNLAAGTQNMHTIRIQKDDTGKLGLSFAGGTSNDPAPNSNGDSGLFVTKVTPGSAAYRCGLREGDKLIRANDVNMINASQDNAMEAIKKRETVELVVLRRSPSPVSRTSEPSLNGSSHQLNHFDAGSPDSTMFVTSSTPVYAS", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MARGWVRPSRVPLCARAVWTAAALLLWTPWTAGEVEDSEAIDTLGQPDGPDSPLPTLKGYFLNFLEPVNNITIVQGQTAILHCKVAGNPPPNVRWLKNDAPVVQEPRRVIIRKTEYGSRLRIQDLDTTDTGYYQCVATNGLKTITATGVLYVRLGPTHSPNHNFQDDDQEDGFCQPYRGIACARFIGNRTIYVDSLQMQGEIENRITAAFTMIGTSTQLSDQCSQFAIPSFCHFVFPLCDARSRAPKPRELCRDECEVLENDLCRQEYTIARSNPLILMRLQLPKCEALPMPESPDAANCMRIGIPAERLGRYHQCYNGSGADYRGMASTTKSGHQCQPWALQHPHSHRLSSTEFPELGGGHAYCRNPGGQVEGPWCFTQNKNVRVELCDVPPCSPRDGSKMGILYILVPSIAIPLVIACLFFLVCMCRNKQKASASTPQRRQLMASPSQDMEMPLISQHKQAKLKEISLSTVRFMEELGEDRFGKVYKGHLFGPAPGEPTQAVAIKTLKDKAEGPLREEFRQEAMLRARLQHPNIVCLLGVVTKDQPLSMIFSYCSHGDLHEFLVMRSPHSDVGSTDDDRTVKSALEPPDFVHVVAQIAAGMEFLSSHHVVHKDLATRNVLVYDKLNVRISDLGLFREVYSADYYKLMGNSLLPIRWMSPEAVMYGKFSIDSDIWSYGVVLWEVFSYGLQPYCGYSNQDVVEMIRSRQVLPCPDDCPAWVYALMIECWNEFPSRRPRFKDIHSRLRSWGNLSNYNSSAQTSGASNTTQTSSLSTSPVSNVSNARYMAPKQKAQPFPQPQFIPMKGQIRPLVPPAQLYIPVNGYQPVPAYGAYLPNFYPVQIPMQMAPQQVPPQMVPKPSSHHSGSGSTSTGYVTTAPSNTSVADRAALLSEGTEDAQNIAEDVAQSPVQEAEEEEEGSVPETELLGDNDTLQVTEAAHVQLEA", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "METEGLSPMLYEDDYYYGNETGLQPCDETDWDFSYSLLPVFYMIVFVLGLSGNGVVIFTVWKSKPKRRSADTYIGNLALADLAFVVTLPLWATYTALGFHWPFGSALCKLSSYLVLLNMFASVFCLTCLSFDRYLAIVHSLSSAKLRSRSSIIVSLAVIWLFSGLLALPSLILRDTRVEGNNTICDLDFSGVSSKENENFWIGGLSILTTVPGFLLPLLLMTIFYCFIGGKVTMHFQNLKKEEQKKKRLLKIIITLVVVFAICWLPFHILKTIHFLDLMGFLELSCSTQNIIVSLHPYATCLAYVNSCLNPFLYAFFDLRFRSQCFFFFGFKKVLQGHLSNTSSSLSAQTQKSEIHSLATKV", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSGFKCYLQLGDRLSQIWLNKYTLVLLLAMLKLLFFSKSIQHAIEVSETYILSNCYSIDSLYSKMTDNTPHYLGIMGNYLIEKGMEETVKATLETLSLIVYASEGLVNFAIDLYLGTYACLIVSAVDGTVDVATNITEKLISLVNDTVSSVANELDTGLNDISKIINKVIKAASKVENFFTGDDDDSNMTSSIKSVNLTISALHNLYIPSSINDKLEELSAKTPDFAQVKNTTKNLISVPFNEVRKNIKAVNASNIIGDTSVLYVPPVSLDNSTGICSSNQSEILAFYSILGHVLKIATVVCITVLICFAVGAMAPVAWNEIKLWRRLCGMRDHYMLSRQDSYTSFSSENTHELKDPFRDPPIQNGQYDVIASYQQCFQTWNTRIAGWMTNLVTFGKSPENIDPKTKQKIEWVVAYMTSERALCVLGIGLLGILVCICQFVMIALLKHKISHSLTSNDGDGVQNLLKSSTAVDIENQMSLWSVQTNKYINTTETNINQEVFGWINTTTLSVNNTVATMISDIDTTLADVFNGTLLYNPMKTVVGCAIENKLYTIEKAMTWIHDKAQLHIPRINGTQIKQALAKQTDNSTIPTASSTSAATENLLENLVNDMREGLLKILRAYHRITLGELTVALVILAVWLVQLPIALVILRLRLRKATFD", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALPSPASEEAEGPCQEANQEYQEPVCSPVPEPEPEPEPEPEPEPEPVPVPPPEPQPEPEPQPLPDPAPLPVLGFEAEPVQEPEPTPTVETRGTARGFQPPEGGFGWIVVFAATWCNGSIFGIHNSVGILYSMLLEEEKEKNRQVEFQAAWVGALAMGMIFFCSPIVSIFTDRLGCRITATTGAAVAFIGLHTSSFTSPLSLRYFTYGILFGCGCSFAFQPSLVILDHYFQRRLGLANGVVSAGSSIFSMSFPFLIKMLGDRIKLAQTFQVLSTFMFVLTLLSLTYRPLLPSSQDTPSKRGAHTLRQRFLVQFRKYFNMRVFRQRTYRIWAFGIAAAALGYFVPYVHLMKYVEDKFKEIKETWVLLVCIGATSGLGRLVSGHISDSIPGLKKIYLQVLSFLLLGLMSMMIPLCRDFGGLIVVCLFLGLCDGFFITIMAPIAFELVGPMQASQAIGYLLGMMALPMIAGPPIAGLLRNCFGNYHVAFYFAGVPPIIGAVILFFVPLMHQRMFKKEQRESSKDKMLSHDPDPNGELLPGSPTPEEPI", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSKSCGNNLAAISVGISLLLLLVVCGIGCVWHWKHRVATRFTLPRFLQRRSSRRKVCTKTFLGPRIIGLRHEISVETQDHKSAVRGNNTHDNYENVEAGPPKAKGKTDKELYENTGQSNFEEHIYGNETSSDYYNFQKPRPSEVPQDEDIYILPDSY", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKRGLRRILLPEERKEVQGVVYRGVGEDMDCSKESFKVDIEGDMCRLEDFIKNRRKLSKYEDENLCPLHHAAAEGQVELMELIINGSSCEVLNIMDGYGNTPLHCAAEKNQVESVKFLLSQGANPNLRNRNMMSPLHIAVHGMYNEVIKVLTEHKATNINLEGENGNTALMSTCAKDNSEALQILLEKGAKLCKSNKWGDYPVHQAAFSGAKKCMELILAYGEKNGYSRETHINFVNHKKASPLHLAVQSGDLDMIKMCLDNGAHIDMMENAKCMALHFAATQGATDIVKLMISSYTGSSDIVNAVDGNQETLLHRASLFDHHDLAEYLISVGADINSTDSEGRSPLILATASASWNIVNLLLCKGAKVDIKDHLGRNFLHLTVQQPYGLRNLRPEFMQMQHIKELVMDEDNDGCTPLHYACRQGVPVSVNNLLGFNVSIHSKSKDKKSPLHFAASYGRINTCQRLLQDISDTRLLNEGDLHGMTPLHLAAKNGHDKVVQLLLKKGALFLSDHNGWTALHHASMGGYTQTMKVILDTNLKCTDRLDEEGNTALHFAAREGHAKAVAMLLSYNADILLNKKQASFLHIALHNKRKEVVLTTIRNKRWDECLQVFTHNSPSNRCPIMEMVEYLPECMKVLLDFCMIPSTEDKSCQDYHIEYNFKYLQCPLSMTKKVAPTQDVVYEPLTILNVMVQHNRIELLNHPVCREYLLMKWCAYGFRAHMMNLGSYCLGLIPMTLLVVKIQPGMAFNSTGIINGTSSTHEERIDTLNSFPIKICMILVFLSSIFGYCKEVIQIFQQKRNYFLDYNNALEWVIYTTSIIFVLPLFLNIPAYMQWQCGAIAIFFYWMNFLLYLQRFENCGIFIVMLEVIFKTLLRSTGVFIFLLLAFGLSFYVLLNFQDAFSTPLLSLIQTFSMMLGDINYRDAFLEPLFRNELAYPVLTFGQLIAFTMFVPIVLMNLLIGLAVGDIAEVQKHASLKRIAMQVELHTNLEKKLPLWYLRKVDQRSTIVYPNRPRHGRMLRFFHYFLNMQETRQEVPNIDTCLEMEILKQKYRLKDLTSLLEKQHELIKLIIQKMEIISETEDEDNHCSFQDRFKKERLEQMHSKWNFVLNAVKTKTHCSISHPDF", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MWTVQNRESLGLLSFPVMVAMVCCAHSSNEPSNMSYVKETVDRLLKGYDIRLRPDFGGPPVDVGMRIDVASIDMVSEVNMDYTLTMYFQQSWKDKRLSYSGIPLNLTLDNRVADQLWVPDTYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTTAACMMDLRRYPLDEQNCTLEIESYGYTTDDIEFYWNGGEGAVTGVNKIELPQFSIVDYKMVSKKVEFTTGAYPRLSLSFRLKRNIGYFILQTYMPSTLITILSWVSFWINYDASAARVALGITTVLTMTTISTHLRETLPKIPYVKAIDIYLMGCFVFVFLALLEYAFVNYIFFGKGPQKKGASKQDQSANEKNRLEMNKVQVDAHGNILLSTLEIRNETSGSEVLTGVSDPKATMYSYDSASIQYRKPLSSREGFGRGLDRHGVPGKGRIRRRASQLKVKIPDLTDVNSIDKWSRMFFPITFSLFNVVYWLYYVH", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSKLLVIALLLLPLINHGIYLATAWDDQDFFKYCPPSKCSQHGPMIRYPLCLESSNTSSSSSCGCAGRSIWKLACSGQDTILVHPVLGPYSVSAIDYRRSSMKITPLVDPCLVLQQKLIISRSSSSPQVDVINDEKPSFDENFFESSSATIVHCSREFTPAAAHADSIAGPVSCLSNTTHFFYLVNSDEDMSILPLDCKVVPVSDRGGISLPHMLKDQMFYNFTETAKKIPSFAETAVSWDEGDCRECELSGRRCAFSSQRDREFCMPDPHGSHIKVIAATSSVAAFVALLLTVATVLYLSLKTRYNAEIHMKVEMFLKTYGTSKPTRYTFSEVKKMARRFKEKVGQGGFGSVYKGELPNGVPVAVKMLENSTGEGESFINEVATIGLIHHANIVRLLGFCSEGMRRALIYEFMPNESLEKYIFSDDSNIFQNLLVPEKLLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKADVYSFGMLVLEMVSGRRNSDPRIGSQDDVYLPEWIYEKVINGEELALTLETTQEEKDKVRQLAMVALWCIQWNPRNRPSMTKVVNMLTGRLQSLQMPPKPFVSSENELMS", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDCRKMARFSYSVIWIMAISKVFELGLVAGLGHQEFARPSRGYLAFRDDSIWPQEEPAIRPRSSQRVPPMGIQHSKELNRTCCLNGGTCMLGSFCACPPSFYGRNCEHDVRKENCGSVPHDTWLPKKCSLCKCWHGQLRCFPQAFLPGCDGLVMDEHLVASRTPELPPSARTTTFMLVGICLSIQSYY", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MWFLTTLLLWVPVDGQVDTTKAVITLQPPWVSVFQEETVTLHCEVLHLPGSSSTQWFLNGTATQTSTPSYRITSASVNDSGEYRCQRGLSGRSDPIQLEIHRGWLLLQVSSRVFTEGEPLALRCHAWKDKLVYNVLYYRNGKAFKFFHWNSNLTILKTNISHNGTYHCSGMGKHRYTSAGISVTVKELFPAPVLNASVTSPLLEGNLVTLSCETKLLLQRPGLQLYFSFYMGSKTLRGRNTSSEYQILTARREDSGLYWCEAATEDGNVLKRSPELELQVLGLQLPTPVWFHVLFYLAVGIMFLVNTVLWVTIRKELKRKKKWDLEISLDSGHEKKVISSLQEDRHLEEELKCQEQKEEQLQEGVHRKEPQGAT", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKACSILFTTLITLAAAQKDSGSLDGQNSEDSSQKESSNSQEITPTTTKEAQESASTVVSTGKSLVQTSNVVSNTYAVAPSTTVVTTDAQGKTTTQYLWWVAESNSAVSTTSTASVQPTGETSSGITNSASSSTTSTSTDGPVTIVTTTNSLGETYTSTVWWLPSSATTDNTASSSKSSSGSSSKPESSTKVVSTIKSTYTTTSGSTVETLTTTYKSTVNGKVASVMSNSTNGAFAGTHIAYGAGAFAVGALLL", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKLLLLLLLLLLLHISHSFTVAKPITELHALLSLKSSFTIDEHSPLLTSWNLSTTFCSWTGVTCDVSLRHVTSLDLSGLNLSGTLSSDVAHLPLLQNLSLAANQISGPIPPQISNLYELRHLNLSNNVFNGSFPDELSSGLVNLRVLDLYNNNLTGDLPVSLTNLTQLRHLHLGGNYFSGKIPATYGTWPVLEYLAVSGNELTGKIPPEIGNLTTLRELYIGYYNAFENGLPPEIGNLSELVRFDAANCGLTGEIPPEIGKLQKLDTLFLQVNAFTGTITQELGLISSLKSMDLSNNMFTGEIPTSFSQLKNLTLLNLFRNKLYGAIPEFIGEMPELEVLQLWENNFTGSIPQKLGENGRLVILDLSSNKLTGTLPPNMCSGNRLMTLITLGNFLFGSIPDSLGKCESLTRIRMGENFLNGSIPKELFGLPKLSQVELQDNYLTGELPISGGGVSGDLGQISLSNNQLSGSLPAAIGNLSGVQKLLLDGNKFSGSIPPEIGRLQQLSKLDFSHNLFSGRIAPEISRCKLLTFVDLSRNELSGDIPNELTGMKILNYLNLSRNHLVGSIPVTIASMQSLTSVDFSYNNLSGLVPSTGQFSYFNYTSFVGNSHLCGPYLGPCGKGTHQSHVKPLSATTKLLLVLGLLFCSMVFAIVAIIKARSLRNASEAKAWRLTAFQRLDFTCDDVLDSLKEDNIIGKGGAGIVYKGTMPKGDLVAVKRLATMSHGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWNTRYKIALEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGKKPVGEFGDGVDIVQWVRSMTDSNKDCVLKVIDLRLSSVPVHEVTHVFYVALLCVEEQAVERPTMREVVQILTEIPKIPLSKQQAAESDVTEKAPAINESSPDSGSPPDLLSN", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MASESGLNGDPNILEEVSETKRDKEEEEEVKKTEKKDEEHEKTKTVPFYKLFAFADSFDFLLMILGTLGSIGNGLGFPLMTLLFGDLIDAFGENQTNTTDKVSKVALKFVWLGIGTFAAAFLQLSGWMISGERQAARIRSLYLKTILRQDIAFFDIDTNTGEVVGRMSGDTVLIQDAMGEKVGKAIQLLATFVGGFVIAFVRGWLLTLVMLSSIPLLVMAGALLAIVIAKTASRGQTAYAKAATVVEQTIGSIRTVASFTGEKQAISNYNKHLVTAYKAGVIEGGSTGLGLGTLFLVVFCSYALAVWYGGKLILDKGYTGGQVLNIIIAVLTGSMSLGQTSPCLSAFAAGQAAAYKMFETIERRPNIDSYSTNGKVLDDIKGDIELKDVYFTYPARPDEQIFRGFSLFISSGTTVALVGQSGSGKSTVVSLIERFYDPQAGDVLIDGINLKEFQLKWIRSKIGLVSQEPVLFTASIKDNIAYGKEDATTEEIKAAAELANASKFVDKLPQGLDTMVGEHGTQLSGGQKQRIAVARAILKDPRILLLDEATSALDAESERVVQEALDRIMVNRTTVVVAHRLSTVRNADMIAVIHQGKIVEKGSHTELLKDPEGAYSQLIRLQEEKKSDENAAEEQKMSSIESFKQSSLRKSSLGRSLSKGGSSRGNSSRHSFNMFGFPAGIDGNVVQDQEEDDTTQPKTEPKKVSIFRIAALNKPEIPVLILGSISAAANGVILPIFGILISSVIKAFFQPPKKLKEDTSFWAIIFMVLGFASIIAYPAQTFFFAIAGCKLVQRIRSMCFEKVVHMEVGWFDEPENSSGTIGARLSADAATIRGLVGDSLAQTVQNLSSILAGLIIAFLACWQLAFVVLAMLPLIALNGFLYMKFMKGFSADAKKMYGEASQVANDAVGSIRTVASFCAEDKVMNMYSKKCEGPMKNGIRQGIVSGIGFGFSFFVLFSSYAASFYVGARLVDDGKTTFDSVFRVFFALTMAAMAISQSSSLSPDSSKADVAAASIFAIMDRESKIDPSVESGRVLDNVKGDIELRHVSFKYPARPDVQIFQDLCLSIRAGKTVALVGESGSGKSTVIALLQRFYDPDSGEITLDGVEIKSLRLKWLRQQTGLVSQEPILFNETIRANIAYGKGGDASESEIVSSAELSNAHGFISGLQQGYDTMVGERGIQLSGGQKQRVAIARAIVKDPKVLLLDEATSALDAESERVVQDALDRVMVNRTTIVVAHRLSTIKNADVIAVVKNGVIVEKGKHDTLINIKDGVYASLVQLHLTAAS", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGSCVSKGKGDDDSVHNVEFSGGNVHLITTKESWDDKLAEADRDGKIVVANFSATWCGPCKIVAPFFIELSEKHSSLMFLLVDVDELSDFSSSWDIKATPTFFFLKNGQQIGKLVGANKPELQKKVTSIIDSVPESPQRP", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRSTTLLALLALVLLYLVSGALVFRALEQPHEQQAQRELGEVREKFLRAHPCVSDQELGLLIKEVADALGGGADPETNSTSNSSHSAWDLGSAFFFSGTIITTIGYGNVALRTDAGRLFCIFYALVGIPLFGILLAGVGDRLGSSLRHGIGHIEAIFLKWHVPPELVRVLSAMLFLLIGCLLFVLTPTFVFCYMEDWSKLEAIYFVIVTLTTVGFGDYVAGADPRQDSPAYQPLVWFWILLGLAYFASVLTTIGNWLRVVSRRTRAEMGGLTAQAASWTGTVTARVTQRAGPAAPPPEKEQPLLPPPPCPAQPLGRPRSPSPPEKAQPPSPPTASALDYPSENLAFIDESSDTQSERGCPLPRAPRGRRRPNPPRKPVRPRGPGRPRDKGVPV", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MASGVCPPAELGFGAEYYSVVNGVCSRAGSYFGGRPVLTQAVGYAVVLGFGAFFALFTSFLVWLEKRYVGSQHTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGTPAHLVFLTFCLLTNVIVTAMLLLGGSAVVNALTGVNVYAASFLIPLGVVVYTLAGGLKATFLASYIHSVVVHAVLVVFVFLVYTSSSKLGSPRVVYDRLMAVASAARDCSADLSRNGQACGPVAGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPLTAAEAAKGLVPPATATALMGKSGSVLLLTMLFMAVTSAGSAELVAVSSLCTYDIYRTYLNPGASGKQILRVSRAVVLGFGCFMGVLAVVLNVAGVSLGWMYLAMGVIVGSAVIPIALLLLWSKANAVGAMGGAVSGCALGVAVWLTVAKVQYGRVNLDTTGRNAPMLAGNLVSILVGGAVHAACSLLRPQHYDWGTSREMITTVESVHAALDDELKEERLVHAKRWIVRWGLVFTAVIVVAWPALSLPARRYSLGYFTLWAAVAIAWGTVGSVVIILLPVAESWTTITKVCAGMFTNDAVYDRLDDVNLRLRAIMGAMPEAEKRYRQLHETEMHPAGTHPANDDDDDNNNNQMMHS", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MIEERDLVLSNGIHCIADIHSELYARLKKESQAATPWVYQKQYGKFVTYFVAVIIFLSLIKKLAFMYYDSSEEFLPEKKNSPTTPSVFLARIMTKLVAFNRYICYRKFPTLIFSYLGIPTSVGTFLVVMATTLYTLLYCFVPHPFYRPCAGFGSPPLSVRAGIMAISLVPFVFSLSGKINVIGWLVGLSYEKINIYHQWASILCLFFSWVHVIPFLRQARHEGGYERMHQRWKASDMWRSGVPPILFLNLLWLSSLPIARRHFYEIFLQLHWILAVGFYISLFYHVYPELNSHMYLVATIVVWFAQLFYRLAVKGYLRPGRSFMASTIANVSIVGEGCVELIVKDVEMAYSPGQHIFVRTIDKGIISNHPFSIFPSAKYPGGIKMLIRAQKGFSKRLYESNDDMKKILIDGPYGGIERDIRSFTNVYLICSGSGISTCLPFLQKYGPILHKTNLEVITLDWVVRHREDISWIRDEMCTLSNNLRQLFLDGKIVVRIYVCSDSTVPGIIKTFPQTIDTASDQSDLAKREKDTEFGQDDTESNSTFDKSNNEYKGLITIIPSKPDLNQVINDYQIGFRNCFICSGSDSLRYTVGNSVAGLQAKVFSNKNVEECYLHSESFGY", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVDAKQVRFIIGVIGNVISFGLFAAPAKTFWRIFKKKSVEEFSYVPYVATVMNCMLWVFYGLPVVHKDSILVSTINGVGLVIELFYVGVYLMYCGHKKNHRRNILGFLALEVILVVAIILITLFALKGDFVKQTFVGVICDVFNIAMYGAPSLAIIKVVKTKSVEYMPFLLSLVCFVNAGIWTTYSLIFKIDYYVLASNGIGTFLALSQLIVYFMYYKSTPKEKTVKPSEVEISATERV", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRLWQWSIAVAICLVMVTEARLRRHHRKRRFVSSNFDEFYCGESAHAQSQFEEERESNSSKVSSVHSTQFNWGLDNTICIKLQNVVHVLKYERLEQRYPIENSYTFSVPLIDTNCKCHCYGFGTNDVCNVEKYADDRNCTTSSEFPTCYTKYHPAVEPLDCPVTSIPAKACCDIKLKPRDGRMFRAVKLQQPINDMIISHSIFANNSGKMMKVLGPDEFRINLLKGKEQFELTEYHRISVQLVASSPQQQLREGMYYFPEENHNDLREGKINEITESDLDKLGWYRRVGNDWQVATSGLLLRNAHKVVIKNCKGQVHMDQFSGTKNFVLRGTQYNDTYNERRVSDNNFVRSVKVDESSREITIVHEHGTAAQVSLKTDTRPNLTKSQSLLANFTGSITLDHDGNRMLNVTFFGVKGTVHIKMYVNDRKLIATFACTAQFGTSLKDDGSRISLPSTINQAQWVCILPDEQPTKSEICKWIPYEEKAMRTPRQEQSWSKGHSPCSQAECNSLKSGVSDLFPWIMNFDYFMAHGGDFTEWLKIGIHIVIAVGLLLLLILLFTKCLVPLACCSLSIPFKNRNKKKKKKNSSDY", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASESSPLLAYRLLGEEGVALPANGAGGPGGASARKLSTFLGVVVPTVLSMFSIVVFLRIGFVVGHAGLLQALAMLLVAYFILALTVLSVCAIATNGAVQGGGAYFMISRTLGPEVGGSIGLMFYLANVCGCAVSLLGLVESVLDVFGADATGPSGLRVLPQGYGWNLLYGSLLLGLVGGVCTLGAGLYARASFLTFLLVSGSLASVLISFVAVGPRDIRLTPRPGPNGSSLPPRFGHFTGFNSSTLKDNLGAGYAEDYTTGAVMNFASVFAVLFNGCTGIMAGANMSGELKDPSRAIPLGTIVAVAYTFFVYVLLFFLSSFTCDRTLLQEDYGFFRAISLWPPLVLIGIYATALSASMSSLIGASRILHALARDDLFGVILAPAKVVSRGGNPWAAVLYSWGLVQLVLLAGKLNTLAAVVTVFYLVAYAAVDLSCLSLEWASAPNFRPTFSLFSWHTCLLGVASCLLMMFLISPGAAGGSLLLMGLLAALLTARGGPSSWGYVSQALLFHQVRKYLLRLDVRKDHVKFWRPQLLLLVGNPRGALPLLRLANQLKKGGLYVLGHVTLGDLDSLPSDPVQPQYGAWLSLVDRAQVKAFVDLTLSPSVRQGAQHLLRISGLGGMKPNTLVLGFYDDAPPQDHFLTDPAFSEPADSTREGSSPALSTLFPPPRAPGSPRALNPQDYVATVADALKMNKNVVLARASGALPPERLSRGSGGTSQLHHVDVWPLNLLRPRGGPGYVDVCGLFLLQMATILGMVPAWHSARLRIFLCLGPREAPGAAEGRLRALLSQLRIRAEVQEVVWGEGAGAGEPEAEEEGDFVNSGRGDAEAEALARSANALVRAQQGRGTGGGPGGPEGGDAEGPITALTFLYLPRPPADPARYPRYLALLETLTRDLGPTLLVHGVTPVTCTDL", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MMLMRRRLACCSREREISIDFDEHEQDKMITYYGLETCIINNQSYEEESGTSRGDGCLTDSLDDDAFSSCSSSKDASSSFSSKWLPMKNDEHSCDGLNLSGRSQHFDAKEKKKQGYGSSQHFDAKEKPGYVYCHLDVEAMKEKFSKLLLGEDVTGGCKGVQVALALSNAVTHLATSIFGELWKLEPLCEEKKQKWRREMDWLLSPTNYMIELVPSKQNDANGRSLEIMTPKARADIHMNLPALQKLDSMLIETLDSMVNTEFWYSEIGSRAEGKNKSTSESKRWWLPSPQVPKPGLSNSGRKKLLDKGKVVYQVFKATKAINENILLEMPVPIVIKEAIPKSGKNSLGDELYKMLAVESATVDEIFISLNLGTEHAALETVNKLESAMFAWKERITEQGSNGKSPVRASWSFAKDPLSEIGRNESLLNRAEALRTQIKSKHPNLPHSFLDATKIQYDKDIGHAVLEAYSRTLANLAFRILSRMGEILKEDSLSNPNSPAPPSCFPSSRDPYRTPERPLLSSRVRHSLTDDMNKADGTETGLDFLFADAKASNSVNTTPSRSSRLWCLSKVPSDTSP", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEEMPLRESSPQRAERCKKSWLLCIVALLLMLLCSLGTLIYTSLKPTAIESCMVKFELSSSKWHMTSPKPHCVNTTSDGKLKILQSGTYLIYGQVIPVDKKYIKDNAPFVVQIYKKNDVLQTLMNDFQILPIGGVYELHAGDNIYLKFNSKDHIQKTNTYWGIILMPDLPFIS", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTSGDKETPKREDFASALRFLMGGCAREPEMTAMAPLNLPKKWARILRMSSTPKIPIVDYLEAAESGNLDDFKRLFMADNSRIALKDAKGRTAAHQAAARNRVNILRYIRDQNGDFNAKDNAGNTPLHIAVESDAYDALDYLLSIPVDTGVLNEKKQAPVHLATELNKVKSLRVMGQYRNVIDIQQGGEHGRTALHLAAIYDHEECARILITEFDACPRKPCNNGYYPIHEAAKNASSKTMEVFFQWGEQRGCTREEMISFYDSEGNVPLHSAVHGGDIKAVELCLKSGAKISTQQHDLSTPVHLACAQGAIDIVKLMFEMQPMEKRLCLSCTDVQKMTPLHCASMFDHPDIVSYLVAEGADINALDKEHRSPLLLAASRSGWKTVHLLIRLGACISVKDAAARNVLHFVIMNGGRLTDFAEQVANCQTQAQLKLLLNEKDSMGCSPLHYASRDGHIRSLENLIRLGACINLKNNNNESPLHFAARYGRYNTVRQLLDSEKGSFIINESDGAGMTPLHISSQQGHTRVVQLLLNRGALLHRDHTGRNPLQLAAMSGYTETIELLHSVHSHLLDQVDKDGNTALHLATMENKPHAISVLMSMGCKLVYNVLDMSAIDYAIYYKYPEAALAMVTHEERANEVMALRSDKHPCVTLALIASMPKVFEAVQDKCITKANCKKDSKSFYIKYSFAFLQCPFMFAKIDEKTGESITTASPIPLPALNTMVTHGRVELLAHPLSQKYLQMKWNSYGKYFHLANLLIYSIFLVFVTIYSSLMMNNIELKAGDNKTMSQYCNMGWEQLTMNLSQNPSVASQIRLDSCEERINRTTAILFCAVVIVVYILLNSMRELIQIYQQKLHYILETVNLISWVLYISALVMVTPAFQPDGGINTIHYSAASIAVFLSWFRLLLFLQRFDQVGIYVVMFLEILQTLIKVLMVFSILIIAFGLAFYILLSKIIDPQPNHLSFSNIPMSLLRTFSMMLGELDFVGTYVNTYYRDQLKVPMTSFLILSVFMILMPILLMNLLIGLAVGDIESVRRNAQLKRLAMQVVLHTELERKLPHVWLQRVDKMELIEYPNETKCKLGFCDFILRKWFSNPFTEDSSMDVISFDNNDDYINAELERQRRKLRDISRMLEQQHHLVRLIVQKMEIKTEADDVDEGISPNELRSVVGLRSAGGNRWNSPRVRNKLRAALSFNKSM", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRGVRCPGLLVVCILLSLSGAGTQKAESKNCAKWCPINSKCVSNRSCVCKPGFSSEKELITNPAESCEDINECLLPGFSCGDFAMCKNSEGSYTCVCNLGYKLLSGAESFVNESENTCQASVNTGTTPVPSRIHTVTTAPGNLPEQTTTVHQTQMGDSEERTPKDVNECISGQNHCHQSTHCINKLGGYSCICRQGWKPVPGSPNGPVSTVCEDVDECSSGQHQCHNSTVCKNTVGSYKCHCRPGWKPTSGSLRGPDTICQEPPFPTWTLLPTAHSQTLLRFSVEVQNLLRDFNPATVNYTIQKLIEAVDKLLEDPMETQTQQVAAQLLSNLEQSLRTLAQFLPKGPFTYTSPSNTELSLMVKEQDNKDVTTVHHGQTWMELDWAVTAGAKISENGSSVAGILSSPNMEKLLGNTPLNLEQRRASLEDFYGSPIPSVSLKLLSNINSVFLTNTNTEKLASNVTFKFDFTSVESIEPRHELICAFWKAHNGNGYWDTDGCSMNGTGFCHCNHLTSFAILMAQYHVQDPRLELITKVGLLLSLICLLLCILTFLLVKPIQSSRTMVHLHLCICLFLGSIIFLVGVENEGGEVGLRCRLVAMMLHFCFLAAFCWMALEGVELYFLVVRVFQGQGLSTWQRCLIGYGVPLLIVAISMAVVKMDGYGHATYCWLDFRKQGFLWSFSGPVAFIIFCNAAIFVITVWKLTKKFSEINPNMKKLRKARVLTITAIAQLLVLGCTWGFGLFLFNPHSTWLSYIFTLLNCLQGLFLYVMLCLLNKKVREEYWKWACMVTGSKYTEFNSSTTGTGTSQTRALRSSESGM", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEASSSGITNGKTKVFHPEGGVDLQGYQLDMQILPDGPKSDVDFSEILNAIQEMAKDVNILFDELEAVSSPCKDDDSLLHPGNLTSTSDDASRLEAGGETVPERNKSNGLYFRDGKCRIDYILVYRKSNPQTEKREVFERNIRAEGLQMEKESSLINSDIIFVKLHAPWEVLGRYAEQMNVRMPFRRKIYYLPRRYKFMSRIDKQISRFRRWLPKKPMRLDKETLPDLEENDCYTAPFSQQRIHHFIIHNKETFFNNATRSRIVHHILQRIKYEEGKNKIGLNRLLTNGSYEAAFPLHEGSYRSKNSIRTHGAENHRHLLYECWASWGVWYKYQPLDLVRRYFGEKIGLYFAWLGWYTGMLFPAAFIGLFVFLYGVTTLDHSQVSKEVCQATDIIMCPVCDKYCPFMRLSDSCVYAKVTHLFDNGATVFFAVFMAVWATVFLEFWKRRRAVIAYDWDLIDWEEEEEEIRPQFEAKYSKKERMNPISGKPEPYQAFTDKCSRLIVSASGIFFMICVVIAAVFGIVIYRVVTVSTFAAFKWALIRNNSQVATTGTAVCINFCIIMLLNVLYEKVALLLTNLEQPRTESEWENSFTLKMFLFQFVNLNSSTFYIAFFLGRFTGHPGAYLRLINRWRLEECHPSGCLIDLCMQMGIIMVLKQTWNNFMELGYPLIQNWWTRRKVRQEHGPERKISFPQWEKDYNLQPMNAYGLFDEYLEMILQFGFTTIFVAAFPLAPLLALLNNIIEIRLDAYKFVTQWRRPLASRAKDIGIWYGILEGIGILSVITNAFVIAITSDFIPRLVYAYKYGPCAGQGEAGQKCMVGYVNASLSVFRISDFENRSEPESDGSEFSGTPLKYCRYRDYRDPPHSLVPYGYTLQFWHVLAARLAFIIVFEHLVFCIKHLISYLIPDLPKDLRDRMRREKYLIQEMMYEAELERLQKERKERKKNGKAHHNEWP", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGLQSAAAHFINRFIIWITIFMVACFLLRLLVVLDLNKRVYNHTPGPCRVLTDNYKGTAGMTYVESQKRVYITLGYGRAHDLKTKTGIAFYKTNRTDGRSQQEMYDLIEMTINWNGYEYKKEFIPTGIDSYSSSNGRVLLYVINAHPNHQCIHFFQIVESSKLNHRKAICDPSFSSLQDIAVVGPDRLFVTNMAAFGRGWAQILEFSLQTGQGAVYYYDGSKLSTAASSLIAPTGIGYDAKRRILYVGSMIRESIFAYKVAKDTTLELLYEMMLLTSPIGVFVESKTGDIWIAAHPVIHESAWHYTHPENQNIHSPSQILRIRIQEEGNSWVTTEPYANDGATISASSSVVFTDEQMLIGSSFGRLLHCDLTHSYIT", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMSASRLAGTLIPAMAFLSCVRPESWEPCVEVVPNITYQCMELNFYKIPDNLPFSTKNLDLSFNPLRHLGSYSFFSFPELQVLDLSRCEIQTIEDGAYQSLSHLSTLILTGNPIQSLALGAFSGLSSLQKLVAVETNLASLENFPIGHLKTLKELNVAHNLIQSFKLPEYFSNLTNLEHLDLSSNKIQSIYCTDLRVLHQMPLLNLSLDLSLNPMNFIQPGAFKEIRLHKLTLRNNFDSLNVMKTCIQGLAGLEVHRLVLGEFRNEGNLEKFDKSALEGLCNLTIEEFRLAYLDYYLDDIIDLFNCLTNVSSFSLVSVTIERVKDFSYNFGWQHLELVNCKFGQFPTLKLKSLKRLTFTSNKGGNAFSEVDLPSLEFLDLSRNGLSFKGCCSQSDFGTTSLKYLDLSFNGVITMSSNFLGLEQLEHLDFQHSNLKQMSEFSVFLSLRNLIYLDISHTHTRVAFNGIFNGLSSLEVLKMAGNSFQENFLPDIFTELRNLTFLDLSQCQLEQLSPTAFNSLSSLQVLNMSHNNFFSLDTFPYKCLNSLQVLDYSLNHIMTSKKQELQHFPSSLAFLNLTQNDFACTCEHQSFLQWIKDQRQLLVEVERMECATPSDKQGMPVLSLNITCQMNKTIIGVSVLSVLVVSVVAVLVYKFYFHLMLLAGCIKYGRGENIYDAFVIYSSQDEDWVRNELVKNLEEGVPPFQLCLHYRDFIPGVAIAANIIHEGFHKSRKVIVVVSQHFIQSRWCIFEYEIAQTWQFLSSRAGIIFIVLQKVEKTLLRQQVELYRLLSRNTYLEWEDSVLGRHIFWRRLRKALLDGKSWNPEGTVGTGCNWQEATSI", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEAPDYEVLSVREQLFHERIRECIISTLLFATLYILCHIFLTRFKKPAEFTTVDDEDATVNKIALELCTFTLAIALGAVLLLPFSIISNEVLLSLPRNYYIQWLNGSLIHGLWNLVFLFSNLSLIFLMPFAYFFTESEGFAGSRKGVLGRVYETVVMLMLLTLLVLGMVWVASAIVDKNKANRESLYDFWEYYLPYLYSCISFLGVLLLLVCTPLGLARMFSVTGKLLVKPRLLEDLEEQLYCSAFEEAALTRRICNPTSCWLPLDMELLHRQVLALQTQRVLLEKRRKASAWQRNLGYPLAMLCLLVLTGLSVLIVAIHILELLIDEAAMPRGMQGTSLGQVSFSKLGSFGAVIQVVLIFYLMVSSVVGFYSSPLFRSLRPRWHDTAMTQIIGNCVCLLVLSSALPVFSRTLGLTRFDLLGDFGRFNWLGNFYIVFLYNAAFAGLTTLCLVKTFTAAVRAELIRAFGLDRLPLPVSGFPQASRKTQHQ", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLELPFTTIRPNCRLRQNLGILIILQCVLTCYNFNLEQRLPIVKYGHPHSHFGYSVATHTIGEANGPNKTNCVLVGAPLDQNRQPNTTHSGALWRCPMTQRFDDCEQVITDGRRNFDSEILSPPGNDEIKEDQWMGVTVRSNPLQANGSGGKVIVCAHRYMYIVRENRYGQGLCYLLTNDLQFEEVHEPCKGRPVQRQHEDYGLCQAGTSAALLDDDTMVLGSPGPYTWRGSIWVTQVGGEYLQRDKTTYYSDHSDLNSPVDKYSYLGMSVTGGRFFGHMSYAAGAPRSEGHGQVVIFDKSTDNPIPVHSILDGEQFGSSFGYELATADINGDHRPDLIVAAPLYFTKTEGGAVYVYQNIQDTLPMKYTLKLTGPLESRFGLALANIGDLNKDNCEDLAVGAPYEGNGVVYIYLGSSQGLNSKPAQKIQASELGGTIPNGQPIRTFGISISGNTDLDDNSYPDVVIGAFNSSAAVILLARPIISIQTSVQRKELHNMDPNTPGCLDDPASNLTCFTFRACCSIEPYDEKNKELRLAYSVEAETFDHLKKFSRVFFFDRENKRTNVLSRVVRVHTNGRTECQAVTGYIKANTRDIQTPVRFRLKYSLVEPPLADSALVRLNPILDQTQAHVDFEGTFQKDCGDDDLCESNLIIRVEPNITESSGNEYTLILDETELEVRINVSNLADSAYEAQLFIAHQAGVSYVATKKPTNATCNSYNTTLVACSLGNPMLRDTTTFVTIRFQPKGLEPSEKIMLFHIFANTTSKLVGPERPERDLRVNVVRRAKLNFRGWAIPEQSFYSGSSVANSVANTAATDIEGHGPMGMDDVGSQVHHMFTIFNEGPSTAPKVQMVIHWPYSLYSDPQSGRPVQYLLYLEQVPTVEVSQGECHVAKEYVNPLNLASGSRENPAYLSAPAQMRMFPSQSRHSFNKSLIHSQRSYYSSSHRDDHSDDTQSNRNRVRRSFLERVTRLERLMYDPESSNAANGKKQDIVELDCNKGATNCVRIECDILNMPALSEAQVVVKARLWNSTLVSEYPRVERVRIFSTATAQIPESYGVEVMDHNNIEVETRAYPELRNQQRDTSIPWLIIILGIVGGLLLLALVTYVLWKVGFFKRIRPTDPTLSGNLEKMNEEKPFLAPSKNTHHVF", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKLKTVRSAVLSSLFASQVLGKIIPAANKRDDDSNSKFVKLPFHKLYGDSLENVGSDKKPEVRLLKRADGYEEIIITNQQSFYSVDLEVGTPPQNVTVLVDTGSSDLWIMGSDNPYCSSNSMGSSRRRVIDKRDDSSSGGSLINDINPFGWLTGTGSAIGPTATGLGGGSGTATQSVPASEATMDCQQYGTFSTSGSSTFRSNNTYFSISYGDGTFASGTFGTDVLDLSDLNVTGLSFAVANETNSTMGVLGIGLPELEVTYSGSTASHSGKAYKYDNFPIVLKNSGAIKSNTYSLYLNDSDAMHGTILFGAVDHSKYTGTLYTIPIVNTLSASGFSSPIQFDVTINGIGISDSGSSNKTLTTTKIPALLDSGTTLTYLPQTVVSMIATELGAQYSSRIGYYVLDCPSDDSMEIVFDFGGFHINAPLSSFILSTGTTCLLGIIPTSDDTGTILGDSFLTNAYVVYDLENLEISMAQARYNTTSENIEIITSSVPSAVKAPGYTNTWSTSASIVTGGNIFTVNSSQTASFSGNLTTSTASATSTSSKRNVGDHIVPSLPLTLISLLFAFI", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MHTDLDTDMDADTETVALCSSSSRQASPSGTPTPEADTTLLKQKPEKLLAELDRGGPPPAPGVPRRRGSMPVPYKHQLRRAQAVDELDWPPQASSSGSSDSLGSGEAALAQKDGVFKVMLLGESGVGKSTLAGTFGGLQGDNAHEMENSEDTYERRIMVDKEEVTLIVYDIWEQGDAGGWLQDHCLQTGDAFLIVFSVTDRRSFSKVPETLLRLRAGRPHHDLPVILVGNKSDLARSREVSLEEGRHLAGTLSCKHIETSAALHHNTRELFEGAVRQIRLRRGRGHAGGQRPEPSSPDGPAPPTRRESLTKKAKRFLANLVPRNAKFFKQRSRSCHDLSVL", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MANFLLPRGTSSFRRFTRESLAAIEKRMAEKQARGSATSQESREGLPEEEAPRPQLDLQASKKLPDLYGNPPRELIGEPLEDLDPFYSTQKTFIVLNKGKTIFRFSATNALYVLSPFHPVRRAAVKILVHSLFSMLIMCTILTNCVFMAQHDPPPWTKYVEYTFTAIYTFESLVKILARGFCLHAFTFLRDPWNWLDFSVIVMAYTTEFVDLGNVSALRTFRVLRALKTISVISGLKTIVGALIQSVKKLADVMVLTVFCLSVFALIGLQLFMGNLRHKCVRNFTELNGTNGSVEADGIVWNSLDVYLNDPANYLLKNGTTDVLLCGNSSDAGTCPEGYRCLKAGENPDHGYTSFDSFAWAFLALFRLMTQDCWERLYQQTLRSAGKIYMIFFMLVIFLGSFYLVNLILAVVAMAYEEQNQATIAETEEKEKRFQEAMEMLKKEHEALTIRGVDTVSRSSLEMSPLAPVTNHERRSKRRKRLSSGTEDGGDDRLPKSDSEDGPRALNQLSLTHGLSRTSMRPRSSRGSIFTFRRRDQGSEADFADDENSTAGESESHRTSLLVPWPLRRPSTQGQPGFGTSAPGHVLNGKRNSTVDCNGVVSLLGAGDAEATSPGSHLLRPIVLDRPPDTTTPSEEPGGPQMLTPQAPCADGFEEPGARQRALSAVSVLTSALEELEESHRKCPPCWNRFAQHYLIWECCPLWMSIKQKVKFVVMDPFADLTITMCIVLNTLFMALEHYNMTAEFEEMLQVGNLVFTGIFTAEMTFKIIALDPYYYFQQGWNIFDSIIVILSLMELGLSRMGNLSVLRSFRLLRVFKLAKSWPTLNTLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKNYSELRHRISDSGLLPRWHMMDFFHAFLIIFRILCGEWIETMWDCMEVSGQSLCLLVFLLVMVIGNLVVLNLFLALLLSSFSADNLTAPDEDGEMNNLQLALARIQRGLRFVKRTTWDFCCGLLRRRPKKPAALATHSQLPSCIAAPRSPPPPEVEKAPPARKETRFEEDKRPGQGTPGDTEPVCVPIAVAESDTDDQEEDEENSLGTEEEESSKQESQVVSGGHEPPQEPRAWSQVSETTSSEAEASTSQADWQQEREAEPRAPGCGETPEDSYSEGSTADMTNTADLLEQIPDLGEDVKDPEDCFTEGCVRRCPCCMVDTTQAPGKVWWRLRKTCYRIVEHSWFETFIIFMILLSSGALAFEDIYLEERKTIKVLLEYADKMFTYVFVLEMLLKWVAYGFKKYFTNAWCWLDFLIVDVSLVSLVANTLGFAEMGPIKSLRTLRALRPLRALSRFEGMRVVVNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFGRCINQTEGDLPLNYTIVNNKSECESFNVTGELYWTKVKVNFDNVGAGYLALLQVATFKGWMDIMYAAVDSRGYEEQPQWEDNLYMYIYFVVFIIFGSFFTLNLFIGVIIDNFNQQKKKLGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPLNKYQGFIFDIVTKQAFDVTIMFLICLNMVTMMVETDDQSPEKVNILAKINLLFVAIFTGECIVKMAALRHYYFTNSWNIFDFVVVILSIVGTVLSDIIQKYFFSPTLFRVIRLARIGRILRLIRGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYSIFGMANFAYVKWEAGIDDMFNFQTFANSMLCLFQITTSAGWDGLLSPILNTGPPYCDPNLPNSNGSRGNCGSPAVGILFFTTYIIISFLIVVNMYIAIILENFSVATEESTEPLSEDDFDMFYEIWEKFDPEATQFIEYLALSDFADALSEPLRIAKPNQISLINMDLPMVSGDRIHCMDILFAFTKRVLGESGEMDALKIQMEEKFMAANPSKISYEPITTTLRRKHEEVSATVIQRAFRRHLLQRSVKHASFLFRQQAGSSGLSDEDAPEREGLIAYMMNENFSRRSGPLSSSSISSTSFPPSYDSVTRATSDNLPVRASDYSRSEDLADFPPSPDRDRESIV", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAVAPSFNMTNPQPAIEGGISEVEIISQQVDEETKSIAPVQLVNFAYRDLPLAAVDLSTAGSQLLSNLDEDYQREGSNWLKPCCGKRAAVWQVFLLSASLNSFLVACVILVVILLTLELLIDIKLLQFSSAFQFAGVIHWISLVILSVFFSETVLRIVVLGIWDYIENKIEVFDGAVIILSLAPMVASTVANGPRSPWDAISLIIMLRIWRVKRVIDAYVLPVKLEMEMVIQQYEKAKVIQDEQLERLTQICQEQGFEIRQLRAHLAQQDLDLAAEREAALQAPHVLSQPRSRFKVLEAGTWDEETAAESVVEELQPSQEATMKDDMNSYISQYYNGPSSDSGVPEPAVCMVTTAAIDIHQPNISSDLFSLDMPLKLGGNGTSATSESASRSSVTRAQSDSSQTLGSSMDCSTAREEPSSEPGPSPPPLPSQQQVEEATVQDLLSSLSEDPCPSQKALDPAPLARPSPAGSAQTSPELEHRVSLFNQKNQEGFTVFQIRPVIHFQPTVPMLEDKFRSLESKEQKLHRVPEA", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDLGLAGRRALVTGAGKGIGRSTVLALKAAGAQVVAVSRTREDLDDLVRECPGVEPVCVDLADWEATEQALSNVGPVDLLVNNAAVALLQPFLEVTKEACDTSFNVNLRAVIQVSQIVAKGMIARGVPGAIVNVSSQASQRALTNHTVYCSTKGALDMLTKMMALELGPHKIRVNAVNPTVVMTPMGRTNWSDPHKAKAMLDRIPLGKFAEVENVVDTILFLLSNRSGMTTGSTLPVDGGFLAT", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEPNNSFRVDSEFRYTLFPIFYSIVFVLGVIANSYVLWVFARLYPSKKFNEIKIFMVNLTMADLLFLVTLPLWIVYYYNQGDWILPKFLCNLAGCFFFINTYCSVAFLAVITYNRFQAVTRPIKTAQATTRKRGILLSLIIWVSIVGAASYFFVLDSTNREPNKTGSANITRCFEHYEKGSIPVLTIHIFLVFSFFLVFLIILFCNLVIIRTLLTQQVQIQRNAEVKRRALWMVCTVLAVFIICFVPHHLVQLPWTLAELGFQDTDFHQAINDAHQVTLCLLSTNCVLDPIIYCFLTKKFRKHLTEKLYSMRESRKCSRATSETGTEVVMQLKDVPVKSLKY", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGPFGALCLAWALLGVVRACPEPCACVDKYAHQFADCAYKELREVPEGLPANVTTLSLSANKITVLRRGAFVNVTQVTSLWLAHSEVRTVESGALAVLSQLKNLDLSHNLISNFPWSDLRNLSALQLLKMNHNRLGSLPRDALGALPDLRSLRINNNRLRTLEPGTFDALSALSHLQLYHNPFHCSCGLVWLQAWAASTRVSLPEPDSIACASPPELQGVPVHRLPALPCAPPSVRLSAEPPPEAPGTPLRAGLAFMLHCVAEGHPTPRLQWQLQIPGGTVVLVPPVLSKEEDGGDKVEDGEGDGDEDLPTQTEAPTPTPAPAWPAPPATPRFLALANGSLLVPLLSAKEAGIYTCRAHNELGTNSTSLRVTVAAAGPPKHAPGTGEEPDAQVPTSERKATTKGRSNSVLPFKPEGKTKGQGLARVSVLGEIEAELEETDEGEQMEGQIPADPMGEKHCGHGDPSRYVSNHAFNQSSDLKPHVFELGVIALDVAEREARVQLTPLAARWGPGPDGASGARRPGRRPLRLLYLCPAGGGTAVQWSRVEEGVNAYWFRGLRPGTNYSVCLALAGEACHVQVVFSTKKELPSLLVIVTVSVFLLVLATVPLLGAACCHLLAKHPGKPYRLILRPQAPDPMEKRIAADFDPRASYLESEKSYPARGEAGGEEPEEVPEEGLDEDVEQGDPSGDLQREESLAGCSLVESQSKANQEEFEAGSEYSDRLPLGAEAVNIAQEINGNYRQTAG", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASKKMTKSYFDVLGICCTSEVPLIENILNSMDGVKEFSVIVPSRTVIVVHDTLILSQFQIVKALNQAQLEANVRVTGETNFKNKWPSPFAVVSGILLLLSFFKYLYSPFRWLAVAAVVAGIYPILAKAVASLARFRIDINILVVVTVGATIGMQDYTEAAVVVFLFTIAEWLQSRASYKASAVMQSLMSLAPQKAVIAETGEEVEVDELKTNTVIAVKAGETIPIDGVVVDGNCEVDEKTLTGEAFPVPKLKDSTVWAGTINLNGYITVNTTALAEDCVVAKMAKLVEEAQNSKTETQRFIDKCSKYYTPAIILISICFVAIPFALKVHNLKHWVHLALVVLVSACPCGLILSTPVATFCALTKAATSGLLIKGADYLETLAKIKIVAFDKTGTITRGEFIVMDFQSLSEDISLQSLLYWVSSTESKSSHPMAAAVVDYARSVSVEPKPEAVEDYQNFPGEGIYGKIDGKEVYIGNKRIASRAGCLSVPDIDVDTKGGKTIGYVYVGETLAGVFNLSDACRSGVAQAMKELKSLGIKIAMLTGDNHAAAMHAQEQLGNAMDIVRAELLPEDKSEIIKQLKREEGPTAMVGDGLNDAPALATADIGISMGVSGSALATETGNIILMSNDIRRIPQAIKLAKRAKRKVVENVVISITMKGAILALAFAGHPLIWAAVLADVGTCLLVILNSMLLLSDKHKTGNKCYRESSSSSVLIAEKLEGDAAGDMEAGLLPKISDKHCKPGCCGTKTQEKAMKPAKASSDHSHSGCCETKQKDNVTVVKKSCCAEPVDLGHGHDSGCCGDKSQQPHQHEVQVQQSCHNKPSGLDSGCCGGKSQQPHQHELQQSCHDKPSGLDIGTGPKHEGSSTLVNLEGDAKEELKVLVNGFCSSPADLAITSLKVKSDSHCKSNCSSRERCHHGSNCCRSYAKESCSHDHHHTRAHGVGTLKEIVIE", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKEKMQRMVLSLAMVGFMVFGVASAMNNEGKALMAIKGSFSNLVNMLLDWDDVHNSDLCSWRGVFCDNVSYSVVSLNLSSLNLGGEISPAIGDLRNLQSIDLQGNKLAGQIPDEIGNCASLVYLDLSENLLYGDIPFSISKLKQLETLNLKNNQLTGPVPATLTQIPNLKRLDLAGNHLTGEISRLLYWNEVLQYLGLRGNMLTGTLSSDMCQLTGLWYFDVRGNNLTGTIPESIGNCTSFQILDISYNQITGEIPYNIGFLQVATLSLQGNRLTGRIPEVIGLMQALAVLDLSDNELVGPIPPILGNLSFTGKLYLHGNMLTGPIPSELGNMSRLSYLQLNDNKLVGTIPPELGKLEQLFELNLANNRLVGPIPSNISSCAALNQFNVHGNLLSGSIPLAFRNLGSLTYLNLSSNNFKGKIPVELGHIINLDKLDLSGNNFSGSIPLTLGDLEHLLILNLSRNHLSGQLPAEFGNLRSIQMIDVSFNLLSGVIPTELGQLQNLNSLILNNNKLHGKIPDQLTNCFTLVNLNVSFNNLSGIVPPMKNFSRFAPASFVGNPYLCGNWVGSICGPLPKSRVFSRGALICIVLGVITLLCMIFLAVYKSMQQKKILQGSSKQAEGLTKLVILHMDMAIHTFDDIMRVTENLNEKFIIGYGASSTVYKCALKSSRPIAIKRLYNQYPHNLREFETELETIGSIRHRNIVSLHGYALSPTGNLLFYDYMENGSLWDLLHGSLKKVKLDWETRLKIAVGAAQGLAYLHHDCTPRIIHRDIKSSNILLDENFEAHLSDFGIAKSIPASKTHASTYVLGTIGYIDPEYARTSRINEKSDIYSFGIVLLELLTGKKAVDNEANLHQLILSKADDNTVMEAVDPEVTVTCMDLGHIRKTFQLALLCTKRNPLERPTMLEVSRVLLSLVPSLQVAKKLPSLDHSTKKLQQENEVRNPDAEASQWFVQFREVISKSSI", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPSPPGLRALWLCAALCASRRAGGAPQPGPGPTACPAPCHCQEDGIMLSADCSELGLSAVPGDLDPLTAYLDLSMNNLTELQPGLFHHLRFLEELRLSGNHLSHIPGQAFSGLYSLKILMLQNNQLGGIPAEALWELPSLQSLRLDANLISLVPERSFEGLSSLRHLWLDDNALTEIPVRALNNLPALQAMTLALNRISHIPDYAFQNLTSLVVLHLHNNRIQHLGTHSFEGLHNLETLDLNYNKLQEFPVAIRTLGRLQELGFHNNNIKAIPEKAFMGNPLLQTIHFYDNPIQFVGRSAFQYLPKLHTLSLNGAMDIQEFPDLKGTTSLEILTLTRAGIRLLPSGMCQQLPRLRVLELSHNQIEELPSLHRCQKLEEIGLQHNRIWEIGADTFSQLSSLQALDLSWNAIRSIHPEAFSTLHSLVKLDLTDNQLTTLPLAGLGGLMHLKLKGNLALSQAFSKDSFPKLRILEVPYAYQCCPYGMCASFFKASGQWEAEDLHLDDEESSKRPLGLLARQAENHYDQDLDELQLEMEDSKPHPSVQCSPTPGPFKPCEYLFESWGIRLAVWAIVLLSVLCNGLVLLTVFAGGPVPLPPVKFVVGAIAGANTLTGISCGLLASVDALTFGQFSEYGARWETGLGCRATGFLAVLGSEASVLLLTLAAVQCSVSVSCVRAYGKSPSLGSVRAGVLGCLALAGLAAALPLASVGEYGASPLCLPYAPPEGQPAALGFTVALVMMNSFCFLVVAGAYIKLYCDLPRGDFEAVWDCAMVRHVAWLIFADGLLYCPVAFLSFASMLGLFPVTPEAVKSVLLVVLPLPACLNPLLYLLFNPHFRDDLRRLRPRAGDSGPLAYAAAGELEKSSCDSTQALVAFSDVDLILEASEAGRPPGLETYGFPSVTLISCQQPGAPRLEGSHCVEPEGNHFGNPQPSMDGELLLRAEGSTPAGGGLSGGGGFQPSGLAFASHV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTSGSVFFYILIFGKYFSHGGGQDVKCSLGYFPCGNITKCLPQLLHCNGVDDCGNQADEDNCGDNNGWSLQFDKYFASYYKMTSQYPFEAETPECLVGSVPVQCLCQGLELDCDETNLRAVPSVSSNVTAMSLQWNLIRKLPPDCFKNYHDLQKLYLQNNKITSISIYAFRGLNSLTKLYLSHNRITFLKPGVFEDLHRLEWLIIEDNHLSRISPPTFYGLNSLILLVLMNNVLTRLPDKPLCQHMPRLHWLDLEGNHIHNLRNLTFISCSNLTVLVMRKNKINHLNENTFAPLQKLDELDLGSNKIENLPPLIFKDLKELSQLNLSYNPIQKIQANQFDYLVKLKSLSLEGIEISNIQQRMFRPLMNLSHIYFKKFQYCGYAPHVRSCKPNTDGISSLENLLASIIQRVFVWVVSAVTCFGNIFVICMRPYIRSENKLYAMSIISLCCADCLMGIYLFVIGGFDLKFRGEYNKHAQLWMESTHCQLVGSLAILSTEVSVLLLTFLTLEKYICIVYPFRCVRPGKCRTITVLILIWITGFIVAFIPLSNKEFFKNYYGTNGVCFPLHSEDTESIGAQIYSVAIFLGINLAAFIIIVFSYGSMFYSVHQSAITATEIRNQVKKEMILAKRFFFIVFTDALCWIPIFVVKFLSLLQVEIPGTITSWVVIFILPINSALNPILYTLTTRPFKEMIHRFWYNYRQRKSMDSKGQKTYAPSFIWVEMWPLQEMPPELMKPDLFTYPCEMSLISQSTRLNSYS", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGNPEASCTPPAVLGSQTGLPHANVSAPPNNCSAPSHIYQDSIALPWKVLLVVLLALITLATTLSNAFVIATVYRTRKLHTPANYLIASLAFTDLLVSILVMPISTMYTVTGRWTLGQALCDFWLSSDITCCTASIMHLCVIALDRYWAITDAVGYSAKRTPRRAAGMIALVWVFSICISLPPFFWRQAKAEEEVLDCLVNTDHVLYTVYSTGGAFYLPTLLLIALYGRIYVEARSRILKQTPNKTGKRLTRAQLITDSPGSTSSVTSINSRAPEVPCDSGSPVYVNQVKVRVSDALLEKKKLMAARERKATKTLGVILGAFIVCWLPFFIISLVMPICKDACWFHMAIFDFFTWLGYLNSLINPIIYTMSNEDFKQAFHKLIRFKCTT", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSTRTPLPTVNERDTENHTSHGDGRQEVTSRTSRSGARCRNSIASCADEQPHIGNYRLLKTIGKGNFAKVKLARHILTGREVAIKIIDKTQLNPTSLQKLFREVRIMKILNHPNIVKLFEVIETEKTLYLIMEYASGGEVFDYLVAHGRMKEKEARSKFRQIVSAVQYCHQKRIVHRDLKAENLLLDADMNIKIADFGFSNEFTVGGKLDTFCGSPPYAAPELFQGKKYDGPEVDVWSLGVILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKRFLVLNPIKRGTLEQIMKDRWINAGHEEDELKPFVEPELDISDQKRIDIMVGMGYSQEEIQESLSKMKYDEITATYLLLGRKSSELDASDSSSSSNLSLAKVRPSSDLNNSTGQSPHHKVQRSVFSSQKQRRYSDHAGPAIPSVVAYPKRSQTSTADSDLKEDGISSRKSSGSAVGGKGIAPASPMLGNASNPNKADIPERKKSSTVPSSNTASGGMTRRNTYVCSERTTADRHSVIQNGKENSTIPDQRTPVASTHSISSAATPDRIRFPRGTASRSTFHGQPRERRTATYNGPPASPSLSHEATPLSQTRSRGSTNLFSKLTSKLTRRNMSFRFIKRLPTEYERNGRYEGSSRNVSAEQKDENKEAKPRSLRFTWSMKTTSSMDPGDMMREIRKVLDANNCDYEQRERFLLFCVHGDGHAENLVQWEMEVCKLPRLSLNGVRFKRISGTSIAFKNIASKIANELKL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKKAEMGRFSISPDEDSSSYSSNSDFNYSYPTKQAALKSHYADVDPENQNFLLESNLGKKKYETEFHPGTTSFGMSVFNLSNAIVGSGILGLSYAMANTGIALFIILLTFVSIFSLYSVHLLLKTANEGGSLLYEQLGYKAFGLVGKLAASGSITMQNIGAMSSYLFIVKYELPLVIQALTNIEDKTGLWYLNGNYLVLLVSLVVILPLSLFRNLGYLGYTSGLSLLCMVFFLIVVICKKFQVPCPVEAALIINETINTTLTQPTALVPALSHNVTENDSCRPHYFIFNSQTVYAVPILIFSFVCHPAVLPIYEELKDRSRRRMMNVSKISFFAMFLMYLLAALFGYLTFYEHVESELLHTYSSILGTDILLLIVRLAVLMAVTLTVPVVIFPIRSSVTHLLCASKDFSWWRHSLITVSILAFTNLLVIFVPTIRDIFGFIGASAASMLIFILPSAFYIKLVKKEPMKSVQKIGALFFLLSGVLVMTGSMALIVLDWVHNAPGGGH", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MESLKRWNEERGLWCEKGVQVLLTTIGAFSAFGLMTIAISTDYWLYTRALICNTTNLTAGDDGPPHRGGSGSSEKKDPGGLTHSGLWRICCLEGLKRGVCVKINHFPEDTDYDHDSAEYLLRVVRASSIFPILSAILLLLGGVCVAASRVYKSKRNIILGAGILFVAAGLSNIIGVIVYISANAGEPGPKRDEEKKNHYSYGWSFYFGGLSFILAEVIGVLAVNIYIERSREAHCQSRSDLLKAGGGAGGSGGSGPSAILRLPSYRFRYRRRSRSSSRGSSEASPSRDASPGGPGGPGFASTDISMYTLSRDPSKGSVAAGLASAGGGGSGAGVGAYGGAAGAAGGGGAGSERDRGSSAGFLTLHNAFPKEAASGVTVTVTGPPAAPAPAPAPPAPAAPAPGTLSKEAAASNTNTLNRKTTPV", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGDEDLIELKFRLADGTDIGPSKYSQFMTVASLKEKIIAQWPKDKENAPKMINEVKLINGGKILENNKTLSEARSLITIGELPGIVTTMHVVLRPPLFEKKKEKLQNDPPRKSHCVCCIL", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRAGPGPTVTLALVLAVSWAMELKPTAPPIFTGRPFVVAWDVPTQDCGPRLKVPLDLNAFDVQASPNEGFVNQNITIFYRDRLGLYPRFDSAGRSVHGGVPQNVSLWAHRKMLQKRVEHYIRTQESAGLAVIDWEDWRPVWVRNWQDKDVYRRLSRQLVASRHPDWPPDRIVKQAQYEFEFAAQQFMLETLRYVKAVRPRHLWGFYLFPDCYNHDYVQNWESYTGRCPDVEVARNDQLAWLWAESTALFPSVYLDETLASSRHGRNFVSFRVQEALRVARTHHANHALPVYVFTRPTYSRRLTGLSEMDLISTIGESAALGAAGVILWGDAGYTTSTETCQYLKDYLTRLLVPYVVNVSWATQYCSRAQCHGHGRCVRRNPSASTFLHLSTNSFRLVPGHAPGEPQLRPVGELSWADIDHLQTHFRCQCYLGWSGEQCQWDHRQAAGGASEAWAGSHLTSLLALAALAFTWTL", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDGNDNVTLLFAPLLRDNYTLAPNASSLGPGTDLALAPASSAGPGPGLSLGPGPSFGFSPGPTPTPEPTTSGLAGGAASHGPSPFPRPWAPHALPFWDTPLNHGLNVFVGAALCITMLGLGCTVDVNHFGAHVRRPVGALLAALCQFGLLPLLAFLLALAFKLDEVAAVAVLLCGCCPGGNLSNLMSLLVDGDMNLSIIMTISSTLLALVLMPLCLWIYSWAWINTPIVQLLPLGTVTLTLCSTLIPIGLGVFIRYKYSRVADYIVKVSLWSLLVTLVVLFIMTGTMLGPELLASIPAAVYVIAIFMPLAGYASGYGLATLFHLPPNCKRTVCLETGSQNVQLCTAILKLAFPPQFIGSMYMFPLLYALFQSAEAGIFVLIYKMYGSEMLHKRDPLDEDEDTDISYKKLKEEEMADTSYGTVKAENIIMMETAQTSL", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPEEESIDIKFRLYDGSDIGPFRYSAASTVDFLKQRVVSDWPKGKTVVPKGINEVKLISSGKILENNKTVGQCKTPFGDIAGGVIVMHVVVQPSLAKSKTEKKVDKAPKAVICTCTIL", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASSSLPNLVPPGPHCLRPFTPESLAAIEQRAVEEEARLQRNKQMEIEEPERKPRSDLEAGKNLPLIYGDPPPEVIGIPLEDLDPYYSDKKTFIVLNKGKAIFRFSATPALYLLSPFSIVRRVAIKVLIHALFSMFIMITILTNCVFMTMSNPPSWSKHVEYTFTGIYTFESLIKMLARGFCIDDFTFLRDPWNWLDFSVITMAYVTEFVDLGNISALRTFRVLRALKTITVIPGLKTIVGALIQSVKKLSDVMILTVFCLSVFALVGLQLFMGNLRQKCVRWPPPMNDTNTTWYGNDTWYSNDTWYGNDTWYINDTWNSQESWAGNSTFDWEAYINDEGNFYFLEGSNDALLCGNSSDAGHCPEGYECIKAGRNPNYGYTSYDTFSWAFLALFRLMTQDYWENLFQLTLRAAGKTYMIFFVVIIFLGSFYLINLILAVVAMAYAEQNEATLAEDQEKEEEFQQMLEKYKKHQEELEKAKAAQALESGEEADGDPTHNKDCNGSLDASGEKGPPRPSCSADSAISDAMEELEEAHQKCPPWWYKCAHKVLIWNCCAPWVKFKHIIYLIVMDPFVDLGITICIVLNTLFMAMEHYPMTEHFDNVLSVGNLVFTGIFTAEMVLKLIAMDPYEYFQQGWNIFDSFIVTLSLVELGLANVQGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKIASDCNLPRWHMNDFFHSFLIVFRILCGEWIETMWDCMEVAGQAMCLTVFLMVMVIGNLVVLNLFLALLLSSFSADSLAASDEDGEMNNLQIAIGRIKWGIGFAKTFLLGLLRGKILSPKEIILSLGEPGGAGENAEESTPEDEKKEPPPEDKELKDNHILNHVGLTDGPRSSIELDHLNFINNPYLTIQVPIASEESDLEMPTEEETDAFSEPEDIKKPLQPLYDGNSSVCSTADYKPPEEDPEEQAEENPEGEQPEECFTEACVKRCPCLYVDISQGRGKMWWTLRRACFKIVEHNWFETFIVFMILLSSGALAFEDIYIEQRRVIRTILEYADKVFTYIFILEMLLKWVAYGFKVYFTNAWCWLDFLIVDVSIISLVANWLGYSELGPIKSLRTLRALRPLRALSRFEGMRVVVNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYYCVNTTTSERFDISVVNNKSESESLMYTGQVRWMNVKVNYDNVGLGYLSLLQVATFKGWMDIMYAAVDSREKEEQPHYEVNLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGKDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPQNKIQGMVYDFVTKQVFDISIMILICLNMVTMMVETDDQSQLKVDILYNINMVFIIIFTGECVLKMFALRHYYFTIGWNIFDFVVVILSIVGLALSDLIQKYFVSPTLFRVIRLARIGRVLRLIRGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYSIFGMSNFAYVKKESGIDDMFNFETFGNSIICLFEITTSAGWDGLLNPILNSGPPDCDPTLENPGTNVRGDCGNPSIGICFFCSYIIISFLIVVNMYIAIILENFNVATEESSEPLSEDDFEMFYETWEKFDPDATQFIDYSRLSDFVDTLQEPLKIAKPNKIKLITLDLPMVPGDKIHCLDILFALTKEVLGDSGEMDALKQTMEEKFMAANPSKVSYEPITTTLKRKQEEVCAIKIQRAYRRHLLQRSVKQASYMYRHSQDGNDDGAPEKEGLLANTMNKMYGHEKEGDGVQSQGEEEKASTEDAGPTVEPEPTSSSDTALTPSPPPLPPSSSPPQGQTVRPGVKESLV", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSTCGRKALTLLSSVFAVCGLGLLGIAVSTDYWLYLEEGIILPQNQSTEVKMSLHSGLWRVCFLAGEERGRCFTIEYVMPMNSQMTSESTVNVLKMIRSATPFPLVSLFFMFIGFILSNIGHIRPHRTILAFVSGIFFILSGLSLVVGLVLYISSINDEMLNRTKDAETYFNYKYGWSFAFAAISFLLTESAGVMSVYLFMKRYTAEDMYRPHPGFYRPRLSNCSDYSGQFLHPDAWIRGRSPSDISSDASLQMNSNYPALLKCPDYDQMSSSPC", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSEGVEAIVANDNGTDQVNGNRTGKDNEEHDGSTGSNLSNFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIVLQIFYGLLGSWTAYLISVLYVEYRARKEKEGKSFKNHVIQWFEVLDGLLGSYWKALGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIASIIHGQAEGVKHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATLYVFTLTIPSAAAVYWAFGDALLDHSNAFSLMPKNAWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRSASARQNAAEKPPFFMPSWTAMYVLNAFVVVWVLIVGFGFGGWASVTNFVRQVDTFGLFAKCYQCKPAAAAAHAPVSALHHRL", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTPPPPGRAAPSAPRARVLSLPARFGLPLRLRLLLVFWVAAASAQGHSRSGPRISAVWKGQDHVDFSQPEPHTVLFHEPGSFSVWVGGRGKVYHFNFPEGKNASVRTVNIGSTKGSCQDKQDCGNYITLLERRGNGLLVCGTNARKPSCWNLVNDSVVMSLGEMKGYAPFSPDENSLVLFEGDEVYSTIRKQEYNGKIPRFRRIRGESELYTSDTVMQNPQFIKATIVHQDQAYDDKIYYFFREDNPDKNPEAPLNVSRVAQLCRGDQGGESSLSVSKWNTFLKAMLVCSDAATNRNFNRLQDVFLLPDPSGQWRDTRVYGVFSNPWNYSAVCVYSLGDIDRVFRTSSLKGYHMGLPNPRPGMCLPKKQPIPTETFQVADSHPEVAQRVEPMGPLKTPLFHSKYHYQKVVVHRMQASNGETFHVLYLTTDRGTIHKVVESGDQDHSFVFNIMEIQPFHRAAAIQAISLDADRRKLYVTSQWEVSQVPLDMCEVYSGGCHGCLMSRDPYCGWDQDRCVSIYSSQRSVLQSINPAEPHRECPNPKPDEAPLQKVSLARNSRYYLTCPMESRHATYLWRHEENVEQSCEPGHQSPSCILFIENLTARQYGHYRCEAQEGSYLREAQHWELLPEDRALAEQLMGHARALAASFWLGVLPTLILGLLVH", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEIPRQTEMVELVPNGKHLEGLLPVGVPTTDTQRTEDTQHCGEGKGFLQKSPSKEPHFTDFEGKTSFGMSVFNLSNAIMGSGILGLAYAMANTGIILFLFLLTAVALLSSYSIHLLLKSSGIVGIRAYEQLGYRAFGTPGKLAAALAITLQNIGAMSSYLYIIKSELPLVIQTFLNLEKPASVWYMDGNYLVILVSVTIILPLALMRQLGYLGYSSGFSLSCMVFFLIAVIYKKFQVPCPLAHNLANATGNFSHMVVAEEKAQLQGEPDTAAEAFCTPSYFTLNSQTAYTIPIMAFAFVCHPEVLPIYTELKDPSKRKMQHISNLSIAVMYVMYFLAALFGYLTFYDGVESELLHTYSKVDPFDVLILCVRVAVLIAVTLTVPIVLFPVRRAIQQMLFQNQEFSWLRHVLIATGLLTCINLLVIFAPNILGIFGIIGATSAPCLIFIFPAIFYFRIMPTDKEPARSTPKILALCFAAVGFLLMTMSLSFIIIDWVSGTSQHGGNH", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAAPTPARPVLTHLLVALFGMGSWAAVNGIWVELPVVVKELPEGWSLPSYVSVLVALGNLGLLVVTLWRRLAPGKDEQVPIRVVQVLGMVGTALLASLWHHVAPVAGQLHSVAFLALAFVLALACCASNVTFLPFLSHLPPRFLRSFFLGQGLSALLPCVLALVQGVGRLECPPAPINGTPGPPLDFLERFPASTFFWALTALLVASAAAFQGLLLLLPPPPSVPTGELGSGLQVGAPGAEEEVEESSPLQEPPSQAAGTTPGPDPKAYQLLSARSACLLGLLAATNALTNGVLPAVQSFSCLPYGRLAYHLAVVLGSAANPLACFLAMGVLCRSLAGLGGLSLLGVFCGGYLMALAVLSPCPPLVGTSAGVVLVVLSWVLCLGVFSYVKVAASSLLHGGGRPALLAAGVAIQVGSLLGAVAMFPPTSIYHVFHSRKDCADPCDS", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEDSPTMVRVDSPTMVRGENQVSPCQGRRCFPKALGYVTGDMKELANQLKDKPVVLQFIDWILRGISQVVFVNNPVSGILILVGLLVQNPWWALTGWLGTVVSTLMALLLSQDRSLIASGLYGYNATLVGVLMAVFSDKGDYFWWLLLPVCAMSMTCPIFSSALNSMLSKWDLPVFTLPFNMALSMYLSATGHYNPFFPAKLVIPITTAPNISWSDLSALELLKSIPVGVGQIYGCDNPWTGGIFLGAILLSSPLMCLHAAIGSLLGIAAGLSLSAPFEDIYFGLWGFNSSLACIAMGGMFMALTWQTHLLALGCALFTAYLGVGMANFMAEVGLPACTWPFCLATLLFLIMTTKNSNIYKMPLSKVTYPEENRIFYLQAKKRMVESPL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDRSGSSDFAGAAATTGRSNPAPWSDDKESPNNEDDSNEDDGDHTTPAKVTDPLAPKLANNERILVVSVTERTRETWGQKAEFLLAVIGFAVDLGNVWRFPYICYQNGGGAFLVPYCLFLIFGGLPLFYMELALGQFHRCGCLSIWKRICPALKGVGYAICLIDIYMGMYYNTIIGWAVYYLFASFTSKLPWTSCDNPWNTENCMQVTSENFTELATSPAKEFFERKVLESYKGNGLDFMGPVKPTLALCVFGVFVLVYFSLWKGVRSAGKVVWVTALAPYVVLIILLVRGVSLPGADEGIKYYLTPEWHKLKNSKVWIDAASQIFFSLGPGFGTLLALSSYNKFNNNCYRDALITSSINCLTSFLAGFVIFSVLGYMAYVQKTSIDKVGLEGPGLVFIVYPEAIATMSGSVFWSIIFFLMLITLGLDSTFGGLEAMITALCDEYPRVIGRRRELFVLLLLAFIFLCALPTMTYGGVVLVNFLNVYGPGLAILFVVFVEAAGVFWFYGVDRFSSDVEQMLGSKPGLFWRICWTYISPVFLLTIFIFSIMGYKEMLGEEYYYPDWSYQVGWAVTCSSVLCIPMYIIYKFFFASKGGCRQRLQESFQPEDNCGSVVPGQQGTSV", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MELRVLLCWASLAAALEETLLNTKLETADLKWVTFPQVDGQWEELSGLDEEQHSVRTYEVCDVQRAPGQAHWLRTGWVPRRGAVHVYATLRFTMLECLSLPRAGRSCKETFTVFYYESDADTATALTPAWMENPYIKVDTVAAEHLTRKRPGAEATGKVNVKTLRLGPLSKAGFYLAFQDQGACMALLSLHLFYKKCAQLTVNLTRFPETVPRELVVPVAGSCVVDAVPAPGPSPSLYCREDGQWAEQPVTGCSCAPGFEAAEGNTKCRACAQGTFKPLSGEGSCQPCPANSHSNTIGSAVCQCRVGYFRARTDPRGAPCTTPPSAPRSVVSRLNGSSLHLEWSAPLESGGREDLTYALRCRECRPGGSCAPCGGDLTFDPGPRDLVEPWVVVRGLRPDFTYTFEVTALNGVSSLATGPVPFEPVNVTTDREVPPAVSDIRVTRSSPSSLSLAWAVPRAPSGAVLDYEVKYHEKGAEGPSSVRFLKTSENRAELRGLKRGASYLVQVRARSEAGYGPFGQEHHSQTQLDESEGWREQLALIAGTAVVGVVLVLVVIVVAVLCLRKQSNGREAEYSDKHGQYLIGHGTKVYIDPFTYEDPNEAVREFAKEIDVSYVKIEEVIGAGEFGEVCRGRLKAPGKKESCVAIKTLKGGYTERQRREFLSEASIMGQFEHPNIIRLEGVVTNSMPVMILTEFMENGALDSFLRLNDGQFTVIQLVGMLRGIASGMRYLAEMSYVHRDLAARNILVNSNLVCKVSDFGLSRFLEENSSDPTYTSSLGGKIPIRWTAPEAIAFRKFTSASDAWSYGIVMWEVMSFGERPYWDMSNQDVINAIEQDYRLPPPPDCPTSLHQLMLDCWQKDRNARPRFPQVVSALDKMIRNPASLKIVARENGGASHPLLDQRQPHYSAFGSVGEWLRAIKMGRYEESFAAAGFGSFELVSQISAEDLLRIGVTLAGHQKKILASVQHMKSQAKPGTPGGTGGPAPQY", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRYPPSIGSILLIIPIFLTFFGNSNAQLWKRCVSPQDCLCSGTTNGISRYGTGNILEDLETMYRGCRRVYGNLEITWIEANEIKKWRESTNSTVDPKNEDSPLKSINFFDNLEEIRGSLIIYRANIQKISFPRLRVIYGDEVFHDNALYIHKNDKVHEVVMRELRVIRNGSVTIQDNPKMCYIGDKIDWKELLYDPDVQKVETTNSHQHCYQNGKSMAKCHESCNDKCWGSGDNDCQRVYRSVCPKSCSQCFYSNSTSSYECCDSACLGGCTGHGPKNCIACSKYELDGICIETCPSRKIFNHKTGRLVFNPDGRYQNGNHCVKECPPELLIENDVCVRHCSDGHHYDATKDVRECEKCRSSSCPKICTVDGHLTNETLKNLEGCEQIDGHLIIEHAFTYEQLKVLETVKIVSEYITIVQQNFYDLKFLKNLQIIEGRKLHNVRWALAIYQCDDLEELSLNSLKLIKTGAVLIMKNHRLCYVSKIDWSSIITSKGKDNKPSLAIAENRDSKLCETEQRVCDKNCNKRGCWGKEPEDCLECKTWKSVGTCVEKCDTKGFLRNQTSMKCERCSPECETCNGLGELDCLTCRHKTLYNSDFGNRMECVHDCPVSHFPTQKNVCEKCHPTCYDNGCTGPDSNLGYGGCKQCKYAVKYENDTIFCLQSSGMNNVCVENDLPNYYISTYDTEGVIETHCEKCSISCKTCSSAGRNVVQNKCVCKHVEYQPNPSERICMDQCPVNSFMVPDTNNTVCKKCHHECDQNYHCANGQSTGCQKCKNFTVFKGDIAQCVSECPKNLPFSNPANGECLDYDIASRQRKTRMVIIGSVLFGFAVMFLFILLVYWRCQRIGKKLKIAEMVDMPELTPIDASVRPNMSRICLIPSSELQTKLDKKLGAGAFGTVFAGIYYPKRAKNVKIPVAIKVFQTDQSQTDEMLEEATNMFRLRHDNLLKIIGFCMHDDGLKIVTIYRPLGNLQNFLKLHKENLGAREQVLYCYQIASGMQYLEKQRVVHRDLATRNVLVKKFNHVEITDFGLSKILKHDADSITIKSGKVAIKWLAIEIFSKHCYTHASDVWAFGVTCWEIITFGQSPYQGMSTDSIHNFLKDGNRLSQPPNCSQDLYQELLRCWMADPKSRPGFEILYERFKEFCKVPQLFLENSNKISESDLSAEERFQTERIREMFDGNIDPQMYFDQGSLPSMPSSPTSMATFTIPHGDLMNRMQSVNSSRYKTEPFDYGSTAQEDNSYLIPKTKEVQQSAVLYTAVTNEDGQTELSPSNGDYYNQPNTPSSSSGYYNEPHLKTKKPETSEEAEAVQYENEEVSQKETCL", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGNSLACFCCGGGAGGRGGRHVAPAALPSDPAYDEGLGHSFCYVRPDKFVVPFSADDLVADAKAAAAAEGEATTFRAISGAALSANVSTPLSTSVLLLMPEESSASATASSGFESSESFAAVPLQPVPRFSSGPISAPFSGGFMSGPLERGFQSGPLDAALLSGPLPGTATSGRMGGAVPALRRSLSHGGRRLRNFTRALLARTEKFQDSADLGSPDAAAAAVAACGGDPCGLQWAQGKAGEDRVHVVVSEERGWVFVGIYDGFNGPDATDFLVSNLYAAVHRELRGLLWDQREQNVQHDQRPDQPGSAPSTTASDNQDQWGRRRRTRRSRPPRGADDDQRRWKCEWEQERDCSNLKPPTQQRLRCNSENDHVAVLKALTRALHRTEEAYLDIADKMVGEFPELALMGSCVLAMLMKGEDMYIMNVGDSRAVLATMDSVDLEQISQGSFDGSVGDCPPCLSAVQLTSDHSTSVEEEVIRIRNEHPDDPSAISKDRVKGSLKVTRAFGAGFLKQPKWNDALLEMFRIDYVGSSPYISCNPSLFHHKLSTRDRFLILSSDGLYQYFTNEEAVAQVEMFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPHGDRRRYHDDVSVIVISLEGRIWRSCV", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLALADNILRIINFLFLVISIGLISSLLNTQHRHSSRVNYCMFACAYGIFTDSLYGVFANFIEPLAWPLVLFTLDFLNFVFTFTAGTVLAVGIRAHSCNNSSYVDSNKITQGSGTRCRQAQAAVAFLYFSCAIFLAKTLMSVFNMISNGAFGSGSFSKRRRTGQVGVPTISQV", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGTTKVTPSLVFAVTVATIGSFQFGYNTGVINAPETILKDFLNYTLEERLEDLPSEGLLTALWSLCVAIFSVGGMIGSFSVGLFVNRFGRRNSMLLVNLLAIIAGCLMGFAKIAESVEMLILGRLLIGIFCGLCTGFVPMYIGEVSPTALRGAFGTLNQLGIVVGILVAQIFGLDFILGSEELWPGLLGLTIIPAILQSAALPFCPESPRFLLINKKEEDQATEILQRLWGTSDVVQEIQEMKDESVRMSQEKQVTVLELFRSPNYVQPLLISIVLQLSQQLSGINAVFYYSTGIFKDAGVQEPIYATIGAGVVNTIFTVVSLFLVERAGRRTLHMIGLGGMAVCSVFMTISLLLKDDYEAMSFVCIVAILIYVAFFEIGPGPIPWFIVAELFSQGPRPAAIAVAGCCNWTSNFLVGMLFPSAAAYLGAYVFIIFAAFLIFFLIFTFFKVPETKGRTFEDIARAFEGQAHSGKGPAGVELNSMQPVKETPGNA", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAQLEGYYFSAALSCTFLVSCLLFSAFSRALREPYMDEIFHLPQAQRYCEGRFSLSQWDPMITTLPGLYLVSVGVVKPASWILGWSEHVVCSIGMLRFVNLLFSVGNFYLLYLLFRKIQPRNKASSSIQRILSTLTLAVFPTLYFFNFLYYTEAGSVFFTLFAYLMCLYGNHRTSALLGFCGFMFRQTNIIWAAFCAGHIIAQKCSEAWKTELQKKKEERLPPAKGPLSELRRVLQFLLMYSMSLKNLSMLFLLTWPYMLLLLAFFVFVVVNGGIVVGDRSSHEACLHFPQLFYFFSFTAFFSFPHLLSPTKVKTFLSLVWKRRVQFSVITLVSVFLVWKFTYVHKYLLADNRHYTFYVWKRVFQRHEIVKYLLVPAYMFAGWAVADSLKSKSIFWNLMFFVCLVASTVPQKLLEFRYFILPYIIYRLNMPLPPISRLVCELGCYAVVNFLTFYIFLNKTFQWSDSHDIQRFMW", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSAADEVDGLGVARPHYGSVLDNERLTAEEMDERRRQNVAYEYLCHLEEAKRWMEACLGEDLPPTTELEEGLRNGVYLAKLGNFFSPKVVSLKKIYDREQTRYKATGLHFRHTDNVIQWLNAMDEIGLPKIFYPETTDIYDRKNMPRCIYCIHALSLYLFKLGLAPQIQDLYGKVDFTEEEINNMKTELEKYGIQMPAFSKIGGILANELSVDEAALHAAVIAINEAIDRRIPADTFAALKNPNAMLVNLEEPLASTYQDILYQAKQDKMTNAKNRTENSERERDVYEELLTQAEIQGNINKVNTFSALANIDLALEQGDALALFRALQSPALGLRGLQQQNSDWYLKQLLSDKQQKRQSGQTDPLQKEELQSGVDAANSAAQQYQRRLAAVALINAAIQKGVAEKTVLELMNPEAQLPQVYPFAADLYQKELATLQRQSPEHNLTHPELSVAVEMLSSVALINRALESGDVNTVWKQLSSSVTGLTNIEEENCQRYLDELMKLKAQAHAENNEFITWNDIQACVDHVNLVVQEEHERILAIGLINEALDEGDAQKTLQALQIPAAKLEGVLAEVAQHYQDTLIRAKREKAQEIQDESAVLWLDEIQGGIWQSNKDTQEAQKFALGIFAINEAVESGDVGKTLSALRSPDVGLYGVIPECGETYHSDLAEAKKKKLAVGDNNSKWVKHWVKGGYYYYHNLETQEGGWDEPPNFVQNSMQLSREEIQSSISGVTAAYNREQLWLANEGLITRLQARCRGYLVRQEFRSRMNFLKKQIPAITCIQSQWRGYKQKKAYQDRLAYLRSHKDEVVKIQSLARMHQARKRYRDRLQYFRDHINDIIKIQAFIRANKARDDYKTLINAEDPPMVVVRKFVHLLDQSDQDFQEELDLMKMREEVITLIRSNQQLENDLNLMDIKIGLLVKNKITLQDVVSHSKKLTKKNKEQLSDMMMINKQKGGLKALSKEKREKLEAYQHLFYLLQTNPTYLAKLIFQMPQNKSTKFMDSVIFTLYNYASNQREEYLLLRLFKTALQEEIKSKVDQIQEIVTGNPTVIKMVVSFNRGARGQNALRQILAPVVKEIMDDKSLNIKTDPVDIYKSWVNQMESQTGEASKLPYDVTPEQALAHEEVKTRLDSSIRNMRAVTDKFLSAIVSSVDKIPYGMRFIAKVLKDSLHEKFPDAGEDELLKIIGNLLYYRYMNPAIVAPDAFDIIDLSAGGQLTTDQRRNLGSIAKMLQHAASNKMFLGDNAHLSIINEYLSQSYQKFRRFFQTACDVPELQDKFNVDEYSDLVTLTKPVIYISIGEIINTHTLLLDHQDAIAPEHNDPIHELLDDLGEVPTIESLIGESSGNLNDPNKEALAKTEVSLTLTNKFDVPGDENAEMDARTILLNTKRLIVDVIRFQPGETLTEILETPATSEQEAEHQRAMQRRAIRDAKTPDKMKKSKSVKEDSNLTLQEKKEKIQTGLKKLTELGTVDPKNKYQELINDIARDIRNQRRYRQRRKAELVKLQQTYAALNSKATFYGEQVDYYKSYIKTCLDNLASKGKVSKKPREMKGKKSKKISLKYTAARLHEKGVLLEIEDLQVNQFKNVIFEISPTEEVGDFEVKAKFMGVQMETFMLHYQDLLQLQYEGVAVMKLFDRAKVNVNLLIFLLNKKFYGK", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAEAFVSFGLEKLWDLLSRESERLQGIDGQLDGLKRQLRSLQSLLKDADAKKHGSDRVRNFLEDVKDLVFDAEDIIESYVLNKLSGKGKGVKKHVRRLACFLTDRHKVASDIEGITKRISEVIGEMQSFGIQQIIDGGRSLSLQERQRVQREIRQTYPDSSESDLVGVEQSVKELVGHLVENDVHQVVSIAGMGGIGKTTLARQVFHHDLVRRHFDGFAWVCVSQQFTQKHVWQRILQELQPHDGDILQMDEYALQRKLFQLLEAGRYLVVLDDVWKKEDWDVIKAVFPRKRGWKMLLTSRNEGVGIHADPTCLTFRASILNPEESWKLCERIVFPRRDETEVRLDEEMEAMGKEMVTHCGGLPLAVKALGGLLANKHTVPEWKRVFDNIGSQIVGGSWLDDNSLNSVYRILSLSYEDLPTHLKHCFLNLAHFPEDSEISTYSLFYYWAAEGIYDGSTIEDSGEYYLEELVRRNLVIADDNYLSWQSKYCQMHDMMREVCLSKAKEENFLQIIIDPTCTSTINAQSPSRSRRLSIHSGKAFHILGHKNKTKVRSLIVPRFEEDYWIRSASVFHNLTLLRVLDLSWVKFEGGKLPCSIGGLIHLRYLSLYEAKVSHLPSTMRNLKLLLYLNLRVDTEEPIHVPNVLKEMIQLRYLSLPLKMDDKTKLELGDLVNLEYLYGFSTQHSSVTDLLRMTKLRYLAVSLSERCNFETLSSSLRELRNLETLNFLFSLETYMVDYMGEFVLDHFIHLKQLGLAVRMSKIPDQHQFPPHLVHLFLIYCGMEEDPMPILEKLLHLKSVRLARKAFLGSRMVCSKGGFPQLCVIEISKESELEEWIVEEGSMPCLRTLTIDDCKKLKELPDGLKYITSLKELKIEGMKREWKEKLVPGGEDYYKVQHIPDVQFINCDQ", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFITATQYFTSRLNTSQNISLVTNQSCESTEALADPSVSLPARSSLSAIFNNVMTLCAMLPLLIFTCLNSFLHQKVSQSLRILGSLLAILLVFLVTATLVKVQMDALSFFIITMIKIVLINSFGAILQASLFGLAGVLPANYTAPIMSGQGLAGFFTSVAMICAVASGSKLSESAFGYFITACAVVILAILCYLALPWMEFYRHYLQLNLAGPAEQETKLDLISEGEEPRGGREESGVPGPNSLPANRNQSIKAILKSIWVLALSVCFIFTVTIGLFPAVTAEVESSIAGTSPWKNCYFIPVACFLNFNVFDWLGRSLTAICMWPGQDSRWLPVLVACRVVFIPLLMLCNVKQHHYLPSLFKHDVWFITFMAAFAFSNGYLASLCMCFGPKKVKPAEAETAGNIMSFFLCLGLALGAVLSFLLRALV", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPVRRGHVAPQNTFLDTIIRKFEGQSRKFIIANARVENCAVIYCNDGFCELCGYSRAEVMQRPCTCDFLHGPRTQRRAAAQIAQALLGAEERKVEIAFYRKDGSCFLCLVDVVPVKNEDGAVIMFILNFEVVMEKDMVGSPAHDTNHRGPPTSWLAPGRAKTFRLKLPALLALTARESSVRSGGAGGAGAPGAVVVDVDLTPAAPSSESLALDEVTAMDNHVAGLGPAEERRALVGPGSPPRSAPGQLPSPRAHSLNPDASGSSCSLARTRSRESCASVRRASSADDIEAMRAGVLPPPPRHASTGAMHPLRSGLLNSTSDSDLVRYRTISKIPQITLNFVDLKGDPFLASPTSDREIIAPKIKERTHNVTEKVTQVLSLGADVLPEYKLQAPRIHRWTILHYSPFKAVWDWLILLLVIYTAVFTPYSAAFLLKETEEGPPATECGYACQPLAVVDLIVDIMFIVDILINFRTTYVNANEEVVSHPGRIAVHYFKGWFLIDMVAAIPFDLLIFGSGSEELIGLLKTARLLRLVRVARKLDRYSEYGAAVLFLLMCTFALIAHWLACIWYAIGNMEQPHMDSRIGWLHNLGDQIGKPYNSSGLGGPSIKDKYVTALYFTFSSLTSVGFGNVSPNTNSEKIFSICVMLIGSLMYASIFGNVSAIIQRLYSGTARYHTQMLRVREFIRFHQIPNPLRQRLEEYFQHAWSYTNGIDMNAVLKGFPECLQADICLHLNRSLLQHCKPFRGATKGCLRALAMKFKTTHAPPGDTLVHAGDLLTALYFISRGSIEILRGDVVVAILGKNDIFGEPLNLYARPGKSNGDVRALTYCDLHKIHRDDLLEVLDMYPEFSDHFWSSLEITFNLRDTNMIPGSPGSTELEGGFSRQRKRKLSFRRRTDKDTEQPGEVSALGPGRAGAGPSSRGRPGGPWGESPSSGPSSPESSEDEGPGRSSSPLRLVPFSSPRPPGEPPGGEPLMEDCEKSSDTCNPLSGAFSGVSNIFSFWGDSRGRQYQELPRCPAPTPSLLNIPLSSPGRRPRGDVESRLDALQRQLNRLETRLSADMATVLQLLQRQMTLVPPAYSAVTTPGPGPTSTSPLLPVSPLPTLTLDSLSQVSQFMACEELPPGAPELPQEGPTRRLSLPGQLGALTSQPLHRHGSDPGS", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASNNSRTNSRANYSNEIHDLSTVQNGTMPTMYYGEKAIADFFPPHLLKKVVSEVVATFLLVFMTCGAAGISGSDLSRISQLGQSIAGGLIVTVMIYAVGHISGAHMNPAVTLAFAVFRHFPWIQVPFYWAAQFTGAICASFVLKAVIHPVDVIGTTTPVGPHWHSLVVEVIVTFNMMFVTLAVATDTRAVGELAGLAVGSAVCITSIFAGAISGGSMNPARTLGPALASNKFDGLWIYFLGPVMGTLSGAWTYTFIRFEDTPKEGSSQKLSSFKLRRLRSQQSIAADDVDEMENIQV", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGNGSVKPKHSKHPDGHSGNLTTDALRNKVTELERELRRKDAEIQEREYHLKELREQLSKQTVAIAELTEELQNKCIQLNKLQDVVHMQGGSPLQASPDKVPLEVHRKTSGLVSLHSRRGAKAGVSAEPTTRTYDLNKPPEFSFEKARVRKDSSEKKLITDALNKNQFLKRLDPQQIKDMVECMYGRNYQQGSYIIKQGEPGNHIFVLAEGRLEVFQGEKLLSSIPMWTTFGELAILYNCTRTASVKAITNVKTWALDREVFQNIMRRTAQARDEQYRNFLRSVSLLKNLPEDKLTKIIDCLEVEYYDKGDYIIREGEEGSTFFILAKGKVKVTQSTEGHDQPQLIKTLQKGEYFGEKALISDDVRSANIIAEENDVACLVIDRETFNQTVGTFEELQKYLEGYVANLNRDDEKRHAKRSMSNWKLSKALSLEMIQLKEKVARFSSSSPFQNLEIIATLGVGGFGRVELVKVKNENVAFAMKCIRKKHIVDTKQQEHVYSEKRILEELCSPFIVKLYRTFKDNKYVYMLLEACLGGELWSILRDRGSFDEPTSKFCVACVTEAFDYLHRLGIIYRDLKPENLILDAEGYLKLVDFGFAKKIGSGQKTWTFCGTPEYVAPEVILNKGHDFSVDFWSLGILVYELLTGNPPFSGVDQMMTYNLILKGIEKMDFPRKITRRPEDLIRRLCRQNPTERLGNLKNGINDIKKHRWLNGFNWEGLKARSLPSPLQRELKGPIDHSYFDKYPPEKGMPPDELSGWDKDF", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDIEKAGSRREEEEPIVQRPKLDKGKGKAHVFAPPMNYNRIMDKHKQEKMSPAGWKRGVAIFDFVLRLIAAITAMAAAAKMATTEETLPFFTQFLQFQADYTDLPTMSSFVIVNSIVGGYLTLSLPFSIVCILRPLAVPPRLFLILCDTVMMGLTLMAASASAAIVYLAHNGNSSSNWLPVCQQFGDFCQGTSGAVVASFIAATLLMFLVILSAFALKRTT", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVPSQEEPAAERETNEAQPPGPAPSDDAPLPGPGPSDVSDVAAEKVEVELTRSAGSEPPVPPEGGWGWLVMLAAMWCNGSVFGIQNAYGVLFVSMLDTFKAKDDDNMAFKTAWVGSLSMGMIFFCCPIVSVFTDMFGCRRTAVVGAAVGFIGLMSSSFVSSIEPLYLTYGIIFACGCSFAYQPSLVILGHYFKKRLGLVNGIVTAGSSVFTILLPLLLGNLISSVKLFNTLRILCIFMFVLFLAGFTYRPLVPSTKEKESGGSRSSFFSRRKLSPPKKVFNFALFKETTYAVWAAGIPLALFGYFVPYVHLMNHVKERFQDVNNKEVLFMCIGITSGVGRLLFGRIADYLPGVKKVYLQVLSFFFIGLMSMMIPLCSAFGALIAVCLAMGLFDGCFISIMAPIAFELVGPQDASQAIGFLLGFMSIPMTVGPPIAGLLHDKLGTYDVAFYLAGIPPFVGGVVLCLIPWIHSKKQRKISKNAGGEKMEKMLENQSSLLSGSSGIFKKDSASII", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGDKKDDKDSPKKNKGKERRDLDDLKKEVAMTEHKMSVEEVCRKYNTDCVQGLTHSKAQEILARDGPNALTPPPTTPEWVKFCRQLFGGFSILLWIGAILCFLAYGIQAGTEDDPSGDNLYLGIVLAAVVIITGCFSYYQEAKSSKIMESFKNMVPQQALVIREGEKMQVNAEEVVVGDLVEIKGGDRVPADLRIISAHGCKVDNSSLTGESEPQTRSPDCTHDNPLETRNITFFSTNCVEGTARGVVVATGDRTVMGRIATLASGLEVGKTPIAIEIEHFIQLITGVAVFLGVSFFILSLILGYTWLEAVIFLIGIIVANVPEGLLATVTVCLTLTAKRMARKNCLVKNLEAVETLGSTSTICSDKTGTLTQNRMTVAHMWFDNQIHEADTTEDQSGTSFDKSSHTWVALSHIAGLCNRAVFKGGQDNIPVLKRDVAGDASESALLKCIELSSGSVKLMRERNKKVAEIPFNSTNKYQLSIHETEDPNDNRYLLVMKGAPERILDRCSTILLQGKEQPLDEEMKEAFQNAYLELGGLGERVLGFCHYYLPEEQFPKGFAFDCDDVNFTTDNLCFVGLMSMIDPPRAAVPDAVGKCRSAGIKVIMVTGDHPITAKAIAKGVGIISEGNETVEDIAARLNIPVSQVNPRDAKACVIHGTDLKDFTSEQIDEILQNHTEIVFARTSPQQKLIIVEGCQRQGAIVAVTGDGVNDSPALKKADIGVAMGIAGSDVSKQAADMILLDDNFASIVTGVEEGRLIFDNLKKSIAYTLTSNIPEITPFLLFIMANIPLPLGTITILCIDLGTDMVPAISLAYEAAESDIMKRQPRNPRTDKLVNERLISMAYGQIGMIQALGGFFSYFVILAENGFLPGNLVGIRLNWDDRTVNDLEDSYGQQWTYEQRKVVEFTCHTAFFVSIVVVQWADLIICKTRRNSVFQQGMKNKILIFGLFEETALAAFLSYCPGMDVALRMYPLKPSWWFCAFPYSFLIFVYDEIRKLILRRNPGGWVEKETYY", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDNDGGAPPPPPTLVVEEPKKAEIRGVAFKELFRFADGLDYVLMGIGSVGAFVHGCSLPLFLRFFADLVNSFGSNSNNVEKMMEEVLKYALYFLVVGAAIWASSWAEISCWMWSGERQTTKMRIKYLEAALNQDIQFFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFIHYMATFVSGFIVGFTAVWQLALVTLAVVPLIAVIGGIHTTTLSKLSNKSQESLSQAGNIVEQTVVQIRVVMAFVGESRASQAYSSALKIAQKLGYKTGLAKGMGLGATYFVVFCCYALLLWYGGYLVRHHLTNGGLAIATMFAVMIGGLALGQSAPSMAAFAKAKVAAAKIFRIIDHKPTIERNSESGVELDSVTGLVELKNVDFSYPSRPDVKILNNFCLSVPAGKTIALVGSSGSGKSTVVSLIERFYDPNSGQVLLDGQDLKTLKLRWLRQQIGLVSQEPALFATSIKENILLGRPDADQVEIEEAARVANAHSFIIKLPDGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLIIAHRLSTIRKADLVAVLQQGSVSEIGTHDELFSKGENGVYAKLIKMQEAAHETAMSNARKSSARPSSARNSVSSPIMTRNSSYGRSPYSRRLSDFSTSDFSLSIDASSYPNYRNEKLAFKDQANSFWRLAKMNSPEWKYALLGSVGSVICGSLSAFFAYVLSAVLSVYYNPDHEYMIKQIDKYCYLLIGLSSAALVFNTLQHSFWDIVGENLTKRVREKMLSAVLKNEMAWFDQEENESARIAARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLVAVFPVVVAATVLQKMFMTGFSGDLEAAHAKGTQLAGEAIANVRTVAAFNSEAKIVRLYTANLEPPLKRCFWKGQIAGSGYGVAQFCLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGQAMRSVFELLDRKTEIEPDDPDTTPVPDRLRGEVELKHIDFSYPSRPDIQIFRDLSLRARAGKTLALVGPSGCGKSSVISLIQRFYEPSSGRVMIDGKDIRKYNLKAIRKHIAIVPQEPCLFGTTIYENIAYGHECATEAEIIQAATLASAHKFISALPEGYKTYVGERGVQLSGGQKQRIAIARALVRKAEIMLLDEATSALDAESERSVQEALDQACSGRTSIVVAHRLSTIRNAHVIAVIDDGKVAEQGSHSHLLKNHPDGIYARMIQLQRFTHTQVIGMTSGSSSRVKEDDA", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVSEFPGPGSRVPWRPRDEALRVNVGGVRRLLSARALARFPGTRLGRLQAAASEEQARRLCDDYDAAAHEFYFDRHPGFFLGLLHFYRTGHLHVLDELCVFAFGQEADYWGLGENALATCCRARYLERRVARPRAWDEDSDAPSSVDPCPDEISDVQRELARYGAARCGRLRRRLWLTMENPGYSLPSKLFSCVSIGVVLASIAAMCIHSLPEYQAREAAAAVAAVAAGRSAEEVRDDPVLRRLEYFCIAWFSFEVSSRLLLAPSTRNFFCHPLNLIDIVSVLPFYLTLLAGAALGDQRGASGEELGDLGKVVQVFRLMRIFRVLKLARHSTGLRSLGATLKHSYREVGILLLYLAVGVSVFSGVAYTAEEENEGFHTIPACWWWGTVSMTTVGYGDVVPETVGGKLAASGCILGGILVVALPITIIFNKFSHFYRRQKALEAAVRSSGQREFEDLLSSVDGVSDVSLETSRDTSQEGRSTDLETQAPREPAKSHSY", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTWGFVTCGPNEALVVSGCCYMKPLLVPGGRAFVWPVGQQVQRISLNTMTLQVESPCVYTSQGVPISVTGIAQVKVQGQNEDMLLTACEQFLGKSEAEINHIALVTLEGHQRAIMGSMTVEEIYKDRKKFSKQVFEVASSDLANMGITVVSYTIKDLRDEEGYLRSLGMARTAEVKRDARIGEAEARAEAHIKEAIAEEQRMAARFLNDTDIAKAQRDFELKKAAYDVEVQTKKAEAEMAYELQAAKTKQRIKEEQMQVKVIERTQEIAVQEQEIMRRERELEATIRRPAEAEKFRMEKLAEANKQRVVMEAEAEAESIRIRGEAEAFAIAAKAKAEAEQMAMKAEAYREYREAAMVEMLLDTLPKVAAEVAAPLSQAKKITMVSSGTGDIGAAKLTGEVLSIVNKVPELVKNITGVDIARSVHAG", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MIPVTELRYFADTQPAYRILKPWWDVFTDYISIVMLMIAVFGGTLQVTQDKMICLPCKWVTKDSCNDSFRGWAAPGPEPTYPNSTILPTPDTGPTGIKYDLDRHQYNYVDAVCYENRLHWFAKYFPYLVLLHTLIFLACSNFWFKFPRTSSKLEHFVSILLKCFDSPWTTRALSETVVEESDPKPAFSKMNGSMDKKSSTVSEDVEATVPMLQRTKSRIEQGIVDRSETGVLDKKEGEQAKALFEKVKKFRTHVEEGDIVYRLYMRQTIIKVIKFILIICYTVYYVHNIKFDVDCTVDIESLTGYRTYRCAHPLATLFKILASFYISLVIFYGLICMYTLWWMLRRSLKKYSFESIREESSYSDIPDVKNDFAFMLHLIDQYDPLYSKRFAVFLSEVSENKLRQLNLNNEWTLDKLRQRLTKNAQDKLELHLFMLSGIPDTVFDLVELEVLKLELIPDVTIPPSIAQLTGLKELWLYHTAAKIEAPALAFLRENLRALHIKFTDIKEIPLWIYSLKTLEELHLTGNLSAENNRYIVIDGLRELKRLKVLRLKSNLSKLPQVVTDVGVHLQKLSINNEGTKLIVLNSLKKMANLTELELIRCDLERIPHSIFSLHNLQEIDLKDNNLKTIEEIISFQHLHRLTCLKLWYNHIAYIPIQIGNLTNLERLYLNRNKIEKIPTQLFYCRKLRYLDLSHNNLTFLPADIGLLQNLQNLAITANRIETLPPELFQCRKLRALHLGNNVLQSLPSRVGELTNLTQIELRGNRLECLPVELGECPLLKRSGLVVEEDLFNTLPPEVKERLWRADKEQA", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGRRRQGPAQPASELPARNACLLPNGSAWLPGWAEPDGNGSAGPQDEQLEPAHISPAIPVIITAVYSVVFVVGLVGNSLVMFVIIRYTKMKTATNIYIFNLALADALVTTTMPFQSTVYLMNSWPFGDVLCKIVISIDYYNMFTSIFTLTMMSVDRYIAVCHPVKALDFRTPLKAKIINICIWLLSSSVGISAIILGGTKVREDVDIIECSLQFPDDDYSWWDLFMKICVFVFAFVIPVLIIIVCYTLMILRLKSVRLLSGSREKDRNLRRITRLVLVVVAVFIICWTPIHIFILVEALGSTSHSTAALSSYYFCIALGYTNSSLNPILYAFLDENFKRCFRDFCFPIKMRMERQSTSRVRNTVQDPAYMRNVDGVNKPV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MILERNRRCQLALLMIAILAAIAGQTDAQKAAKLTAVSTCASKEKCHTCIQTEGCAWCMQPDFKGQSRCYQNTSSLCPEEFAYSPITVEQILVNNKLTNQYKAELAAGGGGSAMSGSSSSSYSSSSSSSSFYSQSSSGSSSASGYEEYSAGEIVQIQPQSMRLALRVNEKHNIKISYSQAEGYPVDLYYLMDLSKSMEDDKAKLSTLGDKLSETMKRITNNFHLGFGSFVDKVLMPYVSTIPKKLEHPCENCKAPYGYQNHMPLNNNTESFSNEVKNATVSGNLDAPEGGFDAIMQAIACRSQIGWREQARRLLVFSTDAGFHYAGDGKLGGVIAPNDGECHLSPKGEYTHSTLQDYPSISQINQKVKDNAINIIFAVTASQLSVYEKLVEHIQGSSAAKLDNDSSNVVELVKEEYRKISSSVEMKDNATGDVKITYFSSCLSNGPEVQTSKCDNLKEGQQVSFTAQIQLLKCPEDPRDWTQTIHISPVGINEVMQIQLTMLCSCPCENPGSIGYQVQANSCSGHGTSMCGICNCDDSYFGNKCECSATDLTSKFANDTSCRADSTSTTDCSGRGHCVCGACECHKRPNPIEIISGKHCECDNFSCERNRNQLCSGPDHGTCECGRCKCKPGWTGSNCGCQESNDTCMPPGGGEICSGHGTCECGVCKCTVNDQGRFSGRHCEKCPTCSGRCQELKDCVQCQMYKTGELKNGDDCARNCTQFVPVGVEKVEIDETKDEQMCKFFDEDDCKFMFKYSEQGELHVYAQENKECPAKVFMLGIVMGVIAAIVLVGLAILLLWKLLTTIHDRREFARFEKERMNAKWDTGENPIYKQATSTFKNPMYAGK", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKKSPGLSDYLWAWTLFLSTLTGRSYGQPSLQDELKDNTTVFTRILDRLLDGYDNRLRPGLGERVTEVKTDIFVTSFGPVSDHDMEYTIDVFFRQSWKDERLKFKGPMTVLRLNNLMASKIWTPDTFFHNGKKSVAHNMTMPNKLLRITEDGTLLYTMRLTVRAECPMHLEDFPMDAHACPLKFGSYAYTRAEVVYEWTREPARSVVVAEDGSRLNQYDLLGQTVDSGIVQSSTGEYVVMTTHFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTMTTLSISARNSLPKVAYATAMDWFIAVCYAFVFSALIEFATVNYFTKRGYAWDGKSVVPEKPKKVKDPLIKKNNTYAPTATSYTPNLARGDPGLATIAKSATIEPKEVKPETKPPEPKKTFNSVSKIDRLSRIAFPLLFGIFNLVYWATYLNREPQLKAPTPHQ", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSRQFSSRSGYRSGGGFSSGSAGIINYQRRTTSSSTRRSGGGGGRFSSCGGGGGSFGAGGGFGSRSLVNLGGSKSISISVARGGGRGSGFGGGYGGGGFGGGGFGGGGFGGGGIGGGGFGGFGSGGGGFGGGGFGGGGYGGGYGPVCPPGGIQEVTINQSLLQPLNVEIDPEIQKVKSREREQIKSLNNQFASFIDKVRFLEQQNQVLQTKWELLQQVDTSTRTHNLEPYFESFINNLRRRVDQLKSDQSRLDSELKNMQDMVEDYRNKYEDEINKRTNAENEFVTIKKDVDGAYMTKVDLQAKLDNLQQEIDFLTALYQAELSQMQTQISETNVILSMDNNRSLDLDSIIAEVKAQYEDIAQKSKAEAESLYQSKYEELQITAGRHGDSVRNSKIEISELNRVIQRLRSEIDNVKKQISNLQQSISDAEQRGENALKDAKNKLNDLEDALQQAKEDLARLLRDYQELMNTKLALDLEIATYRTLLEGEESRMSGECAPNVSVSVSTSHTTISGGGSRGGGGGGYGSGGSSYGSGGGSYGSGGGGGGGRGSYGSGGSSYGSGGGSYGSGGGGGGHGSYGSGSSSGGYRGGSGGGGGGSSGGRGSGGGSSGGSIGGRGSSSGGVKSSGGSSSVKFVSTTYSGVTR", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEPAPSAGAELQPPLFANASDAYPSACPSAGANASGPPGARSASSLALAIAITALYSAVCAVGLLGNVLVMFGIVRYTKMKTATNIYIFNLALADALATSTLPFQSAKYLMETWPFGELLCKAVLSIDYYNMFTSIFTLTMMSVDRYIAVCHPVKALDFRTPAKAKLINICIWVLASGVGVPIMVMAVTRPRDGAVVCMLQFPSPSWYWDTVTKICVFLFAFVVPILIITVCYGLMLLRLRSVRLLSGSKEKDRSLRRITRMVLVVVGAFVVCWAPIHIFVIVWTLVDIDRRDPLVVAALHLCIALGYANSSLNPVLYAFLDENFKRCFRQLCRKPCGRPDPSSFSRAREATARERVTACTPSDGPGGGAAA", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTQGKLSVANKAPGTEGQQQANGEKKDAPAVPSAPPSYEEATSGEGLKAGAFPQGPTAVPLHPSWAYVDPSSSSGYEGGFPAGHHELFSTFSWDDQKVRQLFIRKVYTILLVQLLVTLAVVALFTFCDVVKDYVQANPGWYWASYAVFFATYLTLACCSGPRRHFPWNLILLTIFTLSMAYLTGMLSSYYNTTSVLLCLGITALVCLSVTIFSFQTKFDFTSCHGVLFVLLMTLFFSGLLLAILLPFQYVPWLHAVYAVLGAGVFTLFLAFDTQLLMGNRRHSLSPEEYIFGALNIYLDIIYIFTFFLQLFGTNRE", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVAALERGLSASKSFNFKRMFDSSSTKQQQSQTIVVENGDSHIVESNTPESQNSDSFVESPVESSLPMISPLTRPGKRSERQQADMEMMKDRFAKLLLGEDMSGGGKGVSSALALSNAITNLAASIFGEQTKLQPMPQDRQARWKKEIDWLLSVTDHIVEFVPSQQTSKDGVCTEIMVTRQRGDLLMNIPALRKLDAMLIDTLDNFRGHNEFWYVSRDSEEGQQARNDRTNDKWWLPPVKVPPGGLSEPSRRMLYFQKDSVTQVQKAAMAINAQVLSEMEIPESYIDSLPKNGRASLGDSIYKSITEEWFDPEQFLAMLDMSTEHKVLDLKNRIEASVVIWKRKLHTKDTKSSWGSAVSLEKRELFEERAETILVLLKQKFPGLPQSSLDISKIQFNKDVGQAVLESYSRILESLAYTVMSRIEDVLYTDTLALKQTLLAEETSDGGRTTETDSESAGSSNSGEEAEKHDPHSKTLLDFMGWNDNSSKGGDKPTKSPNLTPKKLSYLEKLENLNGFRSPKDRH", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAFLTHLLVCVFGMGSWVAINGLWVELPLLVTKLPEGWYLPSYLTVVIQLANTGPLLVTLMHRFRPGCLSEVPVIFLILCVGTTACILLAFLWSMTSWIQGRQHSVAFIVLTFFLALVDCTSSVTFLPFMSQLPTYYLTTFFIGEGLSGLLPALVALVQGSGITTCVNVTETPGTTLNPVTTMETSITQRTLSPSLTPLTWHLESRYLAPRFSPLLFFLLLSFLMGCCLVAFFLLQRQPWGRQGSIEDLLHSQVTLHSIKPRDTEDTGSVGAPVSSPGKGSVEVSVASLRPAQLAFIYSVVAFVNALTNGVLPSVQTYSCLPYGPVAYHLSATLSSVASPLACFLPIFLPNRSLLFLGVLTVLGTGFGTYNMAMAAMSPCPILQGHWGGEVLIVLSWMLFVACLSYVKVMLGVILRDRSRSALLWCGAAVQLGSLIGALLMFPLVNVLKLFSSADYCSLDCSA", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLHLLALFLHCLPLASGDYDICKSWVTTDEGPTWEFYACQPKVMRLKDYVKVKVEPSGITCGDPPERFCSHENPYLCSNECDASNPDLAHPPRLMFDKEEEGLATYWQSITWSRYPSPLEANITLSWNKTVELTDDVVMTFEYGRPTVMVLEKSLDNGRTWQPYQFYAEDCMEAFGMSARRARDMSSSSAHRVLCTEEYSRWAGSKKEKHVRFEVRDRFAIFAGPDLRNMDNLYTRLESAKGLKEFFTLTDLRMRLLRPALGGTYVQRENLYKYFYAISNIEVIGRCKCNLHANLCSMREGSLQCECEHNTTGPDCGKCKKNFRTRSWRAGSYLPLPHGSPNACATAGSFGNCECYGHSNRCSYIDFLNVVTCVSCKHNTRGQHCQHCRLGYYRNGSAELDDENVCIECNCNQIGSVHDRCNETGFCECREGAAGPKCDDCLPTHYWRQGCYPNVCDDDQLLCQNGGTCLQNQRCACPRGYTGVRCEQPRCDPADDDGGLDCDRAPGAAPRPATLLGCLLLLGLAARLGR", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKMASSLAFLLLNFHVSLLLVQLLTPCSAQFSVLGPSGPILAMVGEDADLPCHLFPTMSAETMELKWVSSSLRQVVNVYADGKEVEDRQSAPYRGRTSILRDGITAGKAALRIHNVTASDSGKYLCYFQDGDFYEKALVELKVAALGSNLHVEVKGYEDGGIHLECRSTGWYPQPQIQWSNAKGENIPAVEAPVVADGVGLYEVAASVIMRGGSGEGVSCIIRNSLLGLEKTASISIADPFFRSAQPWIAALAGTLPILLLLLAGASYFLWRQQKEITALSSEIESEQEMKEMGYAATEREISLRESLQEELKRKKIQYLTRGEESSSDTNKSA", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLQRAASNAYSWWWASHIRTKQSKWLEHNLQDMEEKVEYTLKIIDEDGDTFAKRAEMYYRKRPEIVNFVEEAFRSYRALAERYDHLSRELQSANRTIATAFPEHVQFPLEDDSDENEDYDGRPRKPPKHLHLIPKGINIPEVPDIPKKKDFRSQSMMLSRKGPADLKRNVSSAQAKREAAIVRSGLSKEEGLEEIDKLQKGILALQTEKEFVRSSYEESYERYWDLENEVTEMQKSVCNLQDEFGLGASIDDSDARTLMASTALSSCRDTLAKLEEKQKISIEEAEIEKGRITTAKERFYALRNKFEKPESDVLDEVIRTDEEEEDVVQESSYESEREDSNENLTVVKLAEKIDDLVHRVVSLETNASSHTALVKTLRSETDELHEHIRGLEEDKAALVSDATVMKQRITVLEDELRNVRKLFQKVEDQNKNLQNQFKVANRTVDDLSGKIQDVKMDEDVEGAGIFQELPVVSGSEDSRDDLKSVSTEKTKKDVIAVKESEDGERAQEEKPEIKDSFALSETASTCFGTEAEDLVTEDEDEETPNWRHLLPDGMEDREKVLLDEYTSVLRDYREVKRKLGDVEKKNREGFFELALQLRELKNAVAYKDVEIQSLRQKLDTTGKDSPHQGEGNNQLEHEQGHHETVSISPTSNFSVATTPHHQVGDVKRTPGRTKSTEVRVKFADVDDSPRTKIPTVEDKVRADIDAVLEENLEFWLRFSTSVHQIQKYQTTVQDLKSELSKLRIESKQQQESPRSSSNTAVASEAKPIYRHLREIRTELQLWLENSAVLKDELQGRYASLANIQEEIARVTAQSGGNKVSDSEISGYQAAKFHGEILNMKQENKRVSTELHSGLDRVRALKTEVERILSKLEEDLGISSATEARTTPSKSSSSGRPRIPLRSFLFGVKLKKNRQQKQSASSLFSCVSPSPGLHKQSSYSRPPGKLPE", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKITEGRFRLSLLLLLLLISAATLISAADYSPTEKILLNCGGGASNLTDTDNRIWISDVKSKFLSSSSEDSKTSPALTQDPSVPEVPYMTARVFRSPFTYTFPVASGRKFVRLYFYPNSYDGLNATNSLFSVSFGPYTLLKNFSASQTAEALTYAFIIKEFVVNVEGGTLNMTFTPESAPSNAYAFVNGIEVTSMPDMYSSTDGTLTMVGSSGSVTIDNSTALENVYRLNVGGNDISPSADTGLYRSWYDDQPYIFGAGLGIPETADPNMTIKYPTGTPTYVAPVDVYSTARSMGPTAQINLNYNLTWIFSIDSGFTYLVRLHFCEVSSNITKINQRVFTIYLNNQTAEPEADVIAWTSSNGVPFHKDYVVNPPEGNGQQDLWLALHPNPVNKPEYYDSLLNGVEIFKMNTSDGNLAGTNPIPGPQVTADPSKVLRPTTRKSKSNTAIIAGAASGAVVLALIIGFCVFGAYRRRKRGDYQPASDATSGWLPLSLYGNSHSAGSAKTNTTGSYASSLPSNLCRHFSFAEIKAATKNFDESRVLGVGGFGKVYRGEIDGGTTKVAIKRGNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAHGTMREHLYKTQNPSLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCARPALNPTLAKEQVSLAEWAPYCYKKGMLDQIVDPYLKGKITPECFKKFAETAMKCVLDQGIERPSMGDVLWNLEFALQLQESAEENGKGVCGDMDMDEIKYDDGNCKGKNDKSSDVYEGNVTDSRSSGIDMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLPDWKSSLILMAYIIIFLTGLPANLLALRAFVGRIRQPQPAPVHILLLSLTLADLLLLLLLPFKIIEAASNFRWYLPKVVCALTSFGFYSSIYCSTWLLAGISIERYLGVAFPVQYKLSRRPLYGVIAALVAWVMSFGHCTIVIIVQYLNTTEQVRSGNEITCYENFTDNQLDVVLPVRLELCLVLFFIPMAVTIFCYWRFVWIMLSQPLVGAQRRRRAVGLAVVTLLNFLVCFGPYNVSHLVGYHQRKSPWWRSIAVVFSSLNASLDPLLFYFSSSVVRRAFGRGLQVLRNQGSSLLGRRGKDTAEGTNEDRGVGQGEGMPSSDFTTE", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSYKKFVYFINLFFLLGATLLTFFLILAGGRTTGVLKNFYWFQASTSGFNSAPSVTRWYNYNWCGWESRGIAVNCSSKMAAQPFSPRDNFGSSPLMPSTFLNNRNAYYYLSRVGWAMLLIGLFFLLITLVSVIASLIRYNRRTAALATAMSWITLFFITLSACLYTGCYAKAVKAFHHENRDARLGPKNFGLIWTTVFLLIVNAICCTIMVATHKRNEYIYDRSFASTKTVDSQTPTPVPTNGGIPSSVPVTEVQQSQSHQNHRFFKKLRTKKRTVTSAGDEPDRVQEERVYTEQNVPVVS", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVTLTSSSSTPNVSFDFMMNNNNNSNNLYGPFSSSSTSFSYLTSKEDALTQKNLMSGITNDVLGINKKASEDLEISVFGAEKYFNGDMDSDHSPRLVSPLPDPEVPIERIFVGPKQSSKNSSETPSLRSESSWNSQSLLLQSKYVEKKKNIKKNSSCNSYFQEKDMSSNHKVSNKKSFLATLGCRCVCSNWSSVDVVDDKRRSSGLKKIKTQLSFSGDLSSEMKIHQQQQEAMLEQRKSLEIFGSPLIEKRIIQKKFPWEYSSSAKKEEHGFSVKYEEEEDGSVSDVSTDLFEIESLTGKANPFLARQGSSDPDSPDGYAPSEVSIQWSVVTASVADFSVMSECATSPVKKNRSFQIPRIPIMAKSNREIAPQRRKSSSSGLLMGCKSHKSVRVSGDSYTSMNRTPSYVPRFPVEANPTSTETRRRISSSSVSHTQSPFLYT", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGGDLVLGLGALRRRKRLLEQEKSLAGWALVLAGTGIGLMVLHAEMLWFGGCSWALYLFLVKCTISISTFLLLCLIVAFHAKEVQLFMTDNGLRDWRVALTGRQAAQIVLELVVCGLHPAPVRGPPCVQDLGAPLTSPQPWPGFLGQGEALLSLAMLLRLYLVPRAVLLRSGVLLNASYRSIGALNQVRFRHWFVAKLYMNTHPGRLLLGLTLGLWLTTAWVLSVAERQAVNATGHLSDTLWLIPITFLTIGYGDVVPGTMWGKIVCLCTGVMGVCCTALLVAVVARKLEFNKAEKHVHNFMMDIQYTKEMKESAARVLQEAWMFYKHTRRKESHAARRHQRKLLAAINAFRQVRLKHRKLREQVNSMVDISKMHMILYDLQQNLSSSHRALEKQIDTLAGKLDALTELLSTALGPRQLPEPSQQSK", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIGCGACEPEVKMAGGQAAAALPTWKMAARRSLSARGRGVLQAAAGRLLPLLLLSCCWGAGGCTAAGENEETVIIGLRLEDTNDVSFMEGGALRVSERTRVKLRVYGQNINNETWSRIAFTEHERRRHTPSERGLGGPAPPEPDSGPQRCGIRTSDIIILPHIILNRRTSGIIEIEIKPLRKMEKSKSYYLCTSLSTPALGAGGSGSASGTVGGKGGAGVAGLPPPPWAETTWIYHDGEDTKMIVGEEKKFLLPFWLQVIFISLLLCLSGMFSGLNLGLMALDPMELRIVQNCGTEKEKNYAKRIEPVRRQGNYLLCSLLLGNVLVNTTLTILLDDIAGSGLVAVVVSTIGIVIFGEIVPQAICSRHGLAVGANTIFLTKFFMMMTFPASYPVSKLLDCVLGQEIGTVYNREKLLEMLRVTDPYNDLVKEELNIIQGALELRTKTVEDVMTPLRDCFMITGEAILDFNTMSEIMESGYTRIPVFEGERSNIVDLLFVKDLAFVDPDDCTPLKTITKFYNHPLHFVFNDTKLDAMLEEFKKGKSHLAIVQRVNNEGEGDPFYEVLGIVTLEDVIEEIIKSEILDETDLYTDNRTKKKVAHRERKQDFSAFKQTDSEMKVKISPQLLLAMHRFLATEVEAFSPSQMSEKILLRLLKHPNVIQELKYDEKNKKAPECYLYQRNKPVDYFVLILQGKVEVEAGKEGMKFEASAFSYYGVMALTASPVPLSLSRTFVVSRTEVLAAGSPGENKSPPRPCGLNHSDSLSRSDRIDAMTPTLGSSNNQLSSSFLQVYIPDYSVRALSDLQFVKISRQQYQNALMASRMDKTPQSSDSENTKIELTLTELHDGLPDETANLLNEQNCVSHNKANHSLHSEGAI", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPLGVRGTRREFRFPVWGLLLLALWMLPRALGVEEIPGPDSHEKQGFQIVTFKWHHVQDPYIIALWILVASLAKIVFHLSHKVTSVVPESALLIVLGLILGGIVWAADHIASFTLTPTVFFFYLLPPIVLDAGYFMPNRLFFGNLGTILLYAVIGTVWNAATTGLSLYGVYLSGIMGDLSIGLLDFLLFGSLIAAVDPVAVLAVFEEVHVNDVLFIIVFGESLLNDAVTVVLYNVFDSFVSLGADKVTGVDCVKGIVSFFVVSLGGTLIGIIFAFLLSLVTRFTKHVRIIEPGFVFIISYLSYLTSEMLSLSAILAITFCGICCQKYVKANISEQSATTVRYTMKMLASGAETIIFMFLGISAVDPAIWTWNTAFILLTLVFISVYRAIGVVLQTWLLNKYRMVQLEIIDQVVMSYGGLRGAVAYALVVLLDEKKVKEKNLFVSTTIIVVFFTVIFQGLTIKPLVQWLKVKKSEHREPKLNEKLHGRAFDHILSAIEDISGQIGHNYLRDKWSNFDRKVLSKLLMRRSAQKSRDRILNVFHELNLKDAISYVAEGERRGSLAFIRSPSTDNIVNVDFSTPRPSTVEASVSYLLRENVSTVCLDMQALEQRRKSIRDTEDTVTHHTLQQYLYKPRQEYKHLYSRHELTSNEDEKQDKEIFHRTMRKRLESFKSTKLGINQTKKTAKLYKRERGQKRRNSSIPNGKIPMESPTRDFTFKEKELEFSDPEETNEYEAEEMSGGIEFLANVTQDTATDSTTGIDNPVFSPEEDQSIFTKVPPWLSPEETVVPSQRARVQIPYSPSNFRRLTPIRLSTKSVDSFLLADSPEERPRSFLPESTHM", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MHAALAGPLLAALLATARARPQPPDGGQCRPPGSQRDLNSFLWTIRRDPPAYLFGTIHVPYTRVWDFIPDNSKAAFQASTRVYFELDLTDPYTISALASCQLLPHGENLQDVLPHELYWRLKRHLDYVKLMMPSWMTPAQRGKGLYADYLFNAIAGNWERKRPVWVMLMVNSLTERDVRFRGVPVLDLYLAQQAEKMKKTTGAVEQVEEQCHPLNNGLNFSQVLFALNQTLLQQESVRAGSLQASYTTEDLIKHYNCGDLSAVIFNHDTSQLPNFINTTLPPHEQVTAQEIDSYFRQELIYKRNERMGKRVMALLRENEDKICFFAFGAGHFLGNNTVIDILRQAGLEVDHTPAGQAIHSPAPQSPAPSPEGTSTSPAPVTPAAAVPEAPSVTPTAPPEDEDPALSPHLLLPDSLSQLEEFGRQRKWHKRQSTHQRPRQFNDLWVRIEDSTTASPPPLPLQPTHSSGTAKPPFQLSDQLQQQDPPGPASSSAPTLGLLPAIATTIAVCFLLHSLGPS", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDKLPPSMRKRLYSLPQQVGAKAWIMDEEEDGEEEGAGGLQDPSRRSIRLRPLPSPSPSVAAGCSESRGAALGAADSEGPGRSAGKSSTNGDCRRFRGSLASLGSRGGGSGGAGGGSSLGHLHDSAEERRLIAAEGDASPGEDRTPPGLATEPERPGAAAQPAASPPPQQPPQPASASCEQPSADTAIKVEGGAAASDQILPEAEVRLGQSGFMQRQFGAMLQPGVNKFSLRMFGSQKAVEREQERVKSAGFWIIHPYSDFRFYWDLTMLLLMVGNLIIIPVGITFFKDENTTPWIVFNVVSDTFFLIDLVLNFRTGIVVEDNTEIILDPQRIKMKYLKSWFVVDFISSIPVDYIFLIVETRIDSEVYKTARALRIVRFTKILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVVRIVNLIGMMLLLCHWDGCLQFLVPMLQDFPHDCWVSINGMVNNSWGKQYSYALFKAMSHMLCIGYGRQAPVGMSDVWLTMLSMIVGATCYAMFIGHATALIQSLDSSRRQYQEKYKQVEQYMSFHKLPPDTRQRIHDYYEHRYQGKMFDEESILGELSEPLREEIINFNCRKLVASMPLFANADPNFVTSMLTKLRFEVFQPGDYIIREGTIGKKMYFIQHGVVSVLTKGNKETKLADGSYFGEICLLTRGRRTASVRADTYCRLYSLSVDNFNEVLEEYPMMRRAFETVALDRLDRIGKKNSILLHKVQHDLNSGVFNYQENEIIQQIVRHDREMAHCAHRVQAAASATPTPTPVIWTPLIQAPLQAAAATTSVAIALTHHPRLPAAIFRPPPGPGLGNLGAGQTPRHPRRLQSLIPSALGSASPASSPSQVDTPSSSSFHIQQLAGFSAPPGLSPLLPSSSSSPPPGACSSPPAPTPSTSTAATTTGFGHFHKALGGSLSSSDSPLLTPLQPGARSPQAAQPPPPLPGARGGLGLLEHFLPPPPSSRSPSSSPGQLGQPPGELSPGLAAGPPSTPETPPRPERPSFMAGASGGASPVAFTPRGGLSPPGHSPGPPRTFPSAPPRASGSHGSLLLPPASSPPPPQVPQRRGTPPLTPGRLTQDLKLISASQPALPQDGAQTLRRASPHSSGESMAAFSLYPRAGGGSGSSGGLGPPGRPYGAIPGQHVTLPRKTSSGSLPPPLSLFGARAASSGGPPLTAAPQREPGARSEPVRSKLPSNL", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGNRSTADADGLLAGRGPAAGASAGASAGLAGQGAAALVGGVLLIGAVLAGNSLVCVSVATERALQTPTNSFIVSLAAADLLLALLVLPLFVYSEVQGGAWLLSPRLCDALMAMDVMLCTASIFNLCAISVDRFVAVAVPLRYNRQGGSRRQLLLIGATWLLSAAVAAPVLCGLNDVRGRDPAVCRLEDRDYVVYSSVCSFFLPCPLMLLLYWATFRGLQRWEVARRAKLHGRAPRRPSGPGPPSPTPPAPRLPQDPCGPDCAPPAPGLPRGPCGPDCAPAAPGLPPDPCGPDCAPPAPGLPQDPCGPDCAPPAPGLPRGPCGPDCAPPAPGLPQDPCGPDCAPPAPGLPPDPCGSNCAPPDAVRAAALPPQTPPQTRRRRRAKITGRERKAMRVLPVVVGAFLLCWTPFFVVHITQALCPACSVPPRLVSAVTWLGYVNSALNPVIYTVFNAEFRNVFRKALRACC", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTDSVIYSMLELPTATQAQNDYGPQQKSSSSRPSCSCLVAIALGLLTAVLLSVLLYQWILCQGSNYSTCASCPSCPDRWMKYGNHCYYFSVEEKDWNSSLEFCLARDSHLLVITDNQEMSLLQVFLSEAFCWIGLRNNSGWRWEDGSPLNFSRISSNSFVQTCGAINKNGLQASSCEVPLHWVCKKCPFADQALF", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGNCCGNPSSATNQSKQGKPKNKNNPFYSNEYATTDRSGAGFKLSVLKDPTGHDISLQYDLGREVGRGEFGITYLCTDKETGEKYACKSISKKKLRTAVDIEDVRREVEIMKHMPKHPNVVSLKDSFEDDDAVHIVMELCEGGELFDRIVARGHYTERAAAAVMKTIVEVVQICHKQGVMHRDLKPENFLFANKKETSALKAIDFGLSVFFKPGEQFNEIVGSPYYMAPEVLRRNYGPEIDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSDSAKDLVRKMLEPDPKKRLTAAQVLEHTWILNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEAAGIKEAFEMMDVNKRGKINLEELKYGLQKAGQQIADTDLQILMEATDVDGDGTLNYSEFVAVSVHLKKMANDEHLHKAFNFFDQNQSGYIEIDELREALNDELDNTSSEEVIAAIMQDVDTDKDGRISYEEFVAMMKAGTDWRKASRQYSRERFNSLSLKLMRDGSLQLEGET", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGNSCRGSFKDKIYEGNHSRPEENSKSTTTTVSSVHSPTTDQDFSKQNTNPALVIPVKEPIMRRNVDNQSYYVLGHKTPNIRDLYTLSRKLGQGQFGTTYLCTDIATGVDYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMELCAGGELFDRIIHRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFKDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFDTDPWPVISDSAKDLIRKMLCSSPSERLTAHEVLRHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALKVIAESLSEEEIAGLRAMFEAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMEAADVDNSGTIDYSEFIAATIHLNKLEREEHLVSAFQYFDKDGSGYITIDELQQSCIEHGMTDVFLEDIIKEVDQDNDGRIDYEEFVAMMQKGNAGVGRRTMKNSLNISMRDV", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASPPHQQLLHHHSTEVSCDSSGDSNSVRVKINPKQLSSNSHPKHCKYSISSSCSSSGDSGGVPRRVGGGGRLRRQKKLPQLFERASSRWWDPKFDSVNLEEACLERCFPQTQRRFRYALFYIGFACLLWSIYFAVHMRSRLIVMVAPALCFLLVCVGFFLFTFTKLYARHYAWTSLALTLLVFALTLAAQFQVLTPVSGRGDSSNLTATARPTDTCLSQVGSFSMCIEVLFLLYTVMHLPLYLSLCLGVAYSVLFETFGYHFRDEACFPSPGAGALHWELLSRGLLHGCIHAIGVHLFVMSQVRSRSTFLKVGQSIMHGKDLEVEKALKERMIHSVMPRIIADDLMKQGDEESENSVKRHATSSPKNRKKKSSIQKAPIAFRPFKMQQIEEVSILFADIVGFTKMSANKSAHALVGLLNDLFGRFDRLCEETKCEKISTLGDCYYCVAGCPEPRADHAYCCIEMGLGMIKAIEQFCQEKKEMVNMRVGVHTGTVLCGILGMRRFKFDVWSNDVNLANLMEQLGVAGKVHISEATAKYLDDRYEMEDGKVIERLGQSVVADQLKGLKTYLISGQRAKESRCSCAEALLSGFEVIDGSQVSSGPRGQGTASSGNVSDLAQTVKTFDNLKTCPSCGITFAPKSEAGAEGGAPQNGCQDEHKNSTKASGGPNPKTQNGLLSPPQEEKLTNSQTSLCEILQEKGRWAGVSLDQSALLPLRFKNIREKTDAHFVDVIKEDSLMKDYFFKPPINQFSLNFLDQELERSYRTSYQEEVIKNSPVKTFASPTFSSLLDVFLSTTVFLTLSTTCFLKYEAATVPPPPAALAVFSAALLLEVLSLAVSIRMVFFLEDVMACTKRLLEWIAGWLPRHCIGAILVSLPALAVYSHVTSEYETNIHFPVFTGSAALIAVVHYCNFCQLSSWMRSSLATVVGAGPLLLLYVSLCPDSSVLTSPLDAVQNFSSERNPCNSSVPRDLRRPASLIGQEVVLVFFLLLLLVWFLNREFEVSYRLHYHGDVEADLHRTKIQSMRDQADWLLRNIIPYHVAEQLKVSQTYSKNHDSGGVIFASIVNFSEFYEENYEGGKECYRVLNELIGDFDELLSKPDYSSIEKIKTIGATYMAASGLNTAQAQDGSHPQEHLQILFEFAKEMMRVVDDFNNNMLWFNFKLRVGFNHGPLTAGVIGTTKLLYDIWGDTVNIASRMDTTGVECRIQVSEESYRVLSKMGYDFDYRGTVNVKGKGQMKTYLYPKCTDHRVIPQHQLSISPDIRVQVDGSIGRSPTDEIANLVPSVQYVDKTSLGSDSSTQAKDAHLSPKRPWKEPVKAEERGRFGKAIEKDDCDETGIEEANELTKLNVSKSV", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MCRIAGAPRTLLPLLAALLQASVEASGEIALCKTGFPEDVYSAVLPKDVHEGQPLLNVKFSNCNRKRKVQYESSEPADFKVDEDGTVYAVRSFPLTAEQAKFLIYAQDKETQEKWQVAVNLSREPTLTEEPMKEPHEIEEIVFPRQLAKHSGALQRQKRDWVIPPINLPENSRGPFPQELVRIRSDRDKNLSLRYSVTGPGADQPPTGIFIINPISGQLSVTKPLDRELIARFHLRAHAVDINGNQVENPIDIVINVIDMNDNRPEFLHQVWNGSVPEGSKPGTYVMTVTAIDADDPNALNGMLRYRILSQAPSTPSPNMFTINNETGDIITVAAGLDREKVQQYTLIIQATDMEGNPTYGLSNTATAVITVTDVNDNPPEFTAMTFYGEVPENRVDVIVANLTVTDKDQPHTPAWNAAYRISGGDPTGRFAILTDPNSNDGLVTVVKPIDFETNRMFVLTVAAENQVPLAKGIQHPPQSTATVSVTVIDVNENPYFAPNPKIIRQEEGLHAGTMLTTLTAQDPDRYMQQNIRYTKLSDPANWLKIDPVNGQITTIAVLDRESPNVKNNIYNATFLASDNGIPPMSGTGTLQIYLLDINDNAPQVLPQEAETCETPEPNSINITALDYDIDPNAGPFAFDLPLSPVTIKRNWTINRLNGDFAQLNLKIKFLEAGIYEVPIIITDSGNPPKSNISILRVKVCQCDSNGDCTDVDRIVGAGLGTGAIIAILLCIIILLILVLMFVVWMKRRDKERQAKQLLIDPEDDVRDNILKYDEEGGGEEDQDYDLSQLQQPDTVEPDAIKPVGIRRLDERPIHAEPQYPVRSAAPHPGDIGDFINEGLKAADNDPTAPPYDSLLVFDYEGSGSTAGSLSSLNSSSSGGDQDYDYLNDWGPRFKKLADMYGGGDD", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASSPHQQLLHHHSTEVSCDSSGDSNSVRVKINPKQLSSNTHPKHCKYSISSSCSSSGDSGGLPRRVGGGGRLRRQKKLPQLFERASSRWWDPKFDSMNLEEACLERCFPQTQRRFRYALFYVGFACLLWSIYFAVHMKSKVIVMVVPALCFLVVCVGFFLFTFTKLYARHYAWTSLALTLLVFALTLAAQFQVWTPLSGRVDSSNHTLTATPADTCLSQVGSFSICIEVLLLLYTVMQLPLYLSLFLGVVYSVLFETFGYHFRNEDCYPSPGPGALHWELLSRALLHVCIHAIGIHLFVMSQVRSRSTFLKVGQSIMHGKDLEVEKALKERMIHSVMPRIIADDLMKQGDEESENSVKRHATSSPKNRKKKSSIQKAPIAFRPFKMQQIEEVSILFADIVGFTKMSANKSAHALVGLLNDLFGRFDRLCEQTKCEKISTLGDCYYCVAGCPEPRADHAYCCIEMGLGMIKAIEQFCQEKKEMVNMRVGVHTGTVLCGILGMRRFKFDVWSNDVNLANLMEQLGVAGKVHISEATAKYLDDRYEMEDGRVIERLGQSVVADQLKGLKTYLISGQRAKESHCSCAEALLSGFEVIDDSRESSGPRGQGTASPGSVSDLAQTVKTFDNLKTCPSCGITFAPKSEAGAEGGTVQNGCQDEPKTSTKASGGPNSKTQNGLLSPPAEEKLTNSQTSLCEILQEKGRWAGVSLDQSALLPLRFKNIREKTDAHFVDVIKEDSLMKDYFFKPPINQFSLNFLDQELERSYRTSYQEEVIKNSPVKTFASATFSSLLDVFLSTTVFLILSITCFLKYGATATPPPPAALAVFGADLLLEVLSLIVSIRMVFFLEDVMTCTKWLLEWIAGWLPRHCIGAILVSLPALAVYSHITSEFETNIHVTMFTGSAVLVAVVHYCNFCQLSSWMRSSLATIVGAGLLLLLHISLCQDSSIVMSPLDSAQNFSAQRNPCNSSVLQDGRRPASLIGKELILTFFLLLLLVWFLNREFEVSYRLHYHGDVEADLHRTKIQSMRDQADWLLRNIIPYHVAEQLKVSQTYSKNHDSGGVIFASIVNFSEFYEENYEGGKECYRVLNELIGDFDELLSKPDYNSIEKIKTIGATYMAASGLNTAQCQEGGHPQEHLRILFEFAKEMMRVVDDFNNNMLWFNFKLRVGFNHGPLTAGVIGTTKLLYDIWGDTVNIASRMDTTGVECRIQVSEESYRVLSKMGYDFDYRGTVNVKGKGQMKTYLYPKCTDNGVVPQHQLSISPDIRVQVDGSIGRSPTDEIANLVPSVQYSDKASLGSDDSTQAKEARLSSKRSWREPVKAEERFPFGKAIEKDSCEDIGVEEASELSKLNVSKSV", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MHLNSSVPQGTPGEPDAQPFSGPQSEMEATFLALSLSNGSGNTSESDTAGPNSDLDVNTDIYSKVLVTAIYLALFVVGTVGNSVTAFTLARKKSLQSLQSTVHYHLGSLALSDLLILLLAMPVELYNFIWVHHPWAFGDAGCRGYYFLRDACTYATALNVASLSVERYLAICHPFKAKTLMSRSRTKKFISAIWLASALLAIPMLFTMGLQNRSGDGTHPGGLVCTPIVDTATVKVVIQVNTFMSFLFPMLVISILNTVIANKLTVMVHQAAEQGRVCTVGTHNGLEHSTFNMTIEPGRVQALRHGVLVLRAVVIAFVVCWLPYHVRRLMFCYISDEQWTTFLFDFYHYFYMLTNALFYVSSAINPILYNLVSANFRQVFLSTLACLCPGWRHRRKKRPTFSRKPNSMSSNHAFSTSATRETLY", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPPAIGGPVGYTPPDGGWGWAVVVGAFISIGFSYAFPKSITVFFKEIEIIFSATTSEVSWISSIMLAVMYAGGPISSILVNKYGSRPVMIAGGCLSGCGLIAASFCNTVQELYFCIGVIGGLGLAFNLNPALTMIGKYFYKKRPLANGLAMAGSPVFLSTLAPLNQAFFGIFGWRGSFLILGGLLLNCCVAGSLMRPIGPQQGKVEKLKSKESLQEAGKSDANTDLIGGSPKGEKLSVFQTVNKFLDLSLFTHRGFLLYLSGNVVMFFGLFTPLVFLSNYGKSKHFSSEKSAFLLSILAFVDMVARPSMGLAANTRWIRPRVQYFFAASVVANGVCHLLAPLSTTYVGFCIYAGVFGFAFGWLSSVLFETLMDLVGPQRFSSAVGLVTIVECCPVLLGPPLLGRLNDMYGDYKYTYWACGVILIIAGLYLFIGMGINYRLVAKEQKAEEKKRDGKEDETSTDVDEKPKKTMKETQSPAPLQNSSGDPAEEESPV", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPPAIGGPVGYTPPDGGWGWAVLVGAFISIGFSYAFPKSITVFFKEIEVIFSATTSEVSWISSIMLAVMYAGGPISSILVNKYGSRPVMIAGGCLSGCGLIAASFCNTVQELYLCIGVIGGLGLAFNLNPALTMIGKYFYKKRPLANGLAMAGSPVFLSTLAPLNQAFFDIFDWRGSFLILGGLLLNCCVAGSLMRPIGPEQVKLEKLKSKESLQEAGKSDANTDLIGGSPKGEKLSVFQTINKFLDLSLFTHRGFLLYLSGNVVMFFGLFTPLVFLSSYGKSKDFSSEKSAFLLSILAFVDMVARPSMGLAANTKWIRPRIQYFFAASVVANGVCHLLAPLSTTYVGFCVYAGVFGFAFGWLSSVLFETLMDLIGPQRFSSAVGLVTIVECCPVLLGPPLLGRLNDMYGDYKYTYWACGVILIIAGIYLFIGMGINYRLLAKEQKAEEKQKREGKEDEASTDVDEKPKETMKAAQSPQQHSSGDPTEEESPV", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MARLAAALWSLCVTTVLVTSATQGLSRAGLPFGLMRRELACEGYPIELRCPGSDVIMVENANYGRTDDKICDADPFQMENVQCYLPDAFKIMSQRCNNRTQCVVVAGSDAFPDPCPGTYKYLEVQYDCVPYKVEQKVFVCPGTLQKVLEPTSTHESEHQSGAWCKDPLQAGDRIYVMPWIPYRTDTLTEYASWEDYVAARHTTTYRLPNRVDGTGFVVYDGAVFYNKERTRNIVKYDLRTRIKSGETVINTANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEGNNGRLVVSQLNPYTLRFEGTWETGYDKRSASNAFMVCGVLYVLRSVYVDDDSEAAGNRVDYAFNTNANREEPVSLAFPNPYQFVSSVDYNPRDNQLYVWNNYFVVRYSLEFGPPDPSAGPATSPPLSTTTTARPTPLTSTASPAATTPLRRAPLTTHPVGAINQLGPDLPPATAPAPSTRRPPAPNLHVSPELFCEPREVRRVQWPATQQGMLVERPCPKGTRGIASFQCLPALGLWNPRGPDLSNCTSPWVNQVAQKIKSGENAANIASELARHTRGSIYAGDVSSSVKLMEQLLDILDAQLQALRPIERESAGKNYNKMHKRERTCKDYIKAVVETVDNLLRPEALESWKDMNATEQVHTATMLLDVLEEGAFLLADNVREPARFLAAKQNVVLEVTVLSTEGQVQELVFPQEYASESSIQLSANTIKQNSRNGVVKVVFILYNNLGLFLSTENATVKLAGEAGTGGPGGASLVVNSQVIAASINKESSRVFLMDPVIFTVAHLEAKNHFNANCSFWNYSERSMLGYWSTQGCRLVESNKTHTTCACSHLTNFAVLMAHREIYQGRINELLLSVITWVGIVISLVCLAICISTFCFLRGLQTDRNTIHKNLCINLFLAELLFLVGIDKTQYEVACPIFAGLLHYFFLAAFSWLCLEGVHLYLLLVEVFESEYSRTKYYYLGGYCFPALVVGIAAAIDYRSYGTEKACWLRVDNYFIWSFIGPVSFVIVVNLVFLMVTLHKMIRSSSVLKPDSSRLDNIKSWALGAIALLFLLGLTWAFGLLFINKESVVMAYLFTTFNAFQGVFIFVFHCALQKKVHKEYSKCLRHSYCCIRSPPGGAHGSLKTSAMRSNTRYYTGTQVPGQGRHIHQVSLGPRGRSALPESQKDPGGQSGPGDPLTFGLCPSRIRRMWNDTVRKQTESSFMAGDINSTPTLNRGTMGNHLLTNPVLQPRGGTSPYNTLIAESVGFNPSSPPVFNSPGSYREPKHPLGGREACGMDTLPLNGNFNNSYSLRSGDFPPGDGGPEPPRGRNLADAAAFEKMIISELVHNNLRGASGGAKGPPPEPPVPPVPGVSEDEAGGPGGADRAEIELLYKALEEPLLLPRAQSVLYQSDLDESESCTAEDGATSRPLSSPPGRDSLYASGANLRDSPSYPDSSPEGPNEALPPPPPAPPGPPEIYYTSRPPALVARNPLQGYYQVRRPSHEGYLAAPSLEGPGPDGDGQMQLVTSL", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNRQESINSFNSDETSSLSDVESQQPQQYIPSESGSKSNMAPNQLKLTRTETVKSLQDMGVSSKAPVPDVNAPQSSKNKIFPEEYTLETPTGLVPVATLHSIGRTSTAISRTRTRQIDGASSPSSNEDALESDNNEKGKEGDSSGANDEAPDLDPEIEFVTFVTGDPENPHNWPAWIRWSYTVLLSILVICVAYGSACISGGLGTVEKKYHVGMEAAILSVSLMVIGFSLGPLIWSPVSDLYGRRVAYFVSMGLYVIFNIPCALAPNLGSLLACRFLCGVWSSSGLCLVGGSIADMFPSETRGKAIAFFAFAPYVGPVVGPLVNGFISVSTGRMDLIFWVNMAFAGVMWIISSAIPETYAPVILKRKAARLRKETGNPKIMTEQEAQGVSMGEMMRACLLRPLYFSVTEPVLVATCFYVCLIYSLLYAFFFAFPVIFGELYGYKDNLVGLMFIPIVIGALWALATTFYCENKYLQIVKQRKPTPEDRLLGAKIGAPFAAIALWILGATAYKHIIWVGPASAGLAFGFGMVLIYYSLNNYIIDCYVQYASSALATKVFLRSAGGAAFPLFTIQMYHKLNLHWGSWLLAFISTAMIALPFAFSYWGKGLRHKLSKKDYSIDSIE", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MADSSIYSTLELPEAPQVQDESRWKLKAVLHRPHLSRFAMVALGLLTVILMSLLMYQRILCCGSKDSTCSHCPSCPILWTRNGSHCYYFSMEKKDWNSSLKFCADKGSHLLTFPDNQGVKLFGEYLGQDFYWIGLRNIDGWRWEGGPALSLRILTNSLIQRCGAIHRNGLQASSCEVALQWICKKVLY", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGICLMKRCCSWFLLISFLSALTNENEAISPDGEALLSFRNGVLASDGVIGLWRPEDPDPCNWKGVTCDAKTKRVIALSLTYHKLRGPLPPELGKLDQLRLLMLHNNALYQSIPASLGNCTALEGIYLQNNYITGTIPSEIGNLSGLKNLDLSNNNLNGAIPASLGQLKRLTKFNVSNNFLVGKIPSDGLLARLSRDSFNGNRNLCGKQIDIVCNDSGNSTASGSPTGQGGNNPKRLLISASATVGGLLLVALMCFWGCFLYKKLGRVESKSLVIDVGGGASIVMFHGDLPYASKDIIKKLESLNEEHIIGCGGFGTVYKLSMDDGNVFALKRIVKLNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLLYDYLPGGSLDEALHKRGEQLDWDSRVNIIIGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKLPTDASFIEKGFNIVGWLNFLISENRAKEIVDLSCEGVERESLDALLSIATKCVSSSPDERPTMHRVVQLLESEVMTPCPSDFYDSSSD", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRWCLLLIWAQGLRQAPLASGMMTGTIETTGNISAEKGGSIILQCHLSSTTAQVTQVNWEQQDQLLAICNADLGWHISPSFKDRVAPGPGLGLTLQSLTVNDTGEYFCIYHTYPDGTYTGRIFLEVLESSVAEHGARFQIPLLGAMAATLVVICTAVIVVVALTRKKKALRIHSVEGDLRRKSAGQEEWSPSAPSPPGSCVQAEAAPAGLCGEQRGEDCAELHDYFNVLSYRSLGNCSFFTETG", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLMGATRDMGSRCLLHASVPGMLLIWSILQMMNISASCPQCNENASCFNSTHCVCKEGFWTGSENRRIIEPHEKCQDINECLLKELVCKDVSYCRNKIGTYICSCVVKYPLFNWVAGIINIDHPDCYVNKSKNTGSKTHTLGVLSEFKSKEEVAKGATKLLRKVEHHILNENSDIPKKDENPLLDIVYETKRCKTMTLLEAGNNTMKVDCTSGFKEHNSGGETAVAFIAYKSLGNLLNGSFFSNEEGFQEVTLNSHIVSGAIRSEVKPVLSEPVLLTLQNIQPIDSRAEHLCVHWEGSEEGGSWSTKGCSHVYTNNSYTICKCFHLSSFAVLMALPHEEDGVLSALSVITYVGLSLSLLCLFLAAITFLLCRPIQNTSTTLHLQLSICLFLADLLFLTGINRTKPKVLCSIIAGMLHYLYLASFMWMFLEGLHLFLTVSNLKVANYSNSGRFKKRFMYPVGYGLPAFIVAVSAIAGHKNYGTHNHCWLSLHRGFIWSFLGPAAAIILINLVFYFLIIWILRSKLSSLNKEVSTLQDTKVMTFKAIVQLFVLGCSWGIGLFIFIEVGKTVRLIVAYLFTIINVLQGVLIFMVHCLLNRQVRMEYKKWFHRLRKEVESESTEVSHSTTHTKMGLSLNLENFCPTGNLHDPSDSILPSTEVAGVYLSTPRSHMGAEDVNSGTHAYWSRTISD", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAAAQPRLPAGAAMVRRLARGCWSAFWDYETPKVIVVRNRRLGFVHRMVQLLILLYFVWYVFIVQKSYQDSETGPESSIITKVKGITMSEHKVWDVEEYVKPPEGGSVVSIITRIEVTPSQTLGTCPESMRVHSSTCHLDDDCVAGQLDMQGNGIRTGRCVPYYHGDSKTCEVSAWCPVEDGTSENHFLGKMAPNFTILIKNSIHYPKFKFSKGNIASQKSDYLKHCTFDQDSDPYCPIFRLGFIVEQAGENFTELAHKGGVIGVIINWNCDLDLSESECNPKYSFRRLDPKYDPASSGYNFRFAKYYKINGTTTTRTLIKAYGIRIDVIVHGQAGKFSLIPTIINLATALTSIGVGSFLCDWILLTFMNKNKLYSHKKFDKVRTPRHPSSRWPVTLALVLGQIPPPPSHYSQDQPPSLPSGEGPALGEGAELPLAVQPPRSCSSSALTEQVVDTLDQHMGQRPPVPEPSQQDSTSTDPKGLAQL", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAEQKSTNMWNWEVTGFESKKSPSSEEGVHRTPSSMLRRYSIPKNSLPPHSSELASKVQSLKDKVQLAKDDYVGLRQEATDLQEYSNAKLERVTRYLGVLADKSRKLDQYALETEARISPLINEKKRLFNDLLTTKGNVKVFCRARPLFEDEGPSIIEFPDNCTIRVNTSDDTLSNPKKEFEFDRVYGPQVGQASLFSDVQPFVQSALDGSNVSIFAYGQTHAGKTYTMEGSNQDRGLYARCFEELMDLANSDSTSASQFSFSVSVFELYNEQVRDLLSGCQSNLPKINMGLRESVIELSQEKVDNPSEFMRVLNSAFQNRGNDKSKSTVTHLIVSIHICYSNTITRENVISKLSLVDLAGSEGLTVEDDNGDHVTDLLHVTNSISALGDVLSSLTSKRDTIPYENSFLTRILADSLGGSSKTLMIVNICPSARNLSEIMSCLNYAARARNTVPSLGNRDTIKKWRDVANDARKEVLEKERENQRLKQEVTGLKQALKEANDQCVLLYNEVQRAWRVSFTLQSDLKSENAMVVDKHKIEKEQNFQLRNQIAQLLQLEQEQKLQAQQQDSTIQNLQSKVKDLESQLSKALKSDMTRSRDPLEPQPRAAENTLDSSAVTKKLEEELKKRDALIERLHEENEKLFDRLTEKSVASSTQVSSPSSKASPTVQPADVDSAGTLPSSVDKNEGTITLVKSSSELVKTTPAGEYLTAALNDFDPEQYEGLAAIADGANKLLMLVLAAVIKAGASREHEILAEIRDSVFSFIRKMEPRRVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVERFLEKPYTGRTRSSSGSSSPGRSPVRYYDEQIYGFKVNLKPEKKSKLVSVVSRIRGHDQDTGRQQVTGGKLREIQDEAKSFAIGNKPLAALFVHTPAGELQRQIRSWLAESFEFLSVTADDVSGVTTGQLELLSTAIMDGWMAGVGAAVPPHTDALGQLLSEYAKRVYTSQMQHLKDIAGTLASEEAEDAGQVAKLRSALESVDHKRRKILQQMRSDAALFTLEEGSSPVQNPSTAAEDSRLASLISLDAILKQVKEITRQASVHVLSKSKKKALLESLDELNERMPSLLDVDHPCAQREIDTAHQLVETIPEQEDNLQDEKRPSIDSISSTETDVSQWNVLQFNTGGSSAPFIIKCGANSNSELVIKADARIQEPKGGEIVRVVPRPSVLENMSLEEMKQVFGQLPEALSSLALARTADGTRARYSRLYRTLAMKVPSLRDLVGELEKGGVLKDTKST", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFSTHHVSRLLIPLLFFFLFCCFSSTFAQDDITNPVEVRALRVIKESLNDPVHRLRNWKHGDPCNSNWTGVVCFNSTLDDGYLHVSELQLFSMNLSGNLSPELGRLSRLTILSFMWNKITGSIPKEIGNIKSLELLLLNGNLLNGNLPEELGFLPNLDRIQIDENRISGPLPKSFANLNKTKHFHMNNNSISGQIPPELGSLPSIVHILLDNNNLSGYLPPELSNMPRLLILQLDNNHFDGTTIPQSYGNMSKLLKMSLRNCSLQGPVPDLSSIPNLGYLDLSQNQLNGSIPAGKLSDSITTIDLSNNSLTGTIPTNFSGLPRLQKLSLANNALSGSIPSRIWQERELNSTESIIVDLRNNGFSNISGRSDLRPNVTVWLQGNPLCSDGNLLRLCGPITEEDINQGSTNSNTTICSDCPPPYEFSPEPLRRCFCAAPLLVGYRLKSPGFSDFVPYRSEFEQYITSGLSLNLYQLRLDSFQWQKGPRLRMYLKFFPVFGSNANNSFIFNRSEVRRIRGMFTGWNIRDEDLFGPYELMNFTLLDVYRDVFPSASPSGLSNGAVAGIVLGSVAAAVTLTAIIALIIMRKRMRGYSAVARRKRSSKASLKIEGVKSFTYAELALATDNFNSSTQIGQGGYGKVYKGTLGSGTVVAIKRAQEGSLQGEKEFLTEIELLSRLHHRNLVSLLGFCDEEGEQMLVYEYMENGTLRDNISVKLKEPLDFAMRLRIALGSAKGILYLHTEANPPIFHRDIKASNILLDSRFTAKVADFGLSRLAPVPDMEGISPQHVSTVVKGTPGYLDPEYFLTHQLTDKSDVYSLGVVLLELFTGMQPITHGKNIVREINIAYESGSILSTVDKRMSSVPDECLEKFATLALRCCREETDARPSMAEVVRELEIIWELMPESHVAKTADLSETMTHPSSSSNSSIMKHHYTSMDVSGSDLVSGVAPSVAPR", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNAVVASQNKNDRSFSNMESESSSNVEKSEKENHHQSLPDENWTPFLFFCISSIALASFQDGFQIGCINAPGPLIIDWIKKCHFELFGEVLSQYQADFIWSVAVSMFSVGGMFGSFCSGFLADKFGRKSTLLYNNILALLAAVCLSTSKLFNFYPMIVFGRFLVGLNCGITSGLVPMFLTELAPANLRGKCGSFHQLNISVAIVLSQALGLPQIFGTQVGWPYIFACVAIPTFLQLATIPFCVESPKYLISKLNDREEARRILEKLRGHTKVDEELEHMVQETMVTVEPLHQPGYVSLFKGDNQWPMIVSILMMFSQQFSGISAVTFYSTLIFKRNGLSGNEPMYATVGFGCIKLIATFGCLFLIDHPKFGRKRLHIAGLSGMCISSILIVITLTLSNAGYHWASYMNVLFILSFVVTFAFGPGPIPWFFTSELFDSATRGRAAAVSATSNWVANWMVGLTFLPINNIIHQYAFLMFTFFTFTFAIFTWKFVPETKGKSPSAIRKELAFMRKRICS", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRSFWLFLLLLLFCISFIKLTEGNEDAKRLYDDLMVNYNRHRRPSTSPNKPLTIKLKLRLSQIIDVHEIDQIMTCSVWLKQTWIDRKLSWDPVNYGGVNVLYVPYEMIWVPDIVLYNNADSNYNITISTKATLHYTGEVTWEPPAIFKSMCQIDVRWFPFDEQQCHLKFGSWTFSENLLSVELNEPSLRYEEEIDEKGIIDNVTVAEDGIDLSDYYPSVEWDIMSRVAKRRAKNYPSCCPQSAYIDVTYYLQLRRKPLFYTVNLVFPCVGISFLTILVFYLPSDSGEKVTLCISILVALTIFFLLLTEIIPATSITLPLIGKYLLFTMVMVTLSVVVTVISLNLHFRTPTTHLMPNWVKKVFLKWLPKLLFMRRPIDDYEEKFDDKKKPKDGKIALSVHAHRVSNVGNNIRNATIDDTIQKMYYSPPVVKAFENICFIAELLKKKDRDDKIDEDWKYVAMVLDRLFLLIFSIACFVGTVIILLRAPTLYDTRQPIDLQYRPANLSANPISF", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKSGSGGGSPTSLWGLLFLSAALSLWPTSGEICGPGIDIRNDYQQLKRLENCTVIEGYLHILLISKAEDYRSYRFPKLTVITEYLLLFRVAGLESLGDLFPNLTVIRGWKLFYNYALVIFEMTNLKDIGLYNLRNITRGAIRIEKNADLCYLSTVDWSLILDAVSNNYIVGNKPPKECGDLCPGTMEEKPMCEKTTINNEYNYRCWTTNRCQKMCPSTCGKRACTENNECCHPECLGSCSAPDNDTACVACRHYYYAGVCVPACPPNTYRFEGWRCVDRDFCANILSAESSDSEGFVIHDGECMQECPSGFIRNGSQSMYCIPCEGPCPKVCEEEKKTKTIDSVTSAQMLQGCTIFKGNLLINIRRGNNIASELENFMGLIEVVTGYVKIRHSHALVSLSFLKNLRLILGEEQLEGNYSFYVLDNQNLQQLWDWDHRNLTIKAGKMYFAFNPKLCVSEIYRMEEVTGTKGRQSKGDINTRNNGERASCESDVLHFTSTTTSKNRIIITWHRYRPPDYRDLISFTVYYKEAPFKNVTEYDGQDACGSNSWNMVDVDLPPNKDVEPGILLHGLKPWTQYAVYVKAVTLTMVENDHIRGAKSEILYIRTNASVPSIPLDVLSASNSSSQLIVKWNPPSLPNGNLSYYIVRWQRQPQDGYLYRHNYCSKDKIPIRKYADGTIDIEEVTENPKTEVCGGEKGPCCACPKTEAEKQAEKEEAEYRKVFENFLHNSIFVPRPERKRRDVMQVANTTMSSRSRNTTAADTYNITDPEELETEYPFFESRVDNKERTVISNLRPFTLYRIDIHSCNHEAEKLGCSASNFVFARTMPAEGADDIPGPVTWEPRPENSIFLKWPEPENPNGLILMYEIKYGSQVEDQRECVSRQEYRKYGGAKLNRLNPGNYTARIQATSLSGNGSWTDPVFFYVQAKTGYENFIHLIIALPVAVLLIVGGLVIMLYVFHRKRNNSRLGNGVLYASVNPEYFSAADVYVPDEWEVAREKITMSRELGQGSFGMVYEGVAKGVVKDEPETRVAIKTVNEAASMRERIEFLNEASVMKEFNCHHVVRLLGVVSQGQPTLVIMELMTRGDLKSYLRSLRPEMENNPVLAPPSLSKMIQMAGEIADGMAYLNANKFVHRDLAARNCMVAEDFTVKIGDFGMTRDIYETDYYRKGGKGLLPVRWMSPESLKDGVFTTYSDVWSFGVVLWEIATLAEQPYQGLSNEQVLRFVMEGGLLDKPDNCPDMLFELMRMCWQYNPKMRPSFLEIISSIKEEMEPGFREVSFYYSEENKLPEPEELDLEPENMESVPLDPSASSSSLPLPDRHSGHKAENGPGPGVLVLRASFDERQPYAHMNGGRKNERALPLPQSSTC", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDNYTDVLYQYRLAPSASPEMEMELADPRQMVRGFHLPTNESQLEIPDYGNESLDYPNYQQMVGGPCRMEDNNISYWNLTCDSPLEYAMPLYGYCMPFLLIITIISNSLIVLVLSKKSMATPTNFVLMGMAICDMLTVIFPAPGLWYMYTFGNHYKPLHPVSMCLAYSIFNEIMPAMCHTISVWLTLALAVQRYIYVCHAPMARTWCTMPRVRRCTAYIALLAFLHQLPRFFDRTYMPLVIEWNGSPTEVCHLETSMWVHDYIGVDLYYTSYYLFRVLFVHLLPCIILVTLNILLFAAMRQAQERRKLLFRENRKKECKKLRETNCTTLMLIVVVSVFLLAEIPIAVVTAMHIVSSLIIEFLDYGLANICIMLTNFFLVFSYPINFGIYCGMSRQFRETFKEIFLGRLMAKKDSSTKYSIVNGARTCTNTNETVL", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTARMISICGLVMALMMASVLASSSRFQRVPQSQSVVENESVKFECESTDSYSELHYDWLHNGHRIAYDKRVHQIGSNLHIEAVRRTEDVGNYVCIATNLASGAREASPPAKLSVIYLESASVQLLGSNRNELLLKCHVEGASGDLEPLEIEWYRNSEKLSTWKNVQLDQHRLIIRQPGSEDDGLYRCTASNAAGRVMSKQGYVYQSSVKCLPRLPRRKNEKMMESWDKQTFLCRGKRGGAAGLEALPAAPEDLRIVQGPIGQSIIKEGEPTALTCLYELPDELKNQRIQLRWRKDGKLLRQVELGGSAPIPGHSFDSGKDALLREDARLVLHKQNGTLSFASIIASDAGQYQCQLQLEAHAPINSSPGILEVIEQLKFVPQPTSKNLELDAVVAKVHCKAQGTPTPQVQWVRDGENTTLPDHVEVDANGTLIFRNVNSEHRGNYTCLATNSQGQINATVAINVVVTPKFSVPPVGPIETSEQGTVVMHCQAIGDPKPTIQWDKDLKYLSENNTDRERFRFLENGTLEIRNVQVEDEGSYGCTIGNSAGLKREDVQLVVKTTGDGFAPEESGGDGFLVTRAVLITMTVALAYIVLVVGLMLWCRYRRQARKARLNDLSTKEAGGDQPDVAGNGKGSEQEPCLSKQHNGHSKSRSKSSGDAQKSDDTACSQQSRASKKSAHIYEQLALPRSGLSELIQIGRGEFGDVFVGKLKATLVTSPSDKDADTEKQHSNSENGSGGSGSGSTTLSTLNEKRRSKTSMDDIEEIKEEEQDQHNQSGLEQLVLVKALNKVKDEQACQEFRRQLDLLRAISHKGVVRLFGLCREKDPHYMVLEYTDWGDLKQFLLATAGKVNTATAGSSSPPPLTTSQVLAVAYQIARGMDAIYRARFTHRDLATRNCVISSEFIVKVSYPALCKDKYSREYHKHRNTLLPIRWLAPECIQEDEYTTKSDIFAYGVVVWELFNQATKLPHEELTNEQVVQRSQAGSLEWSVAEATPDSLREILLSCWVSNPKERPSFSQLGAALSKAMQSAEK", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAKAAEQKQNPTVASAEAKLDIRDVVNTAITYGEDNRHGGGKRNDVAMFVLRAMCMAVSTVAVTLMVTARETSMTTLYGFEFQLHAVWSLSDSLIYLVVVSSATVLYSLIQLIISGTRLMRKSPVIPTRTQAWFCFVADQIIGYAMVSGGSAALGVTNMNRTGIRHMPLPNFCKSLGFFCDHLAGSIVFALFAFLLLAASSLLDVLHLSRHR", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQTGSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATSTLPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRTPRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFAFIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYVIIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQQNSARIRQNTREHPSTANTVDRTNHQLENLEAETAPLP", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MWTVQNRESLGLLSFPVMIAMVCCAHSANEPSNMSYVKETVDRLLKGYDIRLRPDFGGPPVDVGMRIDVASIDMVSEVNMDYTLTMYFQQSWKDKRLSYSGIPLNLTLDNRVADQLWVPDTYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTTAACMMDLRRYPLDEQNCTLEIESYGYTTDDIEFYWNGGEGAVTGVNKIELPQFSIVDYKMVSKKVEFTTGAYPRLSLSFRLKRNIGYFILQTYMPSTLITILSWVSFWINYDASAARVALGITTVLTMTTISTHLRETLPKIPYVKAIDIYLMGCFVFVFLALLEYAFVNYIFFGKGPQKKGAGKQDQSANEKNKLEMNKVQVDAHGNILLSTLEIRNETSGSEVLTGVGDPKTTMYSYDSASIQYRKPMSSREGYGRALDRHGAHSKGRIRRRASQLKVKIPDLTDVNSIDKWSRMFFPITFSLFNVVYWLYYVH", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSRAGNRGNTQARWLGIGLLGLFLLPMYLSLEVSVGKATTIYAINGSAILLPCTFSSCYGFENLYFRWSYNNSETSRILIDGIVKNDKSDPKVRVKDDDRITLEGSTKEKMNNISILLSDLEFSDTGRYTCFVRNPKEKDLNNSATIFLQVVDKLEEVDNTVTLIILAVVGGVIGLLVCILLLKKLITFILKKTREKKKECLVSSSGNDNTENGLPGSKAEEKPPTKV", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNKTNPERKISLTSFKERMACKEKPRDLQVLLIISIVLSCSFAVSATVEEANALLKWKSTFTNQTSSSKLSSWVNPNTSSFCTSWYGVACSLGSIIRLNLTNTGIEGTFEDFPFSSLPNLTFVDLSMNRFSGTISPLWGRFSKLEYFDLSINQLVGEIPPELGDLSNLDTLHLVENKLNGSIPSEIGRLTKVTEIAIYDNLLTGPIPSSFGNLTKLVNLYLFINSLSGSIPSEIGNLPNLRELCLDRNNLTGKIPSSFGNLKNVTLLNMFENQLSGEIPPEIGNMTALDTLSLHTNKLTGPIPSTLGNIKTLAVLHLYLNQLNGSIPPELGEMESMIDLEISENKLTGPVPDSFGKLTALEWLFLRDNQLSGPIPPGIANSTELTVLQLDTNNFTGFLPDTICRGGKLENLTLDDNHFEGPVPKSLRDCKSLIRVRFKGNSFSGDISEAFGVYPTLNFIDLSNNNFHGQLSANWEQSQKLVAFILSNNSITGAIPPEIWNMTQLSQLDLSSNRITGELPESISNINRISKLQLNGNRLSGKIPSGIRLLTNLEYLDLSSNRFSSEIPPTLNNLPRLYYMNLSRNDLDQTIPEGLTKLSQLQMLDLSYNQLDGEISSQFRSLQNLERLDLSHNNLSGQIPPSFKDMLALTHVDVSHNNLQGPIPDNAAFRNAPPDAFEGNKDLCGSVNTTQGLKPCSITSSKKSHKDRNLIIYILVPIIGAIIILSVCAGIFICFRKRTKQIEEHTDSESGGETLSIFSFDGKVRYQEIIKATGEFDPKYLIGTGGHGKVYKAKLPNAIMAVKKLNETTDSSISNPSTKQEFLNEIRALTEIRHRNVVKLFGFCSHRRNTFLVYEYMERGSLRKVLENDDEAKKLDWGKRINVVKGVAHALSYMHHDRSPAIVHRDISSGNILLGEDYEAKISDFGTAKLLKPDSSNWSAVAGTYGYVAPELAYAMKVTEKCDVYSFGVLTLEVIKGEHPGDLVSTLSSSPPDATLSLKSISDHRLPEPTPEIKEEVLEILKVALLCLHSDPQARPTMLSISTAFS", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAKSSLSLNWSLLVLLNFLGATLSTGTDSLSCELTFNHRTLHGQCSVNGKTLLDFGDKKHEGNATEMCADLSQSLRELSEGMRNQQSGNDALNVTTQSQYNQGEFIGGFWAINTDEQHSIYFYPLNMTWRESHSDNSSAMEHWKNKNLEKDIRNVLIIYFSRCLNKLSPHFREMPKSKIKVLDTTQNTNTTQIHPTVNNSQHNSDTQGLSFTWIVIICIGGIVSFMAFMVFAWCMLKKKKGALCCSSSSTT", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRPLSVQSKFEDVATSTSVNHHGVTPQSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWRLSSSGEEDGQNVDEEKESRSGDKAANGAYEEKFLVIMAGEDLPRYLATPAMKKCTCGGHEGKMVISQEESVAKEEEKMREGEEEKVKDTGETTTTSH", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIDLSFLTEEEQDAILKVLQRDAALKRAEEERVRHLPEKIKDDQQLKNMSGQWFYEAKAKRHRDKIHGADIIRASMRRKKLPAAAEQNKDTAMRAKESWVNNVNKDAVLPPEIAVVEEPEDDTDPAGPSSSLVDPASSVIDMSQESTRTPAVSLPKQRKNPFNSPKLPEDHSLQQTKPEQSKTGKAGLFQISKEGELSESKEKSSIPDMPRQQLEKPKQTVSTEPENASHTKAPIPKARKLIYKSNDLEKDDNQSFPRQRRDSLNARGAPRGILKRNSSSSSTDSETLRLNYNLDPKSKILSPGLTIHERISEKEFSLEDDSSTSSLEPLKHVRFSAVKNELPQSPRPVLGQEVGEFTVLESDQLQNGTEDAGDIEEFQNHPELSHKTPLSHYQLVSSPSDSGREREQLMSSGSAPRDEIPCHSDILPTGPQCVESSSVINGQQEKSSHFTKLPSELSKSPSDELTQCGEPEPSQTADHSFRDHRQGSEEEHSPVLKTLERRAARKLPSKSLEDIPSDSSNQAKVDNLPEELVRSAEDVSTVPSLPDNQFSHPDKLKRMSKSVPAFLQDEASGSVMSVYSGDFGNLEVKGSVQFALDYVESLKELHVFVAQCKDLAAADVKKQRSDPYVKTYLLPDKGKMGKKKTLVVKKTLNPVYNEILRYKIERQFLKTQKLNLSVWHRDTFKRNSFLGEVELDLETWDWDSKQNKQLKWYPLKRKTAPVALETENRGEMKLALQYVPEPSPGKKLPTTGEVHIWVKECLDLPLLRGSHLNSFVKCTILPDTSRKSRQKTRAVGKTTNPVFNHTMVYDGFRPEDLMEACVELTVWDHYKLTNQFLGGLRIGFGTGKSYGTEVDWMDSTSEEVALWEKMVNSPNTWVEATLPLRMLLIAKLSK", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSTLSNFTQTLEDVFRRIFITYMDNWRQNTTAEQEALQAKVDAENFYYVILYLMVMIGMFSFIIVAILVSTVKSKRREHSNDPYHQYIVEDWQEKYKSQILNLEESKATIHENIGAAGFKMSP", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MYKDCIESTGDYFLLCDAEGPWGIILESLAILGIVVTILLLLAFLFLMRKIQDCSQWNVLPTQLLFLLSVLGLFGLAFAFIIELNQQTAPVRYFLFGVLFALCFSCLLAHASNLVKLVRGCVSFSWTTILCIAIGCSLLQIIIATEYVTLIMTRGMMFVNMTPCQLNVDFVVLLVYVLFLMALTFFVSKATFCGPCENWKQHGRLIFITVLFSIIIWVVWISMLLRGNPQFQRQPQWDDPVVCIALVTNAWVFLLLYIVPELCILYRSCRQECPLQGNACPVTAYQHSFQVENQELSRARDSDGAEEDVALTSYGTPIQPQTVDPTQECFIPQAKLSPQQDAGGV", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDHSAEKAAANAEVPQELLEEMLWYFRAEDAAPWNYSILVLAVLVVMTSMFLLRRSILANRNRKKQPQDKETPEDLHLDDSIMKENNSQVFLRETLISEKPDLAPGEPELKEKDSSLVFLPDPQETES", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAKIANASLSQQQKQRQAETATTTTTTVAASVETATTTARSRDRTKSAAQITSHLLKRAISVYSSPQWIPLFILIYLATDVASVAVPTKEAYFNGSTYLRLTTPMPIWDHSAISFRSCRGGEILAQQYNKNSIVISVLNDFLQISLAGPAVHGPNNRLDVKLPYQLLDNRWHTLQFKYEYGNLYLHVDRAASIFANSTYNSQFLTNQDIGYKDAILILGNSFSGCLLDGPGLQFVNNSTVQNVVFGHCPLTPGPCSDHDLFTRLPDNFCLNDPCMGHGTCSSSPEGYECRCTARYSGKNCQKDNGSPCAKNPCENGGSCLENSRGDYQCFCDPNHSGQHCETEVNIHPLCQTNPCLNNGACVVIGGSGALTCECPKGYAGARCEVDTDECASQPCQNNGSCIDRINGFSCDCSGTGYTGAFCQTNVDECDKNPCLNGGRCFDTYGWYTCQCLDGWGGEICDRPMTCQTQQCLNGGTCLDKPIGFQCLCPPEYTGELCQIAPSCAQQCPIDSECVGGKCVCKPGSSGYNCQTSTGDGASALALTPINCNATNGKCLNGGTCSMNGTHCYCAVGYSGDRCEKAENCSPLNCQEPMVCVQNQCLCPENKVCNQCATQPCQNGGECVDLPNGDYECKCTRGWTGRTCGNDVDECTLHPKICGNGICKNEKGSYKCYCTPGFTGVHCDSDVDECLSFPCLNGATCHNKINAYECVCQPGYEGENCEVDIDECGSNPCSNGSTCIDRINNFTCNCIPGMTGRICDIDIDDCVGDPCLNGGQCIDQLGGFRCDCSGTGYEGENCELNIDECLSNPCTNGAKCLDRVKDYFCDCHNGYKGKNCEQDINECESNPCQYNGNCLERSNITLYQMSRITDLPKVFSQPFSFENASGYECVCVPGIIGKNCEININECDSNPCSKHGNCNDGIGTYTCECEPGFEGTHCEINIDECDRYNPCQRGTCYDQIDDYDCDCDANYGGKNCSVLLKGCDQNPCLNGGACLPYLINEVTHLYNCTCENGFQGDKCEKTTTLSMVATSLISVTTEREEGYDINLQFRTTLPNGVLAFGTTGEKNEPVSYILELINGRLNLHSSLLNKWEGVFIGSKLNDSNWHKVFVAINTSHLVLSANDEQAIFPVGSYETANNSQPSFPRTYLGGTIPNLKSYLRHLTHQPSAFVGCMQDIMVNGKWIFPDEQDANISYTKLENVQSGCPRTEQCKPNPCHSNGECTDLWHTFACHCPRPFFGHTCQHNMTAATFGHENTTHSAVIVETTDVARRAIRSILDISMFIRTREPTGQVFYLGTDPRKAPTKNIGDSYVAAKLHGGELLVKMQFSGTPEAYTVGGQKLDNGYNHLIEVVRNQTLVQVKLNGTEYFRKTLSTTGLLDAQVLYLGGPAPTRESLLGATTEPGIIPVPGAGIPIEDTTVPKEADDSRDYFKGIIQDVKVSNGSLNLIVEMYSLNVTDVQVNAKPLGAVTIDRASVLPGEVSDDLCRKNPCLHNAECRNTWNDYTCKCPNGYKGKNCQEIEFCQHVTCPGQSLCQNLDDGYECVTNTTFTGQERSPLAFFYFQEQQSDDIVSEASPKQTLKPVIDIAFRTRAGGTLLYIDNVDGFFEIGVNGGRVTITWKLSALHFGESARFEKENTDGEWSRIYLRAHNSKLEGGWKGWESMVDPTPAFSTDIDQAAFQSLIATSTQVYLGGMPESRQARGSTLSAQQGSQFKGCVGEARVGDLLLPYFSMAELYSRTNVSVQQKAQFRLNATRPEEGCILCFQSDCKNDGFCQSPSDEYACTCQPGFEGDDCGTDIDECLNTECLNNGTCINQVAAFFCQCQPGFEGQHCEQNIDECADQPCHNGGNCTDLIASYVCDCPEDYMGPQCDVLKQMTCENEPCRNGSTCQNGFNASTGNNFTCTCVPGFEGPLCDIPFCEITPCDNGGLCLTTGAVPMCKCSLGYTGRLCEQDINECESNPCQNGGQCKDLVGRYECDCQGTGFEGIRCENDIDECNMEGDYCGGLGRCFNKPGSFQCICQKPYCGAYCNFTDPCNATDLCSNGGRCVESCGAKPDYYCECPEGFAGKNCTAPITAKEDGPSTTDIAIIVIPVVVVLLLIAGALLGTFLVMARNKRATRGTYSPSAQEYCNPRLEMDNVLKPPPEERLI", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEIALVPLENGGAMTVRGGDEARAGCGQATGGELQCPPTAGLSDGPKEPAPKGRGAQRDADSGVRPLPPLPDPGVRPLPPLPEELPRPRRPPPEDEEEEGDPGLGTVEDQALGTASLHHQRVHINISGLRFETQLGTLAQFPNTLLGDPAKRLRYFDPLRNEYFFDRNRPSFDGILYYYQSGGRLRRPVNVSLDVFADEIRFYQLGDEAMERFREDEGFIKEEEKPLPRNEFQRQVWLIFEYPESSGSARAIAIVSVLVILISIITFCLETLPEFRDERELLRHPPAPHQPPAPAPGANGSGVMAPPSGPTVAPLLPRTLADPFFIVETTCVIWFTFELLVRFFACPSKAGFSRNIMNIIDVVAIFPYFITLGTELAEQQPGGGGGGQNGQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGKTLQASMRELGLLIFFLFIGVILFSSAVYFAEADNQGTHFSSIPDAFWWAVVTMTTVGYGDMRPITVGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETDHEEPAVLKEEQGTQSQGPGLDRGVQRKVSGSRGSFCKAGGTLENADSARRGSCPLEKCNVKAKSNVDLRRSLYALCLDTSRETDL", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEFRGSGATAVEQHLLQSETPGKNGLQATSSDQVGRTLRWFTTVVLNAAFLGMGVSAAVLGPTFPDLARNVNRNISSLSEIFVGRALGYLGGSVVGGVLFDCMNHFLLLGLSHLLTAAGLYLTPFCKTAALLTAMMSITGVSFGVLDTGGNVLILDLWGDKGAPHIQALHFSFALGAFLAPLLAKLAWGTTASAQNHTEPQLDRSALNRSFEAASDSVLAVPDDMNLLWAYASIGTYVLVLSVFLFAPFFKKRSKQKKSAASAQGARRAKYHRALLCLLFLFFFFYVGAEVTYGSYVFSFATTHVGMEESEAAGLNSIFWGTFAACRGLAIFFATLLQPGTMMVLCNIGSLASSFFLVLFDKSPLCLWIASSVYGASMAATFPSGISWIEQYTTLTGKSAAFILVGAALGLMATPALSGILQGHYPDLPVILYMCLGSAVLTTVLFPVMYKVATLPLDRKQEKSINSEGQKILLSSSRLIKEAK", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAPDLLDPKSAAQNSKPRLSFSSKPTVLASRVESDSAINVMKWKTVSTIFLVVVLYLIIGATVFKALEQPQEISQRTTIVIQKQTFIAQHACVNSTELDELIQQIVAAINAGIIPLGNSSNQVSHWDLGSSFFFAGTVITTIGFGNISPRTEGGKIFCIIYALLGIPLFGFLLAGVGDQLGTIFGKGIAKVEDTFIKWNVSQTKIRIISTIIFILFGCVLFVALPAVIFKHIEGWSALDAIYFVVITLTTIGFGDYVAGGSDIEYLDFYKPVVWFWILVGLAYFAAVLSMIGDWLRVISKKTKEEVGEFRAHAAEWTANVTAEFKETRRRLSVEIYDKFQRATSVKRKLSAELAGNHNQELTPCRRTLSVNHLTSEREVLPPLLKAESIYLNGLTPHCAGEDIAVIENMK", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MQTREFPQRPLGLLLVLLVVLLQSSLIKSYLIIVHEDTPPGTVIFNASVYKLGSERHYKINAHKSANFVHHLVSVNHKDGQIQLRKALKCDGIYYPNLFTFYVDSTSNRLRSIDYYSLPVRIFVSGHSCNEDRRIEQELHHHHYEEEDNTGYSKRRRRRSTQEMIQLNGNQLEEVFRQNSTEFRAGDLIFGDSFDNEMRHRILSRKRRAVGSPDPLHLQPALHRRISDAKQWISETYASYAIHTTDKWNQICLRRSQFINSLNAFLPRSVCQHCKVSFLDVNDERFAIEHQSRDLVASRDVCIAESMWKVSITFNIRCDRRDIVDSDHRLKIVYHHQEFNDTDIARRVRRELRNQSPYFEQALYVASVLEEQPAGAAVTTVRARDPEDSPVVYSMVSLLDSRSQSLFKVDSRTGVVTTSASLDRELMDVHYFRVVATDDSFPPRSGTTTLQVNVLDCNDHSPTFEAEQFEASIREGATVGSTVITLRATDQDIGKNAEIEYGIEAVTDGAGLAQDQEMPIFRIDSRSGVISTRSSLDRETSDSYHLLVTAADLASAQSERRTATASVQVKVLDDNDNYPQFSERTYTVQVPEDQWGGTEDNTVAHIRATDADQGNNAAIRYAIIGGNTQSQFSIDSMSGDVSLVKPLDYESVRSYRLVIRAQDGGSPSRSNTTQLLVNVIDANDNAPRFYTSQFQESVLENVPVGYNIIRVQAYDSDEGANAEITYSISERDDNFPLAVDPRTGWVQTIKPLDREEQGRFAFQVVAKDGGVPPKSASSSVVITVQDVNDNDPAFNPKYYEANVGEDQPPGTPVTTVTATDPDEDSRLHYEITTGNTRGRFAITSQNGRGLITIAQSLDYKQEKRFLLTVAATDSGGRSDTATVHINITDANNFAPIFENAPYSASVFEDAPVGTTVLVVSATDSDVGVNAQITYSLNEESINGLGSPDPFSINPQTGAIVTNAPLDRETTSGYLLTVTAKDGGNPSLSDTTDVEIGVTDVNDNAPAFKSPLYQASILEDALVGTSVIQVAASDPDVGLNGRIKYLLSDRDIEDGSFVIDPTSGTIRTNKGLDRESVAVFHLTAIAVDKGSPPLSSTVEVQIRLEDVNDSPPTFASDKITLYVPENSPVGSVVGEIHAHDPDEGVNAVVHYSIIGGDDSNAFSLVTRPGSERAQLLTMTELDYESTRKRFELVVRAASPPLRNDAHIEILVTDVNDNAPVLRDFQVIFNNFRDHFPSGEIGRIPAFDADVSDKLHYRILSGNNANLLRLNSSSGGLVLSPQLNTNVPKFATMEVSVSDGINEAKAIMQLSVRLITEDMLFNSVTVRLNEMTEEAFLSPLLNFFLDGLAAIIPCPKEHIFVFSIQDDTDVSSRILNVSFSARRPDVSHEEFYTPQYLQERVYLNRAILARLATVEVLPFDDNLCVREPCLNFEECLTVLKFGNASEFIHSDTVLFRPIYPVNTFACSCPEGFTGSKEHYLCDTEVDLCYSDPCQNGGTCVRREGGYTCVCPSTHTGQNCETGVGHLRPCPSETCEGGLSCLSNYPSSQPPPYTATCELRARAFGRNSFLTFESLKQRHRFNLKLRFATVQENGLLLYNGRYNELHDFIALEIHEGHVSFSFSLGDHSERISVIQEAKVSDGKWHQVEVVYLNRSVTLVLDNCDTAIALSGQLGDRWSCANRTTLKLDKRCSLLTETCHRFLDLTGPLQVGGLPRIPAHFPVTNRDFVGCISDLRIDDRFVDLNSYVADNGTLAGCPQKAPLCQSEPCFNGGTCREGWGTYSCECPEGYAGNSCQDNIPAPWRFSGDGSLSFNPLLRPIQLPWTTSFSLRTRQKEAFLLQIQIGQNSSAAVCLRQGVLYYIFDGEPMYLAGAFLSDGEWHRVEIRWQQGSEIHFSVDYGQRSGSVPMSQKVQGLYVGKIVMGSPDGSIGAVPEASPFEGCIQDVRIGAGQSVLSRPTIRENVEDGCESRAQCPDHCPNHSSCQSSWDLSTCECDSGYVGTDCAPICTVRPCASGVCRANTSLPRGYDCECNSSSRHGDYCEKELQQPCPGGWWGERVCGPCRCDLAQGYHPDCNKTTGQCYCKTNHYQPPNETACLSCDCYSIGSFSGACNPLTGQCECREGVIGRRCDSCSNPYAEVTLSGCEVVYDACPRSFAGGVWWPRTPLGGVAIEGCPPPARGKGQRSCDVQSGSWNTPDMYNCTSEPFVELRRQLSQLEKLELELNSFVAIKMAEQLRKACEAVDRRGASKDQKISGNGRPNRRYKMESSFLLSNGGNVWSHELEMDYLSDELKFTHDRLYGADLLVTEGLLQELINYELMQSGLNLSHSQDKYFIKNLVDAASVILDRKYEAEWRRATELIQRGPDDLVDAFNKYLVVLARSQHDTYTSPFEIVQPNMALGLDIVTTESLFGYEPEQLSEYHRSKYLKPNAFTTESVVLPDTSGFLQHSARQRPVISFPKYNNYILDRRKFDQHTKVLVPLEMLGITPPESDEISQSGRRGSSHDHRAIVAYAQYKDVGQLLPDLYDETITRRWGVDVELATPILSLQILVPSMEREQETQRLEIPSRKIFSSSSPSSSSSSGSTEQQFVEVFDVPKAPTSSSEQQIEDIRITAHEIPPPVSSVEQQEASSDEDGEEREPHIRLNLDDIEFHGNSGEEVISPDSPEMLNPNYEGVSSTGSDEQPKGENEAVYRDRRLVKRQVEITYPSEQMQQTEQVVYRSLGSPHLAQPIKLQMWLDVDSARFGPRSNPQCVRWNSFTNQWTRLGCQTEIPDFDGDFNPAAQQAILVNCSCTHISSYAVIVDVIDPEDIPEPSLLVQITSYSAFLVSLPLLLGVLLALALLRGQQTNSNTIHQNIVLCVFCAELLFFVGMQSRRQLLESEFPCKLTAICLHYFWLAAFAWTTVDCVHLYRMLTEMRDINHGPMGFYFAMGYGAPAIVVGLSVGVRAHEYGNSLFCWLSVYEPVVWWLVGPIAGMSVVNLLILFVSVKAAFTLKDHVLGFGNLRTLLWLSVVSLPLMGVMWVLAVLAASEHSQLLSLLLSGVVLLHALFCLIGYCIINKRVRENLQRTCLRCMGRKVPLLDSSMVVSNSSHNVNAAARPSNFLASGYDTTTRRNIGISASSTTSRSTAKTSSSPYSDGQLRQTSTSTSNYNSASDAPSFLRGFESSTTGRSRGGEEKPSRRQRKDSDSGSETDGRSLELASSHSSDDDESRTARSSGTHRSTAVSSTPAYLPNITEHVQATTPPELNVVQSPQLFPSVNKPVYAPRWSSQLPDAYLQSPPNIGRWSQDTGSDNEHVHGQAKMTISPNPLPNPDLTDTSYLQQHHNKINMPPSILENIRDAREGYEDSLYGRRGEYPDKYGSYKPPSHYGSEKDYPGGGSGSQTIGHMRSFHPDAAYLSDNIYDKQRTLGSGYLGAKSESPYLSKDRITPDIYGSRDGHYSLKRQPAYATDSLHSVHSLLKNDYHQQQQQQQQHHLQDRLSEGSDKNGYHFPYTAEEDHLPARKLSHTQPPSLHGSQLMQPPGVGLVNDVNNPGLMGRHTLNGGSRHSSRASSPPSTMVAPMQPLGPLTSITDTERNIDDDETTV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVAERTRKAAASGSRGPGELGAPGPGTVALAEQCARLPSPGCCGLLALALCSLALSLLAHFRTAELQARVLRLEAERGEQQMEKAILGRVNQLLDEKWKFYSRRRREAPKMSPGCNCPPGPPGPTGRPGLPGDKGAIGMPGRVGIKGQPGEKGAPGDAGMSIVGPRGPPGQPGTRGFPGFPGPIGLDGRPGHPGPKGEMGLVGPRGQPGPQGQKGEKGQCGEYPHREYPGGMLAALRSNPIMSLKLLPLLNSVRLAPPPVIKRRTFQGEQSQTGIQGPPGPPGPPGPSGPLGHPGLPGPIGPPGLPGPPGPKGDPGIQGYHGRKGERGMPGMPGKHGAKGVPGIAVAGMKGEPGTPGTKGEKGAAGSPGLLGQKGEKGDAGNAIGGGRGEPGPPGLPGPPGPKGEAGVDGQAGPPGQQGDKGQPGAAGEQGPSGPKGAKGEPGKGEMVDYNGSINEALQEIRTLALMGPPGLPGQTGPPGPPGTPGQRGEIGLPGPPGHDGDKGPRGKPGDMGPAGPQGPPGKDGPPGMKGEVGPPGSPGEKGETGQAGPQGLDGPTGEKGEPGDEGRPGATGLPGPIGLPGFTGEKGEAGEKGDPGAEVPGPPGPEGPPGPPGLQGFPGPKGEAGLEGSKGEKGSQGEKGDRGPLGLPGASGLDGRPGPPGTPGPIGVPGPAGPKGERGSKGDPGMTGPTGAAGLPGLHGPPGDKGNRGERGKKGSRGPKGDKGDQGAPGLDAPCPLGEDGLPVQGCWNK", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGTSHPAFLVLGCLLTGLSLILCQLSLPSILPNENEKVVQLNSSFSLRCFGESEVSWQYPMSEEESSDVEIRNEENNSGLFVTVLEVSSASAAHTGLYTCYYNHTQTEENELEGRHIYIYVPDPDVAFVPLGMTDYLVIVEDDDSAIIPCRTTDPETPVTLHNSEGVVPASYDSRQGFNGTFTVGPYICEATVKGKKFQTIPFNVYALKATSELDLEMEALKTVYKSGETIVVTCAVFNNEVVDLQWTYPGEVKGKGITMLEEIKVPSIKLVYTLTVPEATVKDSGDYECAARQATREVKEMKKVTISVHEKGFIEIKPTFSQLEAVNLHEVKHFVVEVRAYPPPRISWLKNNLTLIENLTEITTDVEKIQEIRYRSKLKLIRAKEEDSGHYTIVAQNEDAVKSYTFELLTQVPSSILDLVDDHHGSTGGQTVRCTAEGTPLPDIEWMICKDIKKCNNETSWTILANNVSNIITEIHSRDRSTVEGRVTFAKVEETIAVRCLAKNLLGAENRELKLVAPTLRSELTVAAAVLVLLVIVIISLIVLVVIWKQKPRYEIRWRVIESISPDGHEYIYVDPMQLPYDSRWEFPRDGLVLGRVLGSGAFGKVVEGTAYGLSRSQPVMKVAVKMLKPTARSSEKQALMSELKIMTHLGPHLNIVNLLGACTKSGPIYIITEYCFYGDLVNYLHKNRDSFLSHHPEKPKKELDIFGLNPADESTRSYVILSFENNGDYMDMKQADTTQYVPMLERKEVSKYSDIQRSLYDRPASYKKKSMLDSEVKNLLSDDNSEGLTLLDLLSFTYQVARGMEFLASKNCVHRDLAARNVLLAQGKIVKICDFGLARDIMHDSNYVSKGSTFLPVKWMAPESIFDNLYTTLSDVWSYGILLWEIFSLGGTPYPGMMVDSTFYNKIKSGYRMAKPDHATSEVYEIMVKCWNSEPEKRPSFYHLSEIVENLLPGQYKKSYEKIHLDFLKSDHPAVARMRVDSDNAYIGVTYKNEEDKLKDWEGGLDEQRLSADSGYIIPLPDIDPVPEEEDLGKRNRHSSQTSEESAIETGSSSSTFIKREDETIEDIDMMDDIGIDSSDLVEDSFL", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTLGSPRKGLLMLLMALVTQGDPVKPSRGPLVTCTCESPHCKGPTCRGAWCTVVLVREEGRHPQEHRGCGNLHRELCRGRPTEFVNHYCCDSHLCNHNVSLVLEATQPPSEQPGTDGQLALILGPVLALLALVALGVLGLWHVRRRQEKQRGLHSELGESSLILKASEQGDSMLGDLLDSDCTTGSGSGLPFLVQRTVARQVALVECVGKGRYGEVWRGLWHGESVAVKIFSSRDEQSWFRETEIYNTVLLRHDNILGFIASDMTSRNSSTQLWLITHYHEHGSLYDFLQRQTLEPHLALRLAVSAACGLAHLHVEIFGTQGKPAIAHRDFKSRNVLVKSNLQCCIADLGLAVMHSQGSDYLDIGNNPRVGTKRYMAPEVLDEQIRTDCFESYKWTDIWAFGLVLWEIARRTIVNGIVEDYRPPFYDVVPNDPSFEDMKKVVCVDQQTPTIPNRLAADPVLSGLAQMMRECWYPNPSARLTALRIKKTLQKISNSPEKPKVIQ", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSAVLTAGGGLTAGDRSIITAINTGASSLSFVGSAFIVLCYCLFKELRKFSFKLVFYLALSDMLCSFFLIVGDPSKGFICYAQGYTTHFFCVASFLWTTTIAFTLHRTVVKHKTDVEDLEAMFHLYVWGTSLVVTVIRSFGNNHSHLGPWCWTQTGLKGKAVHFLTFYAPLWGAILYNGFTYFQVIRMLRNARRMAVGMSDRVDQFDNRAELKVLNRWGYYPLILIGSWAFGTINRIHDFIEPGHKIFWLSVLDVGTAALMGLFNSIAYGFNSSVRRAIHERLELFLPERLYRWLPSNFRPKNHLILHQQQQQRSEMVSLKTEDQQ", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATPRTLVPILPPVAALLLLLVAASSIPILAAAQPADACGGAPDQAAADGACHDVPRALRLKLIAIPTILVSSVVGVCLPLLSRSVPALRPDGGLFAVVKAFASGVILATGYMHVLPDAFNNLTSPCLPRKPWSEFPFAAFVAMLAAVSTLMADSLMLTYYNRSKPRPSSGGDVAAVADHGESPDQGHRHGHGHGHGHGMAVAKPDDVEATQVQLRRNRVVVQVLEIGIVVHSVVIGLGMGASQNVCTIRPLVAAMCFHQMFEGMGLGGCILQAEYGRRMRSVLVFFFSTTTPFGIALGLALTRVYRDNSPTALIVVGLLNAASAGLLHYMALVELLAADFMGPKLQGNVRLQLAAFLAVLLGAGGMSVMAKWA", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEEPGAQCAPPPPAGSETWVPQANLSSAPSQNCSAKDYIYQDSISLPWKVLLVMLLALITLATTLSNAFVIATVYRTRKLHTPANYLIASLAVTDLLVSILVMPISTMYTVTGRWTLGQVVCDFWLSSDITCCTASILHLCVIALDRYWAITDAVEYSAKRTPKRAAVMIALVWVFSISISLPPFFWRQAKAEEEVSECVVNTDHILYTVYSTVGAFYFPTLLLIALYGRIYVEARSRILKQTPNRTGKRLTRAQLITDSPGSTSSVTSINSRVPDVPSESGSPVYVNQVKVRVSDALLEKKKLMAARERKATKTLGIILGAFIVCWLPFFIISLVMPICKDACWFHLAIFDFFTWLGYLNSLINPIIYTMSNEDFKQAFHKLIRFKCTS", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVGIFNIVIDEENFKVLDSSLHFEVEAGLMYPSVSVVSWMGLANVFEAGMNASILILPKDAFGNNISFSGKKMEFQEFSLSLISENGSFAGVLNSTHIRWIVSGYISIDFVLVTSGKFLLLVEKESQTLNGGPLPLEVNSGPLDVSNCVSIWKSELSTWQIFSKMEILLHQKDRFGNIVSGFYEFDADVVEVETGLSIPVADFQFEYVEPGIQLMSFTLSEPGNFLLTLSDMKHNKSISSMPYVYTVYIGYCDGSRSIVNGSGINASIAGESLGFSVYLKDAYGYPSPVQVDRLQVRIVLEIDSSIILPTIQPREALNGTGSSHQAATPLYEKHGGRASGNLVTQASIFDVTYTPKRTGIYRIFISSGNIVLNGGQPFIKEVYAGEVNVAACSVTQFNGKVPKEIKNEIVVLLLDGFYNPVPSQPSRLKFEITSANTSSFTTWEFVDNNDGTYTGSYLAMEVGTYRMCISFDNKHIQPCPFDVNVYSNGYFPRAYDDPVNVWEDESISFNPLENDYFAGDNASMLGFSQPGHGSLLRDGNLLRYTPMKNFSGNDSFLYTIADINGNLAAATVYIFVLTAPPQFVSFSGGLQATEDLISPRYGGFSGLEISYSDLLENISVMVQALSGSVILSPMLMQFRPPGSGKLSVSNGGEDRRVLILEGQVGVINPALQSIQYLGNENFAGVDSLRLSTKNKNGINHLDVPVFVEPVNDPPFINVPQYIMLESNGSESLIFHPERDKFNFSVGDPDLVNFPGGESHFLVTFSLEVTDGFLLTNLPSELINSTELKFKNLFQWQPIQTYAAISKHVNVKASGIRFRGTIRQCNDLMQQLLHRGGENGAVLTLKLSDMGNYGCFLDCTERISLPLHAEARVNLIRKRPLSSLGAHGTFMKYLVVVPFSFFSIKLFSLLMVLIG", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKNSTAASSRWTKSRLSHFFPSYTNSSGMGAASTDQSSTQGEELHHRKHCEEDNDGQKPKKSPVSTSTMQIKSRQDEDEDDGRIVIKPVNDEDDTSVIITFNQSISPFIITLTFVASISGFMFGYDTGYISSALISINRDLDNKVLTYGEKELITAATSLGALITSVGAGTAADVFGRRPCLMFSNLMFLIGAILQITAHKFWQMAAGRLIMGFGVGIGSLISPLFISEIAPKMIRGRLTVINSLWLTGGQLIAYGCGAGLNHVKNGWRILVGLSLIPTVLQFSFFCFLPDTPRYYVMKGDLKRAKMVLKRSYVNTEDEIIDQKVEELSSLNQSIPGKNPITKFWNMVKELHTVPSNFRALIIGCGLQAIQQFTGWNSLMYFSGTIFETVGFKNSSAVSIIVSGTNFVFTLIAFFCIDKIGRRYILLIGLPGMTVALVICAIAFHFLGIKFNGADAVVASDGFSSWGIVIIVFIIVYAAFYALGIGTVPWQQSELFPQNVRGVGTSYATATNWAGSLVIASTFLTMLQNITPTGTFSFFAGVACLSTIFCYFCYPELSGLELEEVQTILKDGFNIKASKALAKKRKQQVAEGAAHHKLKFEPTQEIVES", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MYKVAKASQYLVITGIGIKDIKLAKKAWILPGQSYSVFDLSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDDKESLLKYAKLISPHDKLSNHVKELVQGIIEGETRVLAASMTMEEVFRGTKEFKQEVFGKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQARVDVSEAKMKGEIGSKLREGQTLQNAAKIDAETKIIAMQRAGEGDKEGIKVRTEVKVFENQREAEVAEANSELAKKKAAWTKAAQVAEVEAAKAVALRDAELQGEVERMNALTTTEKLKAEFLSKASVQYETKVQEANWELYKKQKEAEAILYEKKAEAEAQKALADATFYARTQAAEAELYAKKKEAEGIVTLGNAQGVYLSALLNALGNNYTAVRDFLMINGGMFQEIAKINAEAVRGLEPKISIWTNGGDNSGGEGAMKEVAGVYKMLPPLFKTVHEQTGMLPPAWMGVLPDKNLN", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLLARMNPQVQPENNGADTGPEQPLRARKTAELLVVKERNGVQCLLAPRDGDAQPRETWGKKIDFLLSVVGFAVDLANVWRFPYLCYKNGGGAFLIPYTLFLIIAGMPLFYMELALGQYNREGAATVWKICPFFKGVGYAVILIALYVGFYYNVIIAWSLYYLFSSFTLNLPWTDCGHTWNSPNCTDPKLLNGSVLGNHTKYSKYKFTPAAEFYERGVLHLHESSGIHDIGLPQWQLLLCLMVVVIVLYFSLWKGVKTSGKVVWITATLPYFVLFVLLVHGVTLPGASNGINAYLHIDFYRLKEATVWIDAATQIFFSLGAGFGVLIAFASYNKFDNNCYRDALLTSSINCITSFVSGFAIFSILGYMAHEHKVNIEDVATEGAGLVFILYPEAISTLSGSTFWAVVFFVMLLALGLDSSMGGMEAVITGLADDFQVLKRHRKLFTFGVTFSTFLLALFCITKGGIYVLTLLDTFAAGTSILFAVLMEAIGVSWFYGVDRFSNDIQQMMGFRPGLYWRLCWKFVSPAFLLFVVVVSIINFKPLTYDDYIFPPWANWVGWGIALSSMVLVPIYVIYKFLSTQGSLWERLAYGITPENEHHLVAQRDIRQFQLQHWLAI", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTWRAAASTCAALLILLWALTTEGDLKVEMMAGGTQITPLNDNVTIFCNIFYSQPLNITSMGITWFWKSLTFDKEVKVFEFFGDHQEAFRPGAIVSPWRLKSGDASLRLPGIQLEEAGEYRCEVVVTPLKAQGTVQLEVVASPASRLLLDQVGMKENEDKYMCESSGFYPEAINITWEKQTQKFPHPIEISEDVITGPTIKNMDGTFNVTSCLKLNSSQEDPGTVYQCVVRHASLHTPLRSNFTLTAARHSLSETEKTDNFSIHWWPISFIGVGLVLLIVLIPWKKICNKSSSAYTPLKCILKHWNSFDTQTLKKEHLIFFCTRAWPSYQLQDGEAWPPEGSVNINTIQQLDVFCRQEGKWSEVPYVQAFFALRDNPDLCQCCRIDPALLTVTSGKSIDDNSTKSEKQTPREHSDAVPDAPILPVSPIWEPPPATTSTTPVLSSQPPTLLLPLQ", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLRKGCCVELLLLLVAAELPLGGGCPRDCVCYPAPMTVSCQAHNFAAIPEGIPVDSERVFLQNNRIGLLQPGHFSPAMVTLWIYSNNITYIHPSTFEGFVHLEELDLGDNRQLRTLAPETFQGLVKLHALYLYKCGLSALPAGVFGGLHSLQYLYLQDNHIEYLQDDIFVDLVNLSHLFLHGNKLWSLGPGTFRGLVNLDRLLLHENQLQWVHHKAFHDLRRLTTLFLFNNSLSELQGECLAPLGALEFLRLNGNPWDCGCRARSLWEWLQRFRGSSSAVPCVSPGLRHGQDLKLLRAEDFRNCTGPASPHQIKSHTLTTTDRAARKEHHSPHGPTRSKGHPHGPRPGHRKPGKNCTNPRNRNQISKAGAGKQAPELPDYAPDYQHKFSFDIMPTARPKRKGKCARRTPIRAPSGVQQASSASSLGASLLAWTLGLAVTLR", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEAEEPPEARRCCPEALGKARGCCPEALGKLLPGLCFLCCLVTYALVGAALFSAVEGRPDPEAEENPELKKFLDDLCNILKCNLTVVEGSRKNLCEHLQHLKPQWLKAPQDWSFLSALFFCCTVFSTVGYGHMYPVTRLGKFLCMLYALFGIPLMFLVLTDIGDILATILSRAYSRFQALLCLPHDIFKWRSLPLCRKQPDSKPVEEAIPQIVIDAGVDELLNPQPSKDPPSPSCNVELFERLVAREKKNKLQPPTRPVERSNSCPELVLGRLSCSILSNLDEVGQQVERLDIPLPVIALVVFAYISCAAAILPFWETELGFEDAFYFCFVTLTTIGFGDIVLVHPHFFLFFSIYIIVGMEILFIAFKLMQNRLLHTYKTLMLFVCQREVSLPW", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MENSERAEEMQENYQRNGTAEEQPKLRKEAVGSIEIFRFADGLDITLMILGILASLVNGACLPLMPLVLGEMSDNLISGCLVQTNTTNYQNCTQSQEKLNEDMTLLTLYYVGIGVAALIFGYIQISLWIITAARQTKRIRKQFFHSVLAQDIGWFDSCDIGELNTRMTDDIDKISDGIGDKIALLFQNMSTFSIGLAVGLVKGWKLTLVTLSTSPLIMASAAACSRMVISLTSKELSAYSKAGAVAEEVLSSIRTVIAFRAQEKELQRYTQNLKDAKDFGIKRTIASKVSLGAVYFFMNGTYGLAFWYGTSLILNGEPGYTIGTVLAVFFSVIHSSYCIGAAVPHFETFAIARGAAFHIFQVIDKKPSIDNFSTAGYKPESIEGTVEFKNVSFNYPSRPSIKILKGLNLRIKSGETVALVGLNGSGKSTVVQLLQRLYDPDDGFIMVDENDIRALNVRHYRDHIGVVSQEPVLFGTTISNNIKYGRDDVTDEEMERAAREANAYDFIMEFPNKFNTLVGEKGAQMSGGQKQRIAIARALVRNPKILILDEATSALDSESKSAVQAALEKASKGRTTIVVAHRLSTIRSADLIVTLKDGMLAEKGAHAELMAKRGLYYSLVMSQDIKKADEQMESMTYSTERKTNSLPLHSVKSIKSDFIDKAEESTQSKEISLPEVSLLKILKLNKPEWPFVVLGTLASVLNGTVHPVFSIIFAKIITMFGNNDKTTLKHDAEIYSMIFVILGVICFVSYFMQGLFYGRAGEILTMRLRHLAFKAMLYQDIAWFDEKENSTGGLTTILAIDIAQIQGATGSRIGVLTQNATNMGLSVIISFIYGWEMTFLILSIAPVLAVTGMIETAAMTGFANKDKQELKHAGKIATEALENIRTIVSLTREKAFEQMYEEMLQTQHRNTSKKAQIIGSCYAFSHAFIYFAYAAGFRFGAYLIQAGRMTPEGMFIVFTAIAYGAMAIGETLVLAPEYSKAKSGAAHLFALLEKKPNIDSRSQEGKKPDTCEGNLEFREVSFFYPCRPDVFILRGLSLSIERGKTVAFVGSSGCGKSTSVQLLQRLYDPVQGQVLFDGVDAKELNVQWLRSQIAIVPQEPVLFNCSIAENIAYGDNSRVVPLDEIKEAANAANIHSFIEGLPEKYNTQVGLKGAQLSGGQKQRLAIARALLQKPKILLLDEATSALDNDSEKVVQHALDKARTGRTCLVVTHRLSAIQNADLIVVLHNGKIKEQGTHQELLRNRDIYFKLVNAQSVQ", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAEVKDQLEIKFRLNDGSDIGPKLFPDATTVATLKETVVAQWPRDKENGPKTVKDVKLISAGRILENNKTVGDCRSPVGNFSGAVTTMHVIIQHQVTEKEKKKKKPKGDLKQNKCVCLCFGARC", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGLWGKKGTVAPHDQSPRRRPKKGLIKKKMVKREKQKRNMEELKKEVVMDDHKLTLEELSTKYSVDLTKGHSHQRAKEILTRGGPNTVTPPPTTPEWVKFCKQLFGGFSLLLWTGAILCFVAYSIQIYFNEEPTKDNLYLSIVLSVVVIVTGCFSYYQEAKSSKIMESFKNMVPQQALVIRGGEKMQINVQEVVLGDLVEIKGGDRVPADLRLISAQGCKVDNSSLTGESEPQSRSPDFTHENPLETRNICFFSTNCVEGTARGIVIATGDSTVMGRIASLTSGLAVGQTPIAAEIEHFIHLITVVAVFLGVTFFALSLLLGYGWLEAIIFLIGIIVANVPEGLLATVTVCLTLTAKRMARKNCLVKNLEAVETLGSTSTICSDKTGTLTQNRMTVAHMWFDMTVYEADTTEEQTGKTFTKSSDTWFMLARIAGLCNRADFKANQEILPIAKRATTGDASESALLKFIEQSYSSVAEMREKNPKVAEIPFNSTNKYQMSIHLREDSSQTHVLMMKGAPERILEFCSTFLLNGQEYSMNDEMKEAFQNAYLELGGLGERVLGFCFLNLPSSFSKGFPFNTDEINFPMDNLCFVGLISMIDPPRAAVPDAVSKCRSAGIKVIMVTGDHPITAKAIAKGVGIISEGTETAEEVAARLKIPISKVDASAAKAIVVHGAELKDIQSKQLDQILQNHPEIVFARTSPQQKLIIVEGCQRLGAVVAVTGDGVNDSPALKKADIGIAMGISGSDVSKQAADMILLDDNFASIVTGVEEGRLIFDNLKKSIMYTLTSNIPEITPFLMFIILGIPLPLGTITILCIDLGTDMVPAISLAYESAESDIMKRLPRNPKTDNLVNHRLIGMAYGQIGMIQALAGFFTYFVILAENGFRPVDLLGIRLHWEDKYLNDLEDSYGQQWTYEQRKVVEFTCQTAFFVTIVVVQWADLIISKTRRNSLFQQGMRNKVLIFGILEETLLAAFLSYTPGMDVALRMYPLKITWWLCAIPYSILIFVYDEIRKLLIRQHPDGWVERETYY", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSNVVVIAVVLIVASLTGHVSAQMDMSPSSGPSGAPDCMANLMNMTGCLSYVTVGEGGGAAKPDKTCCPALAGLVESSPQCLCYLLSGDMAAQLGIKIDKAKALKLPGVCGVITPDPSLCSLFGIPVGAPVAMGDEGASPAYAPGSMSGAESPGGFGSGPSASRGSDAPSSAPYSLFLNLIIFPLAFAFYIFC", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MYWVLLCGSILLCCLSGASASPAKTKMYGKLPLVLTDACMGVLGEVTWEYSSDDLYSSPACTYEPALQSMLYCIYESLNEKGYSNRTFEKTFAAIKEDCAYYTDNLQNMTNADFYNMLNNGTTYIIQYSEGSANLTYPIEMDAQVRENYYYSYHGFYANYDIGHTYGGIICAYFVGVMILASILHYLSYTPFKTALFKQRLVRYVRRYLTIPTIWGKHASSFSYLKIFTGFLPTRSEGVIILGYLVLHTVFLAYGYQYDPYNLIFDSRREQIARYVADRSGVLAFAHFPLIALFAGRNNFLEFISGVKYTSFIMFHKWLGRMMFLDAVIHGAAYTSYSVFYKDWAASKEETYWQFGVAALCIVGVMVFFSLAMFRKFFYEAFLFLHIVLGALFFYTCWEHVVELSGIEWIYAAIAIWTIDRLIRIVRVSYFGFPKASLQLVGDDIIRVTVKRPVRLWKAKPGQYVFVSFLHHLYFWQSHPFTVLDSIIKDGELTIILKEKKGVTKLVKKYVCCNGGKASMRLAIEGPYGSSSPVNNYDNVLLLTGGTGLPGPIAHAIKLGKTSAATGKQFIKLVIAVRGFNVLEAYKPELMCLEDLNVQLHIYNTMEVPALTPNDSLEISQQDEKADGKGVVMATTLEQSPNPVEFDGTVFHHGRPNVEKLLHEVGDLNGSLAVVCCGPPVFVDEVRDQTANLVLEKPAKAIEYFEEYQSW", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEGKEEDVRVGANKFPERQPIGTSAQSDKDYKEPPPAPLFEPGELASWSFWRAGIAEFIATFLFLYITVLTVMGVKRSPNMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVYYIVMQCLGAICGAGVVKGFQPKQYQALGGGANTIAHGYTKGSGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDNAWDDHWVFWVGPFIGAALAALYHVIVIRAIPFKSRS", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKNLGGLFKILLLFFCLFLSTHIISVSCLNSDGLTLLSLLKHLDRVPPQVTSTWKINASEATPCNWFGITCDDSKNVASLNFTRSRVSGQLGPEIGELKSLQILDLSTNNFSGTIPSTLGNCTKLATLDLSENGFSDKIPDTLDSLKRLEVLYLYINFLTGELPESLFRIPKLQVLYLDYNNLTGPIPQSIGDAKELVELSMYANQFSGNIPESIGNSSSLQILYLHRNKLVGSLPESLNLLGNLTTLFVGNNSLQGPVRFGSPNCKNLLTLDLSYNEFEGGVPPALGNCSSLDALVIVSGNLSGTIPSSLGMLKNLTILNLSENRLSGSIPAELGNCSSLNLLKLNDNQLVGGIPSALGKLRKLESLELFENRFSGEIPIEIWKSQSLTQLLVYQNNLTGELPVEMTEMKKLKIATLFNNSFYGAIPPGLGVNSSLEEVDFIGNKLTGEIPPNLCHGRKLRILNLGSNLLHGTIPASIGHCKTIRRFILRENNLSGLLPEFSQDHSLSFLDFNSNNFEGPIPGSLGSCKNLSSINLSRNRFTGQIPPQLGNLQNLGYMNLSRNLLEGSLPAQLSNCVSLERFDVGFNSLNGSVPSNFSNWKGLTTLVLSENRFSGGIPQFLPELKKLSTLQIARNAFGGEIPSSIGLIEDLIYDLDLSGNGLTGEIPAKLGDLIKLTRLNISNNNLTGSLSVLKGLTSLLHVDVSNNQFTGPIPDNLEGQLLSEPSSFSGNPNLCIPHSFSASNNSRSALKYCKDQSKSRKSGLSTWQIVLIAVLSSLLVLVVVLALVFICLRRRKGRPEKDAYVFTQEEGPSLLLNKVLAATDNLNEKYTIGRGAHGIVYRASLGSGKVYAVKRLVFASHIRANQSMMREIDTIGKVRHRNLIKLEGFWLRKDDGLMLYRYMPKGSLYDVLHGVSPKENVLDWSARYNVALGVAHGLAYLHYDCHPPIVHRDIKPENILMDSDLEPHIGDFGLARLLDDSTVSTATVTGTTGYIAPENAFKTVRGRESDVYSYGVVLLELVTRKRAVDKSFPESTDIVSWVRSALSSSNNNVEDMVTTIVDPILVDELLDSSLREQVMQVTELALSCTQQDPAMRPTMRDAVKLLEDVKHLARSCSSDSVR", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTSESSPLLHYRLFSVSDGGLGPPDSSPIMTDAVTVGTGPTQRKLSTFFGVVVPTVLSMFSIVVFMRIGFVVGHAGLLQSLLMLFVAYVIIWLTVLSVCAISTNGAVQGGGAYFMISRTLGPEFGGSIGLMFYLANVFACGVYVLGLVEAVLDVFGRDPSDVTDSLRSLPQGYGYSFLYASIILLLCMAICLVGASIYSQASFFIFLLVFVVLLTILISFLAVRPLTVSIRHGGNVTMTGVYTGINSSTLHNNLQADYSLDYTTGNLMNFATVFAVMFNGCTGIMAGCNLSGELKQPSRSIPMGTIIAVIITFFVYLILFIFTAFTCDRTLLREDYGFFRSINIWPPFVLIGVYATSLSASMSTLIGASRILHALAKDDLFGVLLAPAKLVSKGGNPWGAVVYTWALVQLVLLAGKLNTIAGIVTVFYLIAYAAIDLACLALEWASAPNFRPTFRFFSWHTCLLGILSSLVMMFLINPAYASGSIVLLLLLLGSIHFRSSSSSWGYISQALIFHQVRKYLLLLDVRKDHVKFWRPQILLMVSNPRTSSQLIRFVNDLKKGGLYILGHVETGDLDTLPSDPVQTHYSFWLSLVDKLNVKAFVDLTLCPSVRQGTQHLLRITGLGGMKPNTVVLGFYDDACPDDYFLQDSLFTPGLSPKDDAFGVDATSLQAHFPPARDPETPRLLSAKDYVSMICDALKMHKNIVLARNFPLLVRPEASSSSPATYIDVWPLDLLRPQASAYVDVCSLFLLQMACILNMAASWRRYQLRVFLCVESRGGSDGASGWLAAEAKFRELLSKLRIRALIRVVAWDRVAAFRVQNMGGQVLNREPISSEYLNAAKSAVTDEGGTETAVRFLYLPRPPADSSLHERYLEELDTLTSGLGPTLLIHGLTPVTCTEL", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAKDIEAAAAHEGKDYSDPPPAPLVDAEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDAAASGPDAACGGVGVLGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRALLYIVAQCLGAICGVGLVKGFQSAFYVRYGGGANELSAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNDKAWDDHWIFWVGPFIGAAIAAAYHQYVLRASAAKLGSSASFSR", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTRIRRSPCLLLLIIWFMCIAGSVQVVQSQNQTGATTHPDEARALNSIFAAWKIQAPREWNISGELCSGAAIDASVLDSNPAYNPLIKCDCSFQNSTICRITNIKVYAIDVVGPIPPELWTLTYLTNLNLGQNVLTGSLPPAIGNLTRMQWMTFGINALSGPVPKEIGLLTDLRLLGISSNNFSGSIPDEIGRCTKLQQMYIDSSGLSGRIPLSFANLVQLEQAWIADLEVTDQIPDFIGDWTKLTTLRIIGTGLSGPIPSSFSNLTSLTELRLGDISSGSSSLDFIKDMKSLSVLVLRNNNLTGTIPSTIGEHSSLRQVDLSFNKLHGPIPASLFNLSQLTHLFLGNNTLNGSFPTQKTQSLRNVDVSYNDLSGSLPSWVSLPSLKLNLVANNFTLEGLDNRVLPGLNCLQKNFPCNRGKGIYSDFSINCGGPEKRSVTGALFEREDEDFGPASFFVSAGQRWAASSVGLFAGSSNNIYIATSQSQFVNTLDSELFQSARLSASSVRYYGLGLENGGYTVTLQFAEIQILGSTSTTWKGLGRRRFDIYVQGRLVEKDFDVRRTAGDSTVRAVQRVYKANVSENHLEVHLFWAGKGTCCIPIQGAYGPLISAVSATPDFTPTVANKPPSKGKNRTGTIVGVIVGVGLLSILAGVVMFTIRKRRKRYTDDEELLGMDVKPYIFTYSELKSATQDFDPSNKLGEGGFGPVYKGNLNDGRVVAVKLLSVGSRQGKGQFVAEIVAISSVLHRNLVKLYGCCFEGEHRMLVYEYLPNGSLDQALFGDKTLHLDWSTRYEICLGVARGLVYLHEEASVRIVHRDVKASNILLDSRLVPQISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKTDVYAFGVVALELVSGRPNSDENLEEEKKYLLEWAWNLHEKSRDIELIDDKLTDFNMEEAKRMIGIALLCTQTSHALRPPMSRVVAMLSGDVEIGDVTSKPGYVSDWRFDDTTGSSLSGFQIKDTTGYSMSLVAPGSEISPRDSDFKPMLGSKINEGR", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRALRRLIQGRILLLTICAAGIGGTFQFGYNLSIINAPTLHIQEFTNETWQARTGEPLPDHLVLLMWSLIVSLYPLGGLFGALLAGPLAITLGRKKSLLVNNIFVVSAAILFGFSRKAGSFEMIMLGRLLVGVNAGVSMNIQPMYLGESAPKELRGAVAMSSAIFTALGIVMGQVVGLRELLGGPQAWPLLLASCLVPGALQLASLPLLPESPRYLLIDCGDTEACLAALRRLRGSGDLAGELEELEEERAACQGCRARRPWELFQHRALRRQVTSLVVLGSAMELCGNDSVYAYASSVFRKAGVPEAKIQYAIIGTGSCELLTAVVSCVVIERVGRRVLLIGGYSLMTCWGSIFTVALCLQSSFPWTLYLAMACIFAFILSFGIGPAGVTGILATELFDQMARPAACMVCGALMWIMLILVGLGFPFIMEALSHFLYVPFLGVCVCGAIYTGLFLPETKGKTFQEISKELHRLNFPRRAQGPTWRSLEVIQSTEL", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVSLKIKKILLLVSLLNAIEAYSNDTIYSTSYNNGIESTPSYSTSAISSTGSSNKENAITSSSETTTMAGQYGESGSTTIMDEQETGTSSQYISVTTTTQTSDTMSSVKKSTEIATPSSSIVPTPLQSYSDESQISQTLSHNPKSVAESDSDTTSSESSSSVIISTSDSSAVPREISPIITTDSQISKEEGTLAQTSSISETTRIAQMVTRVSQISSITAASTIDGFSSESTQTDFSNTVSFENSVEEEYAMSKSQLSESYSSSSTVYSGGESTADKTSSSPITSFSSSYSQTTSTETSESSRVAVGVSRPSSITQTTSIDSFSMSEVELSTYYDLSAGNYPDQELIVDRPATSSTAETSSEASQGVSRESNTFAVSSISTTNFIVSSASDTVVSTSSTNTVPYSSVHSTFVHATSSSTYISSSLYSSPSLSASVSSHFGVAPFPSAYISFSSVPVAVSSTYTSSPSASVVVPSAYASSPSVPVAVSSTYTSSPSAPAAISSTYTSSPSAPVAVSSTYTSSPSAPAAISSTYTSSPSAPVAVSSTYTSSPSAPAAISSTYTSSPSAPVAVSSTYTSSPSAPVAISSTYTSSPSVPVAVSSTYTSSPSAPAAISSTYTSSPSAPVAVSSTYTSSPSAPAAISSTYTSSPSVPVAVSSTYTSSPSAPAAISSTYTSSPSVPVAVSSTYTSSPSAPAAISSTYTSSPSAPVAVSSTYTSSPSAPAAISSTYTSSPSAPVAVSSTYTSSPSAPAAISSTYTSSPSAPVAVSSTYTSSPSALVVLSSTSTSSPYDIVYSPSTFAAISSGYTPSPSASVAMSSTSSSSPYDIVYSLSSSASRSSIATYEFSPSPSTSLPTSSTYTYFSSAYAFEFSSERYSTTSTIAPTQIHSTLSRITDFLLQTSMAIQSIVSQQISTSSTLNDEIHSSALSVFNPSASNLVETSLIISSTQASITSPKNSAKISSLQSQLSSSTKNPYDTANKNTETSGRSTVVSNFLYTSSAAKPDNEKFSATPTEITTISSSSHAYSLSIPSSHNSVTGLSHNFVDSSKSATSFGYSSSSISSIKLSKETIPASKSVSNTQERITSFTSTLRANSQSEKSEGRNSVGSLQSSHISSNPSLSTNTKVDSKSLSRKVSKTMGENGEETGLTTTKTQYKSSSETSGSYSRSFTKISIGPATTAVQTQASTNSVFTAPALSTYPTTPYPSPNSYAWLPTAIIVESSETGPTTASFNPSITGSLPNAIEPAVAVSEPINHTLITIGFTAALNYVFLVQNPLSSAQIFNFLPLVLKYPFSNTSSELDNSIGELSTFILSYRSGSSTTTLSPKSISSLSVVKKKKNQQKKNATKSTEDLHPPQVDTSSIAVKKIVPMVDSSKAYIVSVAEVYFPTEAVTYLQQLILDENSTLYSNPQTPLRSLAGLIDSGIPLGGLTLYGSGDGGYVPSLTSSSVLDSSKGNSQNIDGTYKYGALDDFINSFTDSASAGKYAVKIIIFLIVLTIGVLLWLFVAFFAFRHRNILLKRHPRNCIGKSLNNERELESTELSRSSSGNQVYNEKPPESENESVYSAVDDHYIVTGENTVYNTIHRLHYTINDDGDLLYRDAIPLDFDQTNGDDGSGIDSIVRDCVYDKNQDATEAFLNDEESISGILDVDENGDIRLYDSYSDNEESNSFHLPDEVIENYNKNHLCETKLHGLGTESCTTDDPDTGNQITNEFSTGSQTCLPSTAYTTPLHTNSIKLHTLRYTESSLPKPNQTLFSNLEDLEIEDIDDNGSVSDVHIEELDALDEELYKRMSKVIKQQNHQTTKI", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPGAGDGGKAPARWLGTGLLGLFLLPVTLSLEVSVGKATDIYAVNGTEILLPCTFSSCFGFEDLHFRWTYNSSDAFKILIEGTVKNEKSDPKVTLKDDDRITLVGSTKEKMNNISIVLRDLEFSDTGKYTCHVKNPKENNLQHHATIFLQVVDRLEEVDNTVTLIILAVVGGVIGLLILILLIKKLIIFILKKTREKKKECLVSSSGNDNTENGLPGSKAEEKPPSKV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPMPSRDGGLHPRHHHYGSHSPWSQLLSSPMETPSIKGLYYRRVRKVGALDASPVDLKKEILINVGGRRYLLPWSTLDRFPLSRLSKLRLCRSYEEIVQLCDDYDEDSQEFFFDRSPSAFGVIVSFLAAGKLVLLQEMCALSFQEELAYWGIEEAHLERCCLRKLLRKLEELEELAKLHREDVLRQQRETRRPASHSSRWGLCMNRLREMVENPQSGLPGKVFACLSILFVATTAVSLCVSTMPDLRAEEDQGECSRKCYYIFIVETICVAWFSLEFCLRFVQAQDKCQFFQGPLNIIDILAISPYYVSLAVSEEPPEDGERPSGSSYLEKVGLVLRVLRALRILYVMRLARHSLGLQTLGLTVRRCTREFGLLLLFLAVAITLFSPLVYVAEKESGRVLEFTSIPASYWWAIISMTTVGYGDMVPRSVPGQMVALSSILSGILIMAFPATSIFHTFSHSYLELKKEQEQLQARLRHLQNTGPASECELLDPHVASEHELMNDVNDLILEGPALPIMHM", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAQQWSLQRLAGRHPQDSYEDSTQSSIFTYTNSNSTRGPFEGPNYHIAPRWVYHLTSVWMIFVVIASVFTNGLVLAATMKFKKLRHPLNWILVNLAVADLAETVIASTISVVNQVYGYFVLGHPMCVLEGYTVSLCGITGLWSLAIISWERWMVVCKPFGNVRFDAKLAIVGIAFSWIWAAVWTAPPIFGWSRYWPHGLKTSCGPDVFSGSSYPGVQSYMIVLMVTCCITPLSIIVLCYLQVWLAIRAVAKQQKESESTQKAEKEVTRMVVVMVLAFCFCWGPYAFFACFAAANPGYPFHPLMAALPAFFAKSATIYNPVIYVFMNRQFRNCILQLFGKKVDDGSELSSASKTEVSSVSSVSPA", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGFIFSKSMNESMKNQKEFMLMNARLQLERQLIMQSEMRERQMAMQIAWSREFLKYFGTFFGLAAISLTAGAIKKKKPAFLVPIVPLSFILTYQYDLGYGTLLERMKGEAEDILETEKSKLQLPRGMITFESIEKARKEQSRFFIDK", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MMGIGKNTTSKSMEAGSSTEGKYEDEAKHPAFFTLPVVINGGATSSGEQDNEDTELMAIYTTENGIAEKSSLAETLDSTGSLDPQRSDMIYTIEDVPPWYLCIFLGLQHYLTCFSGTIAVPFLLADAMCVGYDQWATSQLIGTIFFCVGITTLLQTTFGCRLPLFQASAFAFLAPARAILSLDKWKCNTTDVSVANGTAELLHTEHIWYPRIREIQGAIIMSSLIEVVIGLLGLPGALLKYIGPLTITPTVALIGLSGFQAAGERAGKHWGIAMLTIFLVLLFSQYARNVKFPLPIYKSKKGWTAYKLQLFKMFPIILAILVSWLLCFIFTVTDVFPPDSTKYGFYARTDARQGVLLVAPWFKVPYPFQWGLPTVSAAGVIGMLSAVVASIIESIGDYYACARLSCAPPPPIHAINRGIFVEGLSCVLDGIFGTGNGSTSSSPNIGVLGITKVGSRRVIQCGAALMLALGMIGKFSALFASLPDPVLGALFCTLFGMITAVGLSNLQFIDLNSSRNLFVLGFSIFFGLVLPSYLRQNPLVTGITGIDQVLNVLLTTAMFVGGCVAFILDNTIPGTPEERGIRKWKKGVGKGNKSLDGMESYNLPFGMNIIKKYRCFSYLPISPTFVGYTWKGLRKSDNSRSSDEDSQATG", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MIPCRAALTFARCLIRRKIVTLDSLEDTKLCRCLSTMDLIALGVGSTLGAGVYVLAGEVAKADSGPSIVVSFLIAALASVMAGLCYAEFGARVPKTGSAYLYTYVTVGELWAFITGWNLILSYVIGTSSVARAWSGTFDELLSKQIGQFLRTYFRMNYTGLAEYPDFFAVCLILLLAGLLSFGVKESAWVNKVFTAVNILVLLFVMVAGFVKGNVANWKISEEFLKNISASAREPPSENGTSIYGAGGFMPYGFTGTLAGAATCFYAFVGFDCIATTGEEVRNPQKAIPIGIVTSLLVCFMAYFGVSAALTLMMPYYLLDEKSPLPVAFEYVGWGPAKYVVAAGSLCALSTSLLGSIFPMPRVIYAMAEDGLLFKCLAQINSKTKTPIIATLSSGAVAALMAFLFDLKALVDMMSIGTLMAYSLVAACVLILRYQPGLSYDQPKCSPEKDGLGSSPRVTSKSESQVTMLQRQGFSMRTLFCPSLLPTQQSASLVSFLVGFLAFLVLGLSVLTTYGVHAITRLEAWSLALLALFLVLFVAIVLTIWRQPQNQQKVAFMVPFLPFLPAFSILVNIYLMVQLSADTWVRFSIWMAIGFLIYFSYGIRHSLEGHLRDENNEEDAYPDNVHAAAEEKSAIQANDHHPRNLSSPFIFHEKTSEF", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDNKAMYLHTVSDRDNGSIFEEPFDGRSLSKLNLCEDGPCHKRRAGGCCTQLGSLSALKHAVLGLYLLVFLILVGIFILAVSRPRSSPDDLKALTRNVNRLNESLRDMQLRLLQAPLQADLTEQVWKVQDALQNQTDSLLALAGLVQRLEGTLWGLHAQAAQTEQAMALLRDRTGQQSDSAQLELYQLQVESNRSQLLLQRHAGLLDGLARRVGVLGEELADVGGALRGLNHSLSYDVALHSTWLQDLQVLVSNASADTRRMRLVHMDMEMQLKQELATLNVVTEDLRLKDWEHSIALRNITLAKGPPGPKGDQGNEGKEGKPGSPGLPGSRGLPGERGDPGLPGPKGDDGKLGATGPMGMRGFKGDRGPKGEKGERGERAGDMDFTMIRLVNGSGPHQGRVEVFHDRRWGTVCDDGWDKKDGDVVCRMLGFHGVEEVYRTARFGQGTGRIWMDDVNCKGTESSIFHCQFSKWGVTNCGHAEDAGVTCTVP", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTVVPGDHLLEPEAAGGGGGDPPQGGCVSGGGCDRYEPLPPALPAAGEQDCCGERVVINISGLRFETQLKTLCQFPETLLGDPKRRMRYFDPLRNEYFFDRNRPSFDAILYYYQSGGRIRRPVNVPIDIFSEEIRFYQLGEEAMEKFREDEGFLREEERPLPRRDFQRQVWLLFEYPESSGPARGIAIVSVLVILISIVIFCLETLPEFRDEKDYPASPSQDVFEAANNSTSGASSGASSFSDPFFVVETLCIIWFSFELLVRFFACPSKATFSRNIMNLIDIVAIIPYFITLGTELAERQGNGQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGQTLKASMRELGLLIFFLFIGVILFSSAVYFAEADDPSSGFNSIPDAFWWAVVTMTTVGYGDMHPVTIGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETEGEEQAQYMHVGSCQHLSSSAEELRKARSNSTLSKSEYMVIEEGGMNHSAFPQTPFKTGNSTATCTTNNNPNSCVNIKKIFTDV", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRPNKTSLLLALLSILSQANAYEYVNCFSSLPSDFSKADSYNWQSSSHCNSECSAKGASYFALYNHSECYCGDTNPSGSESTSSSCNTYCFGYSSEMCGGEDAYSVYQLDSDTNSNSISSSDSSTESTSASSSTTSSTTSSTTSTTSSTTSSTTSSMASSSTVQNSPESTQAAASISTSQSSSTVTSESSLTSDTLATSSTSSQSQDATSIIYSTTFHTEGGSTIFVTNTITASAQNSGSATGTAGSDSTSGSKTHKKKANVGAIVGGVVGGVVGAVAIALCILLIVRHINMKREQDRMEKEYQEAIKPVEYPDKLYASSFSSNHGPSSGSFEEEHTKGQTDINPFDDSRRISNGTFINGGPGGKNNVLTVVNPDEAD", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGQGVLRGEGHPNNNPNSKVGWKSLVGIITIFMLILCDQSDGKICYSMDIRNNISQFSMLEDCTVIEGHLQILLMFTSKPENFRGLRFPKLTTITDYLLLFRVYGLESLKDLFPNLTVIRGTRLFFNYALVIFEMVHXKEIGLYNLMNITRGSVRIEKNNELCYLSTIDWSIILDSVEDNYIELNRDNKEECGDVCPGTVKGKSKCKHTLVNGALVERCWTQDHCQKVCPSDCKGSGCLPDGQCCHPECLGSCRKPNDPSECTACRHFQNEGVCVTACPKGSYQFQGWRCIDFNTCQELNSRCQNSRDNSCPPYVIHKGECMPDCPSGYIANSTTRTCTPCAGPCPKVCTIFQNVKTIDSVTSAQELRGCTVINGSLIINLRGGNNIATELEANLGLIEEISGYLKIRRSYALVSLSFFRKLRLIRGEVLEAGNYSFYALDNPSLRQLWDWHKHNLTIIHGKLFFHHNPRLCLSQIHQMEEVTGTKGRQDKNDIATKTNGDQASCEDNLLTFNFIKTSHDMVLLRWDAYWPPDYRDLLGFMVHYKEAPFQNVTEFDGQDACGSNSWTVVDMDAPERSADGKTQSPGCLLRSLKPWTQYAVFVKTLVSGSDEGRTYGAKSKIIYIRTNETIPSVPLDPFSVSNSTSQIILKWKPPSEPNGNVTHYLVYWQEQPEDSDLYEVDYCNKGLKLPSRTWTPPTEIDENGNENQTEHTSVNKCCPCPKTEFQIQKEQDESAFRKTFENYLHNEVFIPRPVRKRRDLFGVANGTLPDPVTAPPLFNVSSTRAPDEPEPKIYSQKVWFKESVLISGLKHFTGYRIEIHACNHELSMGCSVAAYVNARTMPEATADKVVGPITYEYVEPNIIHLKWQEPKDPNGLIVLYEVHYSRVGGIEEVITCVSQKQYNTDKGGKLRVLTPGNYSVKIRATSLAGNGSWTEQAYFQVPDHPHSNIVKIITGPIIAVFLLLIVLVYCVVQKKKDAEGPAGPLYTSSNPEYLSASEVYIPDEWEVPRDKINLLRELGQGSFGMVYEGIAKDIIKGEPEVRVAVKTVNESASLRERIEFLNEASVMKAFNCHHVVRLLGVVSKGQPTLVIMELMAHGDLKSYLRSLRPDAENNPGRLAPTLKEIIQMAAEISDGMAYLNAKKFVHRDLAARNCMVADDYAVKIGDFGMTRDIYETDYYRKGGKGLLPVRWMSPESLKDGVFTAFSDVWSFGVVLWEITSLAEQPYQGLSNEQVLKFVMDGGSLDHPENCPPRLHSLMQMCWQYNPKMRPTFLEIIDMLKDDLRPSFQDVSFYYSDENKPPETDDLEIDFENMESTPLDPSSCSLRDQSSRTNIYEEHIPYTHMNGGRKNGRILSLPRSSPS", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAQAHIQGSPCPLLPPGRMSWPQGALLLLWLFSPPLRAGGGGVAVTSAAGGGSPPATSCPAACSCSNQASRVICTRRELAEVPASIPVNTRYLNLQENSIQVIRTDTFKHLRHLEILQLSKNLVRKIEVGAFNGLPSLNTLELFDNRLTTVPTQAFEYLSKLRELWLRNNPIESIPSYAFNRVPSLRRLDLGELKRLEYISEAAFEGLVNLRYLNLGMCNLKDIPNLTALVRLEELELSGNRLDLIRPGSFQGLTSLRKLWLMHAQVATIERNAFDDLKSLEELNLSHNNLMSLPHDLFTPLHRLERVHLNHNPWHCNCDVLWLSWWLKETVPSNTTCCARCHAPAGLKGRYIGELDQSHFTCYAPVIVEPPTDLNVTEGMAAELKCRTGTSMTSVNWLTPNGTLMTHGSYRVRISVLHDGTLNFTNVTVQDTGQYTCMVTNSAGNTTASATLNVSAVDPVAAGGPGGGGPGGGGGAGGAGGYTYFTTVTVETLETQPGEEAQQPRGTEKEPPGPTTDGAWGGGRPDAAAPASASTTAPAPRSSRPTEKAFTVPITDVTENALKDLDDVMKTTKIIIGCFVAITFMAAVMLVAFYKLRKQHQLHKHHGPTRTVEIINVEDELPAASAVSVAAAAAVAGGAGVGGDSHLALPALERDHLNHHHYVAAAFKAHYGGNPGGGCGAKGPGLNSIHEPLLFKSGSKENVQETQI", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSYQRNSARASLDLRSQYQQLEGRMRSEHFNPAYQQQQQKGQNIPLSLPSSLAQRNPIPYPIDAVTSDPTIPAQLNVYDHDRQNSIVDAAAGTNTTHSLNSNNIPSSQNNNINNNNINNVGSFTDPSMLTLPKMSLHSHQKQYDSNQNDPRSPLAILIPTSAQPTDVLSARFSAWRNVIRAILVYLSETASIQDEIVRQQLRLSHAVQFPFFSIENQYQPVSNEDKSMQKFFLPLGSGSVQDLPTMLTKYHDNLASLASKSSKELTSEIIPRLEDLRRDLLVKIKEIKALQSDFKNSCNKELQQTKHLMKLFNESLKECKLGTPKSDPFLIKLQLEKQIKRQLVEENYLHEAFDNLQNSGAQLESVIVMEIQNGLTSYARILGKEAQVVFDSVISKLDSTILNKNTNLEWDSFILRNISNFVPPNLPMRRFKEISYSNQNDPFTFEVKSGFLEKRSKFLKSYSRGFYVLTPSFLHEFKTPDKHKFSTPLMSIPLVECTVTEHSKKTKSNSEQGKNKFILRTNSNGLIHRGHNWVFKVDSYDDMIEWFGNIKALSSLPNYDDKCKYVSKVAKLSKEKAKSNENTTESVTPQVTNEQHTRYDDVSSSNFPLNSIPKLDNLTITNTTSSIPETNDSQIQNRVPEFYIENVDSPRKSNQL", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAKAAVTKRHHFMIQKLLILLSYGYTNGLDDAHSLRCNLTIKAPTPADEVKCFVGEILILHLSNINKTMTSGDPGETANATEVGECLTQPLKDLCQKLRDKVSNTKVDTHKTNGYPHLQVTMIYPQSQGQTPSATWEFNISDSYFFTFYTEIMSWRSANDESGVIMNKWKDDGEFVKQLKFLIHGCSQKMDEFLKQSKEKPRSTSRSPSITQLTSTSPLPPTSHSTSKKGFISVGLIFISLLFAFAFAM", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MQEAIILLALLGAMSGGEALHLILLPATGNVAENSPPGTSVHKFSVKLSASLSPVIPGFPQIVNSNPLTEAFRVNWLSGTYFEVVTTGMEQLDFETGPNIFDLQIYVKDEVGVTDLQVLTVQVTDVNEPPQFQGNLAEGLHLYIVERANPGFIYQVEAFDPEDTSRNIPLSYFLISPPKSFRMSANGTLFSTTELDFEAGHRSFHLIVEVRDSGGLKASTELQVNIVNLNDEVPRFTSPTRVYTVLEELSPGTIVANITAEDPDDEGFPSHLLYSITTVSKYFMINQLTGTIQVAQRIDRDAGELRQNPTISLEVLVKDRPYGGQENRIQITFIVEDVNDNPATCQKFTFSIMVPERTAKGTLLLDLNKFCFDDDSEAPNNRFNFTMPSGVGSGSRFLQDPAGSGKIVLIGDLDYENPSNLAAGNKYTVIIQVQDVAPPYYKNNVYVYILTSPENEFPLIFDRPSYVFDVSERRPARTRVGQVRATDKDLPQSSLLYSISTGGASLQYPNVFWINPKTGELQLVTKVDCETTPIYILRIQATNNEDTSSVTVTVNILEENDEKPICTPNSYFLALPVDLKVGTNIQNFKLTCTDLDSSPRSFRYSIGPGNVNNHFTFSPNAGSNVTRLLLTSRFDYAGGFDKIWDYKLLVYVTDDNLMSDRKKAEALVETGTVTLSIKVIPHPTTIITTTPRPRVTYQVLRKNVYSPSAWYVPFVITLGSILLLGLLVYLVVLLAKAIHRHCPCKTGKNKEPLTKKGETKTAERDVVVETIQMNTIFDGEAIDPVTGETYEFNSKTGARKWKDPLTQMPKWKESSHQGAAPRRVTAGEGMGSLRSANWEEDELSGKAWAEDAGLGSRNEGGKLGNPKNRNPAFMNRAYPKPHPGK", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEPHDSSHMDSEFRYTLFPIVYSIIFVLGVIANGYVLWVFARLYPCKKFNEIKIFMVNLTMADMLFLITLPLWIVYYQNQGNWILPKFLCNVAGCLFFINTYCSVAFLGVITYNRFQAVTRPIKTAQANTRKRGISLSLVIWVAIVGAASYFLILDSTNTVPDSAGSGNVTRCFEHYEKGSVPVLIIHIFIVFSFFLVFLIILFCNLVIIRTLLMQPVQQQRNAEVKRRALWMVCTVLAVFIICFVPHHVVQLPWTLAELGFQDSKFHQAINDAHQVTLCLLSTNCVLDPVIYCFLTKKFRKHLTEKFYSMRSSRKCSRATTDTVTEVVVPFNQIPGNSLKN", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MQGEESLRILVEPEGDSFPLMEISTCETEASEQWDYVLVAQRHTQRDPRQARQQQFLEELRRKGFHIKVIRDQKQVFFGIRADNSVFGLYRTLLLEPEGPAPHAELAAPTTIPVTTSLRIRIVNFVVMNNKTSAGETFEDLMKDGVFEARFPLHKGEGRLKKTWARWRHMFREQPVDEIRNYFGEKVALYFVWLGWYTYMLVPAALTGLLVFLSGFSLFEASQISKEICEAHDILMCPLGDHSRRYQRLSETCTFAKLTHLFDNDGTVVFAIFMALWATVFLEIWKRQRARVVLHWDLYVWDEEQEEMALQLINCPDYKLRPYQHSYLRSTVILVLTLLMICLMIGMAHVLVVYRVLASALFSSSAVPFLEEQVTTAVVVTGALVHYVTIIIMTKINRCVALKLCDFEMPRTFSERESRFTIRFFTLQFFTHFSSLIYIAFILGRINGHPGKSTRLAGLWKLEECHASGCMMDLFVQMAIIMGLKQTLSNCVEYLVPWVTHKCRSLRASESGHLPRDPELRDWRRNYLLNPVNTFSLFDEFMEMMIQYGFTTIFVAAFPLAPLLALFSNLVEIRLDAIKMVWLQRRLVPRKAKDIGTWLQVLETIGVLAVIANGMVIAFTSEFIPRVVYKYRYSPCLKEGNSTVDCLKGYVNHSLSVFHTKDFQDPDGIEGSENVTLCRYRDYRNPPDYNFSEQFWFLLAIRLAFVILFEHVALCIKLIAAWFVPDIPQSVKNKVLEVKYQRLREKMWHGRQRLGGVGAGSRPPMPAHPTPASIFSARSTDV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDSPIQIFRGEPGPTCAPSACLPPNSSAWFPGWAEPDSNGSAGSEDAQLEPAHISPAIPVIITAVYSVVFVVGLVGNSLVMFVIIRYTKMKTATNIYIFNLALADALVTTTMPFQSTVYLMNSWPFGDVLCKIVISIDYYNMFTSIFTLTMMSVDRYIAVCHPVKALDFRTPLKAKIINICIWLLSSSVGISAIVLGGTKVREDVDVIECSLQFPDDDYSWWDLFMKICVFIFAFVIPVLIIIVCYTLMILRLKSVRLLSGSREKDRNLRRITRLVLVVVAVFVVCWTPIHIFILVEALGSTSHSTAALSSYYFCIALGYTNSSLNPILYAFLDENFKRCFRDFCFPLKMRMERQSTSRVRNTVQDPAYLRDIDGMNKPV", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLLLFHSKRMPVAEAPQVAGGQGDGGDGEEAEPEGMFKACEDSKRKARGYLRLVPLFVLLALLVLASAGVLLWYFLGYKAEVMVSQVYSGSLRVLNRHFSQDLTRRESSAFRSETAKAQKMLKELITSTRLGTYYNSSSVYSFGEGPLTCFFWFILQIPEHRRLMLSPEVVQALLVEELLSTVNSSAAVPYRAEYEVDPEGLVILEASVKDIAALNSTLGCYRYSYVGQGQVLRLKGPDHLASSCLWHLQGPKDLMLKLRLEWTLAECRDRLAMYDVAGPLEKRLITSVYGCSRQEPVVEVLASGAIMAVVWKKGLHSYYDPFVLSVQPVVFQACEVNLTLDNRLDSQGVLSTPYFPSYYSPQTHCSWHLTVPSLDYGLALWFDAYALRRQKYDLPCTQGQWTIQNRRLCGLRILQPYAERIPVVATAGITINFTSQISLTGPGVRVHYGLYNQSDPCPGEFLCSVNGLCVPACDGVKDCPNGLDERNCVCRATFQCKEDSTCISLPKVCDGQPDCLNGSDEEQCQEGVPCGTFTFQCEDRSCVKKPNPQCDGRPDCRDGSDEEHCDCGLQGPSSRIVGGAVSSEGEWPWQASLQVRGRHICGGALIADRWVITAAHCFQEDSMASTVLWTVFLGKVWQNSRWPGEVSFKVSRLLLHPYHEEDSHDYDVALLQLDHPVVRSAAVRPVCLPARSHFFEPGLHCWITGWGALREGGPISNALQKVDVQLIPQDLCSEVYRYQVTPRMLCAGYRKGKKDACQGDSGGPLVCKALSGRWFLAGLVSWGLGCGRPNYFGVYTRITGVISWIQQVVT", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSTAPSPGTTPSPSPPSPPTNSTTTTPPPAASSPPPTTTPSSPPPSPSTNSTSPPPSSPLPPSLPPPSPPGSLTPPLPQPSPSAPITPSPPSPTTPSNPRSPPSPNQGPPNTPSGSTPRTPSNTKPSPPSDSSDGLSTGVVVGIAIGGVAILVILTLICLLCKKKRRRRHDDEAAYYVPPPPPSGPKAGGPYGGQQQYWQQQNASRPSDNHVVTSLPPPKPPSPPRKPPPPPPPPAFMSSSGGSDYSDLPVLPPPSPGLVLGFSKSTFTYEELSRATNGFSEANLLGQGGFGYVHKGILPSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLIGYCMAGVQRLLVYEFVPNNNLEFHLHGKGRPTMEWSTRLKIALGSAKGLSYLHEDCNPKIIHRDIKASNILIDFKFEAKVADFGLAKIASDTNTHVSTRVMGTFGYLAPEYAASGKLTEKSDVFSFGVVLLELITGRRPVDANNVYVDDSLVDWARPLLNRASEEGDFEGLADSKMGNEYDREEMARMVACAAACVRHSARRRPRMSQIVRALEGNVSLSDLNEGMRPGHSNVYSSYGGSTDYDTSQYNDDMIKFRKMALGTQEYGTTGEYSNPTSDYGLYPSGSSSEGQATREMEMGKIKKTGQGYSGPSL", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPFPNCSAPSTVVATAVGVLLGLECGLGLLGNAVALWTFLFRVRVWKPYAVYLLNLALADLLLAACLPFLAAFYLSLQAWHLGRVGCWALHFLLDLSRSVGMAFLAAVALDRYLRVVHPRLKVNLLSPQAALGVSGLVWLLMVALTCPGLLISEAAQNSTRCHSFYSRADGSFSIIWQEALSCLQFVLPFGLIVFCNAGIIRALQKRLREPEKQPKLQRAQALVTLVVVLFALCFLPCFLARVLMHIFQNLGSCRALCAVAHTSDVTGSLTYLHSVLNPVVYCFSSPTFRSSYRRVFHTLRGKGQAAEPPDFNPRDSYS", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPKEQQEVVVLGSPHISTSATATTINMPEISTPDHVVWSLFNTLFMNFCCLGFVAYAYSVKSRDRKMVGDTTGAQAFASTAKCLNISSLFFTILTAIVVIVVCAIR", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNEPTENRLGCSRTPEPDIRLRKGHQLDGTRRGDNDSHQGDLEPILEASVLSSHHKKSSEEHEYSDEAPQEDEGFMGMSPLLQAHHAMEKMEEFVCKVWEGRWRVIPHDVLPDWLKDNDFLLHGHRPPMPSFRACFKSIFRIHTETGNIWTHLLGCVFFLCLGIFYMFRPNISFVAPLQEKVVFGLFFLGAILCLSFSWLFHTVYCHSEGVSRLFSKLDYSGIALLIMGSFVPWLYYSFYCNPQPCFIYLIVICVLGIAAIIVSQWDMFATPQYRGVRAGVFLGLGLSGIIPTLHYVISEGFLKAATIGQIGWLMLMASLYITGAALYAARIPERFFPGKCDIWFHSHQLFHIFVVAGAFVHFHGVSNLQEFRFMIGGGCSEEDAL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDVVEVAGSWWAQEREDIIMKYEKGHRAGLPEDKGPKPFRSYNNNVDHLGIVHETELPPLTAREAKQIRREISRKSKWVDMLGDWEKYKSSRKLIDRAYKGMPMNIRGPMWSVLLNTEEMKLKNPGRYQIMKEKGKRSSEHIQRIDRDVSGTLRKHIFFRDRYGTKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGFHSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLRLWDVYLVEGEQALMPITRIAFKVQQKRLTKTSRCGPWARFCNRFVDTWARDEDTVLKHLRASMKKLTRKKGDLPPPAKPEQGSSASRPVPASRGGKTLCKGDRQAPPGPPARFPRPIWSASPPRAPRSSTPCPGGAVREDTYPVGTQGVPSPALAQGGPQGSWRFLQWNSMPRLPTDLDVEGPWFRHYDFRQSCWVRAISQEDQLAPCWQAEHPAERVRSAFAAPSTDSDQGTPFRARDEQQCAPTSGPCLCGLHLESSQFPPGF", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAQSVLVPPGPDSFRFFTRESLAAIEQRIAEEKAKRPKQERKDEDDENGPKPNSDLEAGKSLPFIYGDIPPEMVSVPLEDLDPYYINKKTFIVLNKGKAISRFSATPALYILTPFNPIRKLAIKILVHSLFNMLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKILARGFCLEDFTFLRDPWNWLDFTVITFAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCLQWPPDNSSFEINITSFFNNSLDGNGTTFNRTVSIFNWDEYIEDKSHFYFLEGQNDALLCGNSSDAGQCPEGYICVKAGRNPNYGYTSFDTFSWAFLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLILAVVAMAYEEQNQATLEEAEQKEAEFQQMLEQLKKQQEEAQAAAAAASAESRDFSGAGGIGVFSESSSVASKLSSKSEKELKNRRKKKKQKEQSGEEEKNDRVRKSESEDSIRRKGFRFSLEGSRLTYEKRFSSPHQSLLSIRGSLFSPRRNSRASLFSFRGRAKDIGSENDFADDEHSTFEDNDSRRDSLFVPHRHGERRHSNVSQASRASRVLPILPMNGKMHSAVDCNGVVSLVGGPSTLTSAGQLLPEGTTTETEIRKRRSSSYHVSMDLLEDPTSRQRAMSIASILTNTMEELEESRQKCPPCWYKFANMCLIWDCCKPWLKVKHLVNLVVMDPFVDLAITICIVLNTLFMAMEHYPMTEQFSSVLSVGNLVFTGIFTAEMFLKIIAMDPYYYFQEGWNIFDGFIVSLSLMELGLANVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKISNDCELPRWHMHDFFHSFLIVFRVLCGEWIETMWDCMEVAGQTMCLTVFMMVMVIGNLVVLNLFLALLLSSFSSDNLAATDDDNEMNNLQIAVGRMQKGIDFVKRKIREFIQKAFVRKQKALDEIKPLEDLNNKKDSCISNHTTIEIGKDLNYLKDGNGTTSGIGSSVEKYVVDESDYMSFINNPSLTVTVPIAVGESDFENLNTEEFSSESDMEESKEKLNATSSSEGSTVDIGAPAEGEQPEVEPEESLEPEACFTEDCVRKFKCCQISIEEGKGKLWWNLRKTCYKIVEHNWFETFIVFMILLSSGALAFEDIYIEQRKTIKTMLEYADKVFTYIFILEMLLKWVAYGFQVYFTNAWCWLDFLIVDVSLVSLTANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVVVNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCINYTTGEMFDVSVVNNYSECKALIESNQTARWKNVKVNFDNVGLGYLSLLQVATFKGWMDIMYAAVDSRNVELQPKYEDNLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPANKFQGMVFDFVTKQVFDISIMILICLNMVTMMVETDDQSQEMTNILYWINLVFIVLFTGECVLKLISLRYYYFTIGWNIFDFVVVILSIVGMFLAELIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKREVGIDDMFNFETFGNSMICLFQITTSAGWDGLLAPILNSGPPDCDPDKDHPGSSVKGDCGNPSVGIFFFVSYIIISFLVVVNMYIAVILENFSVATEESAEPLSEDDFEMFYEVWEKFDPDATQFIEFAKLSDFADALDPPLLIAKPNKVQLIAMDLPMVSGDRIHCLDILFAFTKRVLGESGEMDALRIQMEERFMASNPSKVSYEPITTTLKRKQEEVSAIIIQRAYRRYLLKQKVKKVSSIYKKDKGKECDGTPIKEDTLIDKLNENSTPEKTDMTPSTTSPPSYDSVTKPEKEKFEKDKSEKEDKGKDIRESKK", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDTSSKENIQLFCKTSVQPVGRPSFKTEYPSSEEKQPCCGELKVFLCALSFVYFAKALAEGYLKSTITQIERRFDIPSSLVGVIDGSFEIGNLLVITFVSYFGAKLHRPKIIGAGCVIMGVGTLLIAMPQFFMEQYKYERYSPSSNSTLSISPCLLESSSQLPVSVMEKSKSKISNECEVDTSSSMWIYVFLGNLLRGIGETPIQPLGIAYLDDFASEDNAAFYIGCVQTVAIIGPIFGFLLGSLCAKLYVDIGFVNLDHITITPKDPQWVGAWWLGYLIAGIISLLAAVPFWYLPKSLPRSQSREDSNSSSEKSKFIIDDHTDYQTPQGENAKIMEMARDFLPSLKNLFGNPVYFLYLCTSTVQFNSLFGMVTYKPKYIEQQYGQSSSRANFVIGLINIPAVALGIFSGGIVMKKFRISVCGAAKLYLGSSVFGYLLFLSLFALGCENSDVAGLTVSYQGTKPVSYHERALFSDCNSRCKCSETKWEPMCGENGITYVSACLAGCQTSNRSGKNIIFYNCTCVGIAASKSGNSSGIVGRCQKDNGCPQMFLYFLVISVITSYTLSLGGIPGYILLLRCIKPQLKSFALGIYTLAIRVLAGIPAPVYFGVLIDTSCLKWGFKRCGSRGSCRLYDSNVFRHIYLGLTVILGTVSILLSIAVLFILKKNYVSKHRSFITKRERTMVSTRFQKENYTTSDHLLQPNYWPGKETQL", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVFSVRQCGHVGRTEEVLLTFKIFLVIICLHVVLVTSLEEDTDNSSLSPPPAKLSVVSFAPSSNGTPEVETTSLNDVTLSLLPSNETEKTKITIVKTFNASGVKPQRNICNLSSICNDSAFFRGEIMFQYDKESTVPQNQHITNGTLTGVLSLSELKRSELNKTLQTLSETYFIMCATAEAQSTLNCTFTIKLNNTMNACAVIAALERVKIRPMEHCCCSVRIPCPSSPEELEKLQCDLQDPIVCLADHPRGPPFSSSQSIPVVPRATVLSQVPKATSFAEPPDYSPVTHNVPSPIGEIQPLSPQPSAPIASSPAIDMPPQSETISSPMPQTHVSGTPPPVKASFSSPTVSAPANVNTTSAPPVQTDIVNTSSISDLENQVLQMEKALSLGSLEPNLAGEMINQVSRLLHSPPDMLAPLAQRLLKVVDDIGLQLNFSNTTISLTSPSLALAVIRVNASSFNTTTFVAQDPANLQVSLETQAPENSIGTITLPSSLMNNLPAHDMELASRVQFNFFETPALFQDPSLENLSLISYVISSSVANLTVRNLTRNVTVTLKHINPSQDELTVRCVFWDLGRNGGRGGWSDNGCSVKDRRLNETICTCSHLTSFGVLLDLSRTSVLPAQMMALTFITYIGCGLSSIFLSVTLVTYIAFEKIRRDYPSKILIQLCAALLLLNLVFLLDSWIALYKMQGLCISVAVFLHYFLLVSFTWMGLEAFHMYLALVKVFNTYIRKYILKFCIVGWGVPAVVVTIILTISPDNYGLGSYGKFPNGSPDDFCWINNNAVFYITVVGYFCVIFLLNVSMFIVVLVQLCRIKKKKQLGAQRKTSIQDLRSIAGLTFLLGITWGFAFFAWGPVNVTFMYLFAIFNTLQGFFIFIFYCVAKENVRKQWRRYLCCGKLRLAENSDWSKTATNGLKKQTVNQGVSSSSNSLQSSSNSTNSTTLLVNNDCSVHASGNGNASTERNGVSFSVQNGDVCLHDFTGKQHMFNEKEDSCNGKGRMALRRTSKRGSLHFIEQM", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAALSWQGIVAVTFTALAFVVMAADWVGPDITFTVLLAFLTAFDGQIVTVAKAAAGYGNTGLLTVVFLYWVAEGITQTGGLELIMNYVLGRSRSVHWALVRSMFPVMVLSAFLNNTPCVTFMIPILISWGRRCGVPIKKLLIPLSYAAVLGGTCTSIGTSTNLVIVGLQDARYAKSKQVDQAKFQIFDIAPYGVPYALWGFVFILLAQGFLLPGNSSRYAKDLLLAVRVLPSSSVVKKKLKDSGLLQQNGFDVTAIYRNGQLIKISDPSIVLDGGDILYVSGELDVVEFVGEEYGLALVNQEQELAAERPFGSGEEAVFSANGAAPYHKLVQAKLSKTSDLIGRTVREVSWQGRFGLIPVAIQRGNGREDGRLSDVVLAAGDVLLLDTTPFYDEDREDIKTNFDGKLHAVKDGAAKEFVIGVKVKKSAEVVGKTVSAAGLRGIPGLFVLSVDHADGTSVDSSDYLYKIQPDDTIWIAADVAAVGFLSKFPGLELVQQEQVDKTGTSILYRHLVQAAVSHKGPLVGKTVRDVRFRTLYNAAVVAVHRENARIPLKVQDIVLQGGDVLLISCHTNWADEHRHDKSFVLVQPVPDSSPPKRSRMIIGVLLATGMVLTQIIGGLKNKEYIHLWPCAVLTAALMLLTGCMNADQTRKAIMWDVYLTIAAAFGVSAALEGTGVAAKFANAIISIGKGAGGTGAALIAIYIATALLSELLTNNAAGAIMYPIAAIAGDALKITPKDTSVAIMLGASAGFVNPFSYQTNLMVYAAGNYSVREFAIVGAPFQVWLMIVAGFILVYRNQWHQVWIVSWICTAGIVLLPALYFLLPTRIQIKIDGFFERIAAVLNPKAALERRRSLRRQVSHTRTDDSGSSGSPLPAPKIVA", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSVRKLSALSLSIGGVPLIPSVSLVAAANGESRDCHGTICHPVNEFCYVATERCHPCIEVCNNQTHNYDAFLCAKECSAYKTFEPLKAEMLDIQNTQQLILLLLTILLVLIALRCAFQFLRWLIGNRCFQKLMRRLQSKAYPHPATANGKDLNATTIQNLNAINHPGSDLERAQSQIYSVAGAAEGSVVTMTTPVSTRYPAENSTTPTTVMTEIGYGYDNQAMVVTPVSEKPSAATIPVAF", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGCFSSKHRKTQNDGGEKSIPINPVQTHVVPEHRKPQTPTPKPMTQPIHQQISTPSSNPVSVRDPDTILGKPFEDIRKFYSLGKELGRGQFGITYMCKEIGTGNTYACKSILKRKLISKQDKEDVKREIQIMQYLSGQPNIVEIKGAYEDRQSIHLVMELCAGGELFDRIIAQGHYSERAAAGIIRSIVNVVQICHFMGVVHRDLKPENFLLSSKEENAMLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRSYGKEIDIWSAGVILYILLSGVPPFWAENEKGIFDEVIKGEIDFVSEPWPSISESAKDLVRKMLTKDPKRRITAAQVLEHPWIKGGEAPDKPIDSAVLSRMKQFRAMNKLKKLALKVIAESLSEEEIKGLKTMFANIDTDKSGTITYEELKTGLTRLGSRLSETEVKQLMEAADVDGNGTIDYYEFISATMHRYKLDRDEHVYKAFQHFDKDNSGHITRDELESAMKEYGMGDEASIKEVISEVDTDNDGRINFEEFCAMMRSGSTQPQGKLLPFH", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGAKTPWIQLQKLLNWWVRDQDWNQHVDQLHMLQQKSIWESPLLRAAKENDMCTLKKLQHDQNCDFRQRGALGETALHVAALYDNLDAAIMLMEAAPYLVTESTLCEPFVGQTALHIAVMNQNVNLVRALLARGASASARATGSAFHRSSHNLIYYGEHPLSFAACVGSEEIVRLLIEHGADIRAQDSLGNTVLHILVLQPNKTFACQMYNLLLSYDGGDHLKSLELVPNNQGLTPFKLAGVEGNTVMFQHLMQKRKRIQWSFGPLTSSLYDLTEIDSWGEELSFLELVVSSKKKEARQILEQTPVKELVSLKWKKYGQPYFCLLGALYIFYMVCFTTCCVYRPLKFRDANRTHVRDNTIMEQKSLQEAYVTYQDKIRLVGELVTVIGAVIILLLEIPDIFRVGASRYFGQTVLGGPFHVIIITYASLVLLTMAMRLTNVNGEVVPMSMALVLGWCSVMYFARGFQMLGPFTIMIQKMIFGDLLRFCWLMAMVILGFASAFYIIFQTEDPDNLGEFSDYPTAMFSTFELFLTIIDGPANYRVDLPFMYSVTYATFAIIATLLMLNLFIAMMGDTHWRVAQERDELWRAQVVATTVMLERKMPRFLWPRSGICGCEYGLGDRWFLRVEHHQEQNPYRVLRYVEAFKSSDKEEVQEQLSEKQPSGTETGTLARGSVVLQTPPLSRTTSLSSNSHRGWEILRRNTLGHLNLGLDPGEGDGEEIYQF", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSEGTVKENNNEEFNAYHTLTTEEAAEFIGTSLTEGLTQDESLRRLKAVGENTLGDDTKIDYKAMVLHQVCNAMIMVLVISMAISFAVRDWITGGVISFVIAVNVLIGLVQEYKATKTMNSLKNLSSPNAHVIRNGKSETINSKDVVPGDICLVKVGDTIPADLRLIETKNFDTDESLLTGESLPVSKDANLVFGKEEETSVGDRLNLAFSSSAVVKGRAKGIVIKTALNSEIGKIAKSLQGDSGLISRDPSKSWLQNTWISTKKVTGAFLGTNVGTPLHRKLSKLAVLLFWIAVLFAIIVMASQKFDVDKRVAIYAICVALSMIPSSLVVVLTITMSVGAAVMVSRNVIVRKLDSLEALGAVNDICSDKTGTLTQGKMLARQIWIPRFGTITISNSDDPFNPNEGNVSLIPRFSPYEYSHNEDGDVGILQNFKDRLYEKDLPEDIDMDLFQKWLETATLANIATVFKDDATDCWKAHGDPTEIAIQVFATKMDLPHNALTGEKSTNQSNENDQSSLSQHNEKPGSAQFEHIAEFPFDSTVKRMSSVYYNNHNETYNIYGKGAFESIISCCSSWYGKDGVKITPLTDCDVETIRKNVYSLSNEGLRVLGFASKSFTKDQVNDDQLKNITSNRATAESDLVFLGLIGIYDPPRNETAGAVKKFHQAGINVHMLTGDFVGTAKAIAQEVGILPTNLYHYSQEIVDSMVMTGSQFDGLSEEEVDDLPVLPLVIARCSPQTKVRMIEALHRRKKFCAMTGDGVNDSPSLKMANVGIAMGINGSDVSKEASDIVLSDDNFASILNAVEEGRRMTDNIQKFVLQLLAENVAQALYLIIGLVFRDENGKSVFPLSPVEVLWIIVVTSCFPAMGLGLEKAAPDLMDRPPNDSEVGIFTWEVIIDTFAYGIIMTGSCMASFTGSLYGINSGRLGHDCDGTYNSSCRDVYRSRSAAFATMTWCALILAWEVVDMRRSFFRMHPDTDSPVKEFFRSIWGNQFLFWSIIFGFVSAFPVVYIPVINDKVFLHKPIGAEWGLAIAFTIAFWIGAELYKCGKRRYFKTQRAHNSENDLERSSKHDPFEAYSTSTTLQSEINISVKH", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDQKVRQFEVCTQDGSVDRHGNPAIRANTGKWLTAILILVNQGLATLAFFGVGVNLVLFLTRVMGQDNAEAANNVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQASFVAGLMMLSLSTGALLLEPSGCGVEDSPCKPHSTFKTVLFYLSVYLIALGYGGYQPNIATFGADQFDAEDSVEGHSKIAFFSYFYLALNLGSLFSNTVLGYFEDQGEWPLGFWASAGSAFAGLVLFLIGTPKYRHFTPRESPWSRFCQVLVAATRKAKIDVHHEELNLYDSETQYTGDKKILHTKGFRFLDRAAIVTPDDEAEKVESGSKYDPWRLCSVTQVEEVKCVLRLLPIWLCTILYSVVFTQMASLFVVQGAAMKTNIKNFRIPASSMSSFDILSVAFFIFAYRRFLDPLFARLNKTERNKGLTELQRMGIGLVIAIMAMISAGIVEIHRLKNKEPESATSISSSSTLSIFWQVPQYMLIGASEVFMYVGQLEFFNSQAPTGLKSFASALCMASISLGNYVSSLLVSIVMKISTTDDVHGWIPENLNKGHLERFYFLLAGLTAADFVVYLICAKWYKYIKSEASFSESVTEEEEV", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSAELELLWPVSGLLLLLLGATAWLCVHCSRPGVKRNEKIYEQRNRQENAQSSAAAQTYSLARQVWPGPQMDTAPNKSFERKNKMLFSHLEGPESPRYQNFYKGSNQEPDAAYVDPIPTNYYNWGCFQKPSEDDDSNSYENVLVCKPSTPESGVEDFEDYQNSVSIHQWRESKRTMGAPMSLSGSPDEEPDYVNGDVAAAENI", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAVPTGSANLFLRPLILAVLSFLLLSSFVSSVEWLDIDSSDLKALQVIETELGVNSQRSSASDVNPCGRRGVFCERRHSATTGEYVLRVTRLVYRSRSLTGTISPVIGMLSELKELTLSNNQLVNAVPVDILSCKQLEVLDLRKNRFSGQIPGNFSSLSRLRILDLSSNKLSGNLNFLKNLRNLENLSVANNLFSGKIPEQIVSFHNLRFFDFSGNRYLEGPAPVMSSIKLQTSPHQTRHILAETPTSSPTNKPNNSTTSKAPKGAPKPGKLKKKKKKSKKKKVAAWILGFVVGAIGGTISGFVFSVLFKLIIQAIRGSEKPPGPSIFSPLIKKAEDLAFLENEEALASLEIIGRGGCGEVFKAELPGSNGKIIAVKKVIQPPKDADELTDEDSKFLNKKMRQIRSEINTVGHIRHRNLLPLLAHVSRPECHYLVYEYMEKGSLQDILTDVQAGNQELMWPARHKIALGIAAGLEYLHMDHNPRIIHRDLKPANVLLDDDMEARISDFGLAKAMPDAVTHITTSHVAGTVGYIAPEFYQTHKFTDKCDIYSFGVILGILVIGKLPSDEFFQHTDEMSLIKWMRNIITSENPSLAIDPKLMDQGFDEQMLLVLKIACYCTLDDPKQRPNSKDVRTMLSQIKH", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEIEKSNNGGSNPSAGEEFKDMIKGVTKFLMMVIFLGTIMLWIMMPTLTYRTKWLPHLRIKFGTSTYFGATGTTLFMYMFPMMVVACLGCVYLHFKNRKSPHHIDRETKGGVWSKLRKPMLVKGPLGIVSVTEITFLAMFVALLLWCFITYLRNSFATITPKSAAAHDESLWQAKLESAALRLGLIGNICLAFLFLPVARGSSLLPAMGLTSESSIKYHIWLGHMVMALFTVHGLCYIIYWASMHEISQMIMWDTKGVSNLAGEIALAAGLVMWATTYPKIRRRFFEVFFYTHYLYIVFMLFFVLHVGISFSFIALPGFYIFLVDRFLRFLQSRENVRLLAARILPSDTMELTFSKNSKLVYSPTSIMFVNIPSISKLQWHPFTITSSSKLEPEKLSIVIKKEGKWSTKLHQRLSSSDQIDRLAVSVEGPYGPASADFLRHEALVMVCGGSGITPFISVIRDLIATSQKETCKIPKITLICAFKKSSEISMLDLVLPLSGLETELSSDINIKIEAFITRDNDAGDEAKAGKIKTLWFKPSLSDQSISSILGPNSWLWLGAILASSFLIFMIIIGIITRYYIYPIDHNTNKIYSLTSKTIIYILVISVSIMATCSAAMLWNKKKYGKVESKQVQNVDRPSPTSSPTSSWGYNSLREIESTPQESLVQRTNLHFGERPNLKKLLLDVEGSSVGVLVCGPKKMRQKVAEICSSGLAENLHFESISFSW", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTLNGGSGASGSRGAGGRERDRRRGSTPWGPAPPLHRRSMPVDERDLQAALAPGSLATTAAGTRTQGQRLDWPEGSSDSLSSGGSGSEEGVYKVLLLGAPGVGKSALARIFGGIEDGPEAEAAGHTYDRSITVDGEEASLLVYDIWEEDGGCWLPGHCMAMGDAYVIVYSITDKGSFEKASELRVQLRRARQTDDVPIILVGNKSDLVRSREVSVDEGRACAVVFDCKFIETSAALHHNVQALFEGVVRQIRLRRDSKEDNARRQAGTRRRESLGKKAKRFLGRIVARNSRKMAFRAKSKSCHDLSVL", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MIRVYLIILMHLVIGLTQNNSTTPSPIITSSNSSVLVFEISSKMKMIEKKLEANTVHVLRLELDQSFILDLTKVAAEIVDSSKYSKEDGVILEVTVSNGRDSFLLKLPTVYPNLKLYTDGKLLNPLVEQDFGAHRKRHRIGDPHFHQNLIVTVQSRLNADIDYRLHVTHLDRAQYDFLKFKTGQTTKTLSNQKLTFVKPIGFFLNCSEQNISQFHVTLYSEDDICANLITVPANESIYDRSVISDKTHNRRVLSFTKRADIFFTETEISMFKSFRIFVFIAPDDSGCSTNTSRKSFNEKKKISFEFKKLENQSYAVPTALMMIFLTTPCLLFLPIVINIIKNSRKLAPSQSNLISFSPVPSEQRDMDLSHDEQQNTSSELENNGEIPAAENQIVEEITAENQETSVEEGNREIQVKIPLKQDSLSLHGQMLQYPVAIILPVLMHTAIEFHKWTTSTMANRDEMCFHNHACARPLGELRAWNNIITNIGYTLYGAIFIVLSICRRGRHEYSHVFGTYECTLLDVTIGVFMVLQSIASATYHICPSDVAFQFDTPCIQVICGLLMVRQWFVRHESPSPAYTNILLVGVVSLNFLISAFSKTSYVRFIIAVIHVIVVGSICLAKERSLGSEKLKTRFFIMAFSMGNFAAIVMYLTLSAFHLNQIATYCFIINCIMYLMYYGCMKVLHSERITSKAKLCGALSLLAWAVAGFFFFQDDTDWTRSAAASRALNKPCLLLGFFGSHDLWHIFGALAGLFTFIFVSFVDDDLINTRKTSINIF", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDFLNSSDQNLTSEELLNRMPSKILVSLTLSGLALMTTTINSLVIAAIIVTRKLHHPANYLICSLAVTDFLVAVLVMPFSIVYIVRESWIMGQVVCDIWLSVDITCCTCSILHLSAIALDRYRAITDAVEYARKRTPKHAGIMITIVWIISVFISMPPLFWRHQGTSRDDECIIKHDHIVSTIYSTFGAFYIPLALILILYYKIYRAAKTLYHKRQASRIAKEEVNGQVLLESGEKSTKSVSTSYVLEKSLSDPSTDFDKIHSTVRSLRSEFKHEKSWRRQKISGTRERKAATTLGLILGAFVICWLPFFVKELVVNVCDKCKISEEMSNFLAWLGYLNSLINPLIYTIFNEDFKKAFQKLVRCRC", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGSLQPDAGNASWNGTEAPGGGARATPYSLQVTLTLVCLAGLLMLLTVFGNVLVIIAVFTSRALKAPQNLFLVSLASADILVATLVIPFSLANEVMGYWYFGKAWCEIYLALDVLFCTSSIVHLCAISLDRYWSITQAIEYNLKRTPRRIKAIIITVWVISAVISFPPLISIEKKGGGGGPQPAEPRCEINDQKWYVISSCIGSFFAPCLIMILVYVRIYQIAKRRTRVPPSRRGPDAVAAPPGGTERRPNGLGPERSAGPGGAEAEPLPTQLNGAPGEPAPAGPRDTDALDLEESSSSDHAERPPGPRRPERGPRGKGKARASQVKPGDSLPRRGPGATGIGTPAAGPGEERVGAAKASRWRGRQNREKRFTFVLAVVIGVFVVCWFPFFFTYTLTAVGCSVPRTLFKFFFWFGYCNSSLNPVIYTIFNHDFRRAFKKILCRGDRKRIV", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLRLLALFLHCLPLVSGDYDICKSWVTTDEGPTWEFYACQPKVMRLKDYVKVKVEPSGITCGDPPERFCSHENPYLCSNECDASNPDLAHPPRLMFDREDEGLATYWQSVTWSRYPSPLEANITLSWNKSVELTDDVVVTFEYGRPTVMVLEKSLDNGRTWQPYQFYAEDCMEAFGMSARRARDMSPSSAHRVLCTEEYSRWAGSKKEKHVRFEVRDRFAIFAGPDLRNMDNLYTRMESAKGLKEFFTFTDLRMRLLRPALGGTYVQRENLYKYFYAISNIEVIGRCKCNLHANLCTVREGSLQCECEHNTTGPDCGRCKKNFRTRAWRAGSYLPLPHGSPNACAAAGSAFGSQTKPPTMAPLGDSSFWPQVSSSAEAVAISVAVPSQAKDSTLFELKPRSPQVIPIEEFQDCECYGHSNRCSYIDFLNVVTCVSCKHNTRGQHCQHCRLGYYRNGSAELDDENVCIECNCNQIGSVHDRCNETGFCECREGAVGPKCDDCLPTHYWRQGCYPNVCDDDQLLCQNGGTCQQNQRCACPPGYTGIRCEQPRCDLADDAGPDCDRAPGIVPRPDTLLGCLLLLGLAARLAC", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAGDGVENAKPPQKQEDLQPHPVKDQLYGITYCLTSPPPWPETILLGFQHYLVMLGTTVLIPTMLVSKIDARNEDKVKLIQTLLFVSGINTLFQSFFGTRLPAVIGASYSYVPTTMSIVLAARYNDIMDPQKRFEQIMRGIQGALIIASFLHILVGFSGLWRNVTRFLSPLSAVPLVAFSGFGLYEQGFPMLAKCIEIGLPEIILLVIFSQYIPHLMQGETCSNFFHRFAVIFSVVIVWLYAYILTIGGAYSNTEINTQISCRTDRAGIISASPWIRVPHPIQWGGAPTFNAGDIFAMMAASFVSLVESTGTYIAVSRYASATPIPPSVLSRGIGWQGFGILLCGLFGAGNATSVSVENAGLLAVTRVGSRRVIQVAAGFMIFFSILGKFGAIFASIPAPIVAALYCLFFSYVGAGGLSLIQFCNLNSFRTKFILGFSIFMGLSIPQYFYQYTTLETYGPVRTSATWFNNIINVPFSSKAFVSGILAFFLDTTLPPKDKTTKKDRGLVWWKRFKSFQSDNRSEEFYSLPLNLSKYFPSH", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSQLTELVLLTVFLALFSRAEANPFVYNYEALRIGGLVFTCVLVAGAVTALCWGQCKPKRKHDDDASKI", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVANWVLALTRQFDPFMFMMVMGVGISSNILYSFPYPARWLRICSYIMFAIACLIFIAVQALQILHLIVYIKEKSFREYFNDFFRNMKHNLFWGTYPMGLVTIINFLGALSKANTTKSPTNARNLMIFVYVLWWYDLAVCLVIAWGISFLIWHDYYPLEGIGNYPSYNIKMASENMKSVLLLDIIPLVVVASSCGTFTMSEIFFHAFNRNIQLITLVICALTWLHAIIFVFILIAIYFWSLYINKIPPMTQVFTLFLLLGPMGQGSFGVLLLTDNIKKYAGKYYPTDNITREQEILTIAVPWCFKILGMVSAMALLAMGYFFTVISVVSILSYYNKKEIENETGKVKRVYTFHKGFWGMTFPMGTMSLGNEELYVQYNQYVPLYAFRVLGTIYGGVCVCWSILCLLCTLHEYSKKMLHAARKSSLFSESGTEKTTVSPYNSIESVEESNSALDFTRLA", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASTFNPRECKLSKQEGQNYGFFLRIEKDTDGHLIRVIEEGSPAEKAGLLDGDRVLRINGVFVDKEEHAQVVELVRKSGNSVTLLVLDGDSYEKAVKNQVDLKELDQSQREAALNDKKPGPGMNGAVEPCAQPRLCYLVKEGNSFGFSLKTIQGKKGVYLTDIMPQGVAMKAGVLADDHLIEVNGENVENASHEEVVEKVTKSGSRIMFLLVDKETARCHSEQKTQFKRETASLKLLPHQPRVVVIKKGSNGYGFYLRAGPEQKGQIIKDIEPGSPAEAAGLKNNDLVVAVNGKSVEALDHDGVVEMIRKGGDQTTLLVLDKEAESIYSLARFSPLLYCQSQELPNGSVKEGPAPIPAPLEATGSEPTEDAEGHKPKLCRLLKEDDSYGFHLNAIRGQPGSFVKEVQQGGPADKAGLENEDVIIEVNGENVQEEPYDRVVERIKSSGKHVTLLVCGKMAYSYFQAKKIPIVSSMAEPLVAGPDEKGETSAESEHDAHPAKDRTLSTASHSSSNSEDTEM", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGDTGLRKRREDEKSIQSQEPKTTSLQKELGLISGISIIVGTIIGSGIFVSPKSVLSNTEAVGPCLIIWAACGVLATLGALCFAELGTMITKSGGEYPYLMEAYGPIPAYLFSWASLIVIKPTSFAIICLSFSEYVCAPFYVGCKPPQIVVKCLAAAAILFISTVNSLSVRLGSYVQNIFTAAKLVIVAIIIISGLVLLAQGNTKNFDNSFEGAQLSVGAISLAFYNGLWAYDGWNQLNYITEELRNPYRNLPLAIIIGIPLVTACYILMNVSYFTVMTATELLQSQAVAVTFGDRVLYPASWIVPLFVAFSTIGAANGTCFTAGRLIYVAGREGHMLKVLSYISVRRLTPAPAIIFYGIIATIYIIPGDINSLVNYFSFAAWLFYGLTILGLIVMRFTRKELERPIKVPVVIPVLMTLISVFLVLAPIISKPTWEYLYCVLFILSGLLFYFLFVHYKFGWAQKISKPITMHLQMLMEVVPPEEDPE", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASEFKKKLFWRAVVAEFLATTLFVFISIGSALGFKYPVGNNQTAVQDNVKVSLAFGLSIATLAQSVGHISGAHLNPAVTLGLLLSCQISIFRALMYIIAQCVGAIVATAILSGITSSLTGNSLGRNDLADGVNSGQGLGIEIIGTLQLVLCVLATTDRRRRDLGGSAPLAIGLSVALGHLLAIDYTGCGINPARSFGSAVITHNFSNHWIFWVGPFIGGALAVLIYDFILAPRSSDLTDRVKVWTSGQVEEYDLDADDINSRVEMKPK", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEETSPRRRREDEKSVHSTEPKTTSLQKEVGLLSGICIIVGTIIGSGIFISPKSVLANTESVGPCLIIWAACGVLATLGALCFAELGTMITKSGGEYPYLMEAFGPIPAYLFSWTSLIVMKPSSFAIICLSFSEYVCAAFYLGCRPPAVVVKLLAAAAILLITTVNALSVRLGSYVQNVFTAAKLVIVAIIIISGLVLLAQGNVKNFQNSFEGSQTSVGSISLAFYNGLWAYDGWNQLNYITEELRNPYRNLPMAIVIGIPLVTVCYILMNIAYFTVMTPTELLQSQAVAVTFGDRVLYPASWVVPLFVAFSTIGAANGTCFTAGRLIYVAGREGHMLKVLSYISVKRLTPAPALVFYGIIAIIYIIPGDINSLVNYFSFAAWLFYGMTILGLVVMRFTRKDLERPIKVPIFIPIIVILVSVFLILAPIISSPAWEYLYCVLFILSGLIFYFLFVHYKFRWAQKISRPITKHLQMLMEVVPPEKDPE", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAGGGGGGGGVAPPLKHDGLEPHPVKDQLSSISYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTYLVPQMGGGNEEKAKMVQTLLFVSGLNTLLQSFFGTRLPAVIGGSYTYVPTTLSIILAGRYSDILDPQEKFKRIMRGIQGALIVASILQIVVGFSGLWRNVVRLLSPLSAVPLVALAGFGLYEHGFPLLAKCIEIGLPEIILLLLFSQYIPHLIRGERQVFHRFAVIFSVVIVWIYAHLLTVGGAYKNTGVNTQTSCRTDRSGLISGSPWIRVPYPFQWGPPTFHAGEAFAMMAVSFVSLIESTGTYIVVSRFASATPPPPSVLSRGVGWQGVGVLLCGLFGAGNGASVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAIFASIPAPVVAALHCLFFAYVGAGGLSLLQFCNLNSFRTKFILGFSVFMGLSIPQYFNQYTAVNKYGPVHTHARWFNDMINVPFSSKAFVAGILAFFLDVTMSSKDSATRKDRGMFWWDRFMSFKSDTRSEEFYSLPFNLNKYFPSV", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEFSWGSGQESQRLLLSFLLLAIWEAGNSQIHYSIPEEAKHGTFVGRIAQDLGLELTELVPRLFRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHVEVEVRDINDNPPRFPTTQKNLFIAESRPLDTWFPLEGASDADIGINAVLTYRLSPNDYFSLEKPSNDERVKGLGLVLRKSLDREETPEIILVLTVTDGGKPELTGSVQLLITVLDANDNAPVFDRSLYTVKLPENVPNGTLVVKVNASDLDEGVNGDIMYSFSTDISPNVKYKFHIDPVSGEIIVKGYIDFEECKSYEILIEGIDKGQLPLSGHCKVIVQVEDINDNVPELEFKSLSLPIRENSPVGTVIALISVSDRDTGVNGQVTCSLTSHVPFKLVSTFKNYYSLVLDSALDRETTADYKVVVTARDGGSPSLWATASVSVEVADVNDNAPVFAQPEYTVFVKENNPPGAHIFTVSAMDADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLRFQVSARDAGVPALGSNVTLQVFVLDENDNAPTLLEPEAGVSGGIVSRLVSRSVGAGHVVAKVRAVDADSGYNAWLSYELQSSEGNSRSLFRVGLYTGEISTTRILDEADSPRQRLLVLVKDHGDPAMIVTATVLVSLVENGPVPKAPSRVSTSVTHSEASLVDVNVYLIIAICAVSSLLVLTLLLYTALRCSTVPSESVCGPPKPVMVCSSAVGSWSYSQQRRQRVCSGEYPPKTDLMAFSPSLSDSRDREDQLQSAEDSSGKPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPANNQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLHVEMLTLVFLVLWMCVFSQDPGSKAVADRYAVYWNSSNPRFQRGDYHIDVCINDYLDVFCPHYEDSVPEDKTERYVLYMVNFDGYSACDHTSKGFKRWECNRPHSPNGPLKFSEKFQLFTPFSLGFEFRPGREYFYISSAIPDNGRRSCLKLKVFVRPTNSCMKTIGVHDRVFDVNDKVENSLEPADDTVHESAEPSRGENAAQTPRIPSRLLAILLFLLAMLLTL", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSFANTSSTFEDKMCEGNRTAMASPQLLPLVVVLSSISLVTVGLNLLVLYAVHSERKLHTVGNLYIVSLSVADLIVGAVVMPMNILYLIMTKWSLGRPLCLFWLSMDYVASTASIFSVFILCIDRYRSVQQPLRYLRYRTKTRASATILGAWFFSFLWVIPILGWHHFMPPAPELREDKCETDFYNVTWFKIMTAIINFYLPTLLMLWFYVKIYKAVRRHCQHRQLTNGSLPSFSELKLRSDDTKEGAKKPGRESPWGVLKRPSRDPSVGLDQKSTSEDPKMTSPTVFSQEGERETRPCFRLDIMQKQSVAEGDVRGSKANDQALSQPKMDEQSLNTCRRISETSEDQTLVDQQSFSRTTDSDTSIEPGPGRVKSRSGSNSGLDYIKITWKRLRSHSRQYVSGLHLNRERKAAKQLGFIMAAFILCWIPYFIFFMVIAFCKSCCSEPMHMFTIWLGYINSTLNPLIYPLCNENFKKTFKKILHIRS", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASRTTPSRSTPSRSTPSGSSSGGRTRVGKYELGRTLGEGTFAKVKFARNVENGDNVAIKVIDKEKVLKNKMIAQIKREISTMKLIKHPNVIRMFEVMASKTKIYFVLEFVTGGELFDKISSNGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDANGALKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLTSLYKKIFKAEFTCPPWFSASAKKLIKRILDPNPATRITFAEVIENEWFKKGYKAPKFENADVSLDDVDAIFDDSGESKNLVVERREEGLKTPVTMNAFELISTSQGLNLGSLFEKQMGLVKRKTRFTSKSSANEIVTKIEAAAAPMGFDVKTNNYKMKLTGEKSGRKGQLAVATEVFQVAPSLYMVEMRKSGGDTLEFHKFYKNLTTGLKDIVWKTIDEEKEEGTDGGGTNGAMANRTIAKQST", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAQQGKILKEQKYDRQLRLWGDHGQEALESAHVCLINATATGTEILKNLVLPGIGSFTIIDGNQVSGEDVGNNFFLQKCSIGKNRAQAAMEFLQELNSDVSGSFVEESPENLLDNDPSFFCRFTIVVATQLLESTLLRLADVLWNSQIPLLICRTYGLVGYMRIIIKEHPVIESHPDNALEDLRLDKPFPELREHFQSYDLDHMEKKDHSHTPWIVIIAKYLAQWYSETNGRIPKSYKEKEDFRELIRQGILKNENGAPEDEENFEEAIKNVNTALNTTQIPSSIEDIFNDDRCINITKQTPSFWILARALKEFVAKEGQGNLPVRGTIPDMIADSNKYIKLQNVYREKAKKDAAAVGNHVAKLLQSCGQAPESISEKELKLLCSNSAFLRVVRCRSLAEEYGLHTVNKDEIISSMDNPDNEIVLYLMLRAVDRFHKQHGRYPGVSNYQVEEDIGKLKSCLTGFLQEYGLSVMVKDDYVHEFCRYGAAEPHTVAAFLGGAAAQEVIKIITKQFVIFNNTYIYSGMSQTSATFQL", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGCFHSTAAREFPDHENPVKLASETAFSVSEVEALYELFKSISSSVVDDGLINKEEFQLALFKNRKKENLFANRIFDLFDVKRKGVIDFGDFVRSLNVFHPNASLEEKTDFTFRLYDMDCTGFIERQEVKQMLIALLCESEMKLADDTIEMILDQTFEDADVDRDGKIDKTEWSNFVIKNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEIAT", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSQQNTSGDCLFDGVNELMKTLQFAVHIPTFVLGLLLNLLAIHGFSTFLKNRWPDYAATSIYMINLAVFDLLLVLSLPFKMVLSQVQSPFPSLCTLVECLYFVSMYGSVFTICFISMDRFLAIRYPLLVSHLRSPRKIFGICCTIWVLVWTGSIPIYSFHGKVEKYMCFHNMSDDTWSAKVFFPLEVFGFLLPMGIMGFCCSRSIHILLGRRDHTQDWVQQKACIYSIAASLAVFVVSFLPVHLGFFLQFLVRNSFIVECRAKQSISFFLQLSMCFSNVNCCLDVFCYYFVIKEFRMNIRAHRPSRVQLVLQDTTISRG", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRGSGAKIAGVLPLFMLFIAGTISAFEDIERLRIWPLPAQVSHGGRRMYLSGDFKLVTEGSKYGDASGILKEGFDRMLGVVRLSHVISGDRNSSGTGGSALLQGLHVIISSSTDELEYGADESYKLVVPSPEKPSYAQLEAKSVYGALHGLQTFSQLCHFNLKKKVIEILMTPWNIIDQPRFSYRGLLIDTSRHYLPLPVIKNVIDSMTYAKLNVLHWHIVDTQSFPLEIPSYPKLWNGAYSSSQRYTFEDAAEIVNYARRRGIHVLAEIDVPGHALSWGKGYPALWPSKNCQEPLDVSSDFTFKVIDGILSDFSKIFKFKFVHLGGDEVNTTCWSATPRIAQWLKKHRMSEKEAYQYFVLRAQKIALSHGYEIINWEETFINFGSKLNRKTVVHNWLNTGLVENVTASGLRCIVSNQEFWYLDHIDAPWQGFYANEPFQNITDKKQQSLVLGGEVCMWGEHIDASDIEQTIWPRAAAAAERLWTPYAKLAKNPNNVTTRLAHFRCLLNQRGVAAAPLVGGGRVVPFEPGSCLAQ", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MESKCLMFVSIVSVFFMVVNGVSETETLLKFKNSLVIGRANALESWNRRNPPCKWTGVLCDRGFVWGLRLENLELSGSIDIEALMGLNSLRSLSFINNKFKGPFPEFKKLVALKSLYLSNNQFDLEIPKDAFDGMGWLKKLHLEQNNFIGEIPTSLVKSPKLIELRLDGNRFTGQIPEFRHHPNMLNLSNNALAGQIPNSFSTMDPKLFEGNKGLCGKPLDTKCSSPYNHSSEPKSSTKKTSSKFLYIVAAAVAALAASLIIIGVVIFLIRRRKKKQPLLSAEPGPSSLQMRAGIQESERGQGSYHSQNRAAKKMIHTTKLSFLRDDKGKFELQDLLKASAEILGSGCFGASYKTLLSNGSVMVVKRFKHMNSAGIDEFQEHMKRLGRLNHENLLPIVAYYYKKEEKLFVSDFVANGSLAAHLHGHKSLGQPSLDWPTRFNIVKGVGRGLLYLHKNLPSLMAPHGHLKSSNVLLSEKFEPLLMDYGLIPMINEESAQELMVAYKSPEYVKQSRVTKKTDVWGLGVLILEILTGKLLESFSQVDKESEEDLASWVRSSFKGEWTQELFDQEMGKTSNCEAHILNLMRIGLSCCEVDVEKRLDIREAVEKMEDLMKEREQGDDDFYSTYASEADGRSSRGLSSEGINLS", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKLFLLLLFLLHISHTFTASRPISEFRALLSLKTSLTGAGDDKNSPLSSWKVSTSFCTWIGVTCDVSRRHVTSLDLSGLNLSGTLSPDVSHLRLLQNLSLAENLISGPIPPEISSLSGLRHLNLSNNVFNGSFPDEISSGLVNLRVLDVYNNNLTGDLPVSVTNLTQLRHLHLGGNYFAGKIPPSYGSWPVIEYLAVSGNELVGKIPPEIGNLTTLRELYIGYYNAFEDGLPPEIGNLSELVRFDGANCGLTGEIPPEIGKLQKLDTLFLQVNVFSGPLTWELGTLSSLKSMDLSNNMFTGEIPASFAELKNLTLLNLFRNKLHGEIPEFIGDLPELEVLQLWENNFTGSIPQKLGENGKLNLVDLSSNKLTGTLPPNMCSGNKLETLITLGNFLFGSIPDSLGKCESLTRIRMGENFLNGSIPKGLFGLPKLTQVELQDNYLSGELPVAGGVSVNLGQISLSNNQLSGPLPPAIGNFTGVQKLLLDGNKFQGPIPSEVGKLQQLSKIDFSHNLFSGRIAPEISRCKLLTFVDLSRNELSGEIPNEITAMKILNYLNLSRNHLVGSIPGSISSMQSLTSLDFSYNNLSGLVPGTGQFSYFNYTSFLGNPDLCGPYLGPCKDGVAKGGHQSHSKGPLSASMKLLLVLGLLVCSIAFAVVAIIKARSLKKASESRAWRLTAFQRLDFTCDDVLDSLKEDNIIGKGGAGIVYKGVMPNGDLVAVKRLAAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIALEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRKMTDSNKDSVLKVLDPRLSSIPIHEVTHVFYVAMLCVEEQAVERPTMREVVQILTEIPKLPPSKDQPMTESAPESELSPKSGVQSPPDLLNL", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAMGLFRVCLVVVTAIINHPLLFPRENATVPENEEEIIRKMQAHQEKLQLEQLRLEEEVARLAAEKEALEQVAEEGRQQNETRVAWDLWSTLCMILFLMIEVWRQDHQEGPSPECLGGEEDELPGLGGAPLQGLTLPNKATLGHFYERCIRGATADAARTREFLEGFVDDLLEALRSLCNRDTDMEVEDFIGVDSMYENWQVDRPLLCHLFVPFTPPEPYRFHPELWCSGRSVPLDRQGYGQIKVVRADGDTLSCICGKTKLGEDMLCLLHGRNSMAPPCGDMENLLCATDSLYLDTMQVMKWFQTALTRAWKGIAHKYEFDLAFGQLDSPGSLKIKFRSGKFMPFNLIPVIQCDDSDLYFVSHLPREPSEGTPASSTDWLLSFAVYERHFLRTTLKALPEGACHLSCLQIASFLLSKQSRLTGPSGLSSYHLKTALLHLLLLRQAADWKAGQLDARLHELLCFLEKSLLQKKLHHFFIGNRKVPEAMGLPEAVLRAEPLNLFRPFVLQRSLYRKTLDSFYEMLKNAPALISEYSLHVPSDQPTPKS", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSVLCVLLLAFVLPLRGSSSAGSTECKTYDERSRSAGKSSPSGATLDRKVVCSNMEFRQVPSPDTFPNRTVSLILSNNKIQELLNGSFVGLSSLERLDIKNNIITHIEPGAFYGLFSLKRLDLSKNLIGCLHVDVFKGLTNLVKLNLSENKFSSLSQGIFDSLGSLKILEFDSPYLLCDCNLQWLVVWIKEKAIGVKETRCSFPRSLQGQLITTLRAETLTCDAPLELPSFQMTPSQHQIVFQGDSLPFQCMASFVDEDMQVLWYQDGKMVEPDATQGIYIEKSMVQNCSLIASALTISNIQPGFTGNWECRVRTSRGNNTRTVHIVVLESSAKYCLPDRVSNNKGEYRWPRTLAGITAYLPCKRQVTGAGIYSGSSAEDRRAWRRCDRGGQWAEDDYSRCEYMKDVTRVLYIINQMPLNLTNVVQTAQQLLAYTAEAPNFSDKVDVIFVAEMIEKIGKFAEKYKELGDVMVDISSNLLLADERVLWMAQREARACSRMVESLQRIALLRVSNGALAYSTNSPNIALEAHAIKASSFNGMTCTLFQKLSPERTVMAHHGEISPERQLSFKCNVTSNLSALALKNTIVEASLQLPPTLFSSLGSSGQAEEAVYKLHLLAFRNGKLFPPTGNSSILSDGSKRRSVVTPVMITKIEGFPLRDLLSPVNVTLRRFLQGSDAVPAFWNFSLQGGQGGWQSDGCRILHQDDNFTTVSCHSLNSYAVLMDLNRTGYNVFIFRPLHPVIYSTALVLVLCLLSVIVSYIYHHKSVRISKKCWHMLVNLCLHILLTCAVFVGGINQTYNASVCQAMGIVLHYSTLATALWSGVTARNIYKQVTRKAKRYEELDEPPPPPRPMLRFYLIGGGIPIIVCGITAAANIKNYGSQVNAPYCWMAWEPSLGAFYGPAAFIVFVDCMYFLSILIQLRRHPERRFELKEQSEEQQHLSVTEATEITPVHLESSPTAQPVPMSALENEHTFVSQLMGVAGSLTLYAALWVFGALAISQEHPADLVFACLFGALALGLGAFLVAHHCVNRQDMRRHWSQACCLIRRNYAVQVDSLLLPIAGSSGSVMTSRGNGEATKCPASSAESSCTNKSAPSLRNSTQGCKLTNLQVEAAQCKVVAPSTANGTAVLDNSLTEHSVDNEIKMHVAPIEIQYRPSSVNNNNLPGNANITGHPGRHHKNRSRAHRASRLTVLREYSYDVPTSVEGSVQSVPNKRHHHESLHARNSRRAAYLAYRERQQSQLQQDSSDAASTSVPRRSRHFSKGTRIGNGFGHGISNGGLLDGSEADVTNQTKECPKQTLTVELEVQPKSYGLNLACQNGSAKDSERLNVESSGNVKTGLWKHETTV", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNQTASVSHHIKCQPSKTIKELGSNSPPQRNWKGIAIALLVILVVCSLITMSVILLTPDELTNSSETRLSLEELLGKGFGLHNPEARWINDTDVVYKTDNGHVMKLNAETNATTLLLDNSTFVTFKASRHSLSPDLKYVLLAYDVKQIFHYSFTASYLIYNIHTGEVWELNPPEVEDSVLQYAAWGVQGQQLIYIFENNIYYQPDIKSSSLRLTSSGKEGIVFNGIADWLYEEELLHSHIAHWWSPDGERLAFLMINDSLVPNMVIPRFTGALYPKAKQYPYPKAGQANPSVKLYVVNLYGPTHTLELMPPDIFKSREYYITMVKWVSNTRTVVRWLNRPQNISILTVCESTTGACSRKYEMTSDTWISKQNEEPVFSRDGSKFFMTVPVKQGGRGEFHHIAMFLVQSKSEQITVRHLTSGNWEVIRILAYDETTQKIYFLSTEFSPRGRQLYSASTEGLLSRDCISCNFRKEDCTYFDASFSPMNQHFLLFCEGPKVPMVSLHSTDNPSNYYILERNSMMKETIQKKKLAKREIRILHIDDYELPLQLSFPKDFLEKNQYALLLIIDEEPGGQMVTEKFHVDWDSVLIDTDNVIVARFDGRGSGFQGLKVLQEIHRRTGSVEAKDQIAAIKYLLKQPYIDSKRLSIFGKGYGGYIASMILKSDEKFFKCGTVVAPISDMKLYASAFSERYLGMPSKEESTYQASSVLHNIHGLKEENLLIIHGTADTKVHFQHSAELIKHLIKAGVNYTLQVYPDEGYHISDKSKHHFYSTILRFFSDCLKEEVSVLPQEPEEDE", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVLSLTGLIAFSFLQATLALNPEDPNVCSHWESYAVTVQESYAHPFDQIYYTRCTDILNWFKCTRHRISYKTAYRRGLRTMYRRRSQCCPGYYESGDFCIPLCTEECVHGRCVSPDTCHCEPGWGGPDCSSGCDSDHWGPHCSNRCQCQNGALCNPITGACVCAAGFRGWRCEELCAPGTHGKGCQLPCQCRHGASCDPRAGECLCAPGYTGVYCEELCPPGSHGAHCELRCPCQNGGTCHHITGECACPPGWTGAVCAQPCPPGTFGQNCSQDCPCHHGGQCDHVTGQCHCTAGYMGDRCQEECPFGSFGFQCSQHCDCHNGGQCSPTTGACECEPGYKGPRCQERLCPEGLHGPGCTLPCPCDADNTISCHPVTGACTCQPGWSGHHCNESCPVGYYGDGCQLPCTCQNGADCHSITGGCTCAPGFMGEVCAVSCAAGTYGPNCSSICSCNNGGTCSPVDGSCTCKEGWQGLDCTLPCPSGTWGLNCNESCTCANGAACSPIDGSCSCTPGWLGDTCELPCPDGTFGLNCSEHCDCSHADGCDPVTGHCCCLAGWTGIRCDSTCPPGRWGPNCSVSCSCENGGSCSPEDGSCECAPGFRGPLCQRICPPGFYGHGCAQPCPLCVHSSRPCHHISGICECLPGFSGALCNQVCAGGYFGQDCAQLCSCANNGTCSPIDGSCQCFPGWIGKDCSQACPPGFWGPACFHACSCHNGASCSAEDGACHCTPGWTGLFCTQRCPAAFFGKDCGRVCQCQNGASCDHISGKCTCRTGFTGQHCEQRCAPGTFGYGCQQLCECMNNSTCDHVTGTCYCSPGFKGIRCDQAALMMEELNPYTKISPALGAERHSVGAVTGIMLLLFLIVVLLGLFAWHRRRQKEKGRDLAPRVSYTPAMRMTSTDYSLSGACGMDRRQNTYIMDKGFKDYMKESVCSSSTCSLNSSENPYATIKDPPILTCKLPESSYVEMKSPVHMGSPYTDVPSLSTSNKNIYEVEPTVSVVQEGCGHNSSYIQNAYDLPRNSHIPGHYDLLPVRQSPANGPSQDKQS", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAQWWQILLGLWAVLPTLAGDKLLSVCMNSKRHKQEPGPEDELYQECRPWEDNACCTRSTSWEAHLEEPLLFNFSMMHCGLLTPACRKHFIQAICFHECSPNLGPWIQPVVPNGQEEQRVWGVPLCQEDCEDWWRACHSSLTCKSNWLHGWDWSEEKKHCPAHEPCLPFSYHFPTPDDLCEKIWNNTFKASPERRNSGRCLQKWFEPTLSNPNVEVALHFAGSALAPQLSYTLPAFSLCLLFHP", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKMASSLAFLLLNFHVSLFLVQLLTPCSAQFSVLGPSGPILAMVGEDADLPCHLFPTMSAETMELRWVSSSLRQVVNVYADGKEVEDRQSAPYRGRTSILRDGITAGKAALRIHNVTASDSGKYLCYFQDGDFYEKALVELKVAALGSDLHIEVKGYEDGGIHLECRSTGWYPQPQIKWSDTKGENIPAVEAPVVADGVGLYAVAASVIMRGSSGGGVSCIIRNSLLGLEKTASISIADPFFRSAQPWIAALAGTLPISLLLLAGASYFLWRQQKEKIALSRETEREREMKEMGYAATEQEISLREKLQEELKWRKIQYMARGEKSLAYHEWKMALFKPADVILDPDTANAILLVSEDQRSVQRAEEPRDLPDNPERFEWRYCVLGCENFTSGRHYWEVEVGDRKEWHIGVCSKNVERKKGWVKMTPENGYWTMGLTDGNKYRALTEPRTNLKLPEPPRKVGIFLDYETGEISFYNATDGSHIYTFPHASFSEPLYPVFRILTLEPTALTICPIPKEVESSPDPDLVPDHSLETPLTPGLANESGEPQAEVTSLLLPAHPGAEVSPSATTNQNHKLQARTEALY", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLPALLPLLLPALLPGAGGGRCPQRCACTQPALRCPTPPPGARPAPARASFTHLPVKVIPSHAFEGLRDAFIIEISQSDSLERIEASAFDSLPALSEILILNTKNLLHIEDGAFRNLPRLKYLSICNTGIIEFPDLTQIFSSEAHFILELCDNLRMTTIPQNAFQGMSNESLTLKLYKNGFEDIHSHAFNGTKLNQLILKDNKNLRRIHNDALRGATGPDVLDISSTALESLPSYGLEAIQVLNAMSSYSLKRLPPLDKFSSLLEAVLTYPSHCCAFQNLRTEKQNSLLSIFDNFSKQCESTMRKPASEVFYRDASSNTSLWPAEKHMYPLETGEEAFPYSYSTVFYEDEMTGFDFEYDFCQPKILTCTPEPDAFNPCEDILGYSFLRVLIWFINILALAGNFIVLLVLITSHYKLTVPRFLMCNLSFADFCMGLYLLLIASVDAQTSGQYYNHAIDWQTGSGCSTAGFFTVFASELSVYTLTVITIERWHTITYAMQLDRKLRLRHAVPIMLGGWVFSILIAVLPLLGVSSYMKVSICLPMDIETGLSQAYILLILMLNVIAFLVICACYIKIYVAVQNPELVAANKDTKIAKRMAILIFTDFTCMAPISFFAISAAIKVPLITVTNSKILLVLFYPVNSCANPFLYAIFTKAFQRDFFLLMSKLGCCKSRAELYRVNYFSAYTPNCKNGSSAPGPSKASQALLLLSASEKLCKTRRSTKKSQPECQ", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTSLPSSVIKWRFFRRQMPSDVVFSLCLLCFASCLAGKITVLADSDKSVLLRFKKTVSDPGSILASWVEESEDYCSWFGVSCDSSSRVMALNISGSGSSEISRNRFTCGDIGKFPLYGFGVRRDCTGNHGALAGNLPSVIMSLTGLRVLSLPFNSFSGEIPVGIWGMEKLEVLDLEGNLMTGSLPDQFTGLRNLRVMNLGFNRVSGEIPNSLQNLTKLEILNLGGNKLNGTVPGFVGRFRVLHLPLNWLQGSLPKDIGDSCGKLEHLDLSGNFLTGRIPESLGKCAGLRSLLLYMNTLEETIPLEFGSLQKLEVLDVSRNTLSGPLPVELGNCSSLSVLVLSNLYNVYEDINSVRGEADLPPGADLTSMTEDFNFYQGGIPEEITRLPKLKILWVPRATLEGRFPGDWGSCQNLEMVNLGQNFFKGEIPVGLSKCKNLRLLDLSSNRLTGELLKEISVPCMSVFDVGGNSLSGVIPDFLNNTTSHCPPVVYFDRFSIESYSDPSSVYLSFFTEKAQVGTSLIDLGSDGGPAVFHNFADNNFTGTLKSIPLAQERLGKRVSYIFSAGGNRLYGQFPGNLFDNCDELKAVYVNVSFNKLSGRIPQGLNNMCTSLKILDASVNQIFGPIPTSLGDLASLVALNLSWNQLQGQIPGSLGKKMAALTYLSIANNNLTGQIPQSFGQLHSLDVLDLSSNHLSGGIPHDFVNLKNLTVLLLNNNNLSGPIPSGFATFAVFNVSSNNLSGPVPSTNGLTKCSTVSGNPYLRPCHVFSLTTPSSDSRDSTGDSITQDYASSPVENAPSQSPGKGGFNSLEIASIASASAIVSVLIALVILFFYTRKWHPKSKIMATTKREVTMFMDIGVPITFDNVVRATGNFNASNLIGNGGFGATYKAEISQDVVVAIKRLSIGRFQGVQQFHAEIKTLGRLRHPNLVTLIGYHASETEMFLVYNYLPGGNLEKFIQERSTRDWRVLHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDDDCNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFVSYGNGFNIVQWACMLLRQGRAKEFFTAGLWDAGPHDDLVEVLHLAVVCTVDSLSTRPTMKQVVRRLKQLQPPSC", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKPPSLPLLLLLLHLSATISAAPSLSPTPSPTTSPIPPHKPSSSSSPLDPKQLKALESLNIPTVKDPCNHRPTTKSTSSSVVTCDTSSPFRLVTSISFTNCSTDLSISTTALRALSPSLTSLSFLNCPSLSPPPRLPDSLHSFTAVSSFLRRRNGLSGVFLARLVNLTDLTVSSVPVSTSGLFVILGNMHEIVSLTISHANLSGNIPKSFHSNLTFIDLSDNLLKGSIPTSITLLSNLKSLNLSKNTISGDIPDSIGDLISLKNLSLSSNKLSGPIPDSISSIPELTHLDLSGNQLNGTIPRFISKMKYLTHLNLANNAFHGVLPFNASFIKNLEVFKIGGNSDLCYNHSVLSSKMKLGIAQCDKHGLPLSPPPQKEDSNSDYDYGNEDDTSEKKKEEHHGPNKVVLGVAIGLSSLVFLIIFMILLAKWCG", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVDSTEYEVASQPEVETSPLGDGASPGPEQVKLKKEISLLNGVCLIVGNMIGSGIFVSPKGVLIYSASFGLSLVIWAVGGLFSVFGALCYAELGTTIKKSGASYAYILEAFGGFLAFIRLWTSLLIIEPTSQAIIAITFANYMVQPLFPSCFAPYAASRLLAAACICLLTFINCAYVKWGTLVQDIFTYAKVLALIAVIVAGIVRLGQGASTHFENSFEGSSFAVGDIALALYSALFSYSGWDTLNYVTEEIKNPERNLPLSIGISMPIVTIIYILTNVAYYTVLDMRDILASDAVAVTFADQIFGIFNWIIPLSVALSCFGGLNASIVAASRLFFVGSREGHLPDAICMIHVERFTPVPSLLFNGIMALIYLCVEDIFQLINYYSFSYWFFVGLSIVGQLYLRWKEPDRPRPLKLSVFFPIVFCLCTIFLVAVPLYSDTINSLIGIAIALSGLPFYFLIIRVPEHKRPLYLRRIVGSATRYLQVLCMSVAAEMDLEDGGEMPKQRDPKSN", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSSPNTWSIGSSVYSPVFSQKMTLWILLLLSLYPGFTSQKSDDDYEDYASNKTWVLTPKVPEGDVTVILNNLLEGYDNKLRPDIGVKPTLIHTDMYVNSIGPVNAINMEYTIDIFFAQTWYDRRLKFNSTIKVLRLNSNMVGKIWIPDTFFRNSKKADAHWITTPNRMLRIWNDGRVLYTLRLTIDAECQLQLHNFPMDEHSCPLEFSSYGYPREEIVYQWKRSSVEVGDTRSWRLYQFSFVGLRNTTEVVKTTSGDYVVMSVYFDLSRRMGYFTIQTYIPCTLIVVLSWVSFWINKDAVPARTSLGITTVLTMTTLSTIARKSLPKVSYVTAMDLFVSVCFIFVFSALVEYGTLHYFVSNRKPSKDKDKKKKNPLLRMFSFKAPTIDIRPRSATIQMNNATHLQERDEEYGYECLDGKDCASFFCCFEDCRTGAWRHGRIHIRIAKMDSYARIFFPTAFCLFNLVYWVSYLYL", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MELRKYGPGRLAGTVIGGAAQSKSQTKSDSITKEFLPGLYTAPSSPFPPSQVSDHQVLNDAEVAALLENFSSSYDYGENESDSCCTSPPCPQDFSLNFDRAFLPALYSLLFLLGLLGNGAVAAVLLSRRTALSSTDTFLLHLAVADTLLVLTLPLWAVDAAVQWVFGSGLCKVAGALFNINFYAGALLLACISFDRYLNIVHATQLYRRGPPARVTLTCLAVWGLCLLFALPDFIFLSAHHDERLNATHCQYNFPQVGRTALRVLQLVAGFLLPLLVMAYCYAHILAVLLVSRGQRRLRAMRLVVVVVVAFALCWTPYHLVVLVDILMDLGALARNCGRESRVDVAKSVTSGLGYMHCCLNPLLYAFVGVKFRERMWMLLLRLGCPNQRGLQRQPSSSRRDSSWSETSEASYSGL", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MHPDLGPLWKLLYVLVILCSSVSSDLATYFISEPLSAVQKLGRPVVLHCSAKPVTARISWLHNGKRLDRNTEQIKIHRGTLTILSLNPSLSGCYQCVANNSVGAVVSGPATVSADALADFDSSTMHVITAEKKNTGFIGCRVPESNPKAEVRYKIRGKWLMYSTGNYIILPSGNLQILNVSSKDKGSYKCAAYNPVTSELKVEPAGRKLLVSRPSSDGFHILHPALSQALAVLPHSPVTLECVVSGVPASQVYWLKDGQDCLSGSNWRRLYSHLATASIDPADSGNYSCVVGNNSSGDVKHVTYTVNVLEHASISKGLHDQKVSLGATVRFTCEVHGNPAPNRTWFHNAQPIRPSSRHLTEGSVLKITGVIMEDSGLYQCMADNGIGFMQSTGRLQIEQDSGQRPVIVTAPANVEVTDGDFVTLSCNATGEPVPVIHWYGRHGLITSHPSQVLRSKSRKSHLFRPGDLDPEPVYLIMSQAGSSSLSIQAVTREHAGKYTCEAVNKHGSTQSEAFLTVVPFETNTKAEPVTPSEASQNDERDPRDGSESGLLNLFPVKVHSGGVELPAEKNASVPDAPNILSPPQTHMPDTYTLVWRTGRDGGMPINAYFVKYRKLDDGSGAVGSWHTVRVPGSESELHLTELEPSSLYEVLMVARSAVGEGQPAMLTFRTSKEKMASSKNTQASFPPVGIPKRPVTSEASNSNFGVVLTDSSRHSGVPEAPDRPTISMASETSVYVTWIPRANGGSPITAFKVEYKRMKSSDWLVAAEDIPPSKLSVEVRSLEPGSIYKFRVIVINHYGESFRSSASRPYQVAGFPNRFSNRPITGPHIAYTEAVSDTQIMLKWTYIPSSNNNTPIQGFYIYYRPTDSDNDSDYKRDVVEGSKQWHTIGHLQPETSYDIKMQCFNEGGESEFSNVMICETKVKRVPGASEYPMKELSTPPSSSGNGGNVGPATSPARSSDMLYLIVGCVLGVMVLILLVFIALCLWKSRQQSAIQKYDPPGYLYQGSEINGQMVEYTTLSGTARINGSVHGGFLSKGSLSNGCSHLHHKGPNGVNGILNGTINGGLYSAHTSSLTRTCVEFEHPHHLVNGGAVYTAVPQMDPLECINCRNCRNNNRCFTKTNSPLPVVPVVASYPQDGLEMKPLGVMKFPVCPVSTVPDGGQIPEECLKDSVAPAPTQRTCRQDNTSDINSDSTEDTAEFNRGDSSGHSEAEDKVFSWSPLILSPVLEDCSEKTAWSPPGPPLDGLSVVLQQAQET", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAGGAFIDESGHGGDYEGRVTAFVMITCIVAAMGGLLFGYDIGISGGVISMEDFLTKFFPDVLRQMQNKRGRETEYCKYDNELLTLFTSSLYLAALFASFLASTITRLFGRKVSMVIGSLAFLSGALLNGLAINLEMLIIGRLFLGVGVGFANQSVPLYLSEMAPAKIRGALNIGFQLAITIGILAANIVNYVTPKLQNGIGWRLSLGLAGVPAVMMLVGCFFLPDTPNSILERGNKEKAKEMLQKIRGTMEVEHEFNELCNACEAAKKVKHPWTNIMQARYRPQLTFCTFIPFFQQLTGINVIMFYAPVLFKTIGFGNDASLISAVITGLVNVLSTIVSIYSVDKFGRRALFLQGGFQMIVTQIAVGSMIGWKFGFNGEGNLSGVDADIILALICLYVAGFAWSWGPLGWLVPSEICPLEIRSAGQSLNVSVNMFFTFFIGQFFLTMLCHMKFGLFYFFAGMVLIMTIFIYFLLPETKGVPIEEMGKVWKEHRYWGKYSNNDDGDDVDDDAYF", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MMWESESDGGVGVGGGGGREYGDGVLSSNKHGGVKTDGFELRGQSWFVATDIPSDLLVKIGDMNFHLHKYPLLSRSGKMNRLIYESRDPDPTILILDDLPGGPEAFELASKFCYGVPVDLTATNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENLQIVRRCSESIAWKACSNPKGIRWAYTGKAPSPSTTNFAGSSPRWNESKDSSFYCSPSRNTNSQPVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELLGAVIMHYAGKWLPGLIKEGGVAIAPAMSSAIGGGLGLGGDEMSISCGSNSSGGSSGPDWKGGLHMVLSAGKTNGHQDSVACLAGLGISPKDQRMIVESLISIIPPQKDSVTCSFLLRLLRAANMLKVAPALITELEKRVGMQFEQATLQDLLIPGYNNKGETMYDVDLVQRLLEHFLVQEQTEGSSPSRMSPSPSQSMYADIPRGNNNNGGGGGGNNQNAKMRVARLVDSYLTEVARDRNLPLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSMDACMHAAQNERLPLRVVVQVLFSEQVKISNALANTSLKESTTLGEAMGTYQPMIPNRKTLIEATPQSFQEGWAAAKKDINTLKFELETVKTKYVELQNEMEVMQRQFEKTGKVKNTPSSSAWTSGWKKLSKLTKMSGQESHDISSGGEQAGVDHPPPRKPRRWRNSIS", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSLLRRPWVLVVGLLLIMSYVGAECEEEEKEYPSRYPIAYFEWENVKIPMTICLWLIGASIAKIIFNLIPHLNELFPDSALLIMIGLIIGIIFKLIGVNKNAFFLESEVFMLYLLPPLVFDAGYFMPARQFFDNFGSILCFAMIGTSFNIVAIALSLWAISLTGLFSVETPLMHMLLFGSVAADVDPVAVIVIFEELKVNEVLFIAVFGESLLNDGVAVVLYRMFLTFSEIGTENLITSDYINGGVSFLVVAFGGIGIGLLFAFLTSLVTRFARDEEVKVLNSVFILILPYTCYLCGELFGLSSIMAIVFCGAAMRQYCRENVDPDTVKATESFIKVLSLASETVIFVFLGLSTVSSNHHWDTSFIVLTVVFCLIYRTLGVVVMCYFLNKYRLNKYTKVDQFIMAYGGLRGAIAYGLVVAIPDFIPGKNMFVTSCIIVIYFTVFLQGITLKPIAEFLQVEKKNVHSKNMIEHIYSELIDTTMAGMEDIAGFKGHHWIRDSWNALNNNYLRPILVNKNNMKEMDKTKLVRKYKHLVDEDAKKIARGDLNSNMVFTKALIEHTRSRTNTMIDGVSSTSKIDFTKHMKENFGVTVYDDHSTVPMTPTHLFQETTEVEYSVRSEINDNDGFENDGYESDESGSFHERV", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGVGGTSASDTALSLCPTAPEWPPRNGSSGRAWGGPLQSGAPINSTDPLGPQLEPPGGGPATADPTVGCMGCSGEGAASSVPPVPDAAQDPRLGVTGPTDGDGGVVALGSPEEVGSGEQPTRAGVGPTEGLTPRPPGLPSPGLGLSSPGPNLGLPSLDLPNPNLGLPDPNLGLPNPSLGLPSPGPTPDRPIPNPNPSLDLPDPGLAIQTPNLGLSNPNIPLPSPSPGPGTEPDLLPVAEDSEVSMELPQPSSSPAPAQRARGRTDRTWLGAPEPISAAPGTAEPPEIIDVDYYDVFDGGHGPGGGHGAGGAAQREPGGAATPWGLHELYDDFTPFDEADFYPTTSFYAEGDDDAEEELEEDEEEEEEEDGGLEDENGYRPPASAAPRVPPPPSPTEGTPMARPRPGERAVPENSSECRSGYVRHNSSCRSVCDLVPSYCHNGGQCYLVESHGAFCRCNTQDYTWHKGTRCEAIVTDFQVLCVAVGSAALVLLLLFMLTVFFAKKLYLLKTENSKLRKTKYRTPSELHNDNFSLSTIAEGSHPNDDPGAPHKLQDPLKPGLKDEEPLSILSTAPEEGSKGEPGGCGVPCLHNNLG", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MATVVMEQIGRLFINAQQLRQIPQLLESAFPTLPCTVKVSDVPWVFRERHILTGYRQPDQSWRYYFLTLFQRHNETLNVWTHLLAAFIILVKWQEISETVDFLRDPHAQPLFIVLLAAFTYLSFSALAHLLSAKSELSYYTFYFLDYVGVAVYQYGSALAHYYYAIEKEWHTKVQGLFLPAAAFLAWLTCFGCCYGKYASPELPKVANKLFQVVPSALAYCLDISPVVHRIYSCYQEGCSDPVVAYHFYHVVFFLIGAYFFCCPHPESLFPGKCDFIGQGHQLFHVFVVVCTLTQVEALRTDFTERRPFYERLHGDLAHDAVALFIFTACCSALTAFYVRQRVRASLHEKGE", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MELVPSARAELQSSPLVNLSDAFPSAFPSAGANASGSPGARSASSLALAIAITALYSAVCAVGLLGNVLVMFGIVRYTKLKTATNIYIFNLALADALATSTLPFQSAKYLMETWPFGELLCKAVLSIDYYNMFTSIFTLTMMSVDRYIAVCHPVKALDFRTPAKAKLINICIWVLASGVGVPIMVMAVTQPRDGAVVCMLQFPSPSWYWDTVTKICVFLFAFVVPILIITVCYGLMLLRLRSVRLLSGSKEKDRSLRRITRMVLVVVGAFVVCWAPIHIFVIVWTLVDINRRDPLVVAALHLCIALGYANSSLNPVLYAFLDENFKRCFRQLCRTPCGRQEPGSLRRPRQATTRERVTACTPSDGPGGGAAA", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRELVNIPLLQMLTLVAFSGTEKLPKAPVITTPLETVDALVEEVATFMCAVESYPQPEISWTRNKILIKLFDTRYSIRENGQLLTILSVEDSDDGIYCCIANNGVGGAVESCGALQVKMKPKITRPPINVKIIEGLKAVLPCTTMGNPKPSVSWIKGDNALRENSRIAVLESGSLRIHNVQKEDAGQYRCVAKNSLGTAYSKLVKLEVEVFARILRAPESHNVTFGSFVTLRCTAIGIPVPTISWIENGNAVSSGSIQESVKDRVIDSRLQLFITKPGLYTCIATNKHGEKFSTAKAAATVSIAEWSKSQKDSQGYCAQYRGEVCDAVLAKDALVFFNTSYRDPEDAQELLIHTAWNELKAVSPLCRPAAEALLCNHLFQECSPGVVPTPMPICREYCLAVKELFCAKEWQAMEGKAHRGLYRSGMHLLPVPECSKLPSMHRDPTACTRLPYLDYKKENITTFPSITSSRPSADIPNLPASTSSFAVSPAYSMTVIISIVSSFALFALLTIATLYCCRRRKEWKNKKRESTAVTLTTLPSELLLDRLHPNPMYQRMPLLLNPKLLSLEYPRNNIEYVRDIGEGAFGRVFQARAPGLLPYEPFTMVAVKMLKEEASADMQADFQREAALMAEFDNPNIVKLLGVCAVGKPMCLLFEYMAYGDLNEFLRSMSPHTVCSLSHSDLSTRARVSSPGPPPLSCAEQLCIARQVAAGMAYLSERKFVHRDLATRNCLVGETMVVKIADFGLSRNIYSADYYKADGNDAIPIRWMPPESIFYNRYTTESDVWAYGVVLWEIFSYGLQPYYGMAHEEVIYYVRDGNILACPENCPLELYNLMRLCWSKLPADRPSFCSIHRILQRMCERAEGTVGV", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASSPESAPPTNSTSSPSPPSNTNSTTSSPPAPSPPSPTPPQGDSSSSPPPDSTSPPAPQAPNPPNSSNNSPSPPSQGGGGERGNGGNNGGNDTPPSRGSPPSPPSRSNGDNGGSRSSPPGDTGGSRSDNPPSSGGSSGGGGGGRSNTNTAIIVGVLVGAGLLMIVLIIVCLRRKKKRKDSFYPEPMKGNQYQYYGNNNNNNASQNYPNWHLNSQGQNQQSTGGWGGGGPSPPPPPRMPTSGEDSSMYSGPSRPVLPPPSPALALGFNKSTFTYQELAAATGGFTDANLLGQGGFGYVHKGVLPSGKEVAVKSLKAGSGQGEREFQAEVDIISRVHHRYLVSLVGYCIADGQRMLVYEFVPNKTLEYHLHGKNLPVMEFSTRLRIALGAAKGLAYLHEDCHPRIIHRDIKSANILLDFNFDAMVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITGKRPVDNSITMDDTLVDWARPLMARALEDGNFNELADARLEGNYNPQEMARMVTCAAASIRHSGRKRPKMSQIVRALEGEVSLDALNEGVKPGHSNVYGSLGASSDYSQTSYNADMKKFRQIALSSQEFPVSDCEGTSSNDSRDMGTKSPTPPK", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLRLWRYLCLLLTVWFLCNFGPVYVVRAQNRTGATTHPDEALALNSIFAAWRIRAPREWNISGELCSGAAIDASVLDSNPAYNPLIKCDCSFENSTICRITNIKVYAMEVVGSIPQQLWTLEYLTNLNLGQNVLTGSLPPALGNLTRMRWMTFGINALSGPIPKEIGLLTDLRLLSISSNNFSGSIPDEIGRCTKLQQIYIDSSGLSGGLPVSFANLVELEQAWIADMELTGQIPDFIGDWTKLTTLRILGTGLSGPIPASFSNLTSLTELRLGDISNGNSSLEFIKDMKSLSILVLRNNNLTGTIPSNIGEYSSLRQLDLSFNKLHGTIPASLFNLRQLTHLFLGNNTLNGSLPTQKGQSLSNVDVSYNDLSGSLPSWVSLPNLNLNLVANNFTLEGLDNRVLSGLNCLQKNFPCNRGKGIYSDFSINCGGPEIRSVTEAVFEREDEDLGPASFVVSAGQRWAASSVGLFAGSSNNIYISTSQSQFVNTLDSELFQSARLSASSLRYYGLGLENGGYTVTLQFAEIQILGSTSNTWRGLGRRRFDIYVQGRLVEKDFDVRRTAGDSTVRAVQREYKANVSQNHLEIHLFWAGKGTCCIPIQGAYGPLISAVGATPDFTPTVGNRPPSKGKSMTGTIVGVIVGVGLLSIISGVVIFIIRKRRKRYTDDEEILSMDVKPYTFTYSELKSATQDFDPSNKLGEGGFGPVYKGKLNDGREVAVKLLSVGSRQGKGQFVAEIVAISAVQHRNLVKLYGCCYEGEHRLLVYEYLPNGSLDQALFGEKTLHLDWSTRYEICLGVARGLVYLHEEARLRIVHRDVKASNILLDSKLVPKVSDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKTDVYAFGVVALELVSGRPNSDENLEDEKRYLLEWAWNLHEKGREVELIDHQLTEFNMEEGKRMIGIALLCTQTSHALRPPMSRVVAMLSGDVEVSDVTSKPGYLTDWRFDDTTASSISGFPLRNTQASESFTSFVAPRSEISPRNNDARPMLGAQMNEGR", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVEGGIAKADKTEFTECWRTTWKTPYIMRLALSAGIGGLLFGYDTGVISGALLFIKEDFDEVDKKTWLQSTIVSMAVAGAIVGAAVGGWINDKFGRRMSILIADVLFLIGAIVMAFAPAPWVIIVGRIFVGFGVGMASMTSPLYISEASPARIRGALVSTNGLLITGGQFFSYLINLAFVHTPGTWRWMLGVAGVPAIVQFVLMLSLPESPRWLYRKDRIAESRAILERIYPADEVEAEMEALKLSVEAEKADEAIIGDSFSAKLKGAFGNPVVRRGLAAGITVQVAQQFVGINTVMYYSPSIVQFAGYASNKTAMALSLITSGLNALGSIVSMMFVDRYGRRKLMIISMFGIIACLIILATVFSQAAIHAPKIDAFESRTFAPNATCSAYAPLAAENAPPSRWNCMKCLRSECGFCASGVQPYAPGACVVLSDDMKATCSSRGRTFFKDGCPSKFGFLAIVFLGLYIVVYAPGMGTVPWIVNSEIYPLRYRGLGGGIAAVSNWVSNLIVSESFLSLTHALGSSGTFLLFAGFSTIGLFFIWLLVPETKGLQFEEVEKLLEVGFKPSLLRRREKKGKEVDAA", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEGVRFVVWRLGFLVFVWFFDISSATLSPTGVNYEVTALVAVKNELNDPYKVLENWDVNSVDPCSWRMVSCTDGYVSSLDLPSQSLSGTLSPRIGNLTYLQSVVLQNNAITGPIPETIGRLEKLQSLDLSNNSFTGEIPASLGELKNLNYLRLNNNSLIGTCPESLSKIEGLTLVDISYNNLSGSLPKVSARTFKVIGNALICGPKAVSNCSAVPEPLTLPQDGPDESGTRTNGHHVALAFAASFSAAFFVFFTSGMFLWWRYRRNKQIFFDVNEQYDPEVSLGHLKRYTFKELRSATNHFNSKNILGRGGYGIVYKGHLNDGTLVAVKRLKDCNIAGGEVQFQTEVETISLALHRNLLRLRGFCSSNQERILVYPYMPNGSVASRLKDNIRGEPALDWSRRKKIAVGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRSAHQKGVMLDWVKKLHQEGKLKQLIDKDLNDKFDRVELEEIVQVALLCTQFNPSHRPKMSEVMKMLEGDGLAERWEATQNGTGEHQPPPLPPGMVSSSPRVRYYSDYIQESSLVVEAIELSGPR", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MWLFLGIAGLLTAVLSGLPSPAPSGQHKNGTIPNMTLDLEERLGIRLVNGSSRCSGSVKVLLESWEPVCAAHWNRAATEAVCKALNCGDSGKVTYLMPPTSELPPGATSGNTSSAGNTTWARAPTERCRGANWQFCKVQDQECSSDRRLVWVTCAENQAVRLVDGSSRCAGRVEMLEHGEWGTVCDDTWDLQDAHVVCKQLKCGWAVKALAGLHFTPGQGPIHRDQVNCSGTEAYLWDCPGRPGDQYCGHKEDAGVVCSEHQSWRLTGGIDSCEGQVEVYFRGVWSTVCDSEWYPSEAKVLCRSLGCGSAVARPRGLPHSLDGRMYYSCKGQEPALSTCSWRFNNSNLCSQSRAARVVCSGSQRHLNLSTSEVPSRVPVTIESSVPVSVKDKDSQGLTLLILCIVLGILLLVSTIFIVILLLRAKGQYALPASVNHQQLSTANQAGINNYHPVPITIAKEAPMLFIQPRVPADSDSSSDSDYEHYDFSSQPPVALTTFYNSQRHRVTEEEAQQNRFQMPPLEEGLEELHVSHIPAADPRPCVADVPSRGSQYHVRNNSDSSTSSEEGYCNDPSSKPPPWNSQAFYSEKSPLTEQPPNLELAGSPAVFSGPSADDSSSTSSGEWYQNFQPPPQHPPAEQFECPGPPGPQTDSIDDDEEDYDDIGAA", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGGFLPKAEGPGSQLQKLLPSFLVREQDWDQHLDKLHMLQQKRILESPLLRASKENDLSVLRQLLLDCTCDVRQRGALGETALHIAALYDNLEAALVLMEAAPELVFEPTTCEAFAGQTALHIAVVNQNVNLVRALLTRRASVSARATGTAFRRSPRNLIYFGEHPLSFAACVNSEEIVRLLIEHGADIRAQDSLGNTVLHILILQPNKTFACQMYNLLLSYDGHGDHLQPLDLVPNHQGLTPFKLAGVEGNTVMFQHLMQKRRHIQWTYGPLTSILYDLTEIDSWGEELSFLELVVSSDKREARQILEQTPVKELVSFKWNKYGRPYFCILAALYLLYMICFTTCCVYRPLKFRGGNRTHSRDITILQQKLLQEAYETREDIIRLVGELVSIVGAVIILLLEIPDIFRVGASRYFGKTILGGPFHVIIITYASLVLVTMVMRLTNTNGEVVPMSFALVLGWCSVMYFTRGFQMLGPFTIMIQKMIFGDLMRFCWLMAVVILGFASAFYIIFQTEDPTSLGQFYDYPMALFTTFELFLTVIDAPANYDVDLPFMFSIVNFAFAIIATLLMLNLFIAMMGDTHWRVAQERDELWRAQVVATTVMLERKLPRCLWPRSGICGCEFGLGDRWFLRVENHNDQNPLRVLRYVEVFKNSDKEDDQEHPSEKQPSGAESGTLARASLALPTSSLSRTASQSSSHRGWEILRQNTLGHLNLGLNLSEGDGEEVYHF", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGQCLAVHRRIMASQEFPNIPQPESQCHDAFIRYSPGRYERRAARSQVDYYLLPQAPRLMTEDLDKKEFQRLYDARCELVECPAGRRAMQLDVQIYADRYEATLNKILETSQELDKGLEDIFQAYTKDDPLADKVMNCRLTVDEMGRVYEHFFRESAWNLDSFVEYRKNLARDAYLLLADLKIAIQRLGMHFNPNFEDAQVKVDFQIMQAEQIIFHFKRTYRDALKHNPDSITEFVEDELEDPGTPL", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MMGICEMKSCCSWLLLISLLCSLSNESQAISPDGEALLSFRNAVTRSDSFIHQWRPEDPDPCNWNGVTCDAKTKRVITLNLTYHKIMGPLPPDIGKLDHLRLLMLHNNALYGAIPTALGNCTALEEIHLQSNYFTGPIPAEMGDLPGLQKLDMSSNTLSGPIPASLGQLKKLSNFNVSNNFLVGQIPSDGVLSGFSKNSFIGNLNLCGKHVDVVCQDDSGNPSSHSQSGQNQKKNSGKLLISASATVGALLLVALMCFWGCFLYKKLGKVEIKSLAKDVGGGASIVMFHGDLPYSSKDIIKKLEMLNEEHIIGCGGFGTVYKLAMDDGKVFALKRILKLNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLLYDYLPGGSLDEALHERGEQLDWDSRVNIIIGAAKGLSYLHHDCSPRIIHRDIKSSNILLDGNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDASFIEKGLNVVGWLKFLISEKRPRDIVDPNCEGMQMESLDALLSIATQCVSPSPEERPTMHRVVQLLESEVMTPCPSEFYDSSSD", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEGTGEMREVGRETLHGGVVQSVSETDEYKEKTIDSEKDGQFRVQPRWRKFLAHVGPGALVAIGFLDPSNLETDMQAGADFKYELLWVILVGMVFALLIQTLAANLGVKTGRHLAELCREEYPHYVNIFLWIIAELAVISDDIPEVLGTAFAFNILLKIPVWAGVILTVFSTLLLLGVQRFGARKLEFIIAAFMFTMAACFFGELSYLRPSAGEVVKGMFVPSLQGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSDKSIRAACRYFLIECSLAFIVAFLINVSVVVVAGSICNANNLSPADANTCGDLTLQSTPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMKMKNWVRNLITRVIAIAPSLIVSIVSGPSGAGKLIILSSMILSFELPFALIPLLKFCNSSKKVGPLKESIYTVVIAWILSFALIVVNTYFLVWTYVDWLVHNNLPKYANGLISVVVFALMAAYLVAVVYLTFRKDTVATYVPVPERAQAQVEAGGTPVVDASAADEDQPAPYRKDLADASM", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEQEGQEKKKEGRLTLVLALRTLIAAFGSSFQYAYNVSVCNSPSELMTEFYNDTYYDRTGELIDEFPLTLLWSVTVSMFPSGGFAGSLLVGPLVNKFGRKGALLFNNIFSIVPAILMGCSKVARSFELIIISRLLVGICAGVSSNVVPMYLGELAPKNLRGALGVESQLFITLGILVAQIFGLRSIRQQKGWPILLGLTGGPAAAACPPFFPESPRYLLIGQEPRCRQKALQSLRGWDSVDRELEEIRREDEAARAAGLVSVRALCAMRGLAWQLISVVPLMWQQLSGVNAIYYYDQIYLSPLDTDTQYYTAATGAVNVLMTVCTVFVVESWARLLLLLGFSPLAPTCCVLTAALALQDTVSWMPYISIVCIIVYVIGHAIGPAIRSLYTEIFLQSGRPPTWWGQVHWLSNFTVGLVFPLIQWAGLYSFIIFGVACLSTTVYTFLIVPETKGKSFIEIIRRFIRMNKVEVSPDREELKDFPPDVSE", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MERKPLELESTDNHQNPSSAVYGGSVTAVDSVEEDVQNQKKVVYRGWKVMPFIIGNETFEKLGIIGTLSNLLVYLTAVFNLKSITAATIINAFSGTINFGTFVAAFLCDTYFGRYKTLSVAVIACFLGSFVILLTAAVPQLHPAACGTAADSICNGPSGGQIAFLLMGLGFLVVGAGGIRPCNLAFGADQFNPKSESGKRGIDSFFNWYFFTFTFAQILSLTLVVYVQSNVSWTIGLTIPAVLMFLACLIFFAGDKLYVKIKASGSPLAGIAQVIAVAIKKRGLKPAKQPWLNLYNYYPPKYANSKLKYTDQFRFLDKAAILTPEDKLQPDGKPADPWKLCTMQQVEEVKCIVRVLPIWFASSIYYLTITQQMTYPVFQALQSDRRLGSGGFVIPAATYVVFLMTGMTVFIVVYDRVLVPTMRRITGLDTGITLLQRIGTGIFFATASLVVAGFVEERRRTFALTKPTLGMAPRKGEISSMSAMWLIPQLSLAGVAEAFAAIGQMEFYYKQFPENMRSFAGSIFYVGGGVSSYLGSFLIATVHRTTQNSSGGNWLAEDLNKGRLDLFYFMIAGILAVNFAYFLVMSRWYRYKGSDDEVTTYETNENIIKQQDKNVA", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MIEPFGNQYIVARPVYSTNAFEENHKKTGRHHKTFLDHLKVCCSCSPQKAKRIVLSLFPIASWLPAYRLKEWLLSDIVSGISTGIVAVLQGLAFALLVDIPPVYGLYASFFPAIIYLFFGTSRHISVGPFPILSMMVGLAVSGAVSKAVPDRNATTLGLPNNSNNSSLLDDERVRVAAAASVTVLSGIIQLAFGILRIGFVVIYLSESLISGFTTAAAVHVLVSQLKFIFQLTVPSHTDPVSIFKVLYSVFSQIEKTNIADLVTALIVLLVVSIVKEINQRFKDKLPVPIPIEFIMTVIAAGVSYGCDFKNRFKVAVVGDMNPGFQPPITPDVETFQNTVGDCFGIAMVAFAVAFSVASVYSLKYDYPLDGNQELIALGLGNIVCGVFRGFAGSTALSRSAVQESTGGKTQIAGLIGAIIVLIVVLAIGFLLAPLQKSVLAALALGNLKGMLMQFAEIGRLWRKDKYDCLIWIMTFIFTIVLGLGLGLAASVAFQLLTIVFRTQFPKCSTLANIGRTNIYKNKKDYYDMYEPEGVKIFRCPSPIYFANIGFFRRKLIDAVGFSPLRILRKRNKALRKIRKLQKQGLLQVTPKGFICTVDTIKDSDEELDNNQIEVLDQPINTTDLPFHIDWNDDLPLNIEVPKISLHSLILDFSAVSFLDVSSVRGLKSILQEFIRIKVDVYIVGTDDDFIEKLNRYEFFDGEVKSSIFFLTIHDAVLHILMKKDYSTSKFNPSQEKDGKIDFTINTNGGLRNRVYEVPVETKF", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTTPSSFSILLLLLLMPVVTNGEYSMQSEQEILNALLKNYDMRVRPPPANSSTEGAVNVRVNIMIRMLSKIDVVNMEYSIQLTFREQWIDPRLAYENLGFYNPPAFLTVPHVKKSLWIPDTFFPTEKAAHRHLIDMENMFLRIYPDGKILYSSRISLTSSCPMRLQLYPLDYQSCNFDLVSYAHTMNDIMYEWDPSTPVQLKPGVGSDLPNFILKNYTTNADCTSHTNTGSYGCLRMQLLFKRQFSYYLVQLYAPTTMIVIVSWVSFWIDLHSTAGRVALGVTTLLTMTTMQSAINAKLPPVSYVKVVDVWLGACQTFVFGALLEYAFVSYQDSVRQNDRSREKAARKAQRRREKLEMVDAEVYQPPCTCHTFEARETFRDKVRRYFTKPDYLPAKIDFYARFVVPLAFLAFNVIYWVSCLIMSANASTPESLV", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSPLNQSAEGLPQEASNRSLNATETSEAWDPRTLQALKISLAVVLSVITLATVLSNAFVLTTILLTRKLHTPANYLIGSLATTDLLVSILVMPISIAYTITHTWNFGQILCDIWLSSDITCCTASILHLCVIALDRYWAITDALEYSKRRTAGHAATMIAIVWAISICISIPPLFWRQAKAQEEMSDCLVNTSQISYTIYSTCGAFYIPSVLLIILYGRIYRAARNRILNPPSLYGKRFTTAHLITGSAGSSLCSLNSSLHEGHSHSAGSPLFFNHVKIKLADSALERKRISAARERKATKILGIILGAFIICWLPFFVVSLVLPICRDSCWIHPALFDFFTWLGYLNSLINPIIYTVFNEEFRQAFQKIVPFRKAS", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNWSHSCISFCWIYFAASRLRAAETADGKYAQKLFNDLFEDYSNALRPVEDTDKVLNVTLQITLSQIKDMDERNQILTAYLWIRQIWHDAYLTWDRDQYDGLDSIRIPSDLVWRPDIVLYNKADDESSEPVNTNVVLRYDGLITWDAPAITKSSCVVDVTYFPFDNQQCNLTFGSWTYNGNQVDIFNALDSGDLSDFIEDVEWEVHGMPAVKNVISYGCCSEPYPDVTFTLLLKRRSSFYIVNLLIPCVLISFLAPLSFYLPAASGEKVSLGVTILLAMTVFQLMVAEIMPASENVPLIGKYYIATMALITASTALTIMVMNIHFCGAEARPVPHWARVVILKYMSRVLFVYDVGESCLSPHHSRERDHLTKVYSKLPESNLKAARNKDLSRKKDMNKRLKNDLGCQGKNPQEAESYCAQYKVLTRNIEYIAKCLKDHKATNSKGSEWKKVAKVIDRFFMWIFFIMVFVMTILIIARAD", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLQAQKQSDPILPWGASWAGRGQTLRARSTDSLDGPGEGSVQPVPTTGGPGTKGKPGKRLSAPRGPFPRLADCAHFHYENVDFGHIQLLLSPEREGPSLSGENELVFGVQVTCQGRSWPVLRSYDDFRSLDAHLHRCIFDRRFSCLPELPPPPEGTRAAQMLVPLLLQYLETLSGLVDSNLNCGPVLTWMELDNHGRRLLLSEEASLNIPAVAAAHVVKRYTAQAPDELSFEVGDIVSVIDMPPTEDRSWWRGKRGFQVGFFPSECVELFTERPGPGLKADADSPLCGIPAPQGISSLTSAVPRPRGKLAGLLRTFMRSRPSRQRLRQRGILRQRVFGCDLGEHLSNSGQDVPQVLRCCSEFIEAHGVVDGIYRLSGVSSNIQRLRHEFDSERIPELSGPAFLQDIHSVSSLCKLYFRELPNPLLTYQLYGKFSEAMSVPGEEERLVRVHDVIQQLPPPHYRTLEYLLRHLARMARHSANTSMHARNLAIVWAPNLLRSMELESVGLGGAAAFREVRVQSVVVEFLLTHVEVLFSDTFTSAGLDPAGRCLLPRPKSLAGSSPSTRLLTLEEAQARTQGRLGTPTEPTTPKTPASPVERRKRERAEKQRKPGGSSWKTFFALGRGPSIPRKKPLPWLGGSRAPPQPSGSRPDTVTLRSAKSEESLSSQASGAGLQRLHRLRRPHSSSDAFPVGPAPAGSCESLSSSSSSSSSSSSSSSSESSAGGLGPLSGSPSHRTSAWLDDGDDLDFSPPRCLEGLRGLDFDPLTFRCSSPTPGDPAPPASPAPPASASAFPPRATPQALSPHGPTKPASPTALDISEPLAVSVPPAVLELLGAGGTPASATPTPALSPHLIPLLLRGAEAQLSDTCQQEISSKLAPTRGAPGQQSPGGMDSPLLPPPLPLLRPGGAPPPPPKNPARLMALALAERAQQVAEQQSQQEQGGTPPAPHSPFRRSLSLEVGGEPVGTSGSGIHPPSLAHPGAWAPGPPPYLPRQQSDGSLVRSQRPLGTSRRSPRGPSQVSAHLRASGAYRDAPEMAAQSPCSVPSQGSNPSFFSTPRECLPPFLGVPKQGLYSLGPPSFPPSSPAPVWRNSLGAPSALDRGENLYYEIGVGEGTSYSGPSRSWSPFRSMPPDRHNASYGMLGQSPPLHRSPDFLLSYPPPPSCFPPEHLTHSVSQRLARRPTRPEPLYVNLALGPRGPSPASSSSSSPPAHPRSRSDPGPPVPRLPQKQRAPWGPHTPHRVPGPWGSPEPFLLYRPAPPSYGRGGEVRGSLYRNGGHRGEGAGPPPPYPTPSWSLHSEGQTRSYC", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MYKALIFTVLLVSAVAPVRSLDPPLNDDVLGLIVFKADLRDPEQKLASWNEDDYTPCSWNGVKCHPRTNRVTELNLDGFSLSGRIGRGLLQLQFLHKLSLSNNNLTGIINPNMLLSLVNLKVVDLSSNGLSGSLPDEFFRQCGSLRVLSLAKNKLTGKIPVSISSCSSLAALNLSSNGFSGSMPLGIWSLNTLRSLDLSRNELEGEFPEKIDRLNNLRALDLSRNRLSGPIPSEIGSCMLLKTIDLSENSLSGSLPNTFQQLSLCYSLNLGKNALEGEVPKWIGEMRSLETLDLSMNKFSGQVPDSIGNLLALKVLNFSGNGLIGSLPVSTANCINLLALDLSGNSLTGKLPMWLFQDGSRDVSALKNDNSTGGIKKIQVLDLSHNAFSGEIGAGLGDLRDLEGLHLSRNSLTGPIPSTIGELKHLSVLDVSHNQLNGMIPRETGGAVSLEELRLENNLLEGNIPSSIKNCSSLRSLILSHNKLLGSIPPELAKLTRLEEVDLSFNELAGTLPKQLANLGYLHTFNISHNHLFGELPAGGIFNGLSPSSVSGNPGICGAVVNKSCPAISPKPIVLNPNATFDPYNGEIVPPGAGHKRILLSISSLIAISAAAAIVVGVIAITVLNLRVRASTVSRSAVPLTFSGGDDFSRSPTTDSNSGKLVMFSGEPDFSTGTHALLNKDCELGRGGFGAVYRTVIRDGYPVAIKKLTVSSLVKSQDEFEREVKKLGKLRHSNLVKLEGYYWTTSLQLLIYEFLSGGSLYKQLHEAPGGNSSLSWNDRFNIILGTAKCLAYLHQSNIIHYNIKSSNVLLDSSGEPKVGDYGLARLLPMLDRYVLSSKIQSALGYMAPEFACRTVKITEKCDVYGFGVLVLEVVTGKKPVEYMEDDVVVLCDMVREALEDGRADECIDPRLQGKFPVEEAVAVIKLGLICTSQVPSSRPHMGEAVNILRMIRCPSGSSDELGSS", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MFLKKHKSKLLLVPRDEEQEDAGIVAVLTDRIPSVLLVRWFDLFCFGFAMCSYALDFFSDIGIAIFHFWAGRYLSGSLVLAFALLPSVIINIISMVWMLDDEMHWKRRAHPRRTGTFELNQKRFIPLSKMIVLCICQMGPLFWYYKALYYGWMFRKSSNENTDGEKRKCFSKMVEAERDATLLRFFEAFLESAPQLIIQGSIAASYFQNYYQTGTYPYWLYFQAASLLLSIISISWSVVVQNRSLRMIRDDKVNIWPHEAVLQFCWRFLTILARIITLVALVLIFGINVVPLISVHLLVTLVHVIFLQAIHIDACTHIEKLLLLINTFIHIFIPFNMVEGNTRWRYLTAYSVEFIEMMLVCWLLPLSLNTFPYIEKVQVGVPISFIAGIAIMMMYYQFFHPNRRQLIVTQSQEDLSLNVQKSVETLTPKLESSLEISGEQNTSQDLVSELLLDVEHEN", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVNVGPSHAAVAVDASEARKRNISEEVFELRDKKDSTVVIEGEAPVRTFTSSSSNHEREDTYVSKRQVMRDIFAKYLKFIGPGLMVSVAYIDPGNYSTAVDAGASNQFSLLCIILLSNFIAIFLQCLCIKLGSVTGLDLSRACREYLPRWLNWTLYFFAECAVIATDIAEVIGTAIALNILIKVPLPAGVAITVVDVFLIMFTYKPGASSIRFIRIFECFVAVLVVGVCICFAIELAYIPKSTSVKQVFRGFVPSAQMFDHNGIYTAISILGATVMPHSLFLGSALVQPRLLDYDVKHGNYTVSEEQDKVKKSKSTEEIMEEKYFNYRPTNAAIKYCMKYSMVELSITLFTLALFVNCAILVVAGSTLYNSPEADGADLFTIHELLSRNLAPAAGTIFMLALLLSGQSAGVVCTMSGQIVSEGHINWKLQPWQRRLATRCISIIPCLVISICIGREALSKALNASQVVLSIVLPFLVAPLIFFTCKKSIMKTEITVDHTEEDSHNHQNNNDRSAGSVIEQDGSSGMEIENGKDVKIVYMANNWIITVIAIIVWLFLSLLNVYAIVQLGMSHGDIS", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTQLQISLLLTATISLLHLVVATPYEAYPIGKQYPPVARVNESFTFQISNDTYKSSVDKTAQITYNCFDLPSWLSFDSSSRTFSGEPSSDLLSDANTTLYFNVILEGTDSADSTSLNNTYQFVVTNRPSISLSSDFNLLALLKNYGYTNGKNALKLDPNEVFNVTFDRSMFTNEESIVSYYGRSQLYNAPLPNWLFFDSGELKFTGTAPVINSAIAPETSYSFVIIATDIEGFSAVEVEFELVIGAHQLTTSIQNSLIINVTDTGNVSYDLPLNYVYLDDDPISSDKLGSINLLDAPDWVALDNATISGSVPDELLGKNSNPANFSVSIYDTYGDVIYFNFEVVSTTDLFAISSLPNINATRGEWFSYYFLPSQFTDYVNTNVSLEFTNSSQDHDWVKFQSSNLTLAGEVPKNFDKLSLGLKANQGSQSQELYFNIIGMDSKITHSNHSANATSTRSSHHSTSTSSYTSSTYTAKISSTSAAATSSAPAALPAANKTSSHNKKAVAIACGVAIPLGVILVALICFLIFWRRRRENPDDENLPHAISGPDLNNPANKPNQENATPLNNPFDDDASSYDDTSIARRLAALNTLKLDNHSATESDISSVDEKRDSLSGMNTYNDQFQSQSKEELLAKPPVQPPESPFFDPQNRSSSVYMDSEPAVNKSWRYTGNLSPVSDIVRDSYGSQKTVDTEKLFDLEAPEKEKRTSRDVTMSSLDPWNSNISPSPVRKSVTPSPYNVTKHRNRHLQNIQDSQSGKNGITPTTMSTSSSDDFVPVKDGENFCWVHSMEPDRRPSKKRLVDFSNKSNVNVGQVKDIHGRIPEML", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRWAAATLRGKARPRGRAGVTTPAPGNRTGTCAKLRLPPQATFQVLRGNGASVGTVLMFRCPSNHQMVGSGLLTCTWKGSIAEWSSGSPVCKLVPPHETFGFKVAVIASIVSCAIILLMSMAFLTCCLLKCVKKSKRRRSNRSAQLWSQLKDEDLETVQAAYLGLKHFNKPVSGPSQAHDNHSFTTDHGESTSKLASVTRSVDKDPGIPRALSLSGSSSSPQAQVMVHMANPRQPLPASGLATGMPQQPAAYALG", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLLRSSGKLNVGTKKEDGESTAPTPRPKILRCKCHHHCPEDSVNNICSTDGYCFTMIEEDDSGMPVVTSGCLGLEGSDFQCRDTPIPHQRRSIECCTERNECNKDLHPTLPPLKDRDFVDGPIHHKALLISVTVCSLLLVLIILFCYFRYKRQEARPRYSIGLEQDETYIPPGESLRDLIEQSQSSGSGSGLPLLVQRTIAKQIQMVKQIGKGRYGEVWMGKWRGEKVAVKVFFTTEEASWFRETEIYQTVLMRHENILGFIAADIKGTGSWTQLYLITDYHENGSLYDYLKSTTLDAKSMLKLAYSSVSGLCHLHTEIFSTQGKPAIAHRDLKSKNILVKKNGTCCIADLGLAVKFISDTNEVDIPPNTRVGTKRYMPPEVLDESLNRNHFQSYIMADMYSFGLILWEIARRCVSGGIVEEYQLPYHDLVPSDPSYEDMREIVCMKKLRPSFPNRWSSDECLRQMGKLMTECWAQNPASRLTALRVKKTLAKMSESQDIKL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDLCHPEPAELSSGETEELQRIKWHRKQLLEDIQKLKDEIADVFAQIDCFESAEESRMAQKEKELCIGRKKFNMDPAKGIQYFIEHKLLTPDVQDIARFLYKGEGLNKTAIGTYLGERDPINLQVLQAFVDCHEFANLNLVQALRQFLWSFRLPGEAQKIDRMMEAFATRYCLCNPGVFQSTDTCYVLSFSIIMLNTSLHNPNVRDRPPFERFVSMNRGINNGSDLPEDQLRNLFDSIKSEPFSIPEDDGNDLTHTFFNPDREGWLLKLGGRVKTWKRRWFILTDNCLYYFEFTTDKEPRGIIPLENLSVQKVDDPKKPFCLELYNPSCRGQKIKACKTDGDGRVVEGKHESYRISATSAEERDQWIESIRASITRVPFYDLVSTRKKKIASKQ", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGEQLDPFSASNLPDFISSQKIGRPVNFEGQTNRGHPFSGLKKRGQSSRSWVKIDQDGNSAVLELDKATIMKRCSLPSRDLRLLDPLFIYPSSILGRERAIVVSLEKIRCIITAEEVILMNARDASVVQYQSELCKRLQSNHNLNVKDDLPFEFKALELVLELSCLSLDAQVNELEMEVYPVLDELATNISTLNLEHVRRLKGRLLTLTQKVQKVCDEIEHLMDDDDDMAEMYLTEKKERAEAHASEELEDNIGEDFESSGIVSKSAPVSPVGSTSGNFGKLQRAFSSIVGSHKSLLSSSSIGENIDQLEMLLEAYFVVVDNTLSKLSSLKEYIDDTEDLINIKLGNVQNQLIQFQLLLTAATFVAAIFAAVTAVFGMNLQDSVFQNPTTFQYVLLITGIGCGFLYFGFVLYFKHKKVFPL", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MINPELRDGRADGFIHRIVPKLIQNWKIGLMCFLSIIITTVCIIMIATWSKHAKPVACSGDWLGVRDKCFYFSDDTRNWTASKIFCSLQKAELAQIDTQEDMEFLKRYAGTDMHWIGLSRKQGDSWKWTNGTTFNGWFEIIGNGSFAFLSADGVHSSRGFIDIKWICSKPKYFL", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSKNNTMTSAVSDMLSQQQLNLQHLHNLQQHTRSMTSADHANVLQQQQQQQQQQQQQQQQQQQSASFQNGSLTSDINQQSYLNGQPVPSTSNSTFQNNRTLTMNSGGLQGIISNGSPNIDSNTNVTIAVPDPNNNNGKQLQGKNSLTNTSILSRARSSLQRQRLAQQQQQQQDPRSPLVILVPTAAQPTDILAARFSAWRNVIKSVIVYLTEIASIQDEIVRQQLRLSHAVQFPFFSIENQYQPSSQEDKSVQKFFLPLGNGSIQDLPTILNQYHESLASSASKASRELTNDVIPRLEDLRRDLIVKIKEIKSLQSDFKNSCSKELQQTKQAMKQFQESLKDARYSVPKQDPFLTKLALDRQIKKQLQEENFLHEAFDNLETSGAELEKIVVMEIQNSLTIYARLLGQEAQLVFDILISKLDSGFFNVDPQFEWDNFISRDPNFLLPNLPMRTFKEIVYKYQFDPLTYEIKSGFLERRSKFLKSYSKGYYVLTPNFLHEFKTADRKKDLVPVMSLALSECTVTEHSRKNSTSSPNSTGSDAKFVLHAKQNGIIRRGHNWVFKADSYESMMSWFDNLKILTSTSNIQDKYKFITQKLNLNSDGKPKLTNNHTSINKYQLSNANSTMVENDENDDINSNYVGSTVTPKLDNQTNTNTSMSSLPDTNDSELQDQVPNIYIQTPINDFKS", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAREKIVVAGGTTKSWKLLLGLRIFAFMATLAAAIVMSLNKETKTLVVATIGTVPIKATLTAKFQHTPAFVFFVIANVMVSFHNLLMIVVQIFSRKLEYKGLRLLSIAILDMLNATLVSAAANAAVFVAELGKNGNKHAKWNKVCDRFTTYCDHGAGAIIAAFAGVILMLLVSAVSISRLLINSKNFSTTATTTSVV", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGLCASSEKNGSTPDTQTASAGSDNVGKAKVPPKQEPQKTVRTVNTANQQEKQQQRQQQPSPHNVKDRKEQNGSINNAISPTATANTSGSQQINIDSALRDRSSNVAAQPSLSDASSGSNDKELKVLLLGAGESGKSTVLQQLKILHQNGFSEQEIKEYIPLIYQNLLEIGRNLIQARTRFNVNLEPECELTQQDLSRTMSYEMPNNYTGQFPEDIAGVISTLWALPSTQDLVNGPNASKFYLMDSTPYFMENFTRITSPNYRPTQQDILRSRQMTSGIFDTVIDMGSDIKMHIYDVGGQRSERKKWIHCFDNVTLVIFCVSLSEYDQTLMEDKNQNRFQESLVLFDNIVNSRWFARTSVVLFLNKIDLFAEKLSKVPMENYFPDYTGGSDINKAAKYILWRFVQLNRANLSIYPHVTQATDTSNIRLVFAAIKETILENTLKDSGVLQ", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDKLPPSMRKRLYSLPQQVGAKAWIMDEEEDGEEEGAGGRQDPSRRSIRLRPLPSPSPSVAAGCSESRGAALGATESEGPGRSAGKSSTNGDCRRFRGSLASLGSRGGGSGGAGGGSSLGHLHDSAEERRLIAAEGDASPGEDRTPPGLATEPERPATAAQPAASPPPQQPPQPASASCEQPSADTAIKVEGGAAAIDHILPEAEVRLGQSGFMQRQFGAMLQPGVNKFSLRMFGSQKAVEREQERVKSAGFWIIHPYSDFRFYWDLTMLLLMVGNLIIIPVGITFFKDENTTPWIVFNVVSDTFFLIDLVLNFRTGIVVEDNTEIILDPQRIKMKYLKSWFVVDFISSIPVEYIFLIVETRIDSEVYKTARAVRIVRFTKILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVVRIVNLIGMMLLLCHWDGCLQFLVPMLQDFPHDCWVSINGMVNNSWGKQYSYALFKAMSHMLCIGYGRQAPVGMSDVWLTMLSMIVGATCYAMFIGHATALIQSLDSSRRQYQEKYKQVEQYMSFHKLPPDTRQRIHDYYEHRYQGKMFDEESILGELSEPLREEIINFNCRKLVASMPLFANADPNFVTSMLTKLRFEVFQPGDYIIREGTIGKKMYFIQHGVVSVLTKGNKETRLADGSYFGEICLLTRGRRTASVRADTYCRLYSLSVDNFNEVLEEYPMMRKKNSILLHKVQHDLNSGVFNYQENEIIQQIVRHDREMAHCAHRVQAAASATPTPTPVIWTPLIQAPLQAAAATTSVAIALTHHPRLPAAIFRPPPGPGLGNLGAGQTPRHPRRLQSLIPSALGSASPASSPSQVDTPSSSSFHIQQLAGFSAPPGLSPLLPSSSSSPPPGACGSPPAPTPSTSTAAAASTTGFGHFHKALGGSLSSSDSPLLTPLQPGARSPQAAQPPPPLPGARGGLGLLEHFLPPPPSSRSPSSSPGQLGQPPGELSLGLAAGPSSTPETPPRPERPSFMAGASGGASPVAFTPRGGLSPPGHSPGPPRTFPSAPPRASGSHGSLLLPPASSPPPPQVPQRRGTPPLTPGRLTQDLKLISASQPALPQDGAQTLRRASPHSSGESVAAFSLYPRAGGGSGSSGGLGPPGRPYGAIPGQHVTLPRKTSSGSLPPPLSLFGARAASSGGPPLTTAAPQREPGARSEPVRSKLPSNL", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDLKESPSEGSLQPSSIQIFANTSTLHGIRHIFVYGPLTIRRVLWAVAFVGSLGLLLVESSERVSYYFSYQHVTKVDEVVAQSLVFPAVTLCNLNGFRFSRLTTNDLYHAGELLALLDVNLQIPDPHLADPTVLEALRQKANFKHYKPKQFSMLEFLHRVGHDLKDMMLYCKFKGQECGHQDFTTVFTKYGKCYMFNSGEDGKPLLTTVKGGTGNGLEIMLDIQQDEYLPIWGETEETTFEAGVKVQIHSQSEPPFIQELGFGVAPGFQTFVATQEQRLTYLPPPWGECRSSEMGLDFFPVYSITACRIDCETRYIVENCNCRMVHMPGDAPFCTPEQHKECAEPALGLLAEKDSNYCLCRTPCNLTRYNKELSMVKIPSKTSAKYLEKKFNKSEKYISENILVLDIFFEALNYETIEQKKAYEVAALLGDIGGQMGLFIGASILTILELFDYIYELIKEKLLDLLGKEEEEGSHDENMSTCDTMPNHSETISHTVNVPLQTALGTLEEIAC", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSHKGSVVAQGNGAPASNREADTVELAELGPLLEEKGKRVIANPPKAEEEQTCPVPQEEEEEVRVLTLPLQAHHAMEKMEEFVYKVWEGRWRVIPYDVLPDWLKDNDYLLHGHRPPMPSFRACFKSIFRIHTETGNIWTHLLGFVLFLFLGILTMLRPNMYFMAPLQEKVVFGMFFLGAVLCLSFSWLFHTVYCHSEKVSRTFSKLDYSGIALLIMGSFVPWLYYSFYCSPQPRLIYLSIVCVLGISAIIVAQWDRFATPKHRQTRAGVFLGLGLSGVVPTMHFTIAEGFVKATTVGQMGWFFLMAVMYITGAGLYAARIPERFFPGKFDIWFQSHQIFHVLVVAAAFVHFYGVSNLQEFRYGLEGGCTDDTLL", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEQTEKSKVYAENGLLEKIKLCLSKKPLPSPTERKKFDHDFAISTSFHGIHNIVQNRSKIRRVLWLVVVLGSVSLVTWQIYIRLLNYFTWPTTTSIEVQYVEKMEFPAVTFCNLNRFQTDAVAKFGVIFFLWHIVSKVLHLQEITANSTGSREATDFAASHQNFSIVEFIRNKGFYLNNSTLLDCEFFGKPCSPKDFAHVFTEYGNCFTFNHGETLQAKRKVSVSGRGLSLLFNVNQEAFTDNPALGFVDAGIIFVIHSPKKVPQFDGLGLLSPVGMHARVTIRQVKTVHQEYPWGECNPNIKLQNFSSYSTSGCLKECKAQHIKKQCGCVPFLLPGYGIECDLQKYFSCVSPVLDHIEFKDLCTVGTHNSSCPVSCEEIEYPATISYSSFPSQKALKYLSKKLNQSRKYIRENLVKIEINYSDLNYKITQQQKAVSVSELLADLGGQLGLFCGASLITIIEIIEYLFTNFYWICIFFLLKISEMTQWTPPPQNHLGNKNRIEEC", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGQCVTKCKNPSSTLGSKNGDREPSNKSHSRRGAGHREEQVPPCGKPGGDILVNGTKKAEAATEACQLPTSSGDAGRESKSNAEESSLQRLEELFRRYKDEREDAILEEGMERFCNDLCVDPTEFRVLLLAWKFQAATMCKFTRKEFFDGCKAISADSIDGICARFPSLLTEAKQEDKFKDLYRFTFQFGLDSEEGQRSLHREIAIALWKLVFTQNNPPVLDQWLNFLTENPSGIKGISRDTWNMFLNFTQVIGPDLSNYSEDEAWPSLFDTFVEWEMERRKREGEGRGALSSGPEGLCPEEQT", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSRFVDKLPLFDRRPSPMEEAEGLPRSGYLGQLHHHQYYQPHSNMLPLEQSPPTSTKHTSVTLAQLLKRVNDARSGSSTPISSPRYTIELGGSKPESVSSESDDHHSDDGGSEGQPRALVLKFTDLTYSVKQRRKGSCLPFRRAAADEPELPAMRTLLDGISGEARDGEIMAVLGASGSGKSTLIDALANRIAKESLHGSVTINGESIDSNLLKVISAYVRQEDLLYPMLTVEETLMFAAEFRLPRSLPTREKKKRVKELIDQLGLKRAANTIIGDEGHRGVSGGERRRVSIGVDIIHNPIMLFLDEPTSGLDSTSAFMVVTVLKAIAQSGSVVVMSIHQPSYRILGLLDRLLFLSRGKTVYYGPPSELPPFFLDFGKPIPDNENPTEFALDLIKEMETETEGTKRLAEHNAAWQLKHHGEGRGYGGKPGMSLKEAISASISRGKLVSGATDGTVSVAASDHSAPPPSSSSVSKFVNPFWIEMGVLTRRAFINTKRTPEVFIIRLAAVLVTGFILATIFWRLDESPKGVQERLGFFAIAMSTMYYTCSDALPVFLSERYIFLRETAYNAYRRSSYVLSHTIVGFPSLVVLSFAFALTTFFSVGLAGGVNGFFYFVAIVLASFWAGSGFATFLSGVVTHVMLGFPVVLSTLAYFLLFSGFFINRDRIPRYWLWFHYISLVKYPYEAVMQNEFGDPTRCFVRGVQMFDNTPLAALPAAVKVRVLQSMSASLGVNIGTGTCITTGPDFLKQQAITDFGKWECLWITVAWGFLFRILFYISLLLGSRNKRR", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDERPETELISIPATPRVSTPEILTPSGQRSPRPATKPSSATWTPTSFISPRFLSPIGTPMKRVLVNMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFAFLGWSWGILSLTIAYCWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPLCTSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLIGAVTAITYSTMVWVLSVSQPRPATISYEPLSMPSTSGSLFAVLNALGIIAFAFRGHNLVLEIQSTMPSTFKHPAHVPMWRGAKISYFLIALCIFPISIGGFWAYGNLMPSGGMLAALYAFHIHDIPRGLLATAFLLVVFSCLSSFQIYSMPAFDSFEAGYTSRTNKPCSIWVRSGFRVFFGFVSFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKKPAKYSFNWYFHWGLGWLGVAFSLAFSIGGIWSMVTNGLKLKFFKPPN", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAKVNRARSTSPPDGGWGWMIVAGCFLVTICTRAVTRCISIFFVEFQTYFTQDYAQTAWIHSIVDCVTMLCAPLGSVVSNHLSCQVGIMLGGLLASTGLILSSFATSLKHLYLTLGVLTGLGFALCYSPAIAMVGKYFSRRKALAYGIAMSGSGIGTFILAPVVQLLIEQFSWRGALLILGGFVLNLCVCGALMRPITLKEDHTTPEQNHVCRTQKEDIKRVSPYSSLTKEWAQTCLCCCLQQEYSFLLMSDFVVLAVSVLFMAYGCSPLFVYLVPYALSVGVSHQQAAFLMSILGVIDIIGNITFGWLTDRRCLKNYQYVCYLFAVGMDGLCYLCLPMLQSLPLLVPFSCTFGYFDGAYVTLIPVVTTEIVGTTSLSSALGVVYFLHAVPYLVSPPIAGRLVDTTGSYTAAFLLCGFSMIFSSVLLGFARLIKRMRKTQLQFIAKESDPKLQLWTNGSVAYSVARELDQKHGEPVATAVPGYSLT", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIQFKSPGNWLFIVPWIAFIPWYGMLIAMLICWASQGHPIYWFMHSEQFPVYISDIGATNLRPLFISCAGWQGLGYVITVALEFFQRSGYLPFQLKKKDPSISDSTSYAEKLHSGKYLMPPYYTKDERNLIFAAFVLGSIGELALLFSSIFSTALYHRVHIAMVSVFVVFMFLSTCCLIAEYFLMGRHYASVHPLASPHFNPQSSEKSFNQDYNTVDELPWYKWKGHVWNKFTISATLKVIWLTLAVVWAICFGAINDRSKSACFEWLLAFWFGIIFMILSADFYLGGRYRQSRYFNHVESFSGYYKYDKALGLYHSEDVLPSDDNAGVIATETASSNIYNNSSSNESIQVVV", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASNNTASIAQARKLVEQLKMEANIDRIKVSKAAADLMAYCEAHAKEDPLLTPVPASENPFREKKFFCAIL", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDPLNLSWYDDDLERQNWSRPFNGSDGKADRPHYNYYATLLTLLIAVIVFGNVLVCMAVSREKALQTTTNYLIVSLAVADLLVATLVMPWVVYLEVVGEWKFSRIHCDIFVTLDVMMCTASILNLCAISIDRYTAVAMPMLYNTRYSSKRRVTVMISIVWVLSFTISCPLLFGLNNADQNECIIANPAFVVYSSIVSFYVPFIVTLLVYIKIYIVLRRRRKRVNTKRSSRAFRAHLRAPLKGNCTHPEDMKLCTVIMKSNGSFPVNRRRVEAARRAQELEMEMLSSTSPPERTRYSPIPPSHHQLTLPDPSHHGLHSTPDSPAKPEKNGHAKDHPKIAKIFEIQTMPNGKTRTSLKTMSRRKLSQQKEKKATQMLAIVLGVFIICWLPFFITHILNIHCDCNIPPVLYSAFTWLGYVNSAVNPIIYTTFNIEFRKAFLKILHC", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGVPTALEAGSWRWGSLLFALFLAASLGPVAAFKVATPYSLYVCPEGQNVTLTCRLLGPVDKGHDVTFYKTWYRSSRGEVQTCSERRPIRNLTFQDLHLHHGGHQAANTSHDLAQRHGLESASDHHGNFSITMRNLTLLDSGLYCCLVVEIRHHHSEHRVHGAMELQVQTGKDAPSNCVVYPSSSQDSENITAAALATGACIVGILCLPLILLLVYKQRQAASNRRAQELVRMDSNIQGIENPGFEASPPAQGIPEAKVRHPLSYVAQRQPSESGRHLLSEPSTPLSPPGPGDVFFPSLDPVPDSPNFEVI", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKSRVILNHRDRRDKNHNNNNTNHYTQVDTMERKPLEVEPSTTTTNTDVVDSFEEEQRKIVYRGWKVMPFIIGNETFEKLGIIGTLSNLLVYLTSVFNLKSYTAATIINAFSGTINFGTFIAAFLCDTYFGRYKTLSVAVIACFLGSFVILLTAAIPSLHPVACGNKISCEGPSVGQILFLLMGLGFLVVGAGGIRPCNLAFGADQFNPKSESGKKGINSFFNWYFFTFTFAQIISLTAVVYIQSNVSWTIGLIIPVALMFLACVIFFAGDRLYVKVKASGSPLAGIARVIAAAIKKRGLKPVKQPWVNLYNHIPSNYANTTLKYTDQFRFLDKAAIMTPEEKLNSDGTASDPWKLCTLQQVEEVKCIVRVIPIWFASTIYYLAITIQMTYPVFQALQSDRRLGSGGFRIPAATYVVFLMTGMTVFIIFYDRVLVPSLRRVTGLETGISLLQRIGAGFTFAIMSLLVSGFIEERRRNFALTKPTLGMAPRTGEISSMSALWLIPQLTLAGIAEAFAAIGQMEFYYKQFPENMKSFAGSIFYVGAGVSSYLASFLISTVHRTTAHSPSGNWLAEDLNKAKLDYFYFMLTGLMVVNMAYFLLMARWYRYKGGNDEDITEIETNEEETKQQQLQDKNSV", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSETSKSESLDPEVSEGLCSKTLMQSIVHELKLQMRIGLPLVVMNLLWFGKMTTTSVFLGRQGELNLAGGSLGFSFANVTGFSVLYGISAAMEPICGQAFGAKNFKLLHKTLFMAVLLLLLISVPISFLWLNVHKILTGFGQREDISFIAKKYLLYLLPELPILSFLCPLKAYLSSQGVTLPIMFTTAAATSLHIPINIVLSKARGIEGVAMAVWITDFIVVILLTGYVIVVERMKENKWKQGGWLNQSAQDWLTLIKLSGPCCLTVCLEWWCYEILVLLTGRLPNPVQAVSILIIVFNFDYLLYAVMLSLGTCVATRVSNELGANNPKGAYRAAYTTLIVGIISGCIGALVMIAFRGFWGSLYTHHDQLILNGVKKMMLIMAVIEVVNFPLMVCGEIVRGTAKPSLGMYANLSGFYLLALPLGATLAFKAKQGLQGFLIGLFVGISLCLSILLIFIARIDWEKEAGKAQILTCNTEDEQTSQGSGQDSHS", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDTSSKENAHLFHKNSAQPAGGPSFKAGYPSTEEARPCCGKLKVFLGALSFVYFAKALTEGYLKSTITQIERRFDIPSSLVGIIDGSFEIGNLLVITFVSYFGAKLHRPKIIGAGCLVMGFGTMLIAVPQFFMEKYSYEKYERYSPSSNLTPNISPCYLESSSPSPRSIVGKSQNKINDECEVDTSSSMWVYVFLGNLLRGLGETPIQPLGIAYLDDFASEDNAAFYIGCVQTVAIIGPIFGFLLGSLCAKLYVDIGFVNLDHITITPKDPQWVGAWWLGYLIAGFLSLLAAVPFWCLPKTLPRSQSREDSGSSSEKSKFITDDPVNYQMAPREESMKIMEMARDFLPSLKSLFRNPVYILYLCASTVQFNSLFGMVTYKPKYIEQQYGQSSSKANFVIGLINIPAVALGIFSGGIVMKKFRLGICEATKLYLGSSVFGYLLFLSLFALGCENSSVAGLTVSYQGTKPVSYHERALFSDCNSRCKCSDSKWEPMCGDNGITYASACLAGCQSSSRSGKNIIFSNCTCVGFAAPKSGNWSGMMGRCQKDNGCSQMFLYFLVISVITSYTLSLGGIPGYILLLRCIQPQLKSFALGIYTLAVRVLAGIPAPVYFGVLIDTSCLKWGFKKCGSRGSCRLYDSHAFRHIYLGLTTLLGTVSVFLSTAVLLVLKKKYVSKRSSFITAREKIVMSSSVKKETCAARDHGLQPKYWPGKETRL", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAQLGKLLKEQKYDRQLRLWGDHGQEALESAHVCLINATATGTEILKNLVLPGIGSFTIIDGNQVSGEDAGNNFFLQRSSIGKNRAEAAMEFLQELNSDVSGSFVEESPENLLDNDPSFFCRFTVVVATQLPESTSLRLADVLWNSQIPLLICRTYGLVGYMRIIIKEHPVIESHPDNALEDLRLDKPFPELREHFQSYDLDHMEKKDHSHTPWIVIIAKYLAQWYSETNGRIPKTYKEKEDFRDLIRQGILKNENGAPEDEENFEEAIKNVNTALNTTQIPSSIEDIFNDDRCINITKQTPSFWILARALKEFVAKEGQGNLPVRGTIPDMIADSGKYIKLQNVYREKAKKDAAAVGNHVAKLLQSIGQAPESISEKELKLLCSNSAFLRVVRCRSLAEEYGLDTINKDEIISSMDNPDNEIVLYLMLRAVDRFHKQQGRYPGVSNYQVEEDIGKLKSCLTGFLQEYGLSVMVKDDYVHEFCRYGAAEPHTIAAFLGGAAAQEVIKIITKQFVIFNNTYIYSGMSQTSATFQL", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MALRGFCSADGSDPLWDWNVTWYTSNPDFTKCFQNTVLVWVPCFYLWACFPFYFLYLSRHDRGYIQMTLLNKTKTALGFLLWIVCWADLFYSFWERSRGIFLAPVFLVSPTLLGITMLLATFLIQLERRKGVQSSGIMLTFWLVALLCALAILRSKIMTALKEDVQVDLFRDMTFYVYFSLVLIQLVLSCFSDRSPLFSETIHDPNPCPESSASFLSRITFWWITGLIVRGYRQPLEGSDLWSLNKEDTSEQVVPVLVKNWKKECAKTRKQPVKVVYSSKDPAQPKDSSKVDANEEVEALIVKSPQKEWNPSLFKVLYKTFGPYFLMSFFFKAIHDLMMFSGPEILKLLINFVNDTKAPDWQGYFYTALLFVAACLQTLVLHQYFHICFVSGMRIKTAVIGAVYRKALVITNAARKSSTVGEIVNLMSVDAQRFMDLATYINMIWSAPLQVILALYLLWRNLGPPILAGVAVMVLMVPVNAVMAMKTKTYQVAHMKSKDNRIKLMNEILNGIKVLKLYAWELAFKDKVLAIRQEELKVLKKSAYLAAVGTFTWVCTPFLVALCTFAVYVTIDKNNVLDAQKAFVSLALFNILRFPLNILPMVISSIVQASVSLKRLRIFLSHEELEPDSIERRPVKDGGDTNSITVRNATFTWARSDPPTLNGITFSIPEGALVAVVGQVGCGKSSLLSALLAEMDKVEGHVALKGSVAYVPQQAWIQNDSLQENILFGCQLEEPYYRSVIQACALLPDLEILPSGDRTEIGEKGVNLSGGQKQRVSLARAVYCNADIYLFDDPLSAVDAHVGKHIFENVIGPKGMLKNKTRILVTHSMSYLPQVDVIIVMSGGKISEMGSYQELLARDGAFAEFLRTYASAEQEQDPEDNGVTGVSGPGKEAKQMENGMLVTDSAGKQLQRQLSSSSSYSGDVSRQHNSTAELQKDGAKKEETWKLMEADKAQTGQVKLSVYWDYMKAIGLFISFLSIFLFICNHVAALASNYWLSLWTDDPIVNGTQEHTKVRLSVYGALGISQGIAVFGYSMAVSIGGILASRCLHVDLLHSILRSPMSFFERTPSGNLVNRFSKELDTVDSMIPEVIKMFMGSLFNVIGACIVILLATPIAAIIIPPLGLIYFFVQRFYVASSRQLKRLESVSRSPVYSHFNETLLGVSVIRAFEEQERFIHQSDLKVDENQKAYYPSIVANRWLAVRLECVGNCIVLFAALFAVISRHSLSAGLVGLSVSYSLQVTTYLNWLVRMSSEMETNIVAVERLKEYSETEKEAPWQIQETAPPSNWPQVGRVEFRNYCLRYREDLDFVLRHINVTINGGEKVGIVGRTGAGKSSLTLGLFRINESAEGEIIIDGINIARIGLHDLRFKITIIPQDPVLFSGSLRMNLDPFSQYSDEEVWTSLELAHLKGFVSALPDKLDHECAEGGENLSVGQRQLVCLARALLRKTKILVLDEATAAVDLETDDLIQSTIRTQFEDCTVLTIAHRLNTIMDYTRVIVLDKGEIQEYGAPSDLLQQRGLFYNMARDAGLV", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGDDDTPVCLSVASCKGVSCWLDKLLLWALTLSITLRNTAVDCRRVDRNGLLSPNLNSSMSVVRMGQNVSLSCSSKNTSIDITYSLFLGKRYLESKRRRGGAVDFHLRISNANESGPYKCKVNDSNSSKYSQNFNFTIIQDESCSSCLLSLLLPGVLLGLILPGLAFLIYLKYKKGCTGKTLKENESKGSGDAPTQGELYANICETQKGSEQLQEIHYTTPVFKEVAPTEQEGLEDRKDDYIYSELTY", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGYETKSTLDTERSTAPGTGTTTKSCSMTQVVLRFVLFAATLTSIVVMVTSKQTKNIFLPGTPIRIPAAEFTNSPALIYFVVALSVACFYSIVSTFVTVSAFKKHSCSAVLLLNLAIMDAVMVGIVASATGAGGGVAYLGLKGNKEVRWGKICHIYDKFCRHVGGAIAVSLFASVVLLLLSIISVLSLYKKIR", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAMFNHPWPNLTLIYFFFIVVLPFQSLSQFDSPQNIETFFPISSLSPVPPPLLPPSSNPSPPSNNSSSSDKKTITKAVLITAASTLLVAGVFFFCLQRCIIARRRRDRVGPVRVENTLPPYPPPPMTSAAVTTTTLAREGFTRFGGVKGLILDENGLDVLYWRKLQSQRERSGSFRKQIVTGEEEDEKEVIYYKNKKKTEPVTEIPLLRGRSSTSHSVIHNEDHQPPPQVKQSEPTPPPPPPSIAVKQSAPTPSPPPPIKKGSSPSPPPPPPVKKVGALSSSASKPPPAPVRGASGGETSKQVKLKPLHWDKVNPDSDHSMVWDKIDRGSFSFDGDLMEALFGYVAVGKKSPEQGDEKNPKSTQIFILDPRKSQNTAIVLKSLGMTREELVESLIEGNDFVPDTLERLARIAPTKEEQSAILEFDGDTAKLADAETFLFHLLKSVPTAFTRLNAFLFRANYYPEMAHHSKCLQTLDLACKELRSRGLFVKLLEAILKAGNRMNAGTARGNAQAFNLTALLKLSDVKSVDGKTSLLNFVVEEVVRSEGKRCVMNRRSHSLTRSGSSNYNGGNSSLQVMSKEEQEKEYLKLGLPVVGGLSSEFSNVKKAACVDYETVVATCSALAVRAKDAKTVIGECEDGEGGRFVKTMMTFLDSVEEEVKIAKGEERKVMELVKRTTDYYQAGAVTKGKNPLHLFVIVRDFLAMVDKVCLDIMRNMQRRKVGSPISPSSQRNAVKFPVLPPNFMSDRAWSDSGGSDSDM", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPGPLGLLCFLALGLLGSAGPSGAAPPLCAAPCSCDGDRRVDCSGKGLTAVPEGLSAFTQALDISMNNITQLPEDAFKNFPFLEELQLAGNDLSFIHPKALSGLKELKVLTLQNNQLKTVPSEAIRGLSALQSLRLDANHITSVPEDSFEGLVQLRHLWLDDNSLTEVPVHPLSNLPTLQALTLALNKISSIPDFAFTNLSSLVVLHLHNNKIRSLSQHCFDGLDNLETLDLNYNNLGEFPQAIKALPSLKELGFHSNSISVIPDGAFDGNPLLRTIHLYDNPLSFVGNSAFHNLSDLHSLVIRGASMVQQFPNLTGTVHLESLTLTGTKISSIPNNLCQEQKMLRTLDLSYNNIRDLPSFNGCHALEEISLQRNQIYQIKEGTFQGLISLRILDLSRNLIHEIHSRAFATLGPITNLDVSFNELTSFPTEGLNGLNQLKLVGNFKLKEALAAKDFVNLRSLSVPYAYQCCAFWGCDSYANLNTEDNSLQDHSVAQEKGTADAANVTSTLENEEHSQIIIHCTPSTGAFKPCEYLLGSWMIRLTVWFIFLVALFFNLLVILTTFASCTSLPSSKLFIGLISVSNLFMGIYTGILTFLDAVSWGRFAEFGIWWETGSGCKVAGFLAVFSSESAIFLLMLATVERSLSAKDIMKNGKSNHLKQFRVAALLAFLGATVAGCFPLFHRGEYSASPLCLPFPTGETPSLGFTVTLVLLNSLAFLLMAVIYTKLYCNLEKEDLSENSQSSMIKHVAWLIFTNCIFFCPVAFFSFAPLITAISISPEIMKSVTLIFFPLPACLNPVLYVFFNPKFKEDWKLLKRRVTKKSGSVSVSISSQGGCLEQDFYYDCGMYSHLQGNLTVCDCCESFLLTKPVSCKHLIKSHSCPALAVASCQRPEGYWSDCGTQSAHSDYADEEDSFVSDSSDQVQACGRACFYQSRGFPLVRYAYNLPRVKD", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MELEEQKKYFHGTLEITIFDATPFSPPFPFNCICTKPKAAYVTIKINKKKVAKTSSEYDRIWNQTFQILCAHPVTDTTITITLKTRCSVLGRFRISAEQILTSNSAVINGFFPLIADNGSTKRNLKLKCLMWFRPAYLEPGWCRALEEASFQGIRNASFPQRSNCRVVLYQDAHHKATFDPRVDDVPFNARNLWEDVYKAIESARHLVYIAGWALNPNLVLVRDNETEIPHAVGVTVGELLKRKSEEGVAVRVMLWNDETSLPMIKNKGVMRTNVERALAYFRNTNVVCRLCPRLHKKLPTAFAHHQKTITLDTRVTNSSTKEREIMSFLGGFDLCDGRYDTEEHSLFRTLGTEADFYQTSVAGAKLSRGGPREPWHDCHVSVVGGAAWDVLKNFEQRWTKQCNPSVLVNTSGIRNLVNLTGPTEENNRKWNVQVLRSIDHISATEMPRGLPVEKSVHDGYVAAIRKAERFIYIENQYFMGSCDHWESKNDKICSGCTNLIPVEIALKIAAKIRARERFAVYIVIPMWPEGPPESETVEEILHWTRETMSMMYQIIGEAIWEVGDKSHPRDYLNFFCLANREEKRDGEFEAVSSPHQKTHYWNAQRNRRFMVYVHSKLMIVDDTYILIGSANINQRSMDGCRDTEIAIGCYQTNTNNTNEIQAYRLSLWYEHTGGKITADDLSSSEPESLECVRGLRTIGEQMWEIYSGDKVVDMLGIHLVAYPISVTGDGAVEEVGDGCFPDTKTLVKGKRSKMFPPVLTT", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MARTPGPAPLCPGGGKAQLSSAFPPAAGLLLPAPTPPPLLLLLIPLLLFSRLCGALAGSIIVEPHVTAVWGKNVSLKCLIEVNETITQISWEKIHGKSTQTVAVHHPQYGFSVQGDYQGRVLFKNYSLNDATITLHNIGFSDSGKYICKAVTFPLGNAQSSTTVTVLVEPTVSLIKGPDSLIDGGNETVAAVCVAATGKPVAQIDWEGDLGEMESSTTSFPNETATIVSQYKLFPTRFARGRRITCVVKHPALEKDIRYSFILDIQYAPEVSVTGYDGNWFVGRKGVNLKCNADANPPPFKSVWSRLDGQWPDGLLASDNTLHFVHPLTVNYSGVYVCKVSNSLGQRSDQKVIYISDPPTTTTLQPTVQWHSSPADVQDIATEHKKLPFPLSTLATLKDDTIGTIIASVVGGALFLVLVSILAGVFCYRRRRTFRGDYFAKNYIPPSDMQKESQIDVLHQDELDSYPDSVKKENKNPVNNLIRKDYLEEPEKTQWNNVENLTRFERPMDYYEDLKMGMKFVSDERYNESEDGLVSHVDGSVISRREWYV", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MATAAMTKVFVLLFLVAACYLPAHAAAAECDCATDTAGRDKAQALRLKVIAIFCILAGSTVGAALPSLGGRFPAIQPETDVFLSVKAFAGGVILATGLVHILPAAFEALSSPCLVGGPWKRFPFAGMVAMVSAIGTLIVDTVATGYFHRTDAKRKAAAVADEPADDLEASDEHSHGHAHGMSVMSVAPAGEEDLVRHRVISQVLELGVVVHSLIIGMSLGASDFPSTVRPLVPALTFHQFFEGIGLGGCIVQAKFRVRSVVTMALFFSLTTPAGIVVGIGISSVYDANSPTALVVQGLLEAAAAGILVYMALVDILAEDFMKTKVQRRGRLQLAMNVALLLGAGLMSMIAIWA", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKYHSHIENLDEDGYTQLDFSTQDIHKRPRGSEKGSQAPSSPWRPIAVGLGILCFVVVVVAAVLGALGEYGHNSGRNPEEKDNFLSRNKENHKPTESSLDEKVAPSKASQTTGGFSQPCLPNWIMHGKSCYLFSFSGNSWYGSKRHCSQLGAHLLKIDNSKEFEFIESQTSSHRINAFWIGLSRNQSEGPWFWEDGSAFFPNSFQVRNTAPQESLLHNCVWIHGSEVYNQICNTSSYSICEKEL", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVSVNSSHCFYNDSFKYTLYGCMFSMVFVLGLISNCVAIYIFICVLKVRNETTTYMINLAMSDLLFVFTLPFRIFYFTTRNWPFGDLLCKISVMLFYTNMYGSILFLTCISVDRFLAIVYPFKSKTLRTKRNAKIVCTGVWLTVIGGSAPAVFVQSTHSQGNNASEACFENFPEATWKTYLSRIVIFIEIVGFFIPLILNVTCSSMVLKTLTKPVTLSRSKINKTKVLKMIFVHLIIFCFCFVPYNINLILYSLVRTQTFVNCSVVAAVRTMYPITLCIAVSNCCFDPIVYYFTSDTIQNSIKMKNWSVRRSDFRFSEVHGAENFIQHNLQTLKSKIFDNESAA", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNRNPRMSKFQPNPRSRSRFQDETDLRSLRSFKTDFSNYLASDTNFLNVAEIMTSYAYGESNNAHEKEIQCDLLTENGGIEIDPTRLSYRERIRWHLQQFCYKTSSHGIPMLGQAPNSLYRAAWVFLLLICAIQFINQAVAVIQKYQKMDKITDIQLKFDTAPFPAITLCNLNPYKDSVIRSHDSISKILGVFKSVMKKAGDSSSEALEEEEETEYDMNGITIQAKRKKRGAGEKGTFEPANSACECDEEDGSNECEERSTEKPSGDNDMCICAFDRQTNDAWPCHRKEQWTNTTCQTCDEHYLCSKKAKKGTKRSELKKEPCICESKGLFCIKHEHAAMVLNLWEYFGDSEDFSEISTEEREALGFGNMTDEVAIVTKAKENIIFAMSALSEEQRILMSQAKHNLIHKCSFNGKPCDIDQDFELVADPTFGNCFVFNHDREIFKSSVRAGPQYGLRVMLFVNASDYLPTSEAVGIRLTIHDKDDFPFPDTFGYSAPTGYISSFGMRMKKMSRLPAPYGDCVEDGATSNYIYKGYAYSTEGCYRTCFQELIIDRCGCSDPRFPSIGGVQPCQVFNKNHRECLEKHTHQIGEIHGSFKCRCQQPCNQTIYTTSYSEAIWPSQALNISLGQCEKEAEECNEEYKENAAMLEVFYEALNFEVLSESEAYGIVKMMADFGGHLGLWSGVSVMTCCEFVCLAFELIYMAIAHHINQQRIRRRENAANEY", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEEPFLLRDELLVPSQVTWHTNPLTVELKRVSRLAAPMATVTIAQYLLPVISVMVAGHNGELQLSGVALANSFTNVTGFSIMCGLVGALETLCGQAYGAKQYEKIGTYAYSAIASNIPICFLISILWLYIEKILISLGQDPEISRIAGSYAFWLIPALFGQAIVIPLSRFLLTQGLVIPLLFTAVTTLLFHVLVCWTLVFLFGLGCNGPAMATSVSFWFYAVILSCYVRFSSSCEKTRGFVSRDFVSSIKQFFQYGIPSAAMICLEWWLFEILILCSGLLPNPKLETSVLSICLTIETLHYVISAGVAAAVSTRVSNNLGAGNPQVARVSVLAGLCLWIVESAFFSILLFTCRNIIGYAFSNSKEVLDYVADLTPLLCLSFILDGFTAVLNGVARGSGWQHIGAWNNTVSYYLVGAPVGIYLAFSRELNGKGLWCGVVVGSTVQATILAIVTASINWKEQAEKARKRIVSTENRLA", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRHNRLKVLILGLVLLLTSCRADGPLHSADHGMGGMGMGGHGLDASPAPGYGVPVIPKDPNLRCEEITIPMCRGIGYNMTSFPNEMNHETQDEAGLEVHQFWPLVEIKCSPDLKFFLCSMYTPICLEDYHKPLPVCRSVCERARSGCAPIMQQYSFEWPERMACEHLPLHGDPDNLCMEQPSYTEAGSGGSSGGSGGSGSGSGSGGKRKQGGSGSGGSGAGGSSGSTSTKPCRGRNSKNCQNPQGEKASGKECSCSCRSPLIFLGKEQLLQQQSQMPMMHHPHHWYMNLTVQRIAGVPNCGIPCKGPFFSNDEKDFAGLWIALWSGLCFCSTLMTLTTFIIDTERFKYPERPIVFLSACYFMVAVGYLSRNFLQNEEIACDGLLLRESSTGPHSCTLVFLLTYFFGMASSIWWVILSFTWFLAAGLKWGNEAITKHSQYFHLAAWLIPTVQSVAVLLLSAVDGDPILGICYVGNLNPDHLKTFVLAPLFVYLVIGTTFLMAGFVSLFRIRSVIKQQGGVGAGVKADKLEKLMIRIGIFSVLYTVPATIVIGCYLYEAAYFEDWIKALACPCAQVKGPGKKPLYSVLMLKYFMALAVGITSGVWIWSGKTLESWRRFWRRLLGAPDRTGANQALIKQRPPIPHPYAGSGMGMPVGSAAGSLLATPYTQAGGASVASTSHHHLHHHVLKQPAASHV", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MARPSLCTLVPLGPECLRPFTRESLAAIEQRAVEEEARLQRNKQMEIEEPERKPRSDLEAGKNLPMIYGDPPPEVIGIPLEDLDPYYSNKKTFIVLNKGKAIFRFSATPALYLLSPFSVVRRGAIKVLIHALFSMFIMITILTNCVFMTMSDPPPWSKNVEYTFTGIYTFESLIKILARGFCVDDFTFLRDPWNWLDFSVIMMAYLTEFVDLGNISALRTFRVLRALKTITVIPGLKTIVGALIQSVKKLSDVMILTVFCLSVFALVGLQLFMGNLRQKCVRWPPPFNDTNTTWYSNDTWYGNDTWYGNEMWYGNDSWYANDTWNSHASWATNDTFDWDAYISDEGNFYFLEGSNDALLCGNSSDAGHCPEGYECIKTGRNPNYGYTSYDTFSWAFLALFRLMTQDYWENLFQLTLRAAGKTYMIFFVVIIFLGSFYLINLILAVVAMAYAEQNEATLAEDKEKEEEFQQMLEKFKKHQEELEKAKAAQALEGGEADGDPAHGKDCNGSLDTSQGEKGAPRQSSSGDSGISDAMEELEEAHQKCPPWWYKCAHKVLIWNCCAPWLKFKNIIHLIVMDPFVDLGITICIVLNTLFMAMEHYPMTEHFDNVLTVGNLVFTGIFTAEMVLKLIAMDPYEYFQQGWNIFDSIIVTLSLVELGLANVQGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKIALDCNLPRWHMHDFFHSFLIVFRILCGEWIETMWDCMEVAGQAMCLTVFLMVMVIGNLVVLNLFLALLLSSFSADSLAASDEDGEMNNLQIAIGRIKLGIGFAKAFLLGLLHGKILSPKDIMLSLGEADGAGEAGEAGETAPEDEKKEPPEEDLKKDNHILNHMGLADGPPSSLELDHLNFINNPYLTIQVPIASEESDLEMPTEEETDTFSEPEDSKKPPQPLYDGNSSVCSTADYKPPEEDPEEQAEENPEGEQPEECFTEACVQRWPCLYVDISQGRGKKWWTLRRACFKIVEHNWFETFIVFMILLSSGALAFEDIYIEQRRVIRTILEYADKVFTYIFIMEMLLKWVAYGFKVYFTNAWCWLDFLIVDVSIISLVANWLGYSELGPIKSLRTLRALRPLRALSRFEGMRVVVNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYYCINTTTSERFDISEVNNKSECESLMHTGQVRWLNVKVNYDNVGLGYLSLLQVATFKGWMDIMYAAVDSREKEEQPQYEVNLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKLGGKDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPQNKIQGMVYDLVTKQAFDITIMILICLNMVTMMVETDNQSQLKVDILYNINMIFIIIFTGECVLKMLALRQYYFTVGWNIFDFVVVILSIVGLALSDLIQKYFVSPTLFRVIRLARIGRVLRLIRGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYSIFGMSNFAYVKKESGIDDMFNFETFGNSIICLFEITTSAGWDGLLNPILNSGPPDCDPNLENPGTSVKGDCGNPSIGICFFCSYIIISFLIVVNMYIAIILENFNVATEESSEPLGEDDFEMFYETWEKFDPDATQFIAYSRLSDFVDTLQEPLRIAKPNKIKLITLDLPMVPGDKIHCLDILFALTKEVLGDSGEMDALKQTMEEKFMAANPSKVSYEPITTTLKRKHEEVCAIKIQRAYRRHLLQRSMKQASYMYRHSHDGSGDDAPEKEGLLANTMSKMYGHENGNSSSPSPEEKGEAGDAGPTMGLMPISPSDTAWPPAPPPGQTVRPGVKESLV", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEQTVLVPPGPDSFNFFTRESLAAIERRIAEEKAKNPKPDKKDDDENGPKPNSDLEAGKNLPFIYGDIPPEMVSEPLEDLDPYYINKKTFIVLNKGKAIFRFSATSALYILTPFNPLRKIAIKILVHSLFSMLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKIIARGFCLEDFTFLRDPWNWLDFTVITFAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCIQWPPTNASLEEHSIEKNITVNYNGTLINETVFEFDWKSYIQDSRYHYFLEGFLDALLCGNSSDAGQCPEGYMCVKAGRNPNYGYTSFDTFSWAFLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLILAVVAMAYEEQNQATLEEAEQKEAEFQQMIEQLKKQQEAAQQAATATASEHSREPSAAGRLSDSSSEASKLSSKSAKERRNRRKKRKQKEQSGGEEKDEDEFQKSESEDSIRRKGFRFSIEGNRLTYEKRYSSPHQSLLSIRGSLFSPRRNSRTSLFSFRGRAKDVGSENDFADDEHSTFEDNESRRDSLFVPRRHGERRNSNLSQTSRSSRMLAVFPANGKMHSTVDCNGVVSLVGGPSVPTSPVGQLLPEVIIDKPATDDNGTTTETEMRKRRSSSFHVSMDFLEDPSQRQRAMSIASILTNTVEELEESRQKCPPCWYKFSNIFLIWDCSPYWLKVKHVVNLVVMDPFVDLAITICIVLNTLFMAMEHYPMTDHFNNVLTVGNLVFTGIFTAEMFLKIIAMDPYYYFQEGWNIFDGFIVTLSLVELGLANVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKDCVCKIASDCQLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQAMCLTVFMMVMVIGNLVVLNLFLALLLSSFSADNLAATDDDNEMNNLQIAVDRMHKGVAYVKRKIYEFIQQSFIRKQKILDEIKPLDDLNNKKDSCMSNHTAEIGKDLDYLKDVNGTTSGIGTGSSVEKYIIDESDYMSFINNPSLTVTVPIAVGESDFENLNTEDFSSESDLEESKEKLNESSSSSEGSTVDIGAPVEEQPVVEPEETLEPEACFTEGCVQRFKCCQINVEEGRGKQWWNLRRTCFRIVEHNWFETFIVFMILLSSGALAFEDIYIDQRKTIKTMLEYADKVFTYIFILEMLLKWVAYGYQTYFTNAWCWLDFLIVDVSLVSLTANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVVVNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCINTTTGDRFDIEDVNNHTDCLKLIERNETARWKNVKVNFDNVGFGYLSLLQVATFKGWMDIMYAAVDSRNVELQPKYEESLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPGNKFQGMVFDFVTRQVFDISIMILICLNMVTMMVETDDQSEYVTTILSRINLVFIVLFTGECVLKLISLRHYYFTIGWNIFDFVVVILSIVGMFLAELIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKREVGIDDMFNFETFGNSMICLFQITTSAGWDGLLAPILNSKPPDCDPNKVNPGSSVKGDCGNPSVGIFFFVSYIIISFLVVVNMYIAVILENFSVATEESAEPLSEDDFEMFYEVWEKFDPDATQFMEFEKLSQFAAALEPPLNLPQPNKLQLIAMDLPMVSGDRIHCLDILFAFTKRVLGESGEMDALRIQMEERFMASNPSKVSYQPITTTLKRKQEEVSAVIIQRAYRRHLLKRTVKQASFTYNKNKIKGGANLLIKEDMIIDRINENSITEKTDLTMSTAACPPSYDRVTKPIVEKHEQEGKDEKAKGK", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAPRARRRRPLFALLLLCALLARLQVALQIAPPCTSEKHYEHLGRCCNKCEPGKYMSSKCTTTSDSVCLPCGPDEYLDSWNEEDKCLLHKVCDTGKALVAVVAGNSTTPRRCACTAGYHWSQDCECCRRNTECAPGLGAQHPCYFSDAFSSTDKCRPWTNCTFLGKRVEHHGTEKSDAVCSSSLPARKPPNEPHVYLPGLIILLLFASVALVAAIIFGVCYRKKGKALTANLWHWINEACGRLSGDKESSGDSCVSTHTANFGQQGACEGVLLLTLEEKTFPEDMCYPDQGGVCQGTCVGGGPYAQGEDARMLSLVSKTEIEEDSFRQMPTEDEYMDRPSQPTDQLLFLTEPGSKSTPPFSEPLEVGENDSLSQCFTGTQSTVGSESCNCTEPLCRTDWTPMSSENYLQKEVDSGHCPHWAASPSPNWADVCTGCRNPPGEDCEPLVGSPKRGPLPQCAYGMGLPPEEEASRTEARDQPEDGADGRLPSSARAGAGSGSSPGGQSPASGNVTGNSNSTFISSGQVMNFKGDIIVVYVSQTSQEGAAAAAEPMGRPVQEETLARRDSFAGNGPRFPDPCGGPEGLREPEKASRPVQEQGGAKA", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTGATPENRTAPSPPPVKHVPESVLPAKPPKRNNYAFACAILASMTSILLGYDIGVMSGAMIYIKRDLKINDLQIGILAGSLNIYSLIGSCAAGRTSDWIGRRYTIVLAGAIFFAGAILMGLSPNYAFLMFGRFIAGIGVGYALMIAPVYTAEVSPASSRGFLNSFPEVFINAGIMLGYVSNLAFSNLPLKVGWRLMLGIGAVPSVILAIGVLAMPESPRWLVMQGRLGDAKRVLDKTSDSPTEATLRLEDIKHAAGIPADCHDDVVQVSRRNSHGEGVWRELLIRPTPAVRRVMIAAIGIHFFQQASGIDAVVLFSPRIFKTAGLKTDHQQLLATVAVGVVKTSFILVATFLLDRIGRRPLLLTSVGGMVLSLAALGTSLTIIDQSEKKVMWAVVVAIATVMTYVATFSIGAGPITWVYSSEIFPLRLRSQGSSMGVVVNRVTSGVISISFLPMSKAMTTGGAFYLFGGIATVAWVFFYTFLPETQGRMLEDMDELFSGFRWRDSKSKPKGNPEKTVPNPEVEIGSNKQWKEGDTQSS", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSLASGPSSKLLLFSLGMGLVSGSKCPNKCVCQDQEVACIDLHLTEYPADIPLNTRRLYLNNNKITSLPALQLGFLSDLVYLDCQNNRIREVMDYTFIGIFRLIYLDLSSNNLTSISPFSFSVLTNLVRLNISHNPHLLYLDKYVFANTTSLRYLDLRNTGLHIIDHNGFHHLVVLQTLYLSGNPWICNCSFLDFTIHLLVSHMDHPDAQNATCTEPAELKGWPITKVGNPLQYMCITHLDQQDYIFLLLIGFCIFAAGTVAAWLTGVCAVLYQNALRTSSGDDTEDETGSRFANQIFRSNTHLGPIRRFPELI", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQTIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAVTVMIGGEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVVAPASFENVREKWVPEISHHCSKTPFLLVGTQVDLRDDPGMLEKLAKNKQKPVSTDVGEKLAKELKAVKYVECSALTQKGLKNVFDEAILAALDPPQQEKKKKCNIL", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKRQNVRTLALIVCTFTYLLVGAAVFDALESEPELIERQRLELRQQELRARYNLSQGGYEELERVVLRLKPHKAGVQWRFAGSFYFAITVITTIGYGHAAPSTDGGKVFCMFYALLGIPLTLVMFQSLGERINTLVRYLLHRAKKGLGMRRADVSMANMVLIGFFSCISTLCIGAAAFSHYEHWTFFQAYYYCFITLTTIGFGDYVALQKDQALQTQPQYVAFSFVYILTGLTVIGAFLNLVVLRFMTMNAEDEKRDAEHRALLTRNGQAGGGGGGGSAHTTDTASSTAAAGGGGFRNVYAEVLHFQSMCSCLWYKSREKLQYSIPMIIPRDLSTSDTCVEQSHSSPGGGGRYSDTPSRRCLCSGAPRSAISSVSTGLHSLSTFRGLMKRRSSV", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKRSLRKMWRPGEKKEPQGVVYEDVPDDTEDFKESLKVVFEGSAYGLQNFNKQKKLKRCDDMDTFFLHYAAAEGQIELMEKITRDSSLEVLHEMDDYGNTPLHCAVEKNQIESVKFLLSRGANPNLRNFNMMAPLHIAVQGMNNEVMKVLLEHRTIDVNLEGENGNTAVIIACTTNNSEALQILLKKGAKPCKSNKWGCFPIHQAAFSGSKECMEIILRFGEEHGYSRQLHINFMNNGKATPLHLAVQNGDLEMIKMCLDNGAQIDPVEKGRCTAIHFAATQGATEIVKLMISSYSGSVDIVNTTDGCHETMLHRASLFDHHELADYLISVGADINKIDSEGRSPLILATASASWNIVNLLLSKGAQVDIKDNFGRNFLHLTVQQPYGLKNLRPEFMQMQQIKELVMDEDNDGCTPLHYACRQGGPGSVNNLLGFNVSIHSKSKDKKSPLHFAASYGRINTCQRLLQDISDTRLLNEGDLHGMTPLHLAAKNGHDKVVQLLLKKGALFLSDHNGWTALHHASMGGYTQTMKVILDTNLKCTDRLDEDGNTALHFAAREGHAKAVALLLSHNADIVLNKQQASFLHLALHNKRKEVVLTIIRSKRWDECLKIFSHNSPGNKCPITEMIEYLPECMKVLLDFCMLHSTEDKSCRDYYIEYNFKYLQCPLEFTKKTPTQDVIYEPLTALNAMVQNNRIELLNHPVCKEYLLMKWLAYGFRAHMMNLGSYCLGLIPMTILVVNIKPGMAFNSTGIINETSDHSEILDTTNSYLIKTCMILVFLSSIFGYCKEAGQIFQQKRNYFMDISNVLEWIIYTTGIIFVLPLFVEIPAHLQWQCGAIAVYFYWMNFLLYLQRFENCGIFIVMLEVILKTLLRSTVVFIFLLLAFGLSFYILLNLQDPFSSPLLSIIQTFSMMLGDINYRESFLEPYLRNELAHPVLSFAQLVSFTIFVPIVLMNLLIGLAVGDIAEVQKHASLKRIAMQVELHTSLEKKLPLWFLRKVDQKSTIVYPNKPRSGGMLFHIFCFLFCTGEIRQEIPNADKSLEMEILKQKYRLKDLTFLLEKQHELIKLIIQKMEIISETEDDDSHCSFQDRFKKEQMEQRNSRWNTVLRAVKAKTHHLEP", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEVTCLLLLALIPFHCRGQGVYAPAQAQIVHAGQACVVKEDNISERVYTIREGDTLMLQCLVTGHPRPQVRWTKTAGSASDKFQETSVFNETLRIERIARTQGGRYYCKAENGVGVPAIKSIRVDVQYLDEPMLTVHQTVSDVRGNFYQEKTVFLRCTVNSNPPARFIWKRGSDTLSHSQDNGVDIYEPLYTQGETKVLKLKNLRPQDYASYTCQVSVRNVCGIPDKAITFRLTNTTAPPALKLSVNETLVVNPGENVTVQCLLTGGDPLPQLQWSHGPGPLPLGALAQGGTLSIPSVQARDSGYYNCTATNNVGNPAKKTVNLLVRSMKNATFQITPDVIKESENIQLGQDLKLSCHVDAVPQEKVTYQWFKNGKPARMSKRLLVTRNDPELPAVTSSLELIDLHFSDYGTYLCMASFPGAPVPDLSVEVNISSETVPPTISVPKGRAVVTVREGSPAELQCEVRGKPRPPVLWSRVDKEAALLPSGLPLEETPDGKLRLERVSRDMSGTYRCQTARYNGFNVRPREAQVQLNVQFPPEVEPSSQDVRQALGRPVLLRCSLLRGSPQRIASAVWRFKGQLLPPPPVVPAAAEAPDHAELRLDAVTRDSSGSYECSVSNDVGSAACLFQVSAKAYSPEFYFDTPNPTRSHKLSKNYSYVLQWTQREPDAVDPVLNYRLSIRQLNQHNAVVKAIPVRRVEKGQLLEYILTDLRVPHSYEVRLTPYTTFGAGDMASRIIHYTEPINSPNLSDNTCHFEDEKICGYTQDLTDNFDWTRQNALTQNPKRSPNTGPPTDISGTPEGYYMFIETSRPRELGDRARLVSPLYNASAKFYCVSFFYHMYGKHIGSLNLLVRSRNKGALDTHAWSLSGNKGNVWQQAHVPISPSGPFQIIFEGVRGPGYLGDIAIDDVTLKKGECPRKQTDPNKVVVMPGSGAPCQSSPQLWGPMAIFLLALQR", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MERSNLFHIPCFLLLFLLFNINGVHTTFVCGDEDFSPNTSYVENLESLLPSLASNVIRERGFYNVSLDGVYALALCRKHYEVQACRRCVDRASRTLLTQCRGKTEAYHWDSENDANVSCLVRYSNIHRFGKLKLEPIGNVPHSSLDPSSNLTRISQEFAARANRTVEVASTADESSVLKYYGVSSAEFTDTPEVNMLMQCTPDLSSSDCNHCLRENVRYNQEHNWDRVGGTVARPSCYFRWDDYRFAGAFDNLERVPAPPRSPQTRQDYRVKKGRMFQPWSVVVVVFPTGINLAVFVAFVLAYRRMRRRIYTEINKNSDSDGQATLRFDLGMILIATNEFSLENKLGQGGFGSVYKGILPSGQEIAVKRLAGGSGQGELEFKNEVLLLTRLQHRNLVKLLGFCNEGNEEILVYEHVPNSSLDHFIFDEDKRWLLTWDVRYRIIEGVARGLLYLHEDSQLRIIHRDLKASNILLDAEMNPKVADFGMARLFNMDETRGETSRVVGTYGYMAPEYVRHGQFSAKSDVYSFGVMLLEMISGEKNKNFETEGLPAFAWKRWIEGELESIIDPYLNENPRNEIIKLIQIGLLCVQENAAKRPTMNSVITWLARDGTFTIPKPTEAAFVTLPLSVKPENRSMSERKDKDPFSVDEVSITVLYPR", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRPAALLLCLTLLHCAGAGFPEDSEPISISHGNYTKQYPVFVGHKPGRNTTQRHRLDIQMIMIMNRTLYVAARDHIYTVDIDTSHTEEIYCSKKLTWKSRQADVDTCRMKGKHKDECHNFIKVLLKKNDDTLFVCGTNAFNPSCRNYRVDTLETFGDEFSGMARCPYDAKHANIALFADGKLYSATVTDFLAIDAVIYRSLGDSPTLRTVKHDSKWLKEPYFVQAVDYGDYIYFFFREIAVEYNTMGKVVFPRVAQVCKNDMGGSQRVLEKQWTSFLKARLNCSVPGDSHFYFNILQAVTDVIRINGRDVVLATFSTPYNSIPGSAVCAYDMLDIANVFTGRFKEQKSPDSTWTPVPDERVPKPRPGCCAGSSSLEKYATSNEFPDDTLNFIKTHPLMDEAVPSIINRPWFLRTMVRYRLTKIAVDNAAGPYQNHTVVFLGSEKGIILKFLARIGSSGFLNGSLFLEEMNVYNPEKCSYDGVEDKRIMGMQLDRASGSLYVAFSTCVIKVPLGRCERHGKCKKTCIASRDPYCGWVRESGSCAHLSPLSRLTFEQDIERGNTDGLGDCHNSFVALNGHASSLYPSTTTSDSASRDGYESRGGMLDWNDLLEAPGSTDPLGAVSSHNHQDKKGVIRESYLKSNDQLVPVTLLAIAVILAFVMGAVFSGIIVYCVCDHRRKDVAVVQRKEKELTHSRRGSMSSVTKLSGLFGDTQSKDPKPEAILTPLMHNGKLATPSNTAKMLIKADQHHLDLTALPTPESTPTLQQKRKPNRGSREWERNQNIINACTKDMPPMGSPVIPTDLPLRASPSHIPSVVVLPITQQGYQHEYVDQPKMSEVVAQMALEDQAATLEYKTIKEHLSSKSPNHGVNLVENLDSLPPKVPQREASLGPPGTSLSQTGLSKRLEMQHSSSYGLEYKRSYPTNSLTRSHQTTTLKRNNTNSSNSSHLSRNQSFGRGDNPPPAPQRVDSIQVHSSQPSGQAVTVSRQPSLNAYNSLTRSGLKRTPSLKPDVPPKPSFAPLSTSMKPNDACT", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAATGSGRSQFISSSGGNRSFSNSPLIENSDSNQIIVSEKKSWKNFFAYLGPGFLVSIAYIDPGNFETDLQAGAHYKYELLWIILVASCAALVIQSLAANLGVVTGKHLAEQCRAEYSKVPNFMLWVVAEIAVVACDIPEVIGTAFALNMLFSIPVWIGVLLTGLSTLILLALQKYGVRKLEFLIAFLVFTIAICFFVELHYSKPDPGEVLHGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSASGIKEACRFYLIESGLALMVAFLINVSVISVSGAVCNAPNLSPEDRANCEDLDLNKASFLLRNVVGKWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRLEPWLRNLLTRCLAIIPSLIVALIGGSAGAGKLIIIASMILSFELPFALVPLLKFTSCKTKMGSHVNPMAITALTWVIGGLIMGINIYYLVSSFIKLLIHSHMKLILVVFCGILGFAGIALYLAAIAYLVFRKNRVATSLLISRDSQNVETLPRQDIVNMQLPCRVSTSDVD", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGLLCSRSRHHTEDTDENTQAAEIERRIEQEAKAEKHIRKLLLLGAGESGKSTIFKQIKLLFQTGFDEGELKSYVPVIHANVYQTIKLLHDGTKEFAQNETDSAKYMLSSESIAIGEKLSEIGGRLDYPRLTKDIAEGIETLWKDPAIQETCARGNELQVPDCTKYLMENLKRLSDINYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDEQKNRMMETKELFDWVLKQPCFEKTSFMLFLNKFDIFEKKVLDVPLNVCEWFRDYQPVSSGKQEIEHAYEFVKKKFEELYYQNTAPDRVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLLEAGLL", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGLCFSSAAKSSGHNRSSRNPHPHPPLTVVKSRPPRSPCSFMAVTIQKDHRTQPRRNATAKKTPTRHTPPHGKVREKVISNNGRRHGETIPYGKRVDFGYAKDFDHRYTIGKLLGHGQFGYTYVATDKKTGDRVAVKKIDKAKMTIPIAVEDVKREVKILQALTGHENVVRFYNAFEDKNSVYIVMELCEGGELLDRILARKDSRYSERDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSTEEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVISYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWPTISNSAKDFVKKLLVKDPRARLTAAQALSHPWVREGGDASEIPIDISVLNNMRQFVKFSRLKQFALRALATTLDEEELADLRDQFDAIDVDKNGVISLEEMRQALAKDHPWKLKDARVAEILQAIDSNTDGFVDFGEFVAAALHVNQLEEHDSEKWQQRSRAAFEKFDIDGDGFITAEELRMHTGLKGSIEPLLEEADIDNDGKISLQEFRRLLRTASIKSRNVRSPPGYLISRKV", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKFQLLTLVSIATTTLAINLEQVRLINDDELMVQDAQFDYPAIVNLKDQDAEIAKKTITSSSSTTTTTTAKKDKKTTSTTSASSTTTTSTKSNSTSSSSSSSKKHKSETASITKTGGADSVAAAAAVGGPILAALALLL", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDKFWWHTAWGLCLLQLSLAHQQIDLNVTCRYAGVFHVEKNGRYSISRTEAADLCQAFNSTLPTMDQMKLALSKGFETCRYGFIEGNVVIPRIHPNAICAANHTGVYILVTSNTSHYDTYCFNASAPPEEDCTSVTDLPNSFDGPVTITIVNRDGTRYSKKGEYRTHQEDIDASNIIDDDVSSGSTIEKSTPEGYILHTYLPTEQPTGDQDDSFFIRSTLATIASTVHSKSHAAAQKQNNWIWSWFGNSQSTTQTQEPTTSATTALMTTPETPPKRQEAQNWFSWLFQPSESKSHLHTTTKMPGTESNTNPTGWEPNEENEDETDTYPSFSGSGIDDDEDFISSTIATTPRVSARTEDNQDWTQWKPNHSNPEVLLQTTTRMADIDRISTSAHGENWTPEPQPPFNNHEYQDEEETPHATSTTPNSTAEAAATQQETWFQNGWQGKNPPTPSEDSHVTEGTTASAHNNHPSQRITTQSQEDVSWTDFFDPISHPMGQGHQTESKDTDSSHSTTLQPTAAPNTHLVEDLNRTGPLSVTTPQSHSQNFSTLHGEPEEDENYPTTSILPSSTKSSAKDARRGGSLPTDTTTSVEGYTFQYPDTMENGTLFPVTPAKTEVFGETEVTLATDSNVNVDGSLPGDRDSSKDSRGSSRTVTHGSELAGHSSANQDSGVTTTSGPMRRPQIPEWLIILASLLALALILAVCIAVNSRRRCGQKKKLVINGGNGTVEDRKPSELNGEASKSQEMVHLVNKEPSETPDQCMTADETRNLQSVDMKIGV", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAFVRSLLGAKKILSRSTAAGSAAPKGFLAVYVGESQKKRYLVPLSYLSQPSFQALLSKSEEEFGFAHPMGGLTIPCPEDTFINVTSRLQ", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGPLQGDGGPALGGADVAPRLSPVRVWPRPQAPKEPALHPMGLSLPKEKGLILCLWSKFCRWFQRRESWAQSRDEQNLLQQKRIWESPLLLAAKDNDVQALNKLLKYEDCKVHQRGAMGETALHIAALYDNLEAAMVLMEAAPELVFEPMTSELYEGQTALHIAVVNQNMNLVRALLARRASVSARATGTAFRRSPCNLIYFGEHPLSFAACVNSEEIVRLLIEHGADIRAQDSLGNTVLHILILQPNKTFACQMYNLLLSYDRHGDHLQPLDLVPNHQGLTPFKLAGVEGNTVMFQHLMQKRKHTQWTYGPLTSTLYDLTEIDSSGDEQSLLELIITTKKREARQILDQTPVKELVSLKWKRYGRPYFCMLGAIYLLYIICFTMCCIYRPLKPRTNNRTSPRDNTLLQQKLLQEAYMTPKDDIRLVGELVTVIGAIIILLVEVPDIFRMGVTRFFGQTILGGPFHVLIITYAFMVLVTMVMRLISASGEVVPMSFALVLGWCNVMYFARGFQMLGPFTIMIQKMIFGDLMRFCWLMAVVILGFASAFYIIFQTEDPEELGHFYDYPMALFSTFELFLTIIDGPANYNVDLPFMYSITYAAFAIIATLLMLNLLIAMMGDTHWRVAHERDELWRAQIVATTVMLERKLPRCLWPRSGICGREYGLGDRWFLRVEDRQDLNRQRIQRYAQAFHTRGSEDLDKDSVEKLELGCPFSPHLSLPMPSVSRSTSRSSANWERLRQGTLRRDLRGIINRGLEDGESWEYQI", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MWHPALGPGWKPLLALAVAVTSLRGVRGIEEEPNSGGSFQIVTFKWHHVQDPYIIALWILVASLAKIVFHLSHKVTSVVPESALLIVLGLVLGGIVWAADHIASFTLTPTLFFFYLLPPIVLDAGYFMPNRLFFGNLGTILLYAVIGTIWNAATTGLSLYGVFLSGLMGELKIGLLDFLLFGSLIAAVDPVAVLAVFEEVHVNEVLFIIVFGESLLNDAVTVVLYNVFESFVTLGGDAVTGVDCVKGIVSFFVVSLGGTLVGVIFAFLLSLVTRFTKHVRIIEPGFVFVISYLSYLTSEMLSLSAILAITFCGICCQKYVKANISEQSATTVRYTMKMLASGAETIIFMFLGISAVDPVIWTWNTAFVLLTLVFISVYRAIGVVLQTWILNRYRMVQLETIDQVVMSYGGLRGAVAYALVVLLDEKKVKEKNLFVSTTLIVVFFTVIFQGLTIKPLVQWLKVKRSEQREPKLNEKLHGRAFDHILSAIEDISGQIGHNYLRDKWSNFDRKFLSKVLMRRSAQKSRDRILNVFHELNLKDAISYVAEGERRGSLAFIRSPSTDNMVNVDFSTPRPSTVEASVSYFLRENVSAVCLDMQSLEQRRRSIRDTEDMVTHHTLQQYLYKPRQEYKHLYSRHELTPNEDEKQDKEIFHRTMRKRLESFKSAKLGINQNKKAAKLYKRERAQKRRNSSIPNGKLPMENLAHNFTIKEKDLELSEPEEATNYEEISGGIEFLASVTKDVASDSGAGIDNPVFSPDEDLDPSILSRVPPWLSPGETVVPSQRARVQIPNSPSNFRRLTPFRLSNKSVDSFLQADGPEEQLQPASPESTHM", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVAERTHKAAATGARGPGELGAPGTVALVAARAERGARLPSPGSCGLLTLALCSLALSLLAHFRTAELQARVLRLEAERGEQQMETAILGRVNQLLDEKWKLHSRRRREAPKTSPGCNCPPGPPGPTGRPGLPGDKGAIGMPGRVGSPGDAGLSIIGPRGPPGQPGTRGFPGFPGPIGLDGKPGHPGPKGDMGLTGPPGQPGPQGQKGEKGQCGEYPHRECLSSMPAALRSSQIIALKLLPLLNSVRLAPPPVIKRRTFQGEQSQASIQGPPGPPGPPGPSGPLGHPGLPGPMGPPGLPGPPGPKGDPGIQGYHGRKGERGMPGMPGKHGAKGAPGIAVAGMKGEPGIPGTKGEKGAEGSPGLPGLLGQKGEKGDAGNSIGGGRGEPGPPGLPGPPGPKGEAGVDGQVGPPGQPGDKGERGAAGEQGPDGPKGSKGEPGKGEMVDYNGNINEALQEIRTLALMGPPGLPGQIGPPGAPGIPGQKGEIGLPGPPGHDGEKGPRGKPGDMGPPGPQGPPGKDGPPGVKGENGHPGSPGEKGEKGETGQAGSPGEKGEAGEKGNPGAEVPGLPGPEGPPGPPGLQGVPGPKGEAGLDGAKGEKGFQGEKGDRGPLGLPGASGLDGRPGPPGTPGPIGVPGPAGPKGERGSKGDPGMTGPTGAAGLPGLHGPPGDKGNRGERGKKGSRGPKGDKGDQGAPGLDAPCPLGEDGLPVQGCWNK", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDNSIHSTDGPDSVIPNSNPKKTVRQRVRLLARHLTTREGLIGDYDYGFLFRPELPFMKKDPRAPPFFGLNEKIPVLLAFILGLQHALAMLAGVVTPPLIISSSLSLPSDLQQYLVSTSLIVCGLLSMVQITRFHIYKTPYYIGSGVLSVMGVSFSIISVASGAFNQMYSNGFCQLDEAGNRLPCPEAYGALIGTSACCALVEILLAFVPPKVIQKIFPPIVTGPTVMLIGISLIGTGFKDWAGGSACMDDGMLCPSATAPRPLPWGSPEFIGLGFLVFVSIILCERFGAPIMKSCSVVIGLLVGCIVAAACGYFSHADIDAAPAASFIWVKTFPLSVYGPMVLPIIAVFIICACECIGDVTATCDVSRLEVRGGTFESRIQGAVLADGINSVVAALATMTPMTTFAQNNGVIALTRCANRWAGYCCCLILIVAGIFAKFAAAIVAIPNSVMGGMKTFLFASVVISGQAIVAKAPFTRRNRFILTASMALGYGATLVPTWFGNVFPQTENRDLEGFENAIELVLETGFAVTAFVAMLLNAIMPAEVEEIGAVTPMPVSAHDNRDGEAEYQSKQA", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGPLQREDRPALGGANVAPGSSPVGVWHQPQPPKEPAFHPMGWSLPKEKGLILCLWNKFCRWFHRQESWAQSRDEQNLLQQKRIWESPLLLAAKENDVQALSKLLKFEGCEVHQRGAMGETALHIAALYDNLEAAMVLMEAAPELVFEPMTSELYEGQTALHIAVINQNVNLVRALLARGASVSARATGSVFHYRPHNLIYYGEHPLSFAACVGSEEIVRLLIEHGADIRAQDSLGNTVLHILILQPNKTFACQMYNLLLSYDGGDHLKSLELVPNNQGLTPFKLAGVEGNIVMFQHLMQKRKHIQWTYGPLTSTLYDLTEIDSSGDDQSLLELIVTTKKREARQILDQTPVKELVSLKWKRYGRPYFCVLGAIYVLYIICFTMCCVYRPLKPRITNRTNPRDNTLMQQKLLQEAYVTPKDDLRLVGELVSIVGAVIILLVEIPDIFRLGVTRFFGQTILGGPFHVIIITYAFMVLVTMVMRLTNVDGEVVPMSFALVLGWCNVMYFARGFQMLGPFTIMIQKMIFGDLMRFCWLMAVVILGFASAFYIIFQTEDPDELGHFYDYPMALFSTFELFLTIIDGPANYDVDLPFMYSVTYAAFAIIATLLMLNLLIAMMGDTHWRVAHERDELWRAQVVATTVMLERKLPRCLWPRSGICGREYGLGDRWFLRVEDRQDLNRQRIRRYAQAFQQQDGLYSEDLEKDSGEKLETARPFGAYLSFPTPSVSRSTSRSSTNWERLRQGALRKDLRGIINRGLEDGEGWEYQI", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKLLGLVFLLFNLFMFSFSRKLLTESGGGLHDEAALLKLKSSFLDPNGVLSSWVSDSSSNHCSWYGVSCNSDSRVVSLILRGCDELEGSGVLHLPDLSSCSSSKRRLGGVISPVVGDLSEIRVLSLSFNDLRGEIPKEIWGLEKLEILDLKGNNFIGGIRVVDNVVLRKLMSFEDEDEIGPSSADDDSPGKSGLYPIEIASIVSASVIVFVLLVLVILFIYTRKWKRNSQVQVDEIKEIKVFVDIGIPLTYEIIVRATGYFSNSNCIGHGGFGSTYKAEVSPTNVFAVKRLSVGRFQGDQQFHAEISALEMVRHPNLVMLIGYHASETEMFLIYNYLSGGNLQDFIKERSKAAIEWKVLHKIALDVARALSYLHEQCSPKVLHRDIKPSNILLDNNYNAYLSDFGLSKLLGTSQSHVTTGVAGTFGYVAPEYAMTCRVSEKADVYSYGIVLLELISDKRALDPSFSSHENGFNIVSWAHMMLSQGKAKEVFTTGLWETGPPDDLVEVLHLALKCTVDSLSIRPTMKQAVRLLKRIQPSRL", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRGEANGEEEQQPPRRNHLRDDAEEEEEVERRAARPVSGQQQQQQRRRPTDVGGGAAMRSVGYVGKHRLSAAIARLDQELQSLQDELNELETMEPASAACQGVITSTEGKSDPLLPVTIGPENASWERWFQRVRSSRSNKWWASKGSDFS", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAKRTFSSLEAFLIFLLVMMTAITVALLTLLFVTSGTIENHKDSGNHWVSTTQGPTTTQSSPTTQTPTTQTPDLPPSQNFSGYYIGVGRADCTGQVSDINLMGYGKNGQNAQGLLTRLFSRAFILADPDGSNRMAFVSVELCMISQRLRLEVLKRLQSKYGSLYRRDNVILSATHTHSGPAGFFQYTLYILASEGFSNRTFQYIVSGIVKSIDIAHTNLKPGKVLINKGNVANVQINRSPSSYLQNPPSERARYSSDTDKEMVVLKLVDLNGEDLGLISWFAVHPVSMNNSNHLVNSDNMGYAAYLFEQEKNRGYLPGQGPFVAGFASSNLGDVSPNILGPHCVNTGESCDNDKSTCPSGGPSMCMASGPGQDMFESTHIIGRVIYQKAKELHASASQEVTGPVLTAHQWVNMTDVSVQLNATHTVKTCKAALGYSFAAGTIDGVSGLNITQGTTEGNLFWDTLRDQLLGKPSEEIIECQKPKPILIHTGELTKPHPWQPDIVDIQIVTLGSLAIAAIPGEFTTMSGRRLREAVKKEFALYGMKDMTVVIAGLSNVYTHYITTYEEYQAQRYEAASTIYGPHTLSAYIQLFRALAKAIATDTVANMSSGPEPPFFKNLIGSLIPNIADRAPIGKQFGDVLQPAKPEYRVGEVVEVVFVGANPKNSAENQTHQTFLTVEKYEDSVANWQIMHNDASWETRFYWHKGVLGLSNATIHWHIPDTALPGVYRIRYFGHNRKQELLKPAVILAFEGISSPFEIVTT", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKIHHFLTLLCTFLPLTTTALTNSTPLSLLGPCYKRCVTKFGETKEQLTNAETISLEYDVSNNTEFSLCKLGCNSHEYTDLNLAAFRYGQLAYQKILTTVEDVPTRGTVLNDVFIVCLDTSFMPSNNSAPSAKRLLSGTVLLVLDEDVAKADNVFLIEVLARNADKSAVQVISQQWCYSSNCNITFNAPTEVSSFDVRLRVSTFDSNGQVGGINFSKWHNINQILTKTFVDMSLKSVVWKAEKAAANFVFNLTASDHVPACSLQMIYRSSLSSELLHRNFYLDHTLEVFVNNLDFDKIYTMQLAPSGTHDRSTPSLASAVIEIPPCRHLVDDYSMCAPPPVSSLSYNWNLSPTSEYELLIKWKLLNYMDGLNVTEELSIPVAYFLLNAHPLITANNEQCEKYEKIRRVVSYGLRELVFHVPDTDCNYEVEMTAVDTNQRISEVKKIQVFRFNVPPYVSFLQASDIPTSVELMAVVLATSAIFALIALFLLYRKRKRDKKARFQMYKDAEAGVSYDYVATTESLGSVVQIRSTNFRFEPVENIDGNIEAALAQQQKFEGGTMNSMFRTYYNLDHPVKVPAHMAEASSDEDNGYENIRYSYFGSELSDDVFEEDIYMTHKSLSIYCQDSPLTTPMAPIAPYEHFDDIPSHQYRNFQVHNFNERIEKQAYWLMATVVDVVRRELYSLKVPKDYTPETISAMRKELEFLRTLAPHGNCRRFEGVVIGRWDDLPRQVIGILIENTRGGTLRNYIAAVGSVFRNCSLATDHDSFASQQDMNSTQHPFDKLSTEADENNSKKVKIQEITDSLSIRFCQFAEQVSSALEHLHSAGSVHTRVTTLNIYLLHNYSDPFDMLPDQVVKLGNFGFAVQNSEDVVLDDNLQPPEVIKGEKYEARGDIWQFGLCLAEMCSLGDLEQSEVGTLKSGHDTFKNLPSTQVLRDAAKRCLSARTRPSASDLCGVFKSVNVAATV", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVRICVFMVFLLFFAPSPVSAGFYTNSSAIPPQLLRNATGNPWNSFLNFTGCHAGKKYDGLYMLKQYFQHFGYITETNLSGNFTDDFDDILKNAVEMYQRNFQLNVTGVLDELTLKHVVIPRCGNPDVVNGTSTMHSGRKTFEVSFAGRGQRFHAVKHYSFFPGEPRWPRNRRDLTYAFDPRNALTEEVKSVFSRAFTRWEEVTPLTFTRVERFSTSDISIGFYSGEHGDGEPFDGPMRTLAHAFSPPTGHFHLDGEENWIVSGEGGDGFISVSEAVDLESVAVHEIGHLLGLGHSSVEGSIMYPTIRTGRRKVDLTTDDVEGVQYLYGANPNFNGSRSPPPSTQQRDTGDSGAPGRSDGSRSVLTNLLQYYFWIIFGLFLYLV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASAVWGSAPWWGPPPPAPARPLTDIDFCSGAQLQELTQLIQELGVQESWSDGPKPGADLLRAKDFVFSLLGLVHRRDPRFPPQAELLLLRGGIREGSLDLGHAPLGPYARGPHYDAGFTLLVPMFSLDGTELQLDLESCYAQVCLPEMVCGTPIREMWQDCLGPPVPGARDSIHRTESEESSKDWQSSVDQPHSYVTEHEAPVSLEKSPSDVSASESPQHDVVDLGSTAPLKTMSSDVTKAAVESPVPKPSEAREAWPTLCSAQVAAWFFATLAAVAESLIPVPGAPRLVHAARHAGFTTVLLATPEPPRRLLLFDLIPVVSVAGWPEGARSHSWAGPLASESASFYLVPGGGTERPCASAWQLCFARQELALKARIPAPLLQAHAAAQALLRPLVAGTRAAAPYLLRTLLYWACERLPALYLARPENAGACCLGLLDELGRVLEAGTLPHYFLNGRQLRTGDDSAALLGELARLRGDPARALRAAVEEAKVARKGGGLAGVGGGAH", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSEEVTYATLTFQDSAGARNNRDGNNLRKRGHPAPSPIWRHAALGLVTLCLMLLIGLVTLGMMFLQISNDINSDSEKLSQLQKTIQQQQDNLSQQLGNSNNLSMEEEFLKSQISSVLKRQEQMAIKLCQELIIHTSDHRCNPCPKMWQWYQNSCYYFTTNEEKTWANSRKDCIDKNSTLVKIDSLEEKDFLMSQPLLMFSFFWLGLSWDSSGRSWFWEDGSVPSPSLFSTKELDQINGSKGCAYFQKGNIYISRCSAEIFWICEKTAAPVKTEDLD", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLLWLLLLILTPGREQSGVAPKAVLLLNPPWSTAFKGEKVALICSSISHSLAQGDTYWYHDEKLLKIKHDKIQITEPGNYQCKTRGSSLSDAVHVEFSPDWLILQALHPVFEGDNVILRCQGKDNKNTHQKVYYKDGKQLPNSYNLEKITVNSVSRDNSKYHCTAYRKFYILDIEVTSKPLNIQVQELFLHPVLRASSSTPIEGSPMTLTCETQLSPQRPDVQLQFSLFRDSQTLGLGWSRSPRLQIPAMWTEDSGSYWCEVETVTHSIKKRSLRSQIRVQRVPVSNVNLEIRPTGGQLIEGENMVLICSVAQGSGTVTFSWHKEGRVRSLGRKTQRSLLAELHVLTVKESDAGRYYCAADNVHSPILSTWIRVTVRIPVSHPVLTFRAPRAHTVVGDLLELHCESLRGSPPILYRFYHEDVTLGNSSAPSGGGASFNLSLTAEHSGNYSCDADNGLGAQHSHGVSLRVTVPVSRPVLTLRAPGAQAVVGDLLELHCESLRGSFPILYWFYHEDDTLGNISAHSGGGASFNLSLTTEHSGNYSCEADNGLGAQHSKVVTLNVTGTSRNRTGLTAAGITGLVLSILVLAAAAALLHYARARRKPGGLSATGTSSHSPSECQEPSSSRPSRIDPQEPTHSKPLAPMELEPMYSNVNPGDSNPIYSQIWSIQHTKENSANCPMMHQEHEELTVLYSELKKTHPDDSAGEASSRGRAHEEDDEENYENVPRVLLASDH", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGSLRPPQGLGLQWSSFFLGKKGPGLTVSLPLLASSLQVNFRSPRSGQRCWAARTSVEKRLVVLVTLLAAGLVACLAALGIQYRTRTPPVCLTEACVSVTSSILNSMDPTVDPCQDFFSYACGGWIKANPVPDGHSRWGTFSNLWEHNQAIIKHLLENSTASASEAEKKAQVYYRACMNETRIEELRAKPLMELIEKLGGWNITGPWAKDNFQDTLQVVTAHYRTSPFFSVYVSADSKNSNSNVIQVDQSGLGLPSRDYYLNKTENEKVLTGYLNYMVQLGKLLGGGDEDSIRPQMQQILDFETALANITIPQEKRRDEELIYHKVTAAELQTLAPAINWLPFLNAIFYPVEINESEPIVVYDKEYLRQVSTLINSTDKCLLNNYMMWNLVRKTSSFLDQRFQDADEKFMEVMYGTKKTCLPRWKFCVSDTENNLGFALGPMFVKATFAEDSKNIASEIILEIKKAFEESLSTLKWMDEDTRRSAKEKADAIYNMIGYPNFIMDPKELDKVFNDYTAVPDLYFENAMRFFNFSLRVTADQLRKAPNRDQWSMTPPMVNAYYSPTKNEIVFPAGILQAPFYTRSSPNALNFGGIGVVVGHELTHAFDDQGREYDKDGNLRPWWKNSSVEAFKQQTECMVQQYNNYSVNGEPVNGRHTLGENIADNGGLKAAYRAYQNWVKKNGAEQILPTLGLTSNQLFFLGFAQVWCSVRTPESSHEGLITDPHSPSRFRVIGSLSNSKEFSEHFRCPLGSPMNPRHKCEVW", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVKVWNIVLRLVVLLFLAGNTLLLILMIISGATDHYPVNRFYWVQGNTTGIPNAGDETRWTFWGACLQDKDGSDTCTSNLAPAYPISPVDNFNTHINVPHQFISKRDAFYYLTRFSFCFFWIALAFVGVSFILYVLTWCSKMLSEMVLILMSFGFVFNTAAVVLQTAASAMAKNAFHDDHRSAQLGASMMGMAWASVFLCIVEFILLVFWSVRARLASTYSIDNSRYRTSSRWNPFHREKEQATDPILTATGPEDMQQSASIVGPSSNANPVTATAATENQPKGINFFTIRKSHERPDDVSV", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGVENTKQTMSSQNIKPAKDSDDVLHTQFKEVKRSPMRYTMQLLAALAVSMASLMIGYSSSYTSPALVSMRDNTTATFEVTMDMAMWIGSIMPLSALIGGIIGGPCIEYIGRRNTILSTALPFLAGWLFIALATNVAMILVGRSICGFCVGVASLSLPVYLGESIQPEVRGSLGLLPTVFGNSGILMCFTAGMYLAWRNLALLGACIPIIFLILMFLIPETPRWYISKGKIKEARKSLQWLRGKTADISEELDSIQKMHIESERIATEGALIELFRKNHIKPVFISLGLMFFQQFSGINAVIFYTVQIFKDSGSTVDENLSTIIVGLVNFISTFVAAMIIDRLGRKMLLYISSILMCITLFTFGTFFYVKELMDVTAFGWIPLMSLIVYVIGFSFGFGPIPWLMMGEILPVKIRGTAASVATAFNWSCTFVVTKTYEDLVLHIGPYGTFWLFGTLVAVAFIFVIICVPETRGRSLEEIERRFAGPVRRTSAIANLKPMPITI", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSQVGGRGDRCTQEVQGLVHGAGDLSASLAENSPTMSQNGYFEDSSYYKCDTDDTFEAREEILGDEAFDTANSSIVSGESIRFFVNVNLEMQATNTENEATSGGCVLLHTSRKYLKLKNFKEEIRAHRDLDGFLAQASIVLNETATSLDNVLRTMLRRFARDPDNNEPNCNLDLLMAMLFTDAGAPMRGKVHLLSDTIQGVTATVTGVRYQQSWLCIICTMKALQKRHVCISRLVRPQNWGENSCEVRFVILVLAPPKMKSTKTAMEVARTFATMFSDIAFRQKLLETRTEEEFKEALVHQRQLLTMVSHGPVAPRTKERSTVSLPAHRHPEPPKCKDFVPFGKGIREDIARRFPLYPLDFTDGIIGKNKAVGKYITTTLFLYFACLLPTIAFGSLNDENTDGAIDVQKTIAGQSIGGLLYALFSGQPLVILLTTAPLALYIQVIRVICDDYDLDFNSFYAWTGLWNSFFLALYAFFNLSLVMSLFKRSTEEIIALFISITFVLDAVKGTVKIFWKYYYGHYLDDYHTKRTSSLVSLSGLGASLNASLHTALNASFLASPTELPSATHSGQATAVLSLLIMLGTLWLGYTLYQFKKSPYLHPCVREILSDCALPIAVLAFSLISSHGFREIEMSKFRYNPSESPFAMAQIQSLSLRAVSGAMGLGFLLSMLFFIEQNLVAALVNAPENRLVKGTAYHWDLLLLAIINTGLSLFGLPWIHAAYPHSPLHVRALALVEERVENGHIYDTIVNVKETRLTSLGASVLVGLSLLLLPVPLQWIPKPVLYGLFLYIALTSLDGNQLVQRVALLLKEQTAYPPTHYIRRVPQRKIHYFTGLQVLQLLLLCAFGMSSLPYMKMIFPLIMIAMIPIRYILLPRIIEAKYLDVMDAEHRP", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLLWVILLVLAPVSGQFARTPRPIIFLQPPWTTVFQGERVTLTCKGFRFYSPQKTKWYHRYLGKEILRETPDNILEVQESGEYRCQAQGSPLSSPVHLDFSSASLILQAPLSVFEGDSVVLRCRAKAEVTLNNTIYKNDNVLAFLNKRTDFHIPHACLKDNGAYRCTGYKESCCPVSSNTVKIQVQEPFTRPVLRASSFQPISGNPVTLTCETQLSLERSDVPLRFRFFRDDQTLGLGWSLSPNFQITAMWSKDSGFYWCKAATMPYSVISDSPRSWIQVQIPASHPVLTLSPEKALNFEGTKVTLHCETQEDSLRTLYRFYHEGVPLRHKSVRCERGASISFSLTTENSGNYYCTADNGLGAKPSKAVSLSVTVPVSHPVLNLSSPEDLIFEGAKVTLHCEAQRGSLPILYQFHHEGAALERRSANSAGGVAISFSLTAEHSGNYYCTADNGFGPQRSKAVSLSVTVPVSHPVLTLSSAEALTFEGATVTLHCEVQRGSPQILYQFYHEDMPLWSSSTPSVGRVSFSFSLTEGHSGNYYCTADNGFGPQRSEVVSLFVTVPVSRPILTLRVPRAQAVVGDLLELHCEAPRGSPPILYWFYHEDVTLGSSSAPSGGEASFNLSLTAEHSGNYSCEANNGLVAQHSDTISLSVIVPVSRPILTFRAPRAQAVVGDLLELHCEALRGSSPILYWFYHEDVTLGKISAPSGGGASFNLSLTTEHSGIYSCEADNGLEAQRSEMVTLKVAVPVSRPVLTLRAPGTHAAVGDLLELHCEALRGSPLILYRFFHEDVTLGNRSSPSGGASLNLSLTAEHSGNYSCEADNGLGAQRSETVTLYITGLTANRSGPFATGVAGGLLSIAGLAAGALLLYCWLSRKAGRKPASDPARSPSDSDSQEPTYHNVPAWEELQPVYTNANPRGENVVYSEVRIIQEKKKHAVASDPRHLRNKGSPIIYSEVKVASTPVSGSLFLASSAPHR", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MESPSAPPHRWCIPWQRLLLTASLLTFWNPPTTAKLTIESTPFNVAEGKEVLLLVHNLPQHLFGYSWYKGERVDGNRQIIGYVIGTQQATPGPAYSGREIIYPNASLLIQNIIQNDTGFYTLHVIKSDLVNEEATGQFRVYPELPKPSISSNNSKPVEDKDAVAFTCEPETQDATYLWWVNNQSLPVSPRLQLSNGNRTLTLFNVTRNDTASYKCETQNPVSARRSDSVILNVLYGPDAPTISPLNTSYRSGENLNLSCHAASNPPAQYSWFVNGTFQQSTQELFIPNITVNNSGSYTCQAHNSDTGLNRTTVTTITVYAEPPKPFITSNNSNPVEDEDAVALTCEPEIQNTTYLWWVNNQSLPVSPRLQLSNDNRTLTLLSVTRNDVGPYECGIQNKLSVDHSDPVILNVLYGPDDPTISPSYTYYRPGVNLSLSCHAASNPPAQYSWLIDGNIQQHTQELFISNITEKNSGLYTCQANNSASGHSRTTVKTITVSAELPKPSISSNNSKPVEDKDAVAFTCEPEAQNTTYLWWVNGQSLPVSPRLQLSNGNRTLTLFNVTRNDARAYVCGIQNSVSANRSDPVTLDVLYGPDTPIISPPDSSYLSGANLNLSCHSASNPSPQYSWRINGIPQQHTQVLFIAKITPNNNGTYACFVSNLATGRNNSIVKSITVSASGTSPGLSAGATVGIMIGVLVGVALI", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPPAVGGPVGYTPPDGGWGWAVVIGAFISIGFSYAFPKSITVFFKEIEGIFHATTSEVSWISSIMLAVMYGGGPISSILVNKYGSRIVMIVGGCLSGCGLIAASFCNTVQQLYVCIGVIGGLGLAFNLNPALTMIGKYFYKRRPLANGLAMAGSPVFLCTLAPLNQVFFGIFGWRGSFLILGGLLLNCCVAGALMRPIGPKPTKAGKDKSKASLEKAGKSGVKKDLHDANTDLIGRHPKQEKRSVFQTINQFLDLTLFTHRGFLLYLSGNVIMFFGLFAPLVFLSSYGKSQHYSSEKSAFLLSILAFVDMVARPSMGLVANTKPIRPRIQYFFAASVVANGVCHMLAPLSTTYVGFCVYAGFFGFAFGWLSSVLFETLMDLVGPQRFSSAVGLVTIVECCPVLLGPPLLGRLNDMYGDYKYTYWACGVVLIISGIYLFIGMGINYRLLAKEQKANEQKKESKEEETSIDVAGKPNEVTKAAESPDQKDTDGGPKEEESPV", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLPLLLLPLLWGGSLQEKPVYELQVQKSVTVQEGLCVLVPCSFSYPWRSWYSSPPLYVYWFRDGEIPYYAEVVATNNPDRRVKPETQGRFRLLGDVQKKNCSLSIGDARMEDTGSYFFRVERGRDVKYSYQQNKLNLEVTALIEKPDIHFLEPLESGRPTRLSCSLPGSCEAGPPLTFSWTGNALSPLDPETTRSSELTLTPRPEDHGTNLTCQVKRQGAQVTTERTVQLNVSYAPQNLAISIFFRNGTGTALRILSNGMSVPIQEGQSLFLACTVDSNPPASLSWFREGKALNPSQTSMSGTLELPNIGAREGGEFTCRVQHPLGSQHLSFILSVQRSSSSCICVTEKQQGSWPLVLTLIRGALMGAGFLLTYGLTWIYYTRCGGPQQSRAERPG", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MHYRFLRDSFVGRVTYHLSKHKYFAHPEEAKDYIVPEKYLADYKPTLADDTSINFEKEEIDNQGEPNSSQSSSSNNTIVDNNNNNDNDVDGDKIVVTWDGDDDPENPQNWPTLQKAFFIFQISFLTTSVYMGSAVYTPGIEELMHDFGIGRVVATLPLTLFVIGYGVGPLVFSPMSENAIFGRTSIYIITLFLFVILQIPTALVNNIAGLCILRFLGGFFASPCLATGGASVADVVKFWNLPVGLAAWSLGAVCGPSFGPFFGSILTVKASWRWTFWFMCIISGFSFVMLCFTLPETFGKTLLYRKAKRLRAITGNDRITSEGEIENSKMTSHELIIDTLWRPLEITVMEPVVLLINIYIAMVYSILYLFFEVFPIYFVGVKHFTLVELGTTYMSIVIGIVIAAFIYIPVIRQKFTKPILRQEQVFPEVFIPIAIVGGILLTSGLFIFGWSANRTTHWVGPLFGAATTASGAFLIFQTLFNFMGASFKPHYIASVFASNDLFRSVIASVFPLFGAPLFDNLATPEYPVAWGSSVLGFITLVMIAIPVLFYLNGPKLRARSKYAN", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAWNTNLRWRLPLTCLLLQVIMVILFGVFVRYDFEADAHWWSERTHKNLSDMENEFYYRYPSFQDVHVMVFVGFGFLMTFLQRYGFSAVGFNFLLAAFGIQWALLMQGWFHFLQDRYIVVGVENLINADFCVASVCVAFGAVLGKVSPIQLLIMTFFQVTLFAVNEFILLNLLKVKDAGGSMTIHTFGAYFGLTVTRILYRRNLEQSKERQNSVYQSDLFAMIGTLFLWMYWPSFNSAISYHGDSQHRAAINTYCSLAACVLTSVAISSALHKKGKLDMVHIQNATLAGGVAVGTAAEMMLMPYGALIIGFVCGIISTLGFVYLTPFLESRLHIQDTCGINNLHGIPGIIGGIVGAVTAASASLEVYGKEGLVHSFDFQGFNGDWTARTQGKFQIYGLLVTLAMALMGGIIVGLILRLPFWGQPSDENCFEDAVYWEMPEGNSTVYIPEDPTFKPSGPSVPSVPMVSPLPMASSVPLVP", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MWTVQNRESLGLLSFPVMVAMVCCAHSSNEPSNMSYVKETVDRLLKGYDIRLRPDFGGPPVDVGMRIDVASIDMVSEVNMDYTLTMYFQQSWKDKRLSYSGIPLNLTLDNRVADQLWVPDTYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTTAACMMDLRRYPLDEQNCTLEIESYGYTTDDIEFYWNGGEGAVTGVNKIELPQFSIVDYKMVSKKVEFTTGAYPRLSLSFRLKRNIGYFILQTYMPSTLITILSWVSFWINYDASAARVALGITTVLTMTTISTHLRETLPKIPYVKAIDIYLMGCFVFVFLALLEYAFVNYIFFGKGPQKKGASKQDQSANEKNKLEMNKVQVDAHGNILLSTLEIRNETSGSEVLTGVSDPKATMYSYDSASIQYRKPLSSREGFGRGLDRHGVPGKGRIRRRASQLKVKIPDLTDVNSIDKWSRMFFPITFSLFNVVYWLYYVH", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSRPHLQQNVSFQDTKPPSRRAGRDDIPPRPPTKSDPSKASLTTTTTVQSVGGYNNHQLDFDDNAYVDAGSSNPQGFSDYNGVRRKKSMVRPERERIDPNHRLWHYREHAAEDQVDIQPSSTGNQPYNQYNNQRPGANLRRGKSLLARETDDVDDSSGLNIFKRGATIRRKASRATPRQAPTGAQSNRVSAGQKEDEECCCLGNFAPGPKNCWMIYCYLLTICIPGFVIAKVFGKKTPDAQRAWREKIGIVSIVLYLMGAVGFITFGFTQTVCGDTQLRLPGGSANTGSLVINGYDYDFSTWRHPVAGDTFNGTTSPLYMDQYMAGGMDASFLFQNVNQNCLGLITPASGTGIDHDGDQMGWYFPCNLHDQNGTSAANLTGITDRTNCHVSSYARSNFSAVVPTAEIYYTWDRVKDESRNLAVYKSAVIDMNLLQWLDDTQVSYPEFFNTIKNRNDSYAGKDITALIERAGLSQYARCLTDVIQIGFVDTITIGCIMSELVLYVSLVFILGAVFIKFGMAVVFGWFLSWRMGNFKGESYQERMKRAAEIENWTDDIYRPAPGYLRPNATGTARTGVKKNFLPTTSRFSRAEPMLVSSSRPSTSYGMVGETRRQGSSIYGNKLGPPAHTTPPGSPLLRNSRSSTSLPFRDDSRHSISDRSVNNNVPCPFPLGNVVPQPAPDFEPFGYPLIHSICLVTAYSESIEGLRTTLDSLATTDYPNSHKLILVICDGMVRGSGSKQYTPEIVLGMMKELVTPAEEVEAHSYVAIADGHKRHNMAKVYAGFYAYDSETVEASKQQRVPMVLVSKVGNPLEVNDAKPGNRGKRDSQIVLMSFLQKVMFDERMTTLEYEFFNAVWRCTGIPPDRYETVLCVDADTKVFPDSLTRMNACMVNDHEIMGLCGETKIANKSETWVTMIQVFEYYISHHNTKAFESVFGGVTCLPGCFSMYRIKAPKGERGFWVPILANPDICEHYAENVVDTLHKKNLLLLGEDRYLSTLMLKTFPKRKMVFCPQAVCKTIVPDTFRVLLSQRRRWINSTVHNLCELILVRDLCGTFCFSMQFVVFMDLVGTLVLPAAISFTLYIIMISIIPQSVTGMPRPYVSLVLLAFILGLPGVLIVITSRKIAYVGWMLVYLISLPVWNLILPAYSYWHMDDFTWGETRKIAGEVKEEAHGGKEGTFDSSHIVMKKWAEFERERRWRTGTASRDSQYFDVVQRANSPRSGIPSNRYSIVSTSETFNSGLGTAESNHLFRQSQSFASMSQVAPSPETNYGNVPQLALPPPRGASIGREHSPSSTESGTSNNYAYGSTEEPTASNVDPYYQPFTNEVYQDEAEQPILPSEYTTTSPEPVYQTAPARVRQPSQRGVSLVDTGPVRSAQAAPHDAVRRVSRHQRRSSSKNQLVSPISSGGHTGSLPPGAAPPQY", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGRGAGREYSPAATTAENGGGKKKQKEKELDELKKEVAMDDHKLSLDELGRKYQVDLSKGLTNQRAQDVLARDGPNALTPPPTTPEWVKFCRQLFGGFSILLWIGAILCFLAYGIQAAMEDEPSNDNLYLGVVLAAVVIVTGCFSYYQEAKSSKIMDSFKNMVPQQALVIREGEKMQINAEEVVVGDLVEVKGGDRVPADLRIISSHGCKVDNSSLTGESEPQTRSPEFTHENPLETRNICFFSTNCVEGTARGIVIATGDRTVMGRIATLASGLEVGRTPIAMEIEHFIQLITGVAVFLGVSFFVLSLILGYSWLEAVIFLIGIIVANVPEGLLATVTVCLTLTAKRMARKNCLVKNLEAVETLGSTSTICSDKTGTLTQNRMTVAHMWFDNQIHEADTTEDQSGATFDKRSPTWTALSRIAGLCNRAVFKAGQENISVSKRDTAGDASESALLKCIELSCGSVRKMRDRNPKVAEIPFNSTNKYQLSIHEREDSPQSHVLVMKGAPERILDRCSTILVQGKEIPLDKEMQDAFQNAYMELGGLGERVLGFCQLNLPSGKFPRGFKFDTDELNFPTEKLCFVGLMSMIDPPRAAVPDAVGKCRSAGIKVIMVTGDHPITAKAIAKGVGIISEGNETVEDIAARLNIPMSQVNPREAKACVVHGSDLKDMTSEQLDEILKNHTEIVFARTSPQQKLIIVEGCQRQGAIVAVTGDGVNDSPALKKADIGIAMGISGSDVSKQAADMILLDDNFASIVTGVEEGRLIFDNLKKSIAYTLTSNIPEITPFLLFIIANIPLPLGTVTILCIDLGTDMVPAISLAYEAAESDIMKRQPRNSQTDKLVNERLISMAYGQIGMIQALGGFFTYFVILAENGFLPSRLLGIRLDWDDRTMNDLEDSYGQEWTYEQRKVVEFTCHTAFFASIVVVQWADLIICKTRRNSVFQQGMKNKILIFGLLEETALAAFLSYCPGMGVALRMYPLKVTWWFCAFPYSLLIFIYDEVRKLILRRYPGGWVEKETYY", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNVSHASVHPVEDPPAAATEVENPPRVRMDDMEGMPGTLLGLALRFFQFLFAAAALCVMASTSDFPSVTAFCYLVAATGLQSLWSLALAMVDVYAIMVKRSLQNRRLVSLFAIGDGVTSTLTFAAACASAGITVLIDNDLNSCAQNHCVQFETSTALAFISWFAALPSFLFNFWSLASR", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MESWWGLPCLAFLCFLMHARGQRDFDLADALDDPEPTKKPNSDIYPKPKPPYYPQPENPDSGGNIYPRPKPRPQPQPGNSGNSGGYFNDVDRDDGRYPPRPRPRPPAGGGGGGYSSYGNSDNTHGGDHHSTYGNPEGNMVAKIVSPIVSVVVVTLLGAAASYFKLNNRRNCFRTHEPENV", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASLTAALATPAAAALLLLVLLAAPASAANFTCAVASGTTCKSAILYTSPNATTYGNLVARFNTTTLPDLLGANGLPDGTLSSAPVAANSTVKIPFRCRCNGDVGQSDRLPIYVVQPQDGLDAIARNVFNAFVTYQEIAAANNIPDPNKINVSQTLWIPLPCSCDKEEGSNVMHLAYSVGKGENTSAIAAKYGVTESTLLTRNKIDDPTKLQMGQILDVPLPVCRSSISDTSADHNLMLLPDGTYGFTAGNCIRCSCSSTTYQLNCTAVQNKGCPSVPLCNGTLKLGETNGTGCGSTTCAYSGYSNSSSLIIQTSLATNQTTACQRGGSGRSQFARSMWSMSVISFHMVLIIICFL", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPPAIGGPVGYTPPDGGWGWAVVVGAFISIGFSYAFPKSITVFFKEIEGIFNATTSEVSWISSIMLAVMYAGGPISSVLVNKYGSRPVMIAGGCLSGCGLIAASFCNTVQELYLCIGVIGGLGLAFNLNPALTMIGKYFYKKRPLANGLAMAGSPVFLSTLAPLNQAFFGIFGWRGSFLILGGLLLNCCVAGSLMRPIGPKPGKIEKLKSKESLQEAGKSEANTDLMGGSPKGEKRSVLQTINKFLDLSLFAHRGFLLYLSGNVVMFFGLFTPLVFLSNYGKSQHYSSEKSAFLLSILAFVDMVARPSMGLAANTKWIRPRIQYFFAASVVANGVCHLLAPLSTSYIGFCIYAGVFGFAFGWLSSVLFETLMDLVGPQRFSSAVGLVTIVECCPVLLGPPLLGRLNDMYGDYKYTYWACGVILIIAGIYLFIGMGINYRLVAKEQKAEEKQKQEEGKEDDTSTDVDEKPKELTKATESPQQNSSGDPAEEESPV", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASKTTSEELKTATALKKRSSDVHAVDHSGNVYKGFQIWTDLAPSVKEEPDLMFAKCIVQAGTDKGNLTCVQIDPPGFDEPFEVPQANAWNVNSLIDPMTYGDIGMLPHTNIPCVLDFLKVRFMKNQIYTTADPLVVAINPFRDLGNTTLDWIVRYRDTFDLSKLAPHVFYTARRALDNLHAVNKSQTIIVSGESGAGKTEATKQIMRYFAAAKTGSMDLRIQNAIMAANPVLEAFGNAKTIRNNNSSRFGRFMQLDVGREGGIKFGSVVAFLLEKSRVLTQDEQERSYHIFYQMCKGADAAMKERFHILPLSEYKYINPLCLDAPGIDDVAEFHEVCESFRSMNLTEDEVASVWSIVSGVLLLGNVEVTATKDGGIDDAAAIEGKNLEVFKKACGLLFLDAERIREELTVKVSYAGNQEIRGRWKQEDGDMLKSSLAKAMYDKLFMWIIAVLNRSIKPPGGFKIFMGMLDIFGFEVFKNNSLEQFFINITNEMLQKNFVDIVFDRESKLYRDEGVSSKELIFTSNAEVIKILTAKNNSVLAALEDQCLAPGGSDEKFLSTCKNALKGTTKFKPAKVSPNINFLISHTVGDIQYNAEGFLFKNKDVLRAEIMEIVQQSKNPVVAQLFAGIVMEKGKMAKGQLIGSQFLSQLQSLMELINSTEPHFIRCIKPNDTKKPLDWVPSKMLIQLHALSVLEALQLRQLGYSYRRPFKEFLFQFKFIDLSASENPNLDPKEAALRLLKSSKLPSEEYQLGKTMVFLKQTGAKELTQIQRECLSSWEPLVSVLEAYYAGRRHKKQLLKKTPFIIRAQAHIRRHLVDNNVSPATVQPAF", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGAGERAAGGGGTQDPGAGCGARALGALCLLLSVGSATACLLLGAQAAALHGRVAALEQERELLRRAGPSGALAAWAETHLERLLREKLDGVAKLRTVREAPSECICPPGPPGRRGKPGRRGDPGPPGQSGRDGYPGPLGLDGKPGLPGPKGEKGAPGDFGPRGAQGQDGAAGPPGPPGPPGARGPPGDTGKDGPRGAQGPEGPRGESGQDGEMGPMGPPGPKGEPGTPGKKGDDGIPSQPGLPGPPGPKGEPGDVGPQGETGVDGAPGLKGEPGHPGTDGAIGPRGPPGLKGEQGDTVVIDYDGRILDALKGPPGPQGAPGPPGIPGAKGELGLPGAPGIDGEKGPKGPKGDPGEPGPAGPKGETGEMGLSGLPGADGPKGEKGESASDHLQESLAQIIVEPGPPGPPGPPGPMGLQGIQGPKGLDGAKGEKGASGERGPHGLPGPVGPPGLIGLPGTKGEKGRPGEPGLDGFPGPRGEKGDRSERGEKGERGVPGRKGVKGQKGEPGPPGLDQPCPVGPDGLPVPGCWHK", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNQPIGIADSSRPKTNVRLTISANNLMDLDVFSKSDPICLIYEKTSGRKATTTEEITVPTWKDKQWTERGRTEVVMNNLNPQFTKTFLLPYFFEETQLLRFEIYDADSPTVGQDLSSHDFLGRFECVLAQIVSYSTLKAHLGKTGQIGAQWRNKDKNTKTGSITIYAEEDEKAEKIQFDVCGEGLDKKDFFGKSDPYLNFKRKFDDGSTHLIHRTEVKPKTLDPRWATVQINTQTLCAKDGDRPIIIECYDHDKWKKGEEPRGDAKFSRDDLIGTAQTTLNELLRGSSDAVEILLTNEKKKAKKGDKYKCSGTLKIWNSRIVIEPTFLDFISGGTQLDFAVAVDFTASNGPPKSSSSLHFMSADRPNQYELALRSVLSICQHYNSSKTFEAFGFGAKLPNQSSVSAIFPLDLQRGTSEVVGITGVMTAYRHALSNVQLYGPTNFAPIIENVARKAQNMIHDSARYQILLIITDGIISDMHATIRSIISASGLPLSIIIIGVGNEDFEKMHELDSDDALLQQDSRIAQRDIVQFVTMREFLNNGQGLYLDPDVIQENLAREVLYEVPAQLTGYMKQRGFQPRPVDDPWRRDSPPPEFDPILDGTGRRAPMLQAPPAGFQYPVYADTSIASAPPMY", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDPNSNSSSETLRQEKQGFLDKALQRVKGIALRRNNSNKDHTTDDTTGSIRTPTSLQRQNSDRQSNMTSVFTDDISTIDDNSILFSEPPQKQSMMMSICVGVFVAVGGFLFGYDTGLINSITSMNYVKSHVAPNHDSFTAQQMSILVSFLSLGTFFGALTAPFISDSYGRKPTIIFSTIFIFSIGNSLQVGAGGITLLIVGRVISGIGIGAISAVVPLYQAEATHKSLRGAIISTYQWAITWGLLVSSAVSQGTHARNDASSYRIPIGLQYVWSSFLAIGMFFLPESPRYYVLKDKLDEAAKSLSFLRGVPVHDSGLLEELVEIKATYDYEASFGSSNFIDCFISSKSRPKQTLRMFTGIALQAFQQFSGINFIFYYGVNFFNKTGVSNSYLVSFITYAVNVVFNVPGLFFVEFFGRRKVLVVGGVIMTIANFIVAIVGCSLKTVAAAKVMIAFICLFIAAFSATWGGVVWVISAELYPLGVRSKCTAICAAANWLVNFICALITPYIVDTGSHTSSLGAKIFFIWGSLNAMGVIVVYLTVYETKGLTLEEIDELYIKSSTGVVSPKFNKDIRERALKFQYDPLQRLEDGKNTFVAKRNNFDDETPRNDFRNTISGEIDHSPNQKEVHSIPERVDIPTSTEILESPNKSSGMTVPVSPSLQDVPIPQTTEPAEIRTKYVDLGNGLGLNTYNRGPPSLSSDSSEDYTEDEIGGPSSQGDQSNRSTMNDINDYMARLIHSTSTASNTTDKFSGNQSTLRYHTASSHSDTTEEDSNLMDLGNGLALNAYNRGPPSILMNSSDEEANGGETSDNLNTAQDLAGMKERMAQFAQSYIDKRGGLEPETQSNILSTSLSVMADTNEHNNEILHSSEENATNQPVNENNDLK", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAPGEKIKAKIKKNLPVTGPQAPTIKELMRWYCLNTNTHGCRRIVVSRGRLRRLLWIGFTLTAVALILWQCALLVFSFYTVSVSIKVHFRKLDFPAVTICNINPYKYSTVRHLLADLEQETREALKSLYGFPESRKRREAESWNSVSEGKQPRFSHRIPLLIFDQDEKGKARDFFTGRKRKVGGSIIHKASNVMHIESKQVVGFQLCSNDTSDCATYTFSSGINAIQEWYKLHYMNIMAQVPLEKKINMSYSAEELLVTCFFDGVSCDARNFTLFHHPMHGNCYTFNNRENETILSTSMGGSEYGLQVILYINEEEYNPFLVSSTGAKVIIHRQDEYPFVEDVGTEIETAMVTSIGMHLTESFKLSEPYSQCTEDGSDVPIRNIYNAAYSLQICLHSCFQTKMVEKCGCAQYSQPLPPAANYCNYQQHPNWMYCYYQLHRAFVQEELGCQSVCKEACSFKEWTLTTSLAQWPSVVSEKWLLPVLTWDQGRQVNKKLNKTDLAKLLIFYKDLNQRSIMESPANSIEMLLSNFGGQLGLWMSCSVVCVIEIIEVFFIDFFSIIARRQWQKAKEWWAWKQAPPCPEAPRSPQGQDNPALDIDDDLPTFNSALHLPPALGTQVPGTPPPKYNTLRLERAFSNQLTDTQMLDEL", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MMMSSSQTPVRIAFVFLVILAATDAHSDHRTPPPACGGAAVGGECHSVARALRLKLIAIPAILAASVAGVCLPLFARSVPALRPDGGLFAVVKAFASGVILGTGYMHVLPDSFNDLTSPCLPRKPWSEFPFAAFVAMLAAVFTLMVDSLMLTFHTRGSKGRASSAVAHHGDHGHCHAHALGQADVAALSTTEAADQGSGDVEAGNTTKAQLLRNRVIVQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAALCFHQMFEGMGLGGCILQAGYGGRTRSALVFFFSTTTPFGIALGLALTRVYSDSSPTALVVVGLLNAASAGLLHYMALVELLAADFMGPKLQGNVRLQLAASLAILLGAGGMSVMAKWA", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSLVPPLPILSPPSSNSSTTAPPPLQTQPTTPSAPPPVTPPPSPPQSPPPVVSSSPPPPVVSSPPPSSSPPPSPPVITSPPPTVASSPPPPVVIASPPPSTPATTPPAPPQTVSPPPPPDASPSPPAPTTTNPPPKPSPSPPGETPSPPGETPSPPKPSPSTPTPTTTTSPPPPPATSASPPSSNPTDPSTLAPPPTPLPVVPREKPIAKPTGPASNNGNNTLPSSSPGKSEVGTGGIVAIGVIVGLVFLSLFVMGVWFTRKRKRKDPGTFVGYTMPPSAYSSPQGSDVVLFNSRSSAPPKMRSHSGSDYMYASSDSGMVSNQRSWFSYDELSQVTSGFSEKNLLGEGGFGCVYKGVLSDGREVAVKQLKIGGSQGEREFKAEVEIISRVHHRHLVTLVGYCISEQHRLLVYDYVPNNTLHYHLHAPGRPVMTWETRVRVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNSFEALVADFGLAKIAQELDLNTHVSTRVMGTFGYMAPEYATSGKLSEKADVYSYGVILLELITGRKPVDTSQPLGDESLVEWARPLLGQAIENEEFDELVDPRLGKNFIPGEMFRMVEAAAACVRHSAAKRPKMSQVVRALDTLEEATDITNGMRPGQSQVFDSRQQSAQIRMFQRMAFGSQDYSSDFFDRSQSHSSWGSRDQSRFVP", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MALSSFCSSDGSDPLWDWNVTWHTSNPDFTKCFQNTVLTWVPCFYLWSCFPLYFLYLSRHDRGYIQMTHLNKAKTALGFFLWIICWADLFYSFWERSQGMLLAPVLLVSPTLLGITMLLATFLIQFERRKGVQSSGIMLTFWLVALLCALAILRSKIISALKKDAQVDMFRDSAFYLYFTLVFIQLVLSCFSDSSPLFSETVRDPNPCPESSASFLSRITFWWITGMMVQGYRQPLKSSDLWSLNKEDTSEEVVPVLVNNWKKECVKSRKQPVRIVYAPPKDPTKPKGSSQLDVNEEVEALIVKSSHKDRDPSLFKVLYKTFGPYFLMSFLYKALHDLMMFAGPEILELIINFVNDREAPDWQGYLYTALLFVSACLQTLALHQYFHICFVTGMRIKTAVVGAVYRKALVITNSARKSSTVGEIVNLMSVDAQRFMDLATYINMIWSAPLQVTLALYFLWLNLGPSVLAGVAVMILMVPFNAVMAMKTKTYQVAHMKSKDNRIKLMNEILNGIKVLKLYAWELAFQDKVMNIRQEELKVLKKSAYLAAVGTFTWVCTPFLVALSTFAVFVTVDEKNILDAKKAFVSLALFNILRFPLNILPMVISSIVQASVSLKRLRIFLSHEELEPDSIERWSIKDGGGMNSITVKNATFTWARDEPPTLNGITFAIPDGALVAVVGQVGCGKSSLLSALLAEMDKVEGHVTLKGSVAYVPQQAWIQNDSLRENILFGRPLQEHCYKAVMEACALLPDLEILPSGDLTEIGEKGVNLSGGQKQRVSLARAVYCNSDIYLLDDPLSAVDAHVGKHIFEKVVGPMGLLKNKTRILVTHGISYLPQVDVIIVMSGGKISEMGSYQELLDRDGAFAEFVRTYANTEQDLASEDDSKNGVSGLGKESKPVENGILVTDAVGKPLQRHLSNSSSHSVVTNQQHSSTAELQKSGVKEETWKLMEADKAQTGQVKLSVYWNYMKAIGLCISFLSIFLFLCNHVSALASNYWLSLWTDDRPAVNGTQENRNFRLSVYGALGILQGVAVFGYSMAVSIGGIFASRRLHLDLLQNVLRSPMSFFERTPSGNLVNRFSKELDTVDSMIPQVIKMFMGSLFSVIGAVIIILLATPIAAVIIPPLGLVYFFVQRFYVASSRQLKRLESVSRSPVYSHFNETLLGVSVIRAFEEQERFIRQSDLKVDENQKAYYPSIVANRWLAVRLECVGNCIVLFAALFAVISRHSLSAGLVGLSVSYSLQITAYLNWLVRMSSEMETNIVAVERLKEYSETEKEASWQIQETAPPSTWPHSGRVEFRDYCLRYREDLDLVLKHINVTIEGGEKVGIVGRTGAGKSSLTLGLFRINESAEGEIIIDGINIAKIGLHNLRFKITIIPQDPVLFSGSLRMNLDPFSQYSDEEVWMALELAHLKGFVSALPDKLNHECAEGGENLSVGQRQLVCLARALLRKTKILVLDEATAAVDLETDDLIQSTIRTQFEDSTVLTIAHRLNTIMDYTRVIVLDKGEIRECGAPSELLQQRGVFYSMAKDAGLV", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MWNSAENAFSRSTSFKDEIEDEEELRWAALQRLPTYSRIRRGIFRDMVGEPKEIQIGNLEASEQRLLLDRLVNSVENDPEQFFARVRKRFDAVDLKFPKIEVRFQNLMVESFVHVGSRALPTIPNFIINMAEGLLRNIHVIGGKRNKLTILDGISGVIRPSRLTLLLGPPSSGKTTLLLALAGRLGTNLQTSGKITYNGYDLKEIIAPRTSAYVSQQDWHVAEMTVRQTLEFAGRCQGVGFKYDMLLELARREKLAGIVPDEDLDIFMKSLALGGMETSLVVEYVMKILGLDTCADTLVGDEMIKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTHQIIMYMRHSTHALEGTTVISLLQPSPETYELFDDVILMSEGQIIYQGPRDEVLDFFSSLGFTCPDRKNVADFLQEVTSKKDQQQYWSVPFRPYRYVPPGKFAEAFRSYPTGKKLAKKLEVPFDKRFNHSAALSTSQYGVKKSELLKINFAWQKQLMKQNAFIYVFKFVQLLLVALITMTVFCRTTMHHNTIDDGNIYLGSLYFSMVIILFNGFTEVPMLVAKLPVLYKHRDLHFYPSWAYTLPSWLLSIPTSIIESATWVAVTYYTIGYDPLFSRFLQQFLLYFSLHQMSLGLFRVMGSLGRHMIVANTFGSFAMLVVMTLGGFIISRDSIPSWWIWGYWISPLMYAQNAASVNEFLGHNWQKTAGNHTSDSLGLALLKERSLFSGNYWYWIGVAALLGYTVLFNILFTLFLAHLNPWGKFQAVVSREELDEREKKRKGDEFVVELREYLQHSGSIHGKYFKNRGMVLPFQPLSLSFSNINYYVDVPLGLKEQGILEDRLQLLVNITGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGTIEGDVYISGFPKRQETFARISGYCEQNDVHSPCLTVVESLLFSACLRLPADIDSETQRAFVHEVMELVELTSLSGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGQKSCELIKYFESIEGVQKIKPGHNPAAWMLDVTASTEEHRLGVDFAEIYRNSNLCQRNKELIEVLSKPSNIAKEIEFPTRYSQSLYSQFVACLWKQNLSYWRNPQYTAVRFFYTVVISLMLGTICWKFGSKRDTQQQLFNAMGSMYAAVLFIGITNATAAQPVVSIERFVSYRERAAGMYSALPFAFAQVFIEFPYVLAQSTIYSTIFYAMAAFEWSAVKFLWYLFFMYFSIMYFTFYGMMTTAITPNHNVASIIAAPFYMLWNLFSGFMIPYKRIPLWWRWYYWANPVAWTLYGLLVSQYGDDERSVKLSDGIHQVMVKQLLEDVMGYKHDFLGVSAIMVVAFCVFFSLVFAFAIKAFNFQRR", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MESWPGVSLVGLLLLLLLGQGPSQIHGSSGENTSQPQQVFRTLKNFSFPCKPKKLELSVLSKSVHSLRPSDIKLVAAIGNLETPPAPGSGVVNMEKPQSLESELQNVCIGIMTALSDIIRHFNPSVLMPTCSPGKGTAGHTTIAEDLWIQAKELVRHLKDNPELDFEKDWKLITVLFSNTSQCHLCSSDQQKRHLMKHMEMLSGVLDYLHREVPRAFVNLVDLSEVLTMAQQHQETGFSPAPEICKCSEEITKLSKAVMQWSYQEAWEDLLASSKFNKHETFAVVFQSFFSEVELPLERPSPQDSTTLALRIWNSMMEPVGRKDGTLNEAERKTMKCPSQESPYLFTYRNSNYQARQLKPIGKFQMKEGTKFTCPDKDPSDSIPTTVHRLRPADIKVIGAMGDSLTAGNGAGSSPGNVLDVLTQYRGLSWSVGGDETIETVTTLANILREFNPSLKGFSVGTGKENTPRASFNQAVAGAKSDGLAAQAKKLVSLMKDDKTINFQEDWKIITVFIGGNDLCGSCNNLARFSPQTFTDNIKTALDILHAEVPRAFVNMVSVIEITPLRELFNEPKVSCPRMILRSLCPCVLNLGENSAELAQLVERNRQYQEETGKLIESGRYDTRDDFTVVLQPMFENVVMPRTLEGLPDSSFFAPDCFHFNVKTHARSAIALWKNMLEPVGRKTRHQNFEIKVPIMCPNQTSPFLSTTKNSNLGHGTSMSCEEKAPSASPPTSVHTLRPADIQVVAALGDSVTAGNGISSQEGDLADVTTQYRGLSYSAGGDKFLENVTTLPNILREFNGNLTGYSVGTGDVNSASAFLNQAVPGAKAENLASQVQTLIQKMKNDTRVNFHQDWKVITVMIGASDLCDFCKDSNRYSAANFSDHLRNALDILHKEVPRALVNLVDFMNPSIIRQVFLKNPDKCPVNQTSVLCNCVLTPGEDSHELARLEAFTKSYQSSMLQLVESGRYDTREDFSVVLQPFLFNIRLPILENGNPDTSFFAPDCILLSQKFHTQLARALWANMLEPLGKKMDTLDPKELIALACPTKDKPFLRTFRNSNYTYPIKPAIENWGSDFLCTEQSPSSKVPTSVHELRPSDIKVVAAMGDFLTTATGARPSESSSLDTPWRGLSWSIGGDGTLETHTTLPNILKKFNPSILGFSTGTLENTAGLNVAEEGARAQDMPAQAQALVKKMKSTPTINIQEDWKLITLLIGNNDLCLYCEDPENYSTREYVKYIQHALDIFYEELPRVFINVVEVMELSGLLHDQGGKCAMPLAVQKNCSCLKRSQNLMAMQELKKVNGNLQSALSELSYWHRYMQREDFAVTVQPFFRNTFVPLDERGGLDLTFFSEDCFHFSVRGHAEMAIALWNNMLEPVGKKTTSNNFTYNRTKLKCPSPENPFLYTVRNSQILLDKAKENSNTLYWAVPVAAVGGLVVGILGMMLWRTVRLVQ", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAMAEFVFCRPLFGLAIVLLVAPIDAAQRHTASDNPSTYNIGGVLSNSDSEEHFSTTIKHLNFDQQYVPRKVTYYDKTIRMDKNPIKTVFNVCDKLIENRVYAVVVSHEQTSGDLSPAAVSYTSGFYSIPVIGISSRDAAFSDKNIHVSFLRTVPPYYHQADVWLEMLSHFAYTKVIIIHSSDTDGRAILGRFQTTSQTYYDDVDVRATVELIVEFEPKLESFTEHLIDMKTAQSRVYLMYASTEDAQVIFRDAGEYNMTGEGHVWIVTEQALFSNNTPDGVLGLQLEHAHSDKGHIRDSVYVLASAIKEMISNETIAEAPKDCGDSAVNWESGKRLFQYLKSRNITGETGQVAFDDNGDRIYAGYDVINIREQQKKHVVGKFSYDSMRAKMRMRINDSEIIWPGKQRRKPEGIMIPTHLRLLTIEEKPFVYVRRMGDDEFRCEPDERPCPLFNNSDATANEFCCRGYCIDLLIELSKRINFTYDLALSPDGQFGHYILRNNTGAMTLRKEWTGLIGELVNERADMIVAPLTINPERAEYIEFSKPFKYQGITILEKKPSRSSTLVSFLQPFSNTLWILVMVSVHVVALVLYLLDRFSPFGRFKLSHSDSNEEKALNLSSAVWFAWGVLLNSGIGEGTPRSFSARVLGMVWAGFAMIIVASYTANLAAFLVLERPKTKLSGINDARLRNTMENLTCATVKGSSVDMYFRRQVELSNMYRTMEANNYATAEQAIQDVKKGKLMAFIWDSSRLEYEASKDCELVTAGELFGRSGYGIGLQKGSPWTDAVTLAILEFHESGFMEKLDKQWIFHGHVQQNCELFEKTPNTLGLKNMAGVFILVGVGIAGGVGLIIIEVIYKKHQVKKQKRLDIARHAADKWRGTIEKRKTIRASLAMQRQYNVGLNSTHAPGTISLAVDKRRYPRLGQRLGPERAWPGDAADVLRIRRPYELGNPGQSPKVMAANQPGMPMPMLGKTRPQQSVLPPRYSPGYTSDVSHLVV", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MELKTEEEEVGGVQPVSIQAFASSSTLHGLAHIFSYERLSLKRALWALCFLGSLAVLLCVCTERVQYYFCYHHVTKLDEVAASQLTFPAVTLCNLNEFRFSQVSKNDLYHAGELLALLNNRYEIPDTQMADEKQLEILQDKANFRSFKPKPFNMREFYDRAGHDIRDMLLSCHFRGEACSAEDFKVVFTRYGKCYTFNSGQDGRPRLKTMKGGTGNGLEIMLDIQQDEYLPVWGETDETSFEAGIKVQIHSQDEPPFIDQLGFGVAPGFQTFVSCQEQRLIYLPSPWGTCNAVTMDSDFFDSYSITACRIDCETRYLVENCNCRMVHMPGDAPYCTPEQYKECADPALDFLVEKDQEYCVCEMPCNLTRYGKELSMVKIPSKASAKYLAKKFNKSEQYIGENILVLDIFFEVLNYETIEQKKAYEIAGLLGDIGGQMGLFIGASILTVLELFDYAYEVIKHRLCRRGKCQKEAKRSSADKGVALSLDDVKRHNPCESLRGHPAGMTYAANILPHHPARGTFEDFTC", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKKKLVVLGLLAVVLVLVIVGLCLWLPSASKEPDNHVYTRAAVAADAKQCSKIGRDALRDGGSAVDAAIAALLCVGLMNAHSMGIGGGLFLTIYNSTTRKAEVINAREVAPRLAFATMFNSSEQSQKGGLSVAVPGEIRGYELAHQRHGRLPWARLFQPSIQLARQGFPVGKGLAAALENKRTVIEQQPVLCEVFCRDRKVLREGERLTLPQLADTYETLAIEGAQAFYNGSLTAQIVKDIQAAGGIVTAEDLNNYRAELIEHPLNISLGDVVLYMPSAPLSGPVLALILNILKGYNFSRESVESPEQKGLTYHRIVEAFRFAYAKRTLLGDPKFVDVTEVVRNMTSEFFAAQLRAQISDDTTHPISYYKPEFYTPDDGGTAHLSVVAEDGSAVSATSTINLYFGSKVRSPVSGILFNNEMDDFSSPSITNEFGVPPSPANFIQPGKQPLSSMCPTIMVGQDGQVRMVVGAAGGTQITTATALAIIYNLWFGYDVKRAVEEPRLHNQLLPNVTTVERNIDQAVTAALETRHHHTQIASTFIAVVQAIVRTAGGWAAASDSRKGGEPAGY", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSLPNSSCLLEDKMCEGNKTTMASPQLMPLVVVLSTICLVTVGLNLLVLYAVRSERKLHTVGNLYIVSLSVADLIVGAVVMPMNILYLLMSKWSLGRPLCLFWLSMDYVASTASIFSVFILCIDRYRSVQQPLRYLKYRTKTRASATILGAWFLSFLWVIPILGWNHFMQQTSVRREDKCETDFYDVTWFKVMTAIINFYLPTLLMLWFYAKIYKAVRQHCQHRELINRSLPSFSEIKLRPENPKGDAKKPGKESPWEVLKRKPKDAGGGSVLKSPSQTPKEMKSPVVFSQEDDREVDKLYCFPLDIVHMQAAAEGSSRDYVAVNRSHGQLKTDEQGLNTHGASEISEDQMLGDSQSFSRTDSDTTTETAPGKGKLRSGSNTGLDYIKFTWKRLRSHSRQYVSGLHMNRERKAAKQLGFIMAAFILCWIPYFIFFMVIAFCKNCCNEHLHMFTIWLGYINSTLNPLIYPLCNENFKKTFKRILHIRS", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVDQRRSALGFVLLLLCLVLFFDCVVVGQTQSRFSEKLILLNLRSSLGLRGTDWPIKGDPCVDWRGIQCENGSIIGINISGFRRTRIGKLNPQFSVDPLRNLTRLSYFNASGLALPGTIPEWFGVSLLALEVLDLSSCSVNGVVPFTLGNLTSLRTLNLSQNSLTSLVPSSLGQLLNLSQLDLSRNSFTGVLPQSFSSLKNLLTLDVSSNYLTGPIPPGLGALSKLIHLNFSSNSFSSPIPSELGDLVNLVDFDLSINSLSGSVPQELRKLSKLQLMAIGDNLLSGTLPVDLFSAESQLQTLVLRENGFSGSLPDVCWSLPKLRILDIAKNNFTGLLPYSSYDSDQIAEMVDISSNTFYGELTPILRRFRIMDLSGNYFEGKLPDYVTGENVSVTSNCLRNERRQKPSAICAAFYKSRGLDFDDFGRPNLTQPTSKNASSGISRRTVIILAAVGGGVAFILLFVILPIILVLCMRHRRRAAQRGNNDRPKPAGEASQQPPKGAQTFDLSRLGNAFSYEQLLQATEEFNDANLIKRGHSGNLFRGFLENGIPVVIKKIDVREGKSEGYISELELFSKAGHQRLVPFLGHCLENESQKFLVYKFMRHGDLASSLFRKSENEGDGLKSLDWITRLKIALGAAEGLSYLHHECSPPLVHRDVQASSILLDDKFEVRLGSLSEAYAQGDAYQSRISRLLRLPQSSEPSSSGVTNAICSYDVYCFGKVLLELVTGKLGISSPDNALAKEYMEEALPYISTNEKELVTKILDPSLMVDEDLLEEVWAMAIIAKSCLNPKPTRRPLMRHIVNALENPLKVVREDTNSGSGSSRLRTNSSRGSWNAAIFGSWRQSASDVTAVQAGATTSGGGGGGGGNGLRNSGSQGSSGRNNNNNGNSSSSRRRQSSEIVPEPAAYGVVEDNL", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSEIQNKAETAAQDVQQKLEETKESLQNKGQEVKEQAEASIDNLKNEATPEAEQVKKEEQNIADGVEQKKTEAANKVEETKKQASAAVSEKKETKKEGGFLKKLNRKIASIFN", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGCASSKQFKRPPGYEEPAVLAAQTTFTVNEVEALRELYNKMSYSIIKDGLIHKEEFQLALFRNSRKANLFADRVFDLFDLKRNGVIEFGEFVRSLSVFHPKAPKSEKTAFAFKLYDLRGTGYIEKEELREMVLALLDESDLHLSECAVEAIVDNTFSQADSNGDGRIDPEEWEEFVKANPASLRNMSLPYLQDITMAFPSFVMHSEAHD", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPAESGKRFKPSKYVPVSAAAIFLVGATTLFFAFTCPGLSLNVSPAVPIYNAIMFLFVLANFSMATFMDPGIFPRAEEDEDKEDDFRAPLYKTVEIKGIQVRMKWCATCRFYRPPRCSHCSVCDNCVEEFDHHCPWVNNCIGRRNYRYFFLFLLSLTAHIMGVFGFGLLYVLYHIEELSGVRTAVTMAVMCVAGLFFIPVAGLTGFHVVLVARGRTTNEQVTGKFRGGVNPFTNGCCNNVSRVLCSSPAPRYLGRPKKEKTIVIRPPFLRPEVSDGQITVKIMDNGIQGELRRTKSKGSLEITESQSADAEPPPPPKPDLSRYTGLRTHLSLATNEDSSLLGKDSPPTPTMYKYRPGYSSSSTSAAMPHSSSAKLSRGDSLKEPTSIADSSRHPSYRSEPSLEPESFRSPTFGKSFHFDPLSSGSRSSSLKSAQGTGFELGQLQSIRSEGTTSTSYKSLANQTRNGSLSYDSLLTPSDSPDFESVQAGPEPDPPLGYTSPFLSARLAQQREAERHPRLLPTGPPHREPSPVRYDNLSRHIVASLQEREKLLRQSPPLAGREEEPGLGDSGIQSTPGSGHAPRTSSSSDDSKRSPLSKTPLGRPAVPRFGKPDGLRSRGLGSPEPGTTAPYLGRSISYSSQKAPSGVSETEEVALQPLLTPKDEVQLKTTYSKSNGQPKSIGSASPGPGQPPLSSPTRGGVKKVSGVGGTTYEISV", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEAKPVAMEVEGVEAAGGKPRFRMPVDSDLKATEFWLFSFARPHMASFHMAWFSFFCCFVSTFAAPPLLPLIRDTLGLTATDIGNAGIASVSGAVFARLAMGTACDLVGPRLASASLILLTTPAVYCSSIIQSPSGYLLVRFFTGISLASFVSAQFWMSSMFSAPKVGLANGVAGGWGNLGGGAVQLLMPLVYEAIHKIGSTPFTAWRIAFFIPGLMQTFSAIAVLAFGQDMPGGNYGKLHKTGDMHKDSFGNVLRHALTNYRGWILALTYGYSFGVELTIDNVVHQYFYDRFDVNLQTAGLIAASFGMANIISRPGGGLLSDWLSSRYGMRGRLWGLWTVQTIGGVLCVVLGIVDFSFAASVAVMVLFSFFVQAACGLTFGIVPFVSRRSLGLISGMTGGGGNVGAVLTQYIFFHGTKYKTETGIKYMGLMIIACTLPVMLIYFPQWGGMLVGPRKGATAEEYYSREWSDHEREKGFNAASVRFAENSVREGGRSSANGGQPRHTVPVDASPAGV", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLFSGGQYSPVGRPEEVLLIYKIFLVIICFHAILVTSLKENAGNSSLLSPSAESSLVSLVPYSNGTPDAASEVLSTLNRTEKSKITILKTFNASGVKSQRNICNLSSICSDSVFFRGEIVFQHDDHYNVTQNQDIVNSTFAGVLSLSELKRTELNKTLQTLSETYFIVCATAEAQNTLNCTFTVKLNETMNVCAMMVTFKSVQIRPMEQCCCSPRTPCPSSPEELEKLQCDLQDPIVCLADQPHGPPVSSSSKPVPVVPQATIFSHVASDFSLAEPLDHALMTSSTPSLAQETRLPSPQPTISLTSSPAIDLPVQHVVASSSLPQTDLSHTLSPVQSSIPSPTTAAPSVPEKVVAISTPPGETVVNTSSVPDLEAQVSQMEKALSLGSLEPNLAGEMVNRVSKLLHSPLALLAPLAQRLLKVVDAIGLQLNFSSTTISLTSPSLALAVIRVNASNFNTTTFAAQDPANLQVSLEAQAPKNSIGAITLPSSLMSNLPASEVELASRVQFNFFETPALFQDPSLENLSLISYVISSSVTNMTIKNLTRNVTVALKHINPSQDDLTVKCVFWDLNRNGGRGGWSSDGCSVKEKRMNETICTCSHLTSFGILLDLSRTSLPPSQMMALTFITYIGCGLSSIFLSVTLVTYIAFEKIRRDYPSKILIQLCAALLLLNLVFLLDSWIALYNARGFCISVAVFLHYFLLVSFTWMGLEAFHMYLALVKVFNTYIRKYILKFCIVGWGIPAVVVSIVLTISPDNYGIGSYGKFPNGTPDDFCWINSSVVFYITVVGYFCVIFLLNVSMFIVVLVQLCRIKKKKQLGAQRKTSIQDLRSIAGLTFLLGITWGFAFFAWGPVNLTFMYLFAIFNTLQGFFIFIFYCAAKENVRKQWRRYLCCGKLRLAENSDWSKTATNGLKKQTVNQGVSSSSNSLQSSCNSTNSTTLLVNSDCSVHASGNGNASTERNGVSFSVQNGDVCLHDLTGKQHMFSDKEDSCNGKSRMALRRTSKRGSLHFIEQM", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLFSGGQYSPVGRPEEVLLIYKIFLVIICFHVILVTSLKENGNSSLLSPSAESSLVSLIPYSNGTPDAASEVLSTLNKTEKSKITIVKTFNASGVKSQRNICNLSSLCNDSVFFRGEIVFQHDEDHNVTQNQDTANGTFAGVLSLSELKRSELNKTLQTLSETYFIVCATAEAQSTVNCTFTVKLNETMNVCAMMVTFQTVQIRPMEQCCCSPRTPCPSSPEELEKLQCELQDPIVCLADQPHGPPLSSSSKPVVPQATIISHVASDFSLAEPLDHALMTPSTPSLTQESNLPSPQPTIPLASSPATDLPVQSVVVSSLPQTDLSHTLSPVQSSIPSPTTPAPSVPTELVTISTPPGETVVNTSTVSDLEAQVSQMEKALSLGSLEPNLAGEMVNRVSKLLHSPPALLAPLAQRLLKVVDAIGLQLNFSSTTISLTSPSLALAVIRVNASNFNTTTFAAQDPTNLQVSLETPPPENSIGAITLPSSLMNNLPANDVELASRIQFNFFETPALFQDPSLENLTLISYVISSSVTNMTIKNLTRNVTVALKHINPSPDDLTVKCVFWDLGRNGGKGGWSSDGCSVKDKRMNETICTCSHLTSFGILLDLSRTSLPPSQMMALTFITYIGCGLSSIFLSVTLVTYIAFEKIRRDYPSKILIQLCAALLLLNLIFLLDSWIALYNTRGFCIAVAVFLHYFLLVSFTWMGLEAFHMYLALVKVFNTYIRKYILKFCIVGWGIPAVVVSIVLTISPDNYGIGSYGKFPNGTPDDFCWINSNVVFYITVVGYFCVIFLLNVSMFIVVLVQLCRIKKKKQLGAQRKTSIQDLRSIAGLTFLLGITWGFAFFAWGPVNVTFMYLFAIFNTLQGFFIFIFYCAAKENVRKQWRRYLCCGKLRLAENSDWSKTATNGLKKQTVNQGVSSSSNSLQSSCNSTNSTTLLVNSDCSVHASGNGNASTERNGVSFSVQNGDVCLHDLTGKQHMFSDKEDSCNGKSRIALRRTSKRGSLHFIEQM", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGAPSSLLFSTAHWRTVPFLLAFWVLLSTGTAEDPTMTPEFLRHISEKIPEEYQNPHCFTRDLNDFICFWEGERRKNASFSYSEDDQIKWCQLRTEVASNNTWWYICEFPVTDVVLFAGITISAYPCHKCQTAREIYINELVLLNPPLNVTVKEKQDPRGLLISWKPPHFQKNHDINNEIKYQVNYSTPGADMQTVEVEAGNTEIFLTDIVPAAYTVTVRCKADGVSYNGYWSDWTAPITIATIIDLRLLLLLSIAIFVALIAGVGVYIFMRHGMYLKHKVWPQVPTPENNFQGLFTTHKGNFKLWLGQADAYLLWISRHVFQEDPSSTLEVLSELPPAALPQSFNPNPLKDSYVVLDENRMPCSLEWLEAQRHKTVIVGAESMDSRLQTVNKDVVLEDTSKGQIAVKANNRVHSLEGDGSQGEAFREDEYVEAPRMEHERHRVSRENSVSSDGKQSIPSSFEYTELQTCEGLLSPKPRPVPPRMPLKYAYLDMSSSGEHSPPPSPNFYQNSPITNFLAPIYSQS", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MALSGNCSRYYPRDQGAAVPNSFPEVIELNVGGQVYFTRHSTLISIPHSLLWKMFSPKRDTANDLAKDSKGRFFIDRDGFLFRYILDYLRDRQVVLPDHFPERGRLKREAEYFQLPDLVKLLAPEDVKQSPDEFCHSDFEDASQGSDTRICPPSSLLPHDRKWGFITVGYRGSCTLGREGQADAKFRRVPRILVCGRISLAKEVFGETLNESRDPDRAPERYTSRFYLKFKHLERAFDMLSECGFHMVACNSSVTASFVNQYTEDKIWSSYTEYVFYREPSRWSSSHCDCCCKNGKGDKGESGTSCNDLSTSSCDSQSEASSPQETVICGPVTRQSNIQTLDRPIKKGPVQLIQQSEMRRKSDLLRTLTSGSRESNISSKKKAAKEKLSIEEELEKCIQDFLKIKIPDRFPERKHPWQSELLRKYHL", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDFLNSSDQNLTSEELLNRMPSKILVSLTLSGLALMTTTINCLVITAIIVTRKLHHPANYLICSLAVTDFLVAVLVMPFSIVYIVRESWIMGQGLCDLWLSVDIICCTCSILHLSAIALDRYRAITDAVEYARKRTPRHAGITITTVWVISVFISVPPLFWRHQGNSRDDQCIIKHDHIVSTIYSTFGAFYIPLVLILILYYKIYRAARTLYHKRQASRMIKEELNGQVLLESGEKSIKLVSTSYMLEKSLSDPSTDFDRIHSTVKSPRSELKHEKSWRRQKISGTRERKAATTLGLILGAFVICWLPFFVKELVVNICEKCKISEEMSNFLAWLGYLNSLINPLIYTIFNEDFKKAFQKLVRCRN", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGWIRGRRSRHSWEMSEFHNYNLDLKKSDFSTRWQKQRCPVVKSKCRENASPFFFCCFIAVAMGIRFIIMVAIWSAVFLNSLFNQEVQIPLTESYCGPCPKNWICYKNNCYQFFDESKNWYESQASCMSQNASLLKVYSKEDQDLLKLVKSYHWMGLVHIPTNGSWQWEDGSILSPNLLTIIEMQKGDCALYASSFKGYIENCSTPNTYICMQRTV", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLSSVCVSSFRGRQGASKQQPAPPPQPPESPPPPPLPPQQQQPAQPGPAASPAGPPAPRGPGDRRAEPCPGLPAAAMGRHGGGGGDSGKIVINVGGVRHETYRSTLRTLPGTRLAGLTEPEAAARFDYDPGADEFFFDRHPGVFAYVLNYYRTGKLHCPADVCGPLFEEELGFWGIDETDVEACCWMTYRQHRDAEEALDSFEAPDPAGAANAANAAGAHDGGLDDEAGAGGGGLDGAGGELKRLCFQDAGGGAGGPPGGAGGAGGTWWRRWQPRVWALFEDPYSSRAARYVAFASLFFILISITTFCLETHEGFIHISNKTVTQASPIPGAPPENITNVEVETEPFLTYVEGVCVVWFTFEFLMRITFCPDKVEFLKSSLNIIDCVAILPFYLEVGLSGLSSKAAKDVLGFLRVVRFVRILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERIGADPDDILGSNHTYFKNIPIGFWWAVVTMTTLGYGDMYPKTWSGMLVGALCALAGVLTIAMPVPVIVNNFGMYYSLAMAKQKLPKKKNKHIPRPPQPGSPNYCKPDPPPPPPPHPHHGSGGISPPPPITPPSMGVTVAGAYPAGPHTHPGLLRGGAGGLGIMGLPPLPAPGEPCPLAQEEVIEINRADPRPNGDPAAAALAHEDCPAIDQPAMSPEDKSPITPGSRGRYSRDRACFLLTDYAPSPDGSIRKATGAPPLPPQDWRKPGPPSFLPDLNANAAAWISP", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSGRGGCGPCWGLLLALVLALGALPWTQGAEQEHHDEIQGFQIVTFKWHHVQDPYIIALWVLVASLAKIVFHLSHKVTSVVPESALLIVLGLVLGGIVLAADHIASFTLTPTVFFFYLLPPIVLDAGYFMPNRLFFSNLGSILLYAVVGTVWNAATTGLSLYGVFLSGIMGELKIGLLDFLLFGSLIAAVDPVAVLAVFEEVHVNEVLFIIVFGESLLNDAVTVVLYNVFQSFVTLGGDKVTGVDCVKGIVSFFVVSLGGTLVGVVFAFLLSLVTRFTKHVRVIEPGFVFIISYLSYLTSEMLSLSSILAITFCGICCQKYVKANISEQSATTVRYTMKMLASGAETIIFMFLGISAVDPLIWTWNTAFVLLTLLFVSVFRAIGVVLQTWLLNRYRMVQLELIDQVVMSYGGLRGAVAFALVALLDGNKVKEKNLFVSTTIIVVFFTVIFQGLTIKPLVQWLKVKRSEHREPKLNEKLHGRAFDHILSAIEDISGQIGHNYLRDKWANFDRRFLSKLLMRQSAQKSRDRILNVFHELNLKDAISYVTEGERRGSLAFIRSPSTDNMVNVDFSTPRPSTVEASVSYLLRESASAVCLDMQSLEQRRRSVRDAEDVITHHTLQQYLYKPRQEYKHLYSRHVLSPSEDEKQDKEIFHRTMRKRLESFKSAKLGLGQSKKATKHKRERERAQKRRNSSVPNGKLPLDSPAYGLTLKERELELSDPEEAPDYYEAEKMSGGIEFLASVTKDTTSDSPAGIDNPVFSPDEDLAPSLLARVPPWLSPGEAVVPSQRARVQIPYSPGNFRRLAPFRLSNKSVDSFLLAEDGAEHPESTHM", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKRLHPALPSCLLLVLFGIWRTAPQTHASSAGLPPLSATSFLEDLMDRYGKNDSLTLTQLKSLLDHLHVGVGRDNVSQPKEGPRNLSTCFSSGDLFAAHNLSERSQIGASEFQEFCPTILQQLDSQACTSENQKSEENEQTEEGKPSAIEVWGYGFLCVTVISLCSLMGASVVPFMKKTFYKRLLLYFIALAIGTLYSNALFQLIPEAFGFNPQDNYVSKSAVVFGGFYLFFFTEKILKMLLKQKNEHHHGHNHFTSETLPSKKDQEEGVTEKLQNGDLDHMIPQHCNSELDGKAPGTDEKVIVNSMSVQDLQASQSACYWLKGVRYSDIGTLAWMITLSDGLHNFIDGLAIGASFTVSVFQGISTSVAILCEEFPHELGDFVILLNAGMSIQQALFFNFLSACCCYLGLAFGILAGSHFSANWIFALAGGMFLYIALADMFPEMNEVCQEDEKNDSFLVPFVIQNLGLLTGFSIMLVLTMYSGQIQIG", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLNKMTLHPQQIMIGPRFNRALFDPLLVVLLALQLLVVAGLVRAQTCPSVCSCSNQFSKVICVRKNLREVPDGISTNTRLLNLHENQIQIIKVNSFKHLRHLEILQLSRNHIRTIEIGAFNGLANLNTLELFDNRLTTIPNGAFVYLSKLKELWLRNNPIESIPSYAFNRIPSLRRLDLGELKRLSYISEGAFEGLSNLRYLNLAMCNLREIPNLTPLIKLDELDLSGNHLSAIRPGSFQGLMHLQKLWMIQSQIQVIERNAFDNLQSLVEINLAHNNLTLLPHDLFTPLHHLERIHLHHNPWNCNCDILWLSWWIKDMAPSNTACCARCNTPPNLKGRYIGELDQNYFTCYAPVIVEPPADLNVTEGMAAELKCRASTSLTSVSWITPNGTVMTHGAYKVRIAVLSDGTLNFTNVTVQDTGMYTCMVSNSVGNTTASATLNVTAATTTPFSYFSTVTVETMEPSQDEARTTDNNVGPTPVVDWETTNVTTSLTPQSTRSTEKTFTIPVTDINSGIPGIDEVMKTTKIIIGCFVAITLMAAVMLVIFYKMRKQHHRQNHHAPTRTVEIINVDDEITGDTPMESHLPMPAIEHEHLNHYNSYKSPFNHTTTVNTINSIHSSVHEPLLIRMNSKDNVQETQI", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MHWTSILSAILLFCLSGARASPAKTVIRNKVPLLVTNACTRIFQKVTWEYTSKSKRSSPVCSYEPAFQSMLYCIYETLDEKGYSNKTLEKTFSTIKKNCASYSDALQNMTNSEFYDVLNNGTRHMTPYVKGSANLTYPVEMDTQLRKAYYHALHGFYANLDVGNIYGGIICAYFVAIMAFAGVLHCMNYTPFKTVLLKQKLVGYVRGYLTLPTIGSKHASDFSYFRIFTGYLPTRLEGIIILGYLVLHTVFLAYGYEYDPENIIFKSRRVQVARYVADRSGVLAFAHFPLIVLFAGRNNFLEYISGVKYTSFIMFHKWLGRMMFLDAMIHGSAYTSYTVANKTWATSKNRLYWQFGVAALCLAGTMVFFSFAVFRKYFYEAFLFLHIVLGAMFFYACWEHVVSLSGIEWIYTAIAIWIVDRIIRIIKASYFGFPKASLQLIGDDLIRLTVKKPARPWRAKPGQYVFVSFLHPLYFWQSHPFTVLDSVSKNGELVIILKEKKGVTRLVKKYVCRNGGKTSMRLAIEGPYGSSSPVNNYNNVLLLTGGTGLPGPIAHAIKLGKTSAAAGKQSVKLVIAVRGFDVLEAYKPELMCLENLNVQLHIYNTMEVPSLTPSDSLDISQQDEKADEKGTVVATTLEKSANPLGFDGVVFHCGRPNVKELLHEAAELSGSLSVVCCGPPIFVDKVRNETAKIVLDKSAKAIEYFEEYQCW", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MIWYVATLIASVISTRGLVAQVAHGLREEPEFVTARAGEGVVLRCDVIHPVTGQPPPYVVEWFKFGVPIPIFIKFGYYPPHVDPEYAGRASLHDKASLRLEQVRSEDQGWYECKVLMLDQQYDTFHNGSWVHLTINAPPTFTETPPQYIEAKEGGSITMTCTAFGNPKPIVTWLKEGTLLSASGKYQVSDGSLTVTSVSREDRGAYTCRAYSIQGEAVHTTHLLVQGPPFIVSPPENITVNISQDALLTCRAEAYPGNLTYTWYWQDENVYFQNDLKLRVRILIDGTLIIFRVKPEDAGKYTCVPSNSLGRSPSASAYLTVQYPARVLNMPPVIYVPVGIHGYIRCPVDAEPPATVVKWNKDGRPLQVEKNLGWTLMEDGSIRIEEATEEALGTYTCVPYNTLGTMGQSAPARLVLKDPPYFTVLPGWEYRQEAGRELLIPCAAAGDPFPVITWRKVGKPSRSKHNALPSGSLQFRALSKEDHGEWECVATNVVTSITASTHLTVIGTSPHAPGSVRVHVSMTTANVSWEPGYDGGYEQTFSVWMKRAQFGPHDWLSLSVPLGPSWLLVDSLEPETAYQFSVLAQNRLGTSAFSEVVTVNTLAFPVTTPEPLVLVTPPRCLTANRTQQGVLLSWLPPANHSFPIDRYIMEFRVGERWEMLDDAIPGTDGEFFAKDLSQDTWYEFRVLAVMQDLISEPSNIAGVSSTDIFPQPDLTDDGLARPVLAGIVATICFLAAAILFSTLAACFVNKQRKRKLKRKKDPPLSITHCRKSLESPLSSGKVSPESIRTLRAPSESSDDQGQPAAKRMLSPTREKELSLYKKTKRAISSRKYSVAKAEAEAEATTPIELISRGPDGRFVMGPSEMEPSVKGRRIEGFPFAEETDMYPEFRQSDEENEDPLVPTSVAALKPQLTPMSSSQDSYLPPPAYSPRFQPRGLEGPSGLGGRLQATGQARPPAPRPFQHGQYYGYLSSSSPGEVEPPPFYMPEVGSPLSSVMSSPPLHTEGPFGHPTIPEENGENASNSTLPLTQTPTGGRSPEPWGRPEFPFGGLETPAMMFPHQLHPCDVAESLQPKPCLPRGLPPAPLQVPAAYPGMLSLEAPKGWVGKSPGRGPIPAPPATKWQERPMQPLVSQGQLRHTSQGMGIPVLPYPEPAEPGGHGGPSTFGLDTRWYEPQPRPRPSPRQARRAEPSLHQVVLQPSRLSPLTQSPLSSRTGSPELAARARPRPGLLQQAEMSEITLQPPAAVSFSRKSTPSSTGSPSQSSRSGSPSYRPTMGFTTLATGYPSPPPGPAPPAPGDNLDVFGQTPSPRRMGEEPLRPEPPTTLPTSG", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MWPLAAALLLGSCCCGSAQLLFSNVNSIEFTSCNETVVIPCIVRNVEAQSTEEMFVKWKLNKSYIFIYDGNKNSTTTDQNFTSAKISVSDLINGIASLKMDKRDAMVGNYTCEVTELSREGKTVIELKNRTVSWFSPNEKILIVIFPILAILLFWGKFGILTLKYKSSHTNKRIILLLVAGLVLTVIVVVGAILLIPGEKPVKNASGLGLIVISTGILILLQYNVFMTAFGMTSFTIAILITQVLGYVLALVGLCLCIMACEPVHGPLLISGLGIIALAELLGLVYMKFVASNQRTIQPPRNR", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKKTEMGRFNISPDEDSSSYSSNSDFNYSYPTKQAALKSHYADVDPENQNFLLESNLGKKKYETDFHPGTTSFGMSVFNLSNAIVGSGILGLSYAMANTGIALFIILLTFVSIFSLYSVHLLLKTANEGGSLLYEQLGHKAYGLAGKLAASGSITMQNIGAMSSYLFIVKYELPLVIKALMNIEDTNGLWYLNGDYLVLLVSLVLILPLSLLRNLGYLGYTSGLSLLCMIFFLIVVICKKFQIPCPVEAALVANETVNGTFTQAALALAFNSTADDACRPRYFIFNSQTVYAVPILTFSFVCHPAVLPIYEELKSRSRRRMMNVSKISFFAMFLMYLLAALFGYLTFYGHVESELLHTYSEIVGTDILLLVVRLAVLVAVTLTVPVVIFPIRSSVTHLLCPTKEFSWLRHSIITVTILSFTNLLVIFVPTIRDIFGFIGASAAAMLIFILPSAFYIKLVKKEPMRSVQKIGALCFLLSGIVVMIGSMGLIVLDWVHDASAAGGH", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEEKDVYTQDGTVDIHKNPANKEKTGNWKACRFILGNECCERLAYYGMGTNLVNYLESRLNQGNATAANNVTNWSGTCYITPLIGAFIADAYLGRYWTIATFVFIYVSGMTLLTLSASVPGLKPGNCNADTCHPNSSQTAVFFVALYMIALGTGGIKPCVSSFGADQFDENDENEKIKKSSFFNWFYFSINVGALIAATVLVWIQMNVGWGWGFGVPTVAMVIAVCFFFFGSRFYRLQRPGGSPLTRIFQVIVAAFRKISVKVPEDKSLLFETADDESNIKGSRKLVHTDNLKFFDKAAVESQSDSIKDGEVNPWRLCSVTQVEELKSIITLLPVWATGIVFATVYSQMSTMFVLQGNTMDQHMGKNFEIPSASLSLFDTVSVLFWTPVYDQFIIPLARKFTRNERGFTQLQRMGIGLVVSIFAMITAGVLEVVRLDYVKTHNAYDQKQIHMSIFWQIPQYLLIGCAEVFTFIGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTVLVTVVMKITKKNGKPGWIPDNLNRGHLDYFFYLLATLSFLNFLVYLWISKRYKYKKAVGRAH", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLIIIQSLLLATTASLCIADTPVPTQIRLVHDLLDNYDKKAKPMWDNSKPINVSFSMDLYQILELNEPQQYILLNAWIIERWFDEFLYWNPDDYENITELRLPYDSIWLPDTTLYNSLVMKDDDTRRLLNSKLTTDTHRRAALIELLYPTIYKFSCLLDLRFFPFDVQVCTMTFSSWTYDQKGIDYFPYSDKIGTSNYLENEGWYILQTKIKRQEVKYACCPNNYTLLQLTLYLRRKPLFYLVNLIIPTSIITLIAIVGFFTTSSASGMREEKVSLGITTLLSMSILMLMVSDQMPTTSTFIPLIGWFILAMIIVISLGTVVSSVIIAIQKRGSLGERMSKRALKFAKVLAWFTCTSLPPHVEKEHMMEAFDAPTPLVEVRPLQLASVKESVRNKWVSGARRATQRGNSGLALISDKSTDPLIHLSPTAHQPDESISPSAPPVPSSSPLPPPLTPGPADDVVSVASELSSKFLTSRMRPKSQKDNTFAAMQSSIKANRQLAVAEFEWFATVVERTCFVIFVVAFLIITFGINFIGFIHWHQAGVEYGG", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLEKFCNSTFWNSSFLDSPEADLPLCFEQTVLVWIPLGYLWLLAPWQLLHVYKSRTKRSSTTKLYLAKQVFVGFLLILAAIELALVLTEDSGQATVPAVRYTNPSLYLGTWLLVLLIQYSRQWCVQKNSWFLSLFWILSILCGTFQFQTLIRTLLQGDNSNLAYSCLFFISYGFQILILIFSAFSENNESSNNPSSIASFLSSITYSWYDSIILKGYKRPLTLEDVWEVDEEMKTKTLVSKFETHMKRELQKARRALQRRQEKSSQQNSGARLPGLNKNQSQSQDALVLEDVEKKKKKSGTKKDVPKSWLMKALFKTFYMVLLKSFLLKLVNDIFTFVSPQLLKLLISFASDRDTYLWIGYLCAILLFTAALIQSFCLQCYFQLCFKLGVKVRTAIMASVYKKALTLSNLARKEYTVGETVNLMSVDAQKLMDVTNFMHMLWSSVLQIVLSIFFLWRELGPSVLAGVGVMVLVIPINAILSTKSKTIQVKNMKNKDKRLKIMNEILSGIKILKYFAWEPSFRDQVQNLRKKELKNLLAFSQLQCVVIFVFQLTPVLVSVVTFSVYVLVDSNNILDAQKAFTSITLFNILRFPLSMLPMMISSMLQASVSTERLEKYLGGDDLDTSAIRHDCNFDKAMQFSEASFTWEHDSEATVRDVNLDIMAGQLVAVIGPVGSGKSSLISAMLGEMENVHGHITIKGTTAYVPQQSWIQNGTIKDNILFGTEFNEKRYQQVLEACALLPDLEMLPGGDLAEIGEKGINLSGGQKQRISLARATYQNLDIYLLDDPLSAVDAHVGKHIFNKVLGPNGLLKGKTRLLVTHSMHFLPQVDEIVVLGNGTIVEKGSYSALLAKKGEFAKNLKTFLRHTGPEEEATVHDGSEEEDDDYGLISSVEEIPEDAASITMRRENSFRRTLSRSSRSNGRHLKSLRNSLKTRNVNSLKEDEELVKGQKLIKKEFIETGKVKFSIYLEYLQAIGLFSIFFIILAFVMNSVAFIGSNLWLSAWTSDSKIFNSTDYPASQRDMRVGVYGALGLAQGIFVFIAHFWSAFGFVHASNILHKQLLNNILRAPMRFFDTTPTGRIVNRFAGDISTVDDTLPQSLRSWITCFLGIISTLVMICMATPVFTIIVIPLGIIYVSVQMFYVSTSRQLRRLDSVTRSPIYSHFSETVSGLPVIRAFEHQQRFLKHNEVRIDTNQKCVFSWITSNRWLAIRLELVGNLTVFFSALMMVIYRDTLSGDTVGFVLSNALNITQTLNWLVRMTSEIETNIVAVERITEYTKVENEAPWVTDKRPPPDWPSKGKIQFNNYQVRYRPELDLVLRGITCDIGSMEKIGVVGRTGAGKSSLTNCLFRILEAAGGQIIIDGVDIASIGLHDLREKLTIIPQDPILFSGSLRMNLDPFNNYSDEEIWKALELAHLKSFVASLQLGLSHEVTEAGGNLSIGQRQLLCLGRALLRKSKILVLDEATAAVDLETDNLIQTTIQNEFAHCTVITIAHRLHTIMDSDKVMVLDNGKIIECGSPEELLQIPGPFYFMAKEAGIENVNSTKF", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAPAFGKCFMFCCAKTSPEKDEMATESYEAAIKGLNDLLSTKADLGNVAAAKIKALTAELKELDSSNSDAIERIKTGFTQFKTEKYLKNSTLFNHLAKTQTPKFLVFACSDSRVCPSHILNFQPGEAFVVRNIANMVPPFDQKRHSGVGAAVEYAVVHLKVENILVIGHSCCGGIKGLMSIEDDAAPTQSDFIENWVKIGASARNKIKEEHKDLSYDDQCNKCEKEAVNVSLGNLLSYPFVRAEVVKNTLAIRGGHYNFVKGTFDLWELDFKTTPAFAFS", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MATASSPPRRPRRARGLEDAEGPRRQYGFMQRQFTSMLQPGVNKFSLRMFGSQKAVEKEQERVKTAGFWIIHPYSDFRFYWDLIMLIMMVGNLVIIPVGITFFTEQTTTPWIIFNVASDTVFLLDLIMNFRTGTVNEDSSEIILDPKVIKMNYLKSWFVVDFISSIPVDYIFLIVEKGMDSEVYKTARALRIVRFTKILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVVRIFNLIGMMLLLCHWDGCLQFLVPLLQDFPPDCWVSLNEMVNDSWGKQYSYALFKAMSHMLCIGYGAQAPVSMSDLWITMLSMIVGATCYAMFVGHATALIQSLDSSRRQYQEKYKQVEQYMSFHKLPADMRQKIHDYYEHRYQGKIFDEENILNELNDPLREEIVNFNCRKLVATMPLFANADPNFVTAMLSKLRFEVFQPGDYIIREGAVGKKMYFIQHGVAGVITKSSKEMKLTDGSYFGEICLLTKGRRTASVRADTYCRLYSLSVDNFNEVLEEYPMMRRAFETVAIDRLDRIGKKNSILLQKFQKDLNTGVFNNQENEILKQIVKHDREMVQAIAPISYPQMTALNSTSSTATPTSRMRTQSPPVYTATSLSHSNLHSPSPSTQTPQPSAILSPCSYTTAVCSPPVQSPLATRTFHYASPTASQLSLMPQQQQQPQAPQTQPQQPPQQPQTPGSATPKNEVHRSTQALPNTSLTREVRPLSASQPSLPHEVSTLISRPHPTVGESLASIPQPVAAVHSAGLQAAGRSTVPQRVTLFRQMSSGAIPPNRGVPPAPPPPAAPLQREASSVLNTDPEAEKPRFASNL", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDIDHGRESDGEMVGTIASCGLLLHSLLAGLGRRAAGFARKVGGAAREDPRRVAHSLKVGLALALVSVVYFVTPLFNGLGVSAIWAVLTVVVVMEYTVGATLSKGLNRALATLVAGCIAVGAHQLAELAERCGDQGEPIVLTVLVFFVASAATFLRFIPEIKAKYDYGVTIFILTFGLVAVSSYRVEELIQLAHQRFYTIAVGVFICLCTTVFLFPVWAGEDVHKLASGNLDKLAQFIEGMEFNCFGENSVANNFGGKDSPQMHKSVLNSKATEDSLCTFAKWEPRHGQFRFRHPWSQYQKLGTLCRQCASSMEALASYVITTSKTQCPAAANPELSCKVRKTCGEMSLHSSKVLRDLAMATRTMTVPSPVNITMATAVKAAESLRSELAENTALLQVMHVAVTATLLADLVDRVKEIAECVDVLARLAHFKNPEDTKNVVVSTVSRGIDEPLPDVVIL", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDFPCLWLGLLLPLVAALDFNYHRQEGMEAFLKTVAQNYSSVTHLHSIGKSVKGRNLWVLVVGRFPKEHRIGIPEFKYVANMHGDETVGRELLLHLIDYLVTSDGKDPEITNLINSTRIHIMPSMNPDGFEAVKKPDCYYSIGRENYNQYDLNRNFPDAFEYNNVSRQPETVAVMKWLKTETFVLSANLHGGALVASYPFDNGVQATGALYSRSLTPDDDVFQYLAHTYASRNPNMKKGDECKNKMNFPNGVTNGYSWYPLQGGMQDYNYIWAQCFEITLELSCCKYPREEKLPSFWNNNKASLIEYIKQVHLGVKGQVFDQNGNPLPNVIVEVQDRKHICPYRTNKYGEYYLLLLPGSYIINVTVPGHDPHITKVIIPEKSQNFSALKKDILLPFQGQLDSIPVSNPSCPMIPLYRNLPDHSAATKPSLFLFLVSLLHIFFK", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRSEKSLTLAAPGEVRGPEGEQQDAGEFQEAEGGGGCCSSERLVINISGLRYETQLRTLSLFPDTLLGDPGRRVRFFDPLRNEYFFDRNRPSFDAILYYYQSGGRLRRPVNVPLDIFMEEIRFYQLGDEALAAFREDEGCLPEGGEDEKPLPSQPFQRQVWLLFEYPESSGPARGIAIVSVLVILISIVIFCLETLPQFRADGRGGSNEGSGTRMSPASRGSHEEEDEDEDSYAFPGSIPSGGLGTGGTSSFSTLGGSFFTDPFFLVETLCIVWFTFELLVRFSACPSKAAFFRNIMNIIDLVAIFPYFITLGTELVQRHEQQPVSGGSGQNRQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGKTLQASMRELGLLIFFLFIGVILFSSAVYFAEADDVDSLFPSIPDAFWWAVVTMTTVGYGDMYPMTVGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETEQEEQGQYTHVTCGQPTPDLKATDNGLGKPDFAEASRERRSSYLPTPHRAYAEKRMLTEV", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRSEKSLTLAAPGEVRGPEGEQQDAGDFPEAGGGGGCCSSERLVINISGLRFETQLRTLSLFPDTLLGDPGRRVRFFDPLRNEYFFDRNRPSFDAILYYYQSGGRLRRPVNVPLDIFLEEIRFYQLGDEALAAFREDEGCLPEGGEDEKPLPSQPFQRQVWLLFEYPESSGPARGIAIVSVLVILISIVIFCLETLPQFRVDGRGGNNGGVSRVSPVSRGSQEEEEDEDDSYTFHHGITPGEMGTGGSSSLSTLGGSFFTDPFFLVETLCIVWFTFELLVRFSACPSKPAFFRNIMNIIDLVAIFPYFITLGTELVQQQEQQPASGGGGQNGQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGKTLQASMRELGLLIFFLFIGVILFSSAVYFAEADDDDSLFPSIPDAFWWAVVTMTTVGYGDMYPMTVGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETEQEEQGQYTHVTCGQPAPDLRATDNGLGKPDFPEANRERRPSYLPTPHRAYAEKRMLTEV", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKLLVILLFSGLITGFRSDSSSSLPPKLLLVSFDGFRADYLKNYEFPHLQNFIKEGVLVEHVKNVFITKTFPNHYSIVTGLYEESHGIVANSMYDAVTKKHFSDSNDKDPFWWNEAVPIWVTNQLQENRSSAAAMWPGTDVPIHDTISSYFMNYNSSVSFEERLNNITMWLNNSNPPVTFATLYWEEPDASGHKYGPEDKENMSRVLKKIDDLIGDLVQRLKMLGLWENLNVIITSDHGMTQCSQDRLINLDSCIDHSYYTLIDLSPVAAILPKINRTEVYNKLKNCSPHMNVYLKEDIPNRFYYQHNDRIQPIILVADEGWTIVLNESSQKLGDHGYDNSLPSMHPFLAAHGPAFHKGYKHSTINIVDIYPMMCHILGLKPHPNNGTFGHTKCLLVDQWCINLPEAIAIVIGSLLVLTMLTCLIIIMQNRLSVPRPFSRLQLQEDDDDPLIG", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDFLNASDQNLTSEELLNRMPSKILVSLTLSGLALMTTTINSLVIAAIIVTRKLHHPANYLICSLAVTDFLVAVLVMPFSIVYIVRESWIMGQVLCDIWLSVDIICCTCSILHLSAIALDRYRAITDAVEYARKRTPRHAGIMITIVWVISVFISMPPLFWRHQGTSRDDECVIKHDHIVSTIYSTFGAFYIPLVLILILYYKIYRAARTLYHKRQASRMIKEELNGQVFLESGEKSIKLVSTSYMLEKSLSDPSTDFDRIHSTVKSPRSELKHEKSWRRQKISGTRERKAATTLGLILGAFVICWLPFFVKELVVNVCEKCKISEEMSNFLAWLGYLNSLINPLIYTIFNEDFKKAFQKLVRCRY", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVAEVCSMPTASTVKKPFDLRSKMGKWCHHRFPCCRGSGKSNMGTSGDHDDSFMKMLRSKMGKCCRHCFPCCRGSGTSNVGTSGDHENSFMKMLRSKMGKWCCHCFPCCRGSGKSNVGAWGDYDHSAFMEPRYHIRREDLDKLHRAAWWGKVPRKDLIVMLRDTDMNKRDKEKRTALHLASANGNSEVVQLLLDRRCQLNVLDNKKRTALIKAIQCQEDECVLMLLEHGADRNIPDEYGNTALHYAIYNEDKLMAKALLLYGADIESKNKCGLTPLLLGVHEQKQQVVKFLIKKKANLNVLDRYGRTALILAVCCGSASIVNLLLEQNVDVSSQDLSGQTAREYAVSSHHHVICELLSDYKEKQMLKISSENSNPEQDLKLTSEEESQRLKVSENSQPEKMSQEPEINKDCDREVEEEIKKHGSNPVGLPENLTNGASAGNGDDGLIPQRRSRKPENQQFPDTENEEYHSDEQNDTRKQLSEEQNTGISQDEILTNKQKQIEVAEQKMNSELSLSHKKEEDLLRENSVLQEEIAMLRLELDETKHQNQLRENKILEEIESVKEKTDKLLRAMQLNEEALTKTNI", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSPPNQSEEGLPQEASNRSLNATETPGDWDPGLLQALKVSLVVVLSIITLATVLSNAFVLTTILLTRKLHTPANYLIGSLATTDLLVSILVMPISIAYTTTRTWNFGQILCDIWVSSDITCCTASILHLCVIALDRYWAITDALEYSKRRTAGHAGAMIAAVWVISICISIPPLFWRQAQAQEEMSDCLVNTSQISYTIYSTCGAFYIPSVLLIILYSRIYRAARSRILNPPSLSGKRFTTAHLITGSAGSSLCSLNPSLHEGHMHPGSPLFFNHVRIKLADSVLERKRISAARERKATKTLGIILGAFIVCWLPFFVVSLVLPICRDSCWIHPALFDFFTWLGYLNSLINPIIYTVFNEDFRQAFQKVVHFRKAS", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MANYTLAPEDEYDVLIEGELESDEAEQCDKYDAQALSAQLVPSLCSAVFVIGVLDNLLVVLILVKYKGLKRVENIYLLNLAVSNLCFLLTLPFWAHAGGDPMCKILIGLYFVGLYSETFFNCLLTVQRYLVFLHKGNFFSARRRVPCGIITSVLAWVTAILATLPEFVVYKPQMEDQKYKCAFSRTPFLPADETFWKHFLTLKMNISVLVLPLFIFTFLYVQMRKTLRFREQRYSLFKLVFAIMVVFLLMWAPYNIAFFLSTFKEHFSLSDCKSSYNLDKSVHITKLIATTHCCINPLLYAFLDGTFSKYLCRCFHLRSNTPLQPRGQSAQGTSREEPDHSTEV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLLWASLLAFAPVCGQSAAAHKPVISVHPPWTTFFKGERVTLTCNGFQFYATEKTTWYHRHYWGEKLTLTPGNTLEVRESGLYRCQARGSPRSNPVRLLFSSDSLILQAPYSVFEGDTLVLRCHRRRKEKLTAVKYTWNGNILSISNKSWDLLIPQASSNNNGNYRCIGYGDENDVFRSNFKIIKIQELFPHPELKATDSQPTEGNSVNLSCETQLPPERSDTPLHFNFFRDGEVILSDWSTYPELQLPTVWRENSGSYWCGAETVRGNIHKHSPSLQIHVQRIPVSGVLLETQPSGGQAVEGEMLVLVCSVAEGTGDTTFSWHREDMQESLGRKTQRSLRAELELPAIRQSHAGGYYCTADNSYGPVQSMVLNVTVRETPGNRDGLVAAGATGGLLSALLLAVALLFHCWRRRKSGVGFLGDETRLPPAPGPGESSHSICPAQVELQSLYVDVHPKKGDLVYSEIQTTQLGEEEEANTSRTLLEDKDVSVVYSEVKTQHPDNSAGKISSKDEES", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKKFFDSRREQGGSGLGSGSSGGGGSTSGLGSGYIGRVFGIGRQQVTVDEVLAEGGFAIVFLVRTSNGMKCALKRMFVNNEHDLQVCKREIQIMRDLSGHKNIVGYIDSSINSVSSGDVWEVLILMDFCRGGQVVNLMNQRLQTGFTENEVLQIFCDTCEAVARLHQCKTPIIHRDLKVENILLHDRGHYVLCDFGSATNKFQNPQTEGVNAVEDEIKKYTTLSYRAPEMVNLYSGKVITTKADIWALGCLLYKLCYFTLPFGESQVAICDGNFTIPDNSRYSQDMHCLIRYMLEPDPDKRPDIYQVSYFSFKLLKKECPIPNVQNSPIPTKLPEPVKASEAAAKKTQPKARLTDPIPTTETSIAPRQRPKAGQTQPNPGILPIQPALTPRKRATVQPPPQAAGSSNQPGLLASVPQPKTQPPPSQPLPQSQPKQPQAPPTSQQPPSAPAQALPTQAQATPQHQQQLFLKQQQQQQTAPPAQQPAGTFYQQPQQQAQAPQFQAVHPAAQQPVIAQFPVVSQGSSQQQLIQNFYQQQQQQQQLATALHQQQLLTQQAALQQKTTAAAAPQPQAQPAAAASPAPAQEPAQIQAPVRQQPKVQTTPPPTIQGQKLGSLTPPSSPKAQRAGHRRILSDVTHSAVFGVPASKSTQLLQAAAAEASLNKSKSATTTPSGSPRASQQNVYNPSEGSTWNPFDDDNFSKLTAEELLNKDFAKLGEGKYPEKLGGSAESLIPGFQPTQGDAFAASSFSAGTAEKRKGGQTMDSSLPLLSVSDPFIPLQVPDAPEKLIEGLKSPDTSLLLPDLLPMTDPFGSTSDAVIEKADVAVESLIPGLEPPVPQRLPSQTESVTSNRTDSLTGEDSLIDCSLLSNPTTDLLEEFAPIAISAPAHKAAEDSNLISGFDVPEGSDKVAEDEFDPIPVLITKNPQGGHSRNSSGSSESSLPNLARSLLLVDQLIDL", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MIPRVIRLWLPSALFLSQVPGCVPLHGPSTITGAVGESLSVSCQYEEKFKTKDKFWCRGSLKVLCKDIVKTSSSEEVRNGRVTIRDHPDNLTFTVTYESLTLEDADTYMCAVDISLFDGSLGFDKYFKIELSVVPSEDPVPVTGSSLESGRDILESPTSSVGHTHPSVTTDDTIPAPCPQPRSLRSSLYFWVLVSLKLFLFLSMLGAVLWVNRPQRCSGGSSSRPCYENQ", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MESEGFSATTEQYEYYDYANETGLQPCDETDWDFSYSLLPVFYMIVFVLGLSGNGVVIFTVWKAKPKRRSADTYIGNLALADLAFVVTLPLWATYTALGFHWPFGSALCKLSSYLVLLNMFASVFCLTCLSFDRYLAIVHSLSSAKLRSRSSILVSLAVIWLFSGLLALPSLILRDTRVEGNNTICDLDFSGVSSKENENFWIGGLSILTTVPGFLLPLLLMTIFYCFIGGKVTMHFQNLKKEEQKKKRLLKIIITLVVVFAICWLPFHILKTIHFLDLMGFLELSCSAQNIIVSLHPYATCLAYVNSCLNPFLYAFFDLRFRSQCFFFFGFKKVLQGHLSNTSSSLSAQTQKSEIHSLATKV", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVAQAPHDDHQDDEKLAAARQKEIEDWLPITSSRNAKWWYSAFHNVTAMVGAGVLGLPYAMSQLGWGPGIAVLVLSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLIVEIGVCIVYMVTGGKSLKKFHELVCDDCKPIKLTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWASSASKGVQEDVQYGYKAKTTAGTVFNFFSGLGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVIVAYIVVALCYFPVALVGYYIFGNGVEDNILMSLKKPAWLIATANIFVVIHVIGSYQIYAMPVFDMMETLLVKKLNFRPTTTLRFFVRNFYVAATMFVGMTFPFFGGLLAFFGGFAFAPTTYFLPCVIWLAIYKPKKYSLSWWANWVCIVFGLFLMVLSPIGGLRTIVIQAKGYKFYS", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGCLGNSKTEDQRNEEKAQREANKKIEKQLQKDKQVYRATHRLLLLGAGESGKSTIVKQMRILHVNGFNGEGGEEDPQAARSNSDGEKATKVQDIKNNLKEAIETIVAAMSNLVPPVELANPENQFRVDYILSVMNVPNFDFPPEFYEHAKALWEDEGVRACYERSNEYQLIDCAQYFLDKIDVIKQADYVPSDQDLLRCRVLTSGIFETKFQVDKVNFHMFDVGGQRDERRKWIQCFNDVTAIIFVVASSSYNMVIREDNQTNRLQEALNLFKSIWNNRWLRTISVILFLNKQDLLAEKVLAGKSKIEDYFPEFARYTTPEDATPEPGEDPRVTRAKYFIRDEFLRISTASGDGRHYCYPHFTCAVDTENIRRVFNDCRDIIQRMHLRQYELL", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGPRARPALLLLMLLQTAVLQGRLLRSHSLHYLFMGASEQDLGLSLFEALGYVDDQLFVFYDHESRRVEPRTPWVSSRISSQMWLQLSQSLKGWDHMFTVDFWTIMENHNHSKESHTLQVILGCEMQEDNSTEGYWKYGYDGQDHLEFCPDTLDWRAAEPRAWPTKLEWERHKIRARQNRAYLERDCPAQLQQLLELGRGVLDQQVPPLVKVTHHVTSSVTTLRCRALNYYPQNITMKWLKDKQPMDAKEFEPKDVLPNGDGTYQGWITLAVPPGEEQRYTCQVEHPGLDQPLIVIWEPSPSGTLVIGVISGIAVFVVILFIGILFIILRKRQGSRGAMGHYVLAERE", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MWLSPALLLLSFPGCLSIQGPALVRGPEQGSVTVQCRYSSRWQTNKKWWCRGASWSTCRVLIRSTGSEKETKSGRLSIRDNQKNHSFQVTMEMLRQNDTDTYWCGIEKFGTDRGTRVKVNVYSVGKDTMSTSNQLPWPTVDGSTDMVSSDLQKRTYYMLLVFVKVPALLILVGAVLWLKRSTQKVPEEQWRHTLCSDLDSELLAKDISP", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGVVENRKILPEKKLGGWRAITFILGNETLEKLGSIGVSANFMLYLRNVFHMEPVEAFNVYYLWMGLTNFAPLLGALISDAYIGRFKTIAYASLFSILGLMTVTLTACLPQLHPPPCNNPHPDECDDPNKLQLGILFLGLGFLSIGSGGIRPCSIPFGVDQFDQRTEQGLKGVASFFNWYYLTLTMVLIFSHTVVVYLQTVSWVIGFSIPTSLMACAVVLFFVGMRFYVYVKPEGSVFSGIARVIVAARKKRDLKISLVDDGTEEYYEPPVKPGVLSKLPLTDQFKFLDKAAVILDGDLTSEGVPANKWRLCSIQEVEEVKCLIRVVPVWSAGIISIVAMTTQATFMVFQATKMDRHMGPHFEIPAASITVISYITIGIWVPIYEHLLVPFLWRMRKFRVTLLQRMGIGIVFAILSMFTAGFVEGVRRTRATEMTQMSVFWLALPLILMGLCESFNFIGLIEFFNSQFPEHMRSIANSLFPLSFAAANYLSSLLVTTVHKVSGTKDHPDWLNKDLDRGKLDYFYYLIAVLGVVNLVYFWYCAHRYQYKAGSQIEDFNEEKSLLDIEPNQRHDQSPS", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLLARMNPQVQPENGGAGPGSEQPPRKRKEVLVVKERNGVQCLLASRDGDEQPRETWGKKIDFLLSVVGFAVDLANVWRFPYLCYKNGGGAFLIPYTLFLIIAGMPLFYMELALGQYNREGAATVWKICPFFKGVGYAVILIALYVGFYYNVIIAWSLYYLFSSFTPTLPWTDCGHAWNSPNCTDPKLLNSSVLGNHTKYSKYKFTPAAEFYERGVLHLHESSGIHDIGLPQWQLLLCLIIVVIVLFFSLWKGVKTSGKVVWITATLPYLVLFVLLVHGITLPGASNGINAYLHIDFYRLKEATVWIDAATQIFFSLGAGFGVLIAFASYNKFDNNCYRDALLTSTINCVTSFISGFAIFSILGYMAHEHKVNIEDVATEGAGLVFILYPEAISTLSGSTFWAIVFFIMLLALGIDSSMGGMEAVITGLADDFQVLKRHRKLFTFAVSFGTFLLALFCITKGGIYVLTLLDTFAAGTSILFAVLMEAIGVSWFYGVDRFSNDIQQMMGFKPGLYWRLCWKFVSPAFLLFVVIVSIINFKPLTYDDYIFPLWANWVGWGIAGSSMVLVPAYIVYKFFSTRGSIRERLAYGITPASEHHLVAQRDIRQFQLQHWLAI", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRLSLWGSLLFFSFFVKHLTSLDPNTDAYHLSSFFSAMRLPNSPQAHTFSSLCSWPGVVVCDSSENVLHISASGLDLSGSIPDNTIGKMSKLQTLDLSGNKITSLPSDLWSLSLLESLNLSSNRISEPLPSNIGNFMSLHTLDLSFNSISGKIPAAISNLVNLTTLKLHNNDFQFGVPPELVHCRSLLSIDLSSNRLNESLPVGFGSAFPLLKSLNLSRNLFQGSLIGVLHENVETVDLSENRFDGHILQLIPGHKHNWSSLIHLDLSDNSFVGHIFNGLSSAHKLGHLNLACNRFRAQEFPEIGKLSALHYLNLSRTNLTNIIPREISRLSHLKVLDLSSNNLTGHVPMLSVKNIEVLDLSLNKLDGDIPRPLLEKLAMMQRFNFSFNNLTFCNPNFSQETIQRSFINIRNNCPFAAKPIITKGKKVNKKNTGLKIGLGLAISMAFLLIGLLLILVALRVRRKSRTWATKLAINNTEPNSPDQHDSTTDIKQATQIPVVMIDKPLMKMTLADLKAATFNFDRGTMLWEGKSGPTYGAVLPGGFRAALKVIPSGTTLTDTEVSIAFERLARINHPNLFPLCGYCIATEQRIAIYEDLDMVNLQSLLHNNGDDSAPWRLRHKIALGTARALAFLHHGCIPPMVHGEVKAATILLDSSQEPRLADFGLVKLLDEQFPGSESLDGYTPPEQERNASPTLESDVYSFGVVLLELVSGKKPEGDLVNWVRGLVRQGQGLRAIDPTMQETVPEDEIAEAVKIGYLCTADLPWKRPTMQQVVGLLKDISPNY", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MMMGRLVFVIWLYNCLCLLLLSSLVDADQANIDCLRTFKSQVEDPNRYLSTWVFGNETAGYICKFSGVTCWHDDENRVLSIKLSGYGLRGVFPPAVKLCADLTGLDLSRNNFSGPLPANISTLIPLVTILDLSYNSFSGEIPMLISNITFLNTLMLQHNQFTGTLPPQLAQLGRLKTFSVSDNRLVGPIPNFNQTLQFKQELFANNLDLCGKPLDDCKSASSSRGKVVIIAAVGGLTAAALVVGVVLFFYFRKLGAVRKKQDDPEGNRWAKSLKGQKGVKVFMFKKSVSKMKLSDLMKATEEFKKDNIIATGRTGTMYKGRLEDGSLLMIKRLQDSQRSEKEFDAEMKTLGSVKNRNLVPLLGYCVANKERLLMYEYMANGYLYDQLHPADEESFKPLDWPSRLKIAIGTAKGLAWLHHSCNPRIIHRNISSKCILLTAEFEPKISDFGLARLMNPIDTHLSTFVNGEFGDFGYVAPEYSRTMVATPKGDVYSFGVVLLELVTGQKATSVTKVSEEKAEEENFKGNLVEWITKLSSESKLQEAIDRSLLGNGVDDEIFKVLKVACNCVLPEIAKQRPTMFEVYQLLRAIGESYNFTADDDILIPSESGEGDFIEELIVAR", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAELEAKKVEIVDPAPPAPGPVEAPKEVVADEKAIVAPALPPPAEEKEKPDDSKALVVVETKAPEPADEKKEGSIDRDAVLARVATEKRVSLIKAWEESEKSKAENKAQKKVSAIGAWENSKKANLEAELKKMEEQLEKKKAEYTEKMKNKIALLHKEAEEKRAMIEAKRGEDLLKAEELAAKYRATGTAPKKILGIF", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIPSQSNSFSGSVITLYFFLLGSLVLRTLASSRLHYCRHDQRDALLEFKHEFPVSESKPSPSLSSWNKTSDCCFWEGVTCDDESGEVVSLDLSYVLLNNSLKPTSGLFKLQQLQNLTLSDCHLYGEVTSSLGNLSRLTHLDLSSNQLTGEVLASVSKLNQLRDLLLSENSFSGNIPTSFTNLTKLSSLDISSNQFTLENFSFILPNLTSLSSLNVASNHFKSTLPSDMSGLHNLKYFDVRENSFVGTFPTSLFTIPSLQIVYLEGNQFMGPIKFGNISSSSRLWDLNLADNKFDGPIPEYISEIHSLIVLDLSHNNLVGPIPTSISKLVNLQHLSLSNNTLEGEVPGCLWGLMTVTLSHNSFNSFGKSSSGALDGESMQELDLGSNSLGGPFPHWICKQRFLKYLDLSNNLFNGSIPPCLKNSTYWLKGLVLRNNSFSGFLPDVFVNASMLLSLDVSYNRLEGKLPKSLINCTGMELLNVGSNIIKDTFPSWLVSLPSLRVLILRSNAFYGSLYYDHISFGFQHLRLIDISQNGFSGTLSPLYFSNWREMVTSVLEENGSNIGTEDWYMGEKGPEFSHSNSMTMIYKGVETDFLRIPYFFRAIDFSGNRFFGNIPESVGLLKELRLLNLSGNSFTSNIPQSLANLTNLETLDLSRNQLSGHIPRDLGSLSFLSTMNFSHNLLEGPVPLGTQFQSQHCSTFMDNLRLYGLEKICGKAHAPSSTPLESEEFSEPEEQVINWIAAAIAYGPGVFCGLVIGHIFFTAHKHEWFMEKFHRNKRRVVTTSAR", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "METPNTTEDYDTTTEFDYGDATPCQKVNERAFGAQLLPPLYSLVFVIGLVGNILVVLVLVQYKRLKNMTSIYLLNLAISDLLFLFTLPFWIDYKLKDDWVFGDAMCKILSGFYYTGLYSEIFFIILLTIDRYLAIVHAVFALRARTVTFGVITSIIIWALAILASMPGLYFSKTQWEFTHHTCSLHFPHESLREWKLFQALKLNLFGLVLPLLVMIICYTGIIKILLRRPNEKKSKAVRLIFVIMIIFFLFWTPYNLTILISVFQDFLFTHECEQSRHLDLAVQVTEVIAYTHCCVNPVIYAFVGERFRKYLRQLFHRRVAVHLVKWLPFLSVDRLERVSSTSPSTGEHELSAGF", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDIQMANNFTPPSATPQGNDCDLYAHHSTARIVMPLHYSLVFIIGLVGNLLALVVIVQNRKKINSTTLYSTNLVISDILFTTALPTRIAYYAMGFDWRIGDALCRITALVFYINTYAGVNFMTCLSIDRFIAVVHPLRYNKIKRIEHAKGVCIFVWILVFAQTLPLLINPMSKQEAERITCMEYPNFEETKSLPWILLGACFIGYVLPLIIILICYSQICCKLFRTAKQNPLTEKSGVNKKALNTIILIIVVFVLCFTPYHVAIIQHMIKKLRFSNFLECSQRHSFQISLHFTVCLMNFNCCMDPFIYFFACKGYKRKVMRMLKRQVSVSISSAVKSAPEENSREMTETQMMIHSKSSNGK", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MATVVMEQIGRLFINAQQLRQIPRFLESAFPKLPCTVMVSDVPWVFRESHIITGYRPPDQNWRYYFLTLFQRHNESVNVWTHLLASLIILVKFQELSETVDFLRDPHAQPMFILLLAAFTYLGCSALAHLLSAKSEISHYTFYFLDYVGVAVYQYGSALAHFYYVVEEEWHAQVRTFFLPASAFLAWLSCTGCCYGKYASPKLPKFVHKLFQVVPSGLAYCLDISPVLHRIYRCYSSEHWCADQAVVYHCYQVLFFLISAYFFSYPHPERWFPGRCDFIGQGHQIFHVFLVLCTLVQIEAVRLDYTERRRLYEHLHGDLAHDAVALFIFTACCSALTAFYVRKRVKTYLEEKQE", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEMICVLFLSLVPAYSRGQGVYAPAQAQIIHAGQACVVKEDNISERVYTIREGDTLVLQCLVTGHPRPQVRWTKTAGSASDKFQETSVLNETLRIEKIQRLQGGRYYCKAENGVGVPAIRSIRVDVQYLDEPVLTVHQTISDVRGSFYQEKTVFLRCTVNSNPPARFIWKRGAETLSHSQDNGVDIYEPLYTQGETKVLKLKNLRPQDYASYTCQVSVRNVCSIPDKSITFQLTNTTAPPALKLSVNETLVVNPGDNVTMQCSLTGGDPQPEVLWSHSPGPLPPNSLVQGGNLTIWRIRVEDSGYYNCTAINNVGNPAKKTVNLLVRSMKNATFQITPDVIKESETIQLGQDLKLSCHVDAVPQEKVVYSWYKNGKPARFSDRLLITRNDPELPPVTCSLEIIDLRFSDYGTYLCVATFQGAPIPDLSVEVNISSETVPPTISVPKGQSTITVREGSRAELQCEVRGKPKPPIIWSRVDKETPMPSGTMTVETYDGKLRLESVSRDMSGTYKCQTARYNGFNIRPREALVQLNVQFPPVVEPAFQDVRQGMGRSVTLRCTMLKGSPMKVATSVWRFNGTLLAQPPAEQQDYSELKVDSVSRETSGSYECSISNDVGVSACLFQVSAKAYSPEFYYDTPNPTLSQKQSKNYSYILQWTQKEPDAVDPILKYRLEVRQLAQRNTIQTFIPVQKMEKGLLLEHILPNLKVPQSYEVRLTPITSFGAGDMAARIIRYMEPINYPSPTDNTCRFEDEKICGFVQDKMDNFDWTRQNALTQNPKRTVNTGPPTDISGTPEGYYMFIEASRPRVTGDKARLISPLYNITAKYYCVSFYYHMYGKHIGSLNLLVRVRNKRAIDTQVWSLSGNRGNMWQQAHVPINPPGPFQIIFEGVRGTSYEGDIAIDDVTLKKGDCPRKPIGPNKAVALPGSGVSAQHGPCLCGPLTFFLYVLLR", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSLFNTENTWAFVFGLLGNLISFAVFLSPVPTFYRIWKKKTTEGFQSIPYVVALFSATLWLYYATQKKDVFLLVTINAFGCFIETIYISMFLAYAPKPARMLTVKMLLLMNFGGFCAILLLCQFLVKGATRAKIIGGICVGFSVCVFAAPLSIIRTVIKTRSVEYMPFSLSLTLTISAVIWLLYGLALKDIYVAFPNVLGFALGALQMILYVVYKYCKTSPHLGEKEVEAAKLPEVSLDMLKLGTVSSPEPISVVRQANKCTCGNDRRAEIEDGQTPKHGKQSSSAAAT", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEGDNCNKSRHKSHNMINPNYASVRCTQPLPSVIQLRSRNKMIGITEDPSSDSEPVSSNQPLLLTNLSYEVHTFNDNNNHERPAPQEQSTQNTMISMQSEQKSDRFTASNLGMFQYMKFEIGEDGDDHEEEAILTNREKLRHILHSKPIHVAIIVLVVLDSFLVVGELLIDLKVIIVPHGNPAPEILHGFSLSILSIFMVEIALKIIADHRHFIHHKVEVLDAVVVVISFGVDIALIFVGESEALAAIGLLVILRLWRVFRIINGIIVTVKTKADDRVHEIKKKNSELELQIHNLEEKLSQKEQDMSRLHEILRCNNIDIPPTVPLTTSVQIHSTTTASADV", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MATKEKLQCLKDFHKDILKPSPGKSPGTRPEDEADGKPPQREKWSSKIDFVLSVAGGFVGLGNVWRFPYLCYKNGGGAFLIPYFIFLFGSGLPVFFLEVIIGQYTSEGGITCWEKICPLFSGIGYASIVIVSLLNVYYIVILAWATYYLFHSFQKDLPWAHCNHSWNTPQCMEDTLRRNESHWVSLSTANFTSPVIEFWERNVLSLSSGIDNPGSLKWDLALCLLLVWLVCFFCIWKGVRSTGKVVYFTATFPFAMLLVLLVRGLTLPGAGEGIKFYLYPDISRLGDPQVWIDAGTQIFFSYAICLGAMTSLGSYNKYKYNSYRDCMLLGCLNSGTSFVSGFAIFSILGFMAQEQGVDIADVAESGPGLAFIAYPKAVTMMPLPTFWSILFFIMLLLLGLDSQFVEVEGQITSLVDLYPSFLRKGYRREIFIAILCSISYLLGLTMVTEGGMYVFQLFDYYAASGVCLLWVAFFECFVIAWIYGGDNLYDGIEDMIGYRPGPWMKYSWAVITPALCVGCFVFSLVKYVPLTYNKVYRYPDWAIGLGWGLALSSMLCIPLVIVILLCRTEGPLRVRIKYLITPREPNRWAVEREGATPFHSRVTLMNGALMKPSHVIVETMM", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MWTSGRMSNAKNLFGLGVSLYFWGLMDLTTTVLSGSARPLTEGPEDNLSDKLHQRMKRSWVWNQFFVLEEYTGTDPLYVGKLHSDMDRGDGSIKYILSGEGAGIVFTIDDTTGDIHAIQRLDREERSQYTLRAQALDRRTGRPMEPESEFIIKIQDINDNEPKFLDGPYVASVPEMSPVGTSVIQVTATDADDPTYGNSARVVYSILQGQPYFSVDSRTGLIRTALMNMDREAKEYYEVIVQAKDMGGQLGGLAGTTTVNITLSDVNDNPPRFPQKHYQMSVLESAPVSSTVGRVVAKDLDEGINAEMKYSLVDGDGLDVFDINTDPNYQVGIITVRKPLSFESKKSYTLKVEGANPHLEMRFLNLGPFRDTTTVHISVEDVDEPPVFEPSFYFVEVPEDVEIGATIQIISAKDPDVTNNSIRYSIDRSSDPGRFFYVDITSGALMTARPLDREDVSWHNITVLAMELNNPSQVGSVSVTVKVLDVNDNAPEFARFYEAFVCENAKAGQLIQTVSAIDRDDPQEGQHFYYSLAPEAANNPNFTLRDNQDNTAWILTRRSGFRQHEQNIFYLPILISDNGRPVLSSTGTLTVHVCSCDEGGMVMSCNAEAYVLPVSLSRGALIAILACIFVLLVLVLLILSMRRQRKQPYIIDEEENIHENIVRYDDEGGGEEDTEAFDIAAMWNPREAQLVVKNRQDMLPEIESLSRYVPQACIMDNNVHNYVLAKLYEADMDLWAPPFDSLQTYMFEGNGSVAESLSSLQSVTTDSDQSYDYLTDWGPRFKKLAEMYGATDSSGALW", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSIFFFFISFVVFSVADLPSCFSADQQYEECRSRNLTCGSGHRVFESTTYPFWGGFNKPKFCGHSSFKLSCEGDQNLTLAIGNITLRVVSANLEDHKISVADDSLLDGGCLNIWNFNGKNQFTLDSNTETIDVFVNCSGVAPLQISCEESYEDPVTYHVLRSSDSDEGCMKYAEIPMLRSAKDELQRSELTFVEALRKGFDLRYIMEDKACRRCIDSGGICGSALDSESFRCLCADRPHNSSCDDNTNQGKNDKRRRVIVKVLIGASAAVVGLIAASIFWYVYHRRKTKSYRNSSALLPRNISSDPSAKSFDIEKAEELLVGVHIFSYEELEEATNNFDPSKELGDGGFGTVYYGKLKDGRSVAVKRLYDNNFKRAEQFRNEVEILTGLRHPNLVALFGCSSKQSRDLLLVYEYVANGTLADHLHGPQANPSSLPWSIRLKIAVETASALKYLHASKIIHRDVKSNNILLDQNFNVKVADFGLSRLFPMDKTHVSTAPQGTPGYVDPDYHLCYQLSNKSDVYSFAVVLMELISSLPAVDITRPRQEINLSNMAVVKIQNHELRDMVDPSLGFDTDTRVRQTVIAVAELAFQCLQSDKDLRPCMSHVQDTLTRIQNNGFGSEMDVVDVNKSGPLVAQSPDSVIVKWDSK", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEAEQRPAAGASEGATPGLEAVPPVAPPPATAASGPIPKSGPEPKRRHLGTLLQPTVNKFSLRVFGSHKAVEIEQERVKSAGAWIIHPYSDFRFYWDLIMLLLMVGNLIVLPVGITFFKEENSPPWIVFNVLSDTFFLLDLVLNFRTGIVVEEGAEILLAPRAIRTRYLRTWFLVDLISSIPVDYIFLVVELEPRLDAEVYKTARALRIVRFTKILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVVRIFNLIGMMLLLCHWDGCLQFLVPMLQDFPPDCWVSINHMVNHSWGRQYSHALFKAMSHMLCIGYGQQAPVGMPDVWLTMLSMIVGATCYAMFIGHATALIQSLDSSRRQYQEKYKQVEQYMSFHKLPADTRQRIHEYYEHRYQGKMFDEESILGELSEPLREEIINFTCRGLVAHMPLFAHADPSFVTAVLTKLRFEVFQPGDLVVREGSVGRKMYFIQHGLLSVLARGARDTRLTDGSYFGEICLLTRGRRTASVRADTYCRLYSLSVDHFNAVLEEFPMMRRAFETVAMDRLLRIGKKNSILQRKRSEPSPGSSGGIMEQHLVQHDRDMARGVRGRAPSTGAQLSGKPVLWEPLVHAPLQAAAVTSNVAIALTHQRGPLPLSPDSPATLLARSAWRSAGSPASPLVPVRAGPWASTSRLPAPPARTLHASLSRAGRSQVSLLGPPPGGGGRRLGPRGRPLSASQPSLPQRATGDGSPGRKGSGSERLPPSGLLAKPPRTAQPPRPPVPEPATPRGLQLSANM", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MATIAAAAFEALMDGVTCWDVPRGPIPSELLLIGEAAFPVMVNDKGQVLIAASSYGRGRLVVVSHEGYLSHTGLAPFLLNAVSWLCPCPGAPVGVHPSLAPLVNILQDAGLEAQVKPEPGEPLGVYCINAYNDTLTATLIQFVKHGGGLLIGGQAWYWASQHGPDKVLSRFPGNKVTSVAGVYFTDTYGDRDRFKVSKKVPKIPLHVRYGEDVRQDQQQLLEGISELDIRTGGVPSQLLVHGALAFPLGLDASLNCFLAAAHYGRGRVVLAAHECLLCAPKMGPFLLNAVRWLARGQTGKVGVNTNLKDLCPLLSEHGLQCSLEPHLNSDLCVYCCKAYSDKEAKQLQEFVAEGGGLLIGGQAWWWASQNPGHCPLAGFPGNIILNCFGLSILPQTLKAGCFPVPTPEMRSYHFRKALSQFQAILNHENGNLEKSCLAKLRVDGAAFLQIPAEGVPAYISLHRLLRKMLRGSGLPAVSRENPVASDSYEAAVLSLATGLAHSGTDCSQLAQGLGTWTCSSSLYPSKHPITVEINGINPGNNDCWVSTGLYLLEGQNAEVSLSEAAASAGLRVQIGCHTDDLTKARKLSRAPVVTHQCWMDRTERSVSCLWGGLLYVIVPKGSQLGPVPVTIRGAVPAPYYKLGKTSLEEWKRQMQENLAPWGELATDNIILTVPTTNLQALKDPEPVLRLWDEMMQAVARLAAEPFPFRRPERIVADVQISAGWMHSGYPIMCHLESVKEIINEMDMRSRGVWGPIHELGHNQQRHGWEFPPHTTEATCNLWSVYVHETVLGIPRAQAHEALSPPERERRIKAHLGKGAPLCDWNVWTALETYLQVLSRNSGRRG", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSGRDNRGAGGGGGGHQPLSNAMGKLKEKLTRVGDELGYHRVESNLSTSNTATSLDTILPEDPFLFPQVSPQRHPQNTVRTQRLLEDEPPLSFRPLLEDDDINEPPTQQQQRTPLRASGSLELTPLPPPPTSLEIREHRDRQQRGAQGDELQRSKQSLKGSRVSFERRDTGNSNTNSNKAAESSDEDSFEEKRTGFQQQKATSVDHKGILKDLKHILANDNRRQFQAKKHVSLDVKGTRFLQDLLKESSSEEEFHKTRREFQGRKHQSLDPRVTFKLDKVLQGSSTDSDEEGEDAEHKRLIHRPKDITKPVIIDLKDLESESDEDFLTSRQHFQQQRSISTDSRKSRRLYEMDEMDNKRGENIRHAVPFVRQITEDGKPKLEVYRPTTNPIYIWTQVLAALSVSLGSLVVGFVSAYTSPALVSMTDRNITSFEVTQDAGSWVGGIMPLAGLAGGIAGGPLIEYLGRRNTILATAVPFIVSSLLIACAVNVAMVLCGRFLAGFCVGIASLSLPVYLGETVQPEVRGTLGLLPTAFGNIGILLCFVAGSFMNWSMLAFLGAALPVPFLILMFLIPETPRWFVGRGLEERARKALKWLRGKEADVEPELKGLMRSQADADRQASRNTMLELLKLNNLKPLSISLGLMFFQQFSGINAVIFYTVQIFKDAGSTIDGNLCTIIVGIVNFLATFIGIVLIDRAGRKILLYVSDIAMVLTLFVLGGFFYCKTYGPDVSHLGWLPLTCFVIYILGFSLGFGPIPWLMMGEILPAKIRGSAASVATAFNWFCTFVVTKTFQDLTVAMGAHGAFWLFGAICFVGLFFVIIYVPETQGKTLEDIERKMMGRVRRMSSVANIKPLSFNM", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MHHHHHPCNRKPFTTIFSFFLLYLNLHNQQIIEARNPSQFTTNPSPDVSIPEIKRHLQQYGYLPQNKESDDVSFEQALVRYQKNLGLPITGKPDSDTLSQILLPRCGFPDDVEPKTAPFHTGKKYVYFPGRPRWTRDVPLKLTYAFSQENLTPYLAPTDIRRVFRRAFGKWASVIPVSFIETEDYVIADIKIGFFNGDHGDGEPFDGVLGVLAHTFSPENGRLHLDKAETWAVDFDEEKSSVAVDLESVAVHEIGHVLGLGHSSVKDAAMYPTLKPRSKKVNLNMDDVVGVQSLYGTNPNFTLNSLLASETSTNLADGSRIRSQGMIYSTLSTVIALCFLNW", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MALLLLILESCSAGTYALNCKLTVKYRTLQGLCSVNGKTFLDFGDENHEGNATMLCPALYQSLTDISEVMWSLQSGNDALNVTTRSQYYQGEFIDGFWDINTDEQHSIYVYPLNKTWRESHSDNSSAMEQWKNKNLEKDIRNVLMVDFSCCLNKSSPHFREMPTLPTTAAHVDQPRSMACKSSPFDGLIMILLIYIL", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRRNKTTYSLLQTILVACLLTVTPTFASNKPTTDESGTISHTICDGEAAELSCPAGKVISIVLGNYGRFSVAVCLPDNDIVPSNINCQNHKTKSILEKKCNGDSMCYFTVDKKTFTEDPCPNTPKYLEVKYNCVVPATTTTTTTTTSTTTTDSSLIVDEEEEAQKDALNSDVIKPVKKKEDVFCSATNRRGVNWQNTKSGTTSSAPCPEGSSGKQLWACTEEGQWLTEFPNSAGCESNWISSRNSVLSGVISSEDVSGLPEFLRNLGSETRRPMVGGDLPKVLHLLEKTVNVIAEESWAYQHLPLSNKGAVEVMNYMLRNQEIWGSWDVTKRKEFASRFILAAEKAMVASAKGMMTSAESNVIVQPAITVEISHKIKMSSQPTDYILFPSAALWNGQNVDNVNIPRDAILKINKDETQVFFSSFDNLGAQMTPSDVTVAIAGTDQTEVRKRRVVSRIVGASLIENGKERRVENLTQPVRITFYHKESSVRHLSNPTCVWWNHHELKWKPSGCKLSYHNKTMTSCDCTHLTHFAVLMDVRGHDLNEIDQTLLTLLTYVGCIISIICLLLTFFAYLIFSRNGGDRVFIHENLCLSLAIAEITFLAGITRTEDSLQCGIIAVALMYMFLSALTWMLLEGYHIHRMLTEVFPSDPRRFTYLLVGYIPPAIITLVAYLYNSDGFGTPDHCWLSTQNNFIWFFAGPACFIFCANSLVLVKTLCTVYQHTSGGYLPCRHDVDSGRSIRNWVKGSLALASLLGVTWIFGLFWVEDSRSIVMAYVFTISNSLQGLFIFLFHVVFAEKMRKDVGHWMYRRGCGGSSNSSPNHKRHNVQRDLMSPGVNSSTGSDFLYNTNDKYLTNSDTTNRLVYNGIMNHPNQMSVYQQHPHHQIYEQQPGTYDYATIAYGDMMPGHRVAAPPAYQRLAVAEGRYGSQHQLYQGWHHRPPPEFSPPPPPLSTGPPNSRHYGTGSSGRRPPSSKMSDDSAYSDGSSSMLTTEVTPQGQTVLRIDLNKPSMYCQDL", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASLGQIIFWSIINIIIILAGAIALIIGFGISGKHFITVTTFTSAGNIGEDGTLSCTFEPDIKLNGIVIQWLKEGIKGLVHEFKEGKDDLSQQHEMFRGRTAVFADQVVVGNASLRLKNVQLTDAGTYTCYIRTSKGKGNANLEYKTGAFSMPEINVDYNASSESLRCEAPRWFPQPTVAWASQVDQGANFSEVSNTSFELNSENVTMKVVSVLYNVTINNTYSCMIENDIAKATGDIKVTDSEVKRRSQLQLLNSGPSPCVFSSAFVAGWALLSLSCCLMLR", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKQQWQFLILCLLVLFLTVDSRGRRLLSDDVNDTALLTAFKQTSIKSDPTNFLGNWRYGSGRDPCTWRGVSCSSDGRVIGLDLRNGGLTGTLNLNNLTALSNLRSLYLQGNNFSSGDSSSSSGCSLEVLDLSSNSLTDSSIVDYVFSTCLNLVSVNFSHNKLAGKLKSSPSASNKRITTVDLSNNRFSDEIPETFIADFPNSLKHLDLSGNNVTGDFSRLSFGLCENLTVFSLSQNSISGDRFPVSLSNCKLLETLNLSRNSLIGKIPGDDYWGNFQNLRQLSLAHNLYSGEIPPELSLLCRTLEVLDLSGNSLTGQLPQSFTSCGSLQSLNLGNNKLSGDFLSTVVSKLSRITNLYLPFNNISGSVPISLTNCSNLRVLDLSSNEFTGEVPSGFCSLQSSSVLEKLLIANNYLSGTVPVELGKCKSLKTIDLSFNALTGLIPKEIWTLPKLSDLVMWANNLTGGIPESICVDGGNLETLILNNNLLTGSLPESISKCTNMLWISLSSNLLTGEIPVGIGKLEKLAILQLGNNSLTGNIPSELGNCKNLIWLDLNSNNLTGNLPGELASQAGLVMPGSVSGKQFAFVRNEGGTDCRGAGGLVEFEGIRAERLEHFPMVHSCPKTRIYSGMTMYMFSSNGSMIYLDLSYNAVSGSIPLGYGAMGYLQVLNLGHNLLTGTIPDSFGGLKAIGVLDLSHNDLQGFLPGSLGGLSFLSDLDVSNNNLTGPIPFGGQLTTFPLTRYANNSGLCGVPLPPCSSGSRPTRSHAHPKKQSIATGMSAGIVFSFMCIVMLIMALYRARKVQKKEKQREKYIESLPTSGSSSWKLSSVHEPLSINVATFEKPLRKLTFAHLLEATNGFSADSMIGSGGFGDVYKAKLADGSVVAIKKLIQVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKIGEERLLVYEYMKYGSLETVLHEKTKKGGIFLDWSARKKIAIGAARGLAFLHHSCIPHIIHRDMKSSNVLLDQDFVARVSDFGMARLVSALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGKKPIDPEEFGEDNNLVGWAKQLYREKRGAEILDPELVTDKSGDVELLHYLKIASQCLDDRPFKRPTMIQVMTMFKELVQVDTENDSLDEFLLKETPLVEESRDKEP", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEGKEEDVRLGANKFSERQPIGTAAQGAADDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSTSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYIIMQCLGAVCGAGVVKGFQQGLYMGNGGGANVVAPGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDHAWNDHWIFWVGPFIGAALAAIYHQVIIRAIPFKSRS", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MELESTSNGRRPPPPAEIGRGAYLAWEDLTVVIPNFSGGPTRRLLDGLNGHAEPGRIMAIMGPSGSGKSTLLDSLAGRLARNVIMTGNLLLNGKKARLDYGLVAYVTQEDILMGTLTVRETITYSAHLRLSSDLTKEEVNDIVEGTIIELGLQDCADRVIGNWHSRGVSGGERKRVSVALEILTRPQILFLDEPTSGLDSASAFFVIQALRNIARDGGRTVVSSIHQPSSEVFALFDDLFLLSSGETVYFGESKFAVEFFAEAGFPCPKKRNPSDHFLRCINSDFDTVTATLKGSQRIRETPATSDPLMNLATSEIKARLVENYRRSVYAKSAKSRIRELASIEGHHGMEVRKGSEATWFKQLRTLTKRSFVNMCRDIGYYWSRIVIYIVVSFCVGTIFYDVGHSYTSILARVSCGGFITGFMTFMSIGGFPSFIEEMKVFYKERLSGYYGVSVYIISNYVSSFPFLVAIALITGSITYNMVKFRPGVSHWAFFCLNIFFSVSVIESLMMVVASLVPNFLMGLITGAGIIGIIMMTSGFFRLLPDLPKVFWRYPISFMSYGSWAIQGAYKNDFLGLEFDPMFAGEPKMTGEQVINKIFGVQVTHSKWWDLSAIVLILVCYRILFFIVLKLKERAEPALKAIQAKRTMKSLKKRPSFKKVPSLSSLSSRRHQPLHSLSSQEGLTSPIN", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQSSLPQFTFKWPKGPEAIILTGTFDDWKGTLPMVKDPSGAFEITLPVTFDSPSSKFYFKFIVDGQWLPSKDYKVNIDEGVENNFITEEDVIKQRENGSSTLVPESAGLAVSKNAPLIEPEAEKRAKKLRKFKIKRVIKTNKQTGERSIFSQEVVELPDSEDETQQVNKTGKNADGLSGTTTIIENNVGVNEEKAIKPYEENHPKVNLVKSEGYVTDGLGKTQSSESRLYELSAEDLEKEEEEEDEDKGGGKDTSTSADAEASEDQNKEPLSKSAKFEKPEEKVPVSSITSHAKETSVKPTGKVATETQTYETKQGAPTAAAKKIEAKKATRPSKPKGTKETPNKGVQKNPAKNGGFFKKLAQLLK", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVTLTPSSASTPKTSFDFMKNNNSHSSLYVSSSSYLSSKEDALVTTKKLMEPSKTLNMSINPKQEEFGDEKKMVKKAPEDPEIGVFGAEKYFNGDMDSDQGSSVLSLTNPEVERTVVDSKQSAKKSTGTPSVRSESSWNSQSVLLQNKLVNSCNSSFKEKKNSNGQIQKVTNNKKSFLANLGCKCACSDGDSVDVEEKTSVKRSADPNISVITMRSSADMNTELIKIQKQEELSQRKSLEVFGSPVAIEKKSSVVQKKLPLPPWKSRTEEDDTKSEGSDSSSDLFEIEGLTGNPKPFLTRQGSDPASPTCYAPSEVSVEWSIVTASAADFSVMSECATSPVRRNRPTQIPRIPITAKSAPQRRKSSSSSGGNGFLMSCKSHKSVMVSGDLDRRSSMNKTQPSYVPRFPMETTKPKSFETRRRISNSSISHTQSSLLYSQ", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAAAAAAAALGVRLRDCCSRGAVLLLFFSLSPRPPAAAAWLLGLRPEDTAGGRVSLEGGTLRAAEGTSFLLRVYFQPGPPVPAAPVPAPSLAPGENGTGDWAPRLVFIEEPPGAGGAAPSAVPTRPPGPQRCREQSDWASDVEVLGPLRPGGVAGSALVQVRVRELRKGEAERGGAGGGGKLFSLCAWDGRAWHHHGAAGGFLLRVRPRLYGPGGDLLPPAWLRALGALLLLALSALFSGLRLSLLSLDPVELRVLRNSGSAAEQEQARRVQAVRGRGTHLLCTLLLGQAGANAALAGWLYASLPPGVGDPGEDSGEAGVHFPWLPALVCTGAVFLGAEICPYSVCSRHGLAIASHSVCLTRLLMAAAFPVCYPLGRLLDWALRQEISTFYTREKLLETLRAADPYSDLVKEELNIIQGALELRTKVVEEVLTPLGDCFMLRSDAVLDFATVSEILRSGYTRIPVYEGDQRHNIVDILFVKDLAFVDPDDCTPLLTVTRFYNRPLHCVFNDTRLDTVLEEFKKGKSHLAIVQRVNNEGEGDPFYEVMGIVTLEDIIEEIIKSEILDETDLYTDNRKKQRVPHRERRRHDFSLFKLSDSEIRVKISPQLLLATHRFMATEVEPFKSLYLSEKILLRLLKHPNVIQELKFDERNKKAPEHYLYQRNRPVDYFVLLLQGKVEVEVGKEGLRFENGAFTYYGVPAIMTSACSDNDVRKVGSLAGSSVFLNRSPSRCSGLNRSESPNRERSDFGGSNTQLYSSSNNLYTPDYSVHILSDVQFVKITRQQYQNALTACHMDSSPQSPDMEAFTDGDSTKAPTTRGTPQTPKDDPVLTLLSNRTSLPCSRSDGLRSPGEVVYLRMEEMAFPQEEMPNFEEHRSQQVSLSPVAVPTTAASDPECCNIHLDPEASPCSSDSEENMGKKLLRTLSGRKRKKSADGERASEENSNLTPLIT", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGTRASSITALASCSRTAGQVGATMVAGSLLLLGFLSTITAQPEQKTLSLPGTYRHVDRTTGQVLTCDKCPAGTYVSEHCTNMSLRVCSSCPAGTFTRHENGIERCHDCSQPCPWPMIERLPCAALTDRECICPPGMYQSNGTCAPHTVCPVGWGVRKKGTENEDVRCKQCARGTFSDVPSSVMKCKAHTDCLGQNLEVVKPGTKETDNVCGMRLFFSSTNPPSSGTVTFSHPEHMESHDVPSSTYEPQGMNSTDSNSTASVRTKVPSGIEEGTVPDNTSSTSGKEGTNRTLPNPPQVTHQQAPHHRHILKLLPSSMEATGEKSSTAIKAPKRGHPRQNAHKHFDINEHLPWMIVLFLLLVLVLIVVCSIRKSSRTLKKGPRQDPSAIVEKAGLKKSLTPTQNREKWIYYRNGHGIDILKLVAAQVGSQWKDIYQFLCNASEREVAAFSNGYTADHERAYAALQHWTIRGPEASLAQLISALRQHRRNDVVEKIRGLMEDTTQLETDKLALPMSPSPLSPSPMPSPNVKLENSTLLTVEPSPLDKNKCFFVDESEPLLRCDSTSSGSSALSRNGSFITKEKKDTVLRQVRLDPCDLQPIFDDMLHILNPEELRVIEEIPQAEDKLDRLFEIIGVKSQEASQTLLDSVYSHLPDLL", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEHTEKSKGPAEKGLLGKIRRYLSKRPLPSPTDRKKFDHDFAISTSFHGIHNIAQNQNKVRKVIWLSVVLGSVSLLVWQIYSRLVNYFMWPTTTSIEVQYVEKIEFPAVTFCNLNRFQTEAVSRFGIIFFLWDIVSKVLRLQEISGNNTGSPEALDFVASHRNFSITEFVKNNGFYLNHDTLVHCEFFGKTCDPKDFKHVFTEYGNCFTFNYGENVQSKNKVSVSGRGLKLLLDVHQEEFTDNPVPGFADAGVIFVIHSPKKEPQFDGLGLSSPVGMHARVTIRQLKTIHQEYPWGECNPDIKLRNFTTYSTYGCLKECKAKHIQRLCGCLPFLLPGNGVECDLLKYYNCVSPILDHIERKGLCTMGTHNSSCPVPCEETEYPATIAYSTFPSQRATKFLAKKLNQSQEYIRENLVNIEINYSDLNYKITQQQKAVSVPELLADVGGQLGLFCGASLITIIEIIEYLFTSFYWVFIFFLLKILEMIQRTSPPQTV", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSKQTYKVCFCFRRRFRYTASEAPREIKTIFEKYSENGVMTVDHLHRFLIDVQKQDKATREDAQSIINSASSLLHRNGLHLDAFFKYLFGDNNPPLALHKVHHDMDAPISHYFIFTGHNSYLTGNQLSSDCSEVPIIDALKKGVRVIELDIWPNSNKDDIDVLHGMTLTTPVGLIKCLKAIRAHAFDVSDYPVVVTLEDHLTPDLQSKVAEMVTEIFGEILFTPPVGESLKEFPSPNSLKRRIIISTKPPKEYKEGKDVEVVQKGKDLGDEEVWGREVPSFIQRNKSEAKDDLDGNDDDDDDDDEDKSKINAPPQYKHLIAIHAGKPKGGITECLKVDPDKVRRLSLSEEQLEKAAEKYAKQIVRFTQHNLLRIYPKGTRVTSSNYNPLVGWSHGAQMVAFNMQGYGRSLWLMQGMFRANGGCGYIKKPDLLLKSGSDSDIFDPKATLPVKTTLRVTVYMGEGWYFDFRHTHFDQYSPPDFYTRVGIAGVPGDTVMKKTKTLEDNWIPAWDEVFEFPLTVPELALLRLEVHEYDMSEKDDFGGQTCLPVWELSEGIRAFPLHSRKGEKYKSVKLLVKVEFV", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MIWYVATLIASVISTRGLVAQGAHGLREEPEFVTARAGEGVVLRCDVIHPVTGQPPPYVVEWFKFGVPIPIFIKFGYYPPHVDPEYAGRASLHDKASLRLEQVRSEDQGWYECKVLMLDQQYDTFHNGSWVHLTINAPPTFTETPPQYIEAKEGGSITMTCTAFGNPKPIVTWLKEGTLLGASAKYQVSDGSLTVTSVSREDRGAYTCRAYSIQGEAVHTTHLLVQGPPFIVSPPENITVNISQDALLTCRAEAYPGNLTYTWYWQDENVYFQNDLKLRVRILIDGTLIIFRVKPEDAGKYTCVPSNSLGRSPSASAYLTVQYPARVLNMPPVIYVPVGIHGYIRCPVDAEPPATVVKWNKDGRPLQVEKNLGWTLMEDGSIRIEEATEEALGTYTCVPYNTLGTMGQSAPARLVLKDPPYFTVLPGWEYRQEAGRELLIPCAAAGDPFPVITWRKVGKPSRSKHNALPSGSLQFRALSKEDHGEWECVATNVVTSITASTHLTVIGTSPHAPGSVRVHVSMTTANVSWEPGYDGGYEQTFSVWMKRAQFGPHDWLSLSVPPGPSWLLVDSLEPETAYQFSVLAQNRLGTSAFSEVVTVNTLAFPVTTPEPLVLVTPPRCLTANRTQQGVLLSWLPPANHSFPIDRYIMEFRVGERWEMLDDAIPGTDGDFFAKDLSQDTWYEFRVLAVMQDLISEPSNIAGVSSTDIFPQPDLTDDGLARPVLAGIVATICFLAAAILFSTLAACFVNKQRKRKLKRKKDPPLSITHCRKSLESPLSSGKVSPESIRTLRAPSESSDDQGQPAAKRMLSPTREKELSLYKKTKRAISSRKYSVAKAEAEAEATTPIELISRGPDGRFVMGPSEMEPSVKGRRIEGFPFAEETDMYPEFRQSDEENEDPLVPTSVAALKPQLTPMSSSQDSYLPPPAYSPRFQPRGLEGPSGLGGRLQATGQARPPAPRPFQHGQYYGYLSSSSPGEVEPPPFYMPEVGSPLSSVMSSPPLHTEGPFGHPTIPEENGENASNSTLPLTQTPTGGRSPEPWGRPEFPFGGLETPAMMFPHQLHPCDVAESLQPKACLPRGLPPAPLQVPAAYPGMLSLEAPKGWVGKSPGRGPIPAPPATKWQERPMQPLVSQGQLRHTSQGMGIPVLPYPEPAEPGGHGGPSTFGLDTRWYEPQPRPRPSPRQARRAEPSLHQVVLQPSRLSPLTQSPLSSRTGSPELAARARPRPGLLQQAEMSEITLQPPAAVSFSRKSTPSSTGSPSQSSRSGSPSYRPTMGFTTLATGYPSPPPGPAPPAPGDTLDVFGQTPSPRRMGEEPLRPEPPTTLPTSG", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MWRVRKRGYFGIWSFPLIIAAVCAQSVNDPSNMSLVKETVDRLLKGYDIRLRPDFGGPPVAVGMNIDIASIDMVSEVNMDYTLTMYFQQAWRDKRLSYNVIPLNLTLDNRVADQLWVPDTYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTTAACMMDLRRYPLDEQNCTLEIESYGYTTDDIEFYWRGDDNAVTGVTKIELPQFSIVDYKLITKKVVFSTGSYPRLSLSFKLKRNIGYFILQTYMPSILITILSWVSFWINYDASAARVALGITTVLTMTTINTHLRETLPKIPYVKAIDMYLMGCFVFVFMALLEYALVNYIFFGRGPQRQKKAAEKAANANNEKMRLDVNKMFYKDIKQNGTQYRSLWDPTGDLSPTRRTTNYDFSLYTMDPHENILLSTLEIKNEMATSEAVMGLGDPRSTMLAYDASSIQYRKAGLPRHSFGRNALERHVAQKKSRLRRRASQLKITIPDLTDVNAIDRWSRIFFPVVFSFFNIVYWLYYVN", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MESPSAHAVSLPEDEELQPWGGAGGPGQHPGRPRSTECAHPGVVEKVRPKWDNPLQFLLVCISYAVGLGNVWRFPYLCQMYGGGNFLVPYIIMLIVEGMPLLYLELAVGQRMRQGSIGAWRTISPYLSGVGIASLVVSFLASVYFNVINTWALWYLFHSFQDPLPWSVCPLNSNHTGYDEECEKASSTQYFWYRKTLNISPSIQENGGVQWEPALCLTLAWLMVYLCILRGTESTGKVVYFTTSLPYFVLIIYLVRGLTLHGATNGLAYMFTPKIEQLANPKAWINAATQIFFSLGLGCGGLIAFASYNEPSNDCQKHALIVSVINSTTAIFSSIVTFSIYGFKATFNYENCLNKVILLLTNSFDLEDGFLTVSNLEEVKNYLASTYPNKYSEVFPHIRNCSLESELDTAVQGTGLAFIVYTEAIKNMEVSQLWSVLYFFMLLTLGMGSMVGTGTAILTPLTDSKIISSYLPKEAISGLVCLLNCAIGMVFTMEAGNYWFDLFNDYTATLSLLLIVLVETIAVCYVYGLKRFESDLRAMTGRTLSWYWKVMWAFVSPLLIVGLFIFYLSDYILTGTLQYQAWDATQGHVVTKDYPTYALAVIGLLVASSTMCIPLVALGTFVTRHFKIREQFSAA", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVMNPDAVRNVVGIIGNLISFGLFLSPLPTFVTIVKKKDVEEFVPDPYLATFLNCALWVFYGLPFIHPNSILVVTINGTGLLIEIAYLAIYFAYAPKPKRCRMLGVLTVELVFLAAVAAGVLLGAHTYDKRSLIVGTLCVFFGTLMYAAPLTIMKQVIATKSVEYMPFTLSLVSFINGICWTIYAFIRFDILITIPNGMGTLLGAAQLILYFCYYDGSTAKNKGALELPKDGDSSAV", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKNESTFIDVPAESSSAMKGKAPLIGVARDHTTSGSGGYNRGLAIFDFLLRLAAIVAALAAAATMGTSDETLPFFTQFLQFEASYDDLPTFQFFVIAMALVGGYLVLSLPISVVTILRPLATAPRLLLLVLDTGVLALNTAAASSAAAISYLAHSGNQNTNWLPICQQFGDFCQKSSGAVVSAFVSVVFFTILVVISGVALKRH", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MMASKTPEGSLTNSSQSMSINTLADQVSSSLSFADPSSDGKTGNSKINEQGESGKSSTCRPSTSSDISDESTCSSFSSSINKPHKANDVRWEAIQAVRTKHGGLGLNHFRLLKRLGCGDIGTVHLAELNGTRCYFAMKVMDKTALASRKKLLRAQTEREILQCLDHPFLPTLYSHFETEKFSCLVMEFCPGGDLHTLRQRQPGKRFTEQAAKFYVAEVLLAMEYLHMLGIIYRDLKPENVLVRDDGHVMLSDFDLSLRCTVSLSIVRSANVGSEGLSKNSVSCSQQPACIQQPSCISMAPTSCFGPRFFSSKSKKDKKPKTENGNHQVTPLPELVAEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRSLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALVRCASPPEIPKPVDLEALNPTPTVPAAASSSVRSDQSNYLEFDFF", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSAVLLLALLGFILPLPGVQALLCQFGTVQHVWKVSDLPRQWTPKNTSCDSGLGCQDTLMLIESGPQVSLVLSKGCTEAKDQEPRVTEHRMGPGLSLISYTFVCRQEDFCNNLVNSLPLWAPQPPADPGSLRCPVCLSMEGCLEGTTEEICPKGTTHCYDGLLRLRGGGIFSNLRVQGCMPQPGCNLLNGTQEIGPVGMTENCNRKDFLTCHRGTTIMTHGNLAQEPTDWTTSNTEMCEVGQVCQETLLLLDVGLTSTLVGTKGCSTVGAQNSQKTTIHSAPPGVLVASYTHFCSSDLCNSASSSSVLLNSLPPQAAPVPGDRQCPTCVQPLGTCSSGSPRMTCPRGATHCYDGYIHLSGGGLSTKMSIQGCVAQPSSFLLNHTRQIGIFSAREKRDVQPPASQHEGGGAEGLESLTWGVGLALAPALWWGVVCPSC", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKLSKKDRGEDEESDSAKKKLDWSCSLLVASLAGAFGSSFLYGYNLSVVNAPTPYIKAFYNESWERRHGRPIDPDTLTLLWSVTVSIFAIGGLVGTLIVKMIGKVLGRKHTLLANNGFAISAALLMACSLQAGAFEMLIVGRFIMGIDGGVALSVLPMYLSEISPKEIRGSLGQVTAIFICIGVFTGQLLGLPELLGKESTWPYLFGVIVVPAVVQLLSLPFLPDSPRYLLLEKHNEARAVKAFQTFLGKADVSQEVEEVLAESRVQRSIRLVSVLELLRAPYVRWQVVTVIVTMACYQLCGLNAIWFYTNSIFGKAGIPPAKIPYVTLSTGGIETLAAVFSGLVIEHLGRRPLLIGGFGLMGLFFGTLTITLTLQDHAPWVPYLSIVGILAIIASFCSGPGGIPFILTGEFFQQSQRPAAFIIAGTVNWLSNFAVGLLFPFIQKSLDTYCFLVFATICITGAIYLYFVLPETKNRTYAEISQAFSKRNKAYPPEEKIDSAVTDGKINGRP", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MQGRKRTLTEPFEPNTNPFGDNAAVMTENVEDNSETDGNRLESKPQALVPPALNIVPPESSIHSTEEKKGDEYNGNDKDSSLISNIFRTRVGRSSHENLSRPKLSLKTASFGAAESSRRNVSPSTKSAKSSSQYIDLNDERLRRRSFSSYSRSSSRRVSNSPSSTDRPPRSAKVLSLIAADDMDDFEDLQKGFKSAIDEEGLTWLPQLKSEKSRPVSDVGEDRGEGEQESIPDVHTPNVGASATPGSIHLTPEPAQNGSVSEGLEGSINNSRKKPSPKFFHHLSPQKEDKDQTEVIEYAEDILDFETLQRKLESRPFVLYGHSLGVFSPTNPLRIKIARFLLHRRYSLLYNTLLTFYAILLAIRTYNPHNVVFLYRFSNWTDYFIFILSACFTGNDIAKIIAFGFWDDSEMFKAYGREYKSILQRSGIMKLYIYLREKYGRKLIDFIIPFRIISPGEETKYQRSSLSTSLTKPYGAKENQRPFGTPRAFARSSWNRIDLVSSVSFWLGMFLSIKSYDTKTGIRIFKPLAILRILRLVNVDTGMPSILRGLKYGIPQLVNVSSMLVYFWIFFGILGVQIFQGSFRRQCVWFNPEDPTDTYQYDMQFCGGYLDPVTKRKQNYIYEDGSEGSVSKGFLCPQYSKCVSNANPYNGRISFDNIVNSMELVFVIMSANTFTDLMYYTMDSDEMAACLFFIVCIFVLTIWLLNLLIAVLVSSFEIANEEYKKKKFIYGSRKTGYVARIVTGYWKYFKLKANQTKFPNWSQKGLAIYSHVEFIFVILIICDIGMRASVKVSTSANCNNILLKTDRGISIVLFIESLARLVLYLPNMWKFLTKPSYVYDFIISIITLVISCLAVEGVLGHMYAWLSIFHISRFYRVIISFNLTKKLWKQILSNGVMIWNLSSFYFFFTFLVAIIMAVYFEGVIPPEEMADQPFGMYSLPNSFLSLFIIGSTENWTDILYALQKHSPNISSTFFCSVFFIIWFLLSNSVILNIFIALISESMEVKEEEKRPQQIKHYLKFVYPQKIQEYTHASLVARIRKKFFGGHRNEDTRDFKQFLMRGTAIMNIAQNMGELADEFKEPPSENLFKKGLSKLTIGVPSLKRLRMFANNPFYKNSDVVFTETNDINGRTYILELNEYEDEKLDYLKKYPLFNYSYYFFSPQHRFRRFCQRLVPPSTGKRTDGSRFFEDSTDLYNKRSYFHHIERDVFVFIFALATILLIVCSCYVTPLYRMHHKMGTWNWSSALDCAFIGAFSIEFIVKTVADGFIYSPNAYLRNPWNFIDFCVLISMWINLIAYLKNNGNLSRIFKGLTALRALRCLTISNTARQTFNLVMFDGLNKIFEAGLISLSLLFPFTVWGLSIFKGRLGTCNDGSLGRADCYNEYSNSVFQWDIMSPRVYQQPYLHLDSFASAFSSLYQIISLEGWVDLLENMMNSSGIGTPATVMGSAGNALFLVLFNFLSMVFILNLFVSFIVNNQARTTGSAYFTIEEKAWLESQKLLSQAKPKAIPNLIELSRVRQFFYQLAVEKKNFYYASFLQVVLYLHIIMLLSRSYNPGNLIGYQGVYFMFSTSVFLIQEALHMCGEGPRLYFRQKWNSIRLSIIIIAFIMNAVAFHVPASHYWFHNIKGFFLLVIFLFIIPQNDTLTELLETAMASLPPILSLTYTWGVLFLVYAIALNQIFGLTRLGSNTTDNINFRTVIKSMIVLFRCSFGEGWNYIMADLTVSEPYCSSDDNSTYTDCGSETYAYLLLMSWNIISMYIFVNMFVSLIIGNFSYVYRSGGSRSGINRSEIKKYIEAWSKFDTDGTGELELSYLPRIMHSFDGPLSFKIWEGRLTIKSLVENYMEVNPDDPYDVKIDLIGLNKELNTIDKAKIIQRKLQYRRFVQSIHYTNAYNGCIRFSDLLLQIPLYTAYSARECLGIDQYVHHLYILGKVDKYLENQRNFDVLEMVVTRWKFHCRMKRTIEPEWDVKDPTVSSHISNINVNLEPAPGILEREPIATPRMDYGVNNFMWSPRMNQDSTMEPPEEPIDNNDDSANDLIDR", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MMDLKVDEEEVDSGQPVSIQAFASSSTLHGISHIFSYERLSLKRVVWALCFMGSLALLALVCTNRIQYYFLYPHVTKLDEVAATRLTFPAVTFCNLNEFRFSRVTKNDLYHAGELLALLNNRYEIPDTQTADEKQLEILQDKANFRNFKPKPFNMLEFYDRAGHDIREMLLSCFFRGEQCSPEDFKVVFTRYGKCYTFNAGQDGKPRLITMKGGTGNGLEIMLDIQQDEYLPVWGETDETSFEAGIKVQIHSQDEPPLIDQLGFGVAPGFQTFVSCQEQRLIYLPPPWGDCKATTGDSEFYDTYSITACRIDCETRYLVENCNCRMVHMPGDAPYCTPEQYKECADPALDFLVEKDNEYCVCEMPCNVTRYGKELSMVKIPSKASAKYLAKKYNKSEQYIGENILVLDIFFEALNYETIEQKKAYEVAGLLGDIGGQMGLFIGASILTVLELFDYAYEVIKHRLCRRGKCRKNHKRNNTDKGVALSMDDVKRHNPCESLRGHPAGMTYAANILPHHPARGTFEDFTC", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAMLMQPWPPFLPHLTLVFLTLILFFPNQSFSQSDSPRNIETFFPNDTITPPVQSPVLSPPQNPSSSSSDSDRGNILRAVLITAASTLLVAAVFFFLVHKCRRRRNRVGGVDNTLQPPVPPLAEAALAREGFTRFGGNVKGLILDENGLDVLYWRKLQQSQRDNKGGSFRKEIIHGDDEEKNVIYSKSKKKSGPVTETPLLRGRSSTSHSVIHNDNYRNATTTHPPHVKTDSFEFVKPDPTPPPPPPPPIPVKQSATPPPPPPPKLKNNGPSPPPPPPLKKTAALSSSASKKPPPAPRGSSSGESSNGQVKLKPLHWDKVNPDSDHSMVWDKIDRGSFSFDGDLMEALFGYVAVGKKSPDDGGDKKPSSASPAQIFILDPRKSQNTAIVLKSLGMTRDELVESLMEGHDFHPDTLERLSRIAPTKEEQSAILQFDGDTKMLADAESFLFHLLKAVPCAFTRLNALLFRANYYPEISNHNKNLQTLDLACTELRSRGLFVKLLEAILKSGNRMNAGTARGDAQAFNLTALLKLSDVKSVDGKTTLLNFVVEEVVRSEGKRCVLNRRTNRSFSRSSSSSISEVISKEEQEKEYLRLGLPVVGGLSSEFTNVKKAAAVDYDTVAATCLALTSRAKDARRVLAQSEGDNKEGVRFVKKMNEFLDSVEEEVKLAKEEEKKVLELVKRTTEYYQAGAVKGKNPLHLFVIVRDFLAMVDKVCVEIARNLQRRSSMGSTQQRNAVKFPVLPPNFMSDRSRSDSGGSDSDM", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MWSHLNRLLFWSIFSSVTCRKAVLDCEAMKTNEFPSPCLDSKTKVVMKGQNVSMFCSHKNKSLQITYSLFRRKTHLGTQDGKGEPAIFNLSITEAHESGPYKCKAQVTSCSKYSRDFSFTIVDPVTSPVLNIMVIQTETDRHITLHCLSVNGSLPINYTFFENHVAISPAISKYDREPAEFNLTKKNPGEEEEYRCEAKNRLPNYATYSHPVTMPSTGGDSCPFCLKLLLPGLLLLLVVIILILAFWVLPKYKTRKAMRNNVPRDRGDTAMEVGIYANILEKQAKEESVPEVGSRPCVSTAQDEAKHSQELQYATPVFQEVAPREQEACDSYKSGYVYSELNF", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MMKESKSITQHEVERESVSSKRAIKKRLLLFKIDLFVLSFVCLQYWINYVDRVGFTNAYISGMKEDLKMVGNDLTVSNTVFMIGYIVGMVPNNLMLLCVPPRIWLSFCTFAWGLLTLGMYKVTSFKHICAIRFFQALFESCTFSGTHFVLGSWYKEDELPIRSAIFTGSGLVGSMFSGFMQTSIFTHLNGRNGLAGWRWLFIIDFCITLPIAIYGFIFFPGLPDQTSAVSKFSMTRYIFNEQELHYARRRLPARDESTRLDWSTIPRVLKRWHWWMFSLVWVLGGENLGFASNSTFALWLQNQKYTLAQRNNYPSGIFAVGIVSTLCSAVYMSKIPRARHWHVSVFISLVMVIVAVLIRADPLNPKVVFSAQYLGGVAYAGQAVFFSWANIICHADLQERAIVLASMNMFSGAVNAWWSILFFASDMVPKFERGCYALLATAISSGIVSVVIRSLQIKENLSKKQVPYIDANDMPGEDDDDDNQDNENDGDDESMEVELHNEEMAEISNPFR", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAEKVSEDVMLLHGDLDLKIVKARRLPNMDMFSEHLRRLFTACNACARPTDTDDVDPRDKGEFGDKNIRSHRKVITSDPYVTVVVPQATLARTRVLKNSQEPLWDEKFNISIAHPFAYLEFQVKDDDVFGAQIIGTAKIPVRDIASGERISGWFPVLGASGKPPKAETAIFIDMKFTPFDQIHSYRCGIAGDPERRGVRRTYFPVRKGSQVRLYQDAHVMDGTLPAIGLDNGKVYEHGKCWEDICYAISEAHHMIYIVGWSIFHKIKLVRETKVPRDKDMTLGELLKYKSQEGVRVLLLVWDDKTSHDKFGIKTPGVMGTHDEETRKFFKHSSVICVLSPRYASSKLGLFKQQASPSSSIYIMTVVGTLFTHHQKCVLVDTQAVGNNRKVTAFIGGLDLCDGRYDTPEHRILHDLDTVFKDDFHNPTFPAGTKAPRQPWHDLHCRIDGPAAYDVLINFEQRWRKATRWKEFSLRLKGKTHWQDDALIRIGRISWILSPVFKFLKDGTSIIPEDDPCVWVSKEDDPENWHVQIFRSIDSGSVKGFPKYEDEAEAQHLECAKRLVVDKSIQTAYIQTIRSAQHFIYIENQYFLGSSYAWPSYRDAGADNLIPMELALKIVSKIRAKERFAVYVVIPLWPEGDPKSGPVQEILYWQSQTMQMMYDVIAKELKAVQSDAHPLDYLNFYCLGKREQLPDDMPATNGSVVSDSYNFQRFMIYVHAKGMIVDDEYVLMGSANINQRSMAGTKDTEIAMGAYQPNHTWAHKGRHPRGQVYGYRMSLWAEHLGKTGDEFVEPSDLECLKKVNTISEENWKRFIDPKFSELQGHLIKYPLQVDVDGKVSPLPDYETFPDVGGKIIGAHSMALPDTLTT", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEIPRQTEMVELVPNGKHLEGLLPVGMPTADTQRAEDAQHCGEGKGFLQQSSSKEPHFTDFEGKTSFGMSVFNLSNAIMGSGILGLAYAMANTGIILFLFLLTAVALLSSYSIHLLLKSSGIVGIRAYEQLGYRAFGTPGKLAAALAITLQNIGAMSSYLYIIKSELPLVIQTFLNLEKPTPVWYMDGNYLVILVSVIIILPLALMRQLGYLGYSSGFSLSCMVFFLIAVIYKKFQVPCPLAHNLVNATGNFSHMVVVEEKSQLQSEPDTAEAFCTPSYFTLNSQTAYTIPIMAFAFVCHPEVLPIYTELKDPSKRKMQHISNLSIAVMYVMYFLAALFGYLTFYDGVESELLHTYSKVDPFDVLILCVRVAVLIAVTLTVPIVLFPVRRAIQQMLFQNQEFSWLRHVLIATGLLTCINLLVIFAPNILGIFGIIGATSAPCLIFIFPAIFYFRIMPTEKEPVRSTPKILALCFAAVGFLLMTMSLSFIITDWVSGTSQHGGNH", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTTAILERLSTLSVSGQQLRRLPKILEDGLPKMPCTVPETDVPQLFREPYIRTGYRPTGHEWRYYFFSLFQKHNEVVNVWTHLLAALAVLLRFWAFAEAEALPWASTHSLPLLLFILSSITYLTCSLLAHLLQSKSELSHYTFYFVDYVGVSVYQYGSALAHFFYSSDQAWYDRFWLFFLPAAAFCGWLSCAGCCYAKYRYRRPYPVMRKICQVVPAGLAFILDISPVAHRVALCHLAGCQEQAAWYHTLQILFFLVSAYFFSCPVPEKYFPGSCDIVGHGHQIFHAFLSICTLSQLEAILLDYQGRQEIFLQRHGPLSVHMACLSFFFLAACSAATAALLRHKVKARLTKKDS", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTETGDDLATVKKPIPFLVIFKDLRHVFSRDTTGREILGIAFPAALALAADPIASLIDTAFVGRLGAVQLAAVGVSIAIFNQASRITIFPLVSLTTSFVAEEDTMEKMKEEANKANLVHAETILVQDSLEKGISSPTSNDTNQPQQPPAPDTKSNSGNKSNKKEKRTIRTASTAMILGLILGLVQAIFLIFSSKLLLGVMGVKPNSPMLSPAHKYLSIRALGAPALLLSLAMQGIFRGFKDTKTPLFATVVADVINIVLDPIFIFVLRLGIIGAAIAHVISQYFMTLILFVFLAKKVNLIPPNFGDLQFGRFLKNGLLLLARTIAVTFCQTLAAAMAARLGTTPMAAFQICLQVWLTSSLLNDGLAVAGQAILACSFAEKDYNKVTAVASRVLQMGFVLGLGLSVFVGLGLYFGAGVFSKDPAVIHLMAIGIPFIAATQPINSLAFVLDGVNFGASDFAYTAYSMVGVAAISIAAVIYMAKTNGFIGIWIALTIYMALRAITGIARMATGTGPWRFLRGRSSSSSS", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASLSQLSSHLNYTCGAENSTGASQARPHAYYALSYCALILAIVFGNGLVCMAVLKERALQTTTNYLVVSLAVADLLVATLVMPWVVYLEVTGGVWNFSRICCDVFVTLDVMMCTASILNLCAISIDRYTAVVMPVHYQHGTGQSSCRRVALMITAVWVLAFAVSCPLLFGFNTTGDPTVCSISNPDFVIYSSVVSFYLPFGVTVLVYARIYVVLKQRRRKRILTRQNSQCNSVRPGFPQQTLSPDPAHLELKRYYSICQDTALGGPGFQERGGELKREEKTRNSLSPTIAPKLSLEVRKLSNGRLSTSLKLGPLQPRGVPLREKKATQMVAIVLGAFIVCWLPFFLTHVLNTHCQTCHVSPELYSATTWLGYVNSALNPVIYTTFNIEFRKAFLKILSC", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDSPEKLEKNNLVGTNKSRLGVCGWILFFLSFLLMLVTFPISVWMCLKIIKEYERAVVFRLGRIQADKAKGPGLILVLPCIDVFVKVDLRTVTCNIPPQEILTRDSVTTQVDGVVYYRIYSAVSAVANVNDVHQATFLLAQTTLRNVLGTQTLSQILSGREEIAHSIQTLLDDATELWGIRVARVEIKDVRIPVQLQRSMAAEAEATREARAKVLAAEGEMNASKSLKSASMVLAESPVALQLRYLQTLTTVATEKNSTIVFPLPMNILEGIGGISYGNNKKVTAKA", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSRLLPLLRSRTARSLRPGPAAAAAPRPPSWCCCGRGLLALAPPGGLPGGPRRLGTHPKKEPMEALNTAQGARDFIYSLHSTERSCLLKELHRFESIAIAQEKLEAPPPTPGQLRYVFIHNAIPFIGFGFLDNAIMIVAGTHIEMSIGIILGISTMAAAALGNLVSDLAGLGLAGYVEALASRLGLSIPDLTPKQVDMWQTRLSTHLGKAVGVTIGCILGMFPLIFFGGGEEDEKLETKS", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGTSPSSSTALASCSRIARRATATMIAGSLLLLGFLSTTTAQPEQKASNLIGTYRHVDRATGQVLTCDKCPAGTYVSEHCTNTSLRVCSSCPVGTFTRHENGIEKCHDCSQPCPWPMIEKLPCAALTDRECTCPPGMFQSNATCAPHTVCPVGWGVRKKGTETEDVRCKQCARGTFSDVPSSVMKCKAYTDCLSQNLVVIKPGTKETDNVCGTLPSFSSSTSPSPGTAIFPRPEHMETHEVPSSTYVPKGMNSTESNSSASVRPKVLSSIQEGTVPDNTSSARGKEDVNKTLPNLQVVNHQQGPHHRHILKLLPSMEATGGEKSSTPIKGPKRGHPRQNLHKHFDINEHLPWMIVLFLLLVLVVIVVCSIRKSSRTLKKGPRQDPSAIVEKAGLKKSMTPTQNREKWIYYCNGHGIDILKLVAAQVGSQWKDIYQFLCNASEREVAAFSNGYTADHERAYAALQHWTIRGPEASLAQLISALRQHRRNDVVEKIRGLMEDTTQLETDKLALPMSPSPLSPSPIPSPNAKLENSALLTVEPSPQDKNKGFFVDESEPLLRCDSTSSGSSALSRNGSFITKEKKDTVLRQVRLDPCDLQPIFDDMLHFLNPEELRVIEEIPQAEDKLDRLFEIIGVKSQEASQTLLDSVYSHLPDLL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNEKLRILFSFLCFFYVLLVSPSQSNGQDISLSCGASEPAVDQDKKKWEPDTKFLKTPNTVHAPATYQDPSLLSTVPYMTSRIFTAPATYEIPVKGDKRHMLRLHFYPSTYTGLNILDSYFSVAANDLTLLSNFSAAITCQALTQAYLVREYSLAPSEKDVLSIIFTPSDKHPKAFAFINGIEVIPMPELFDTASLVGFSDQTSDTKTANLQTMFRLNVGGQDIPGSQDSGGLTRTWYNDAPYIFSAGLGVTLQASNNFRIDYQKMPVSTAPADVYKTARSQGPNGDINMKSNLTWMFQVDTNFTYIMRLHFCEFQLAKINQKVFNIFINNRTAQGDTNPADILGWTGGKGIPTYKDYAIYVDANTGGGGEEISLQMTPSTFGQPEYYDSQLNGLEIFKIDTMKNLAGPNPKPSPMQANEDVKKDFQGDKRITAFVIGSAGGVAAVLFCALCFTMYQRKRKFSGSDSHTSSWLPIYGNSHTSATKSTISGKSNNGSHLSNLAAGLCRRFSLSEIKHGTHNFDESNVIGVGGFGKVYKGVIDGGTKVAIKKSNPNSEQGLNEFETEIELLSRLRHKHLVSLIGYCDEGGEMCLIYDYMSLGTLREHLYNTKRPQLTWKRRLEIAIGAARGLHYLHTGAKYTIIHRDVKTTNILLDENWVAKVSDFGLSKTGPNMNGGHVTTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLSKEQVSLGDWAMNCKRKGTLEDIIDPNLKGKINPECLKKFADTAEKCLSDSGLDRPTMGDVLWNLEFALQLQETADGSRHRTPSNGGGSVDLGGGGGGVTVNISAGESDLGDDLSSEENSGIFSQIVNPKGR", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MENPPDQTESKETLQQPITRRRTKGGLLTMPFIIANEGFEKVASYGLLQNMILYLMSDYRLGLVKGQTVLFMWVAATNFMPLVGAFLSDSYLGRFLTIVIASLSSLLGMVVLWLTAMLPQVKPSPCVATAGTNCSSATSSQLALLYTAFALISIGSGGIRPCSLAFGADQLDNKENPKNERVLESFFGWYYASSSVAVLIAFTVIVYIQDHLGWKIGFGIPAILMLLAGFLFVFASPLYVKRDVSKSLFTGLAQVVAAAYVKRNLTLPDHHDSRDCYYRLKDSELKAPSDKLRFLNKACAISNRDEDLGSDGLALNQWRLCTTDQVEKLKALVKVIPVWSTGIMMSINVSQNSFQLLQAKSMDRRLSSNSTFQIPAGSFGMFTIIALISWVVLYDRAILPLASKIRGRPVRVNVKIRMGLGLFISFLAMAVSATVEHYRRKTAISQGLANDANSTVSISAMWLVPQYVLHGLAEALTGIGQTEFFYTEFPKSMSSIAASLFGLGMAVANILASVILNAVKNSSKQGNVSWIEDNINKGHYDYYYWVLAILSFVNVIYYVVCSWSYGPTVDQVRNDKVNGMRKEEEEVIKLN", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGCAVSTARDKEAIERSKNIDRALRAEGERAASEVKLLLLGAGESGKSTIVKQMKIIHDTGYSQEECEEYRRVVFSNTVQSLMVIIRAMGRLKIEFADPSRTDIARQFFTHASAADEGILLPEIVLLMKKLWADGGVQQSFARSREYQLNDSAGYYLNSLDRIAQPNYIPTQQDVLRTRVKTTGIIETHFSCKQLHFKLFDVGGQRSERKKWIHCFEGVTAIIFCVALSGYDLVLAEDEEMNRMIESLKLFDSICNSKWFVETSIILFLNKKDLFEEKIKRSPLTICFPEYTGTNTFEEAANYIRMKFENLNKRKDQKEIYTHLTCATDTNNVKFVFDAVTDVIIKNNLKQIGLF", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MADEKTFRIGFIVLGLFLLSLGTFLMSHDRPQVYGTFYAMGSVMVIGGVIWSMCQCYPKITFVPADSDFQGILSPKALSLLETGLSEVKSPQPPYVRLWEEAAYDQSLPDFTHIQMKVMGYSEDPRPLLAPELKTGASSVREGEPRTAQAWMEAPVVVHRGSDENEGEKSHSQSSPSVGPQGSAPLASFHDDLDVGSSEGSSLQPSPNRDEPHRQVPWASRGPLDRFSDFALIDDTPTSEDTVLDGQAREAALPRKQQWSLRMKGETVQARAEEPEQEEEDLYYGLPDSPGNPLPDKELGFEPDIQG", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MISWHDLYTVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYAMNLRFIAADTLQKIIMLSLLVLWANFTRSGSLEWSITIFSLSTLPNTLVMGIPLLIAMYGEYSGSLMVQIVVLQCIIWYTLLLFLFEFRGAKMLIMEQFPETAASIVSFKVESDVVSLDGHDFLETDAEIGDDGKLHVTVRKSNASRRSFCGPNMTPRPSNLTGAEIYSLSTTPRGSNFNHSDFYNMMGFPGGRLSNFGPADMYSVQSSRGPTPRPSNFEENCAMASSPRFGYYPGGGAGSYPAPNPEFSSTTTSTANKSVNKNPKDVNTNQQTTLPTGGKSNSHDAKELHMFVWSSNGSPVSDRAGLNVFGGAPDNDQGGRSDQGAKEIRMLVPDQSHNGETKAVAHPASGDFGGEQQFSFAGKEEEAERPKDAENGLNKLAPNSTAALQSKTGLGGAEASQRKNMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWALVAFRWHVAMPKIIQQSISILSDAGLGMAMFSLGLFMALQPKLIACGNSVATFAMAVRFLTGPAVMAVAAIAIGLRGDLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLVYYILLGL", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSEGTVKENNNEEFNAYHTLTTEEAAEFIGTSLTEGLTQDESLRRLKAVGENTLGDDTKIDYKAMVLHQVCNAMIMVLVISMAISFAVRDWITGGVISFVIAVNVLIGLVQEYKATKTMNSLKNLSSPNAHVIRNGKSETINSKDVVPGDICLVKVGDTIPADLRLIETKNFDTDESLLTGESLPVSKDANLVFGKEEETSVGDRLNLAFSSSAVVKGRAKGIVIKTALNSEIGKIAKSLQGDSGLISRDPSKSWLQNTWISTKKVTGAFLGTNVGTPLHRKLSKLAVLLFWIAVLFAIIVMASQKFDVDKRVAIYAICVALSMIPSSLVVVLTITMSVGAAVMVSRNVIVRKLDSLEALGAVNDICSDKTGTLTQGKMLARQIWIPRFGTITISNSDDPFNPNEGNVSLIPRFSPYEYSHNEDGDVGILQNFKDRLYEKDLPEDIDMDLFQKWLETATLANIATVFKDDATDCWKAHGDPTEIAIQVFATKMDLPHNALTGEKSTNQSNENDQSSLSQHNEKPGSAQFEHIAEFPFDSTVKRMSSVYYNNHNETYNIYGKGAFESIISCCSSWYGKDGVKITPLTDCDVETIRKNVYSLSNEGLRVLGFASKSFTKDQVNDDQLKNITSNRATAESDLVFLGLIGIYDPPRNETAGAVKKFHQAGINVHMLTGDFVGTAKAIAQEVGILPTNLYHYSQEIVDSMVMTGSQFDGLSEEEVDDLPVLPLVIARCSPQTKVRMIEALHRRKKFCAMTGDGVNDSPSLKMANVGIAMGINGSDVSKEASDIVLSDDNFASILNAVEEGRRMTDNIQKFVLQLLAENVAQALYLIIGLVFRDENGKSVFPLSPVEVLWIIVVTSCFPAMGLGLEKAAPDLMDRPPHDSEVGIFTWEVIIDTFAYGIIMTGSCMASFTGSLYGINSGRLGHDCDGTYNSSCRDVYRSRSAAFATMTWCALILAWEVVDMRRSFFRMHPDTDSPVKEFFRSIWGNQFLFWSIIFGFVSAFPVVYIPVINDKVFLHKPIGAEWGLAIAFTIAFWIGAELYKCGKRRYFKTQRAHNPENDLESNNKRDPFEAYSTSTTIHTEVNIGIKQ", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEFITQNQAITSLSMINTDIDQPKASLRSRFLLHLIICLLFFVPPCSSQAWDGVVITQADYQGLQAVKQELIDPRGFLRSWNGSGFSACSGGWAGIKCAQGQVIVIQLPWKSLGGRISEKIGQLQALRKLSLHDNNLGGSIPMSLGLIPNLRGVQLFNNRLTGSIPASLGVSHFLQTLDLSNNLLSEIIPPNLADSSKLLRLNLSFNSLSGQIPVSLSRSSSLQFLALDHNNLSGPILDTWGSKSLNLRVLSLDHNSLSGPFPFSLCNLTQLQDFSFSHNRIRGTLPSELSKLTKLRKMDISGNSVSGHIPETLGNISSLIHLDLSQNKLTGEIPISISDLESLNFFNVSYNNLSGPVPTLLSQKFNSSSFVGNSLLCGYSVSTPCPTLPSPSPEKERKPSHRNLSTKDIILIASGALLIVMLILVCVLCCLLRKKANETKAKGGEAGPGAVAAKTEKGGEAEAGGETGGKLVHFDGPMAFTADDLLCATAEIMGKSTYGTVYKATLEDGSQVAVKRLREKITKSQKEFENEINVLGRIRHPNLLALRAYYLGPKGEKLVVFDYMSRGSLATFLHARGPDVHINWPTRMSLIKGMARGLFYLHTHANIIHGNLTSSNVLLDENITAKISDYGLSRLMTAAAGSSVIATAGALGYRAPELSKLKKANTKTDVYSLGVIILELLTGKSPSEALNGVDLPQWVATAVKEEWTNEVFDLELLNDVNTMGDEILNTLKLALHCVDATPSTRPEAQQVMTQLGEIRPEETTATTSEPLIDVPEASASTSQ", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSFSLNFTLPSNTTSSPVVTSAKATDCGPSIGLAAGIPSLLATALLVALLFTLIQRRRTIDDEPVEETEIPCEISELYDNPKISENPRRSPTHEMNPRGSQEGHIYVKTVSGSEEPLPDTYRPPEELERRRGLWWLVPSLSLE", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEGGGKPNSSSNSRDDGNSVFPAKASATGAGPAAAEKRLGTPPGGGGAGAKEHGNSVCFKVDGGGGGGGGGGGGEEPAGGFEDAEGPRRQYGFMQRQFTSMLQPGVNKFSLRMFGSQKAVEKEQERVKTAGFWIIHPYSDFRFYWDLIMLIMMVGNLVIIPVGITFFTEQTTTPWIIFNVASDTVFLLDLIMNFRTGTVNEDSSEIILDPKVIKMNYLKSWFVVDFISSIPVDYIFLIVEKGMDSEVYKTARALRIVRFTKILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVVRIFNLIGMMLLLCHWDGCLQFLVPLLQDFPPDCWVSLNEMVNDSWGKQYSYALFKAMSHMLCIGYGAQAPVSMSDLWITMLSMIVGATCYAMFVGHATALIQSLDSSRRQYQEKYKQVEQYMSFHKLPADMRQKIHDYYEHRYQGKIFDEENILNELNDPLREEIVNFNCRKLVATMPLFANADPNFVTAMLSKLRFEVFQPGDYIIREGAVGKKMYFIQHGVAGVITKSSKEMKLTDGSYFGEICLLTKGRRTASVRADTYCRLYSLSVDNFNEVLEEYPMMRRAFETVAIDRLDRIGKKNSILLQKFQKDLNTGVFNNQENEILKQIVKHDREMVQAIAPINYPQMTTLNSTSSTTTPTSRMRTQSPPVYTATSLSHSNLHSPSPSTQTPQPSAILSPCSYTTAVCSPPVQSPLAARTFHYASPTASQLSLMQQQPQQQVQQSQPPQTQPQQPSPQPQTPGSSTPKNEVHKSTQALHNTNLTREVRPLSASQPSLPHEVSTLISRPHPTVGESLASIPQPVTAVPGTGLQAGGRSTVPQRVTLFRQMSSGAIPPNRGVPPAPPPPAAALPRESSSVLNTDPDAEKPRFASNL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFSKYVLATLLALFAQSMCIQELSLPPEGSHSTAATRSKKAKTAISEDIMYNYLMQFDYLPKSDLETGALRTEDQLKEAIRSLQSFGNITVTGEIDSATARLIQKPRCGVGDRRSADSFSPDNLYHEIGSNVRVRRFALQGPKWSRTDLTWSMVNRSMPDASKVERMVQTALDVWANHSKLTFREVYSDQADIQILFARRAHGDGYKFDGPGQVLAHAFYPGEGRGGDAHFDADETWNFDGESDDSHGTNFLNVALHELGHSLGLAHSAIPDAVMFPWYQNNEVAGNLPDDDRYGIQQLYGTKEKTWGPYKPQTTTTTTTTTTMRAMIYRADKPAYWPWNNPSNNPNNDRNRARERQEEERRRQEKERRRQEEERRHQEEERRRQVEERQRQEEERWRQEQERQEEENRRRKIEHKSQWERNPSKERNRPRERQEMERRRQEQERQEQERQEQEDRRRERERDRQLEWERRNRNGAREPVTPTANTTPRPTNKPYPTVHRQHHHHNKPRKPKPDSCMTYYDAISIIRGELFIFRGPYLWRIGTSGLYNGYPTEIRRHWSALPENLTKVDAVYENKQRQIVFFIGREYYVFNSVMLAPGFPKPLASLGLPPTLTHIDASFVWGHNNRTYMTSGTLYWRIDDYTGQVELDYPRDMSIWSGVGYNIDAAFQYLDGKTYFFKNLGYWEFNDDRMKVAHARAKLSARRWMQCARSANEVDDEQRWTASLVSEGEETGRSGSRELRINHFILSILLLAIANWRS", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPPCQPQRPLLLLLLLLACQPQVPSAQVMDFLFEKWKLYGDQCHHNLSLLPPPTELVCNRTFDKYSCWPDTPANTTANISCPWYLPWHHKVQHRFVFKRCGPDGQWVRGPRGQPWRDASQCQMDGEEIEVQKEVAKMYSSFQVMYTVGYSLSLGALLLALAILGGLSKLHCTRNAIHANLFASFVLKASSVLVIDGLLRTRYSQKIGDDLSVSTWLSDGAVAGCRVAAVFMQYGIVANYCWLLVEGLYLHNLLGLATLPERSFFSLYLGIGWGAPMLFVVPWAVVKCLFENVQCWTSNDNMGFWWILRFPVFLAILINFFIFVRIVQLLVAKLRARQMHHTDYKFRLAKSTLTLIPLLGVHEVVFAFVTDEHAQGTLRSAKLFFDLFLSSFQGLLVAVLYCFLNKEVQSELRRRWHRWRLGKVLWEERNTSNHRASSSPGHGPPSKELQFGRGGGSQDSSAETPLAGGLPRLAESPF", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGEGTTKENNNAEFNAYHTLTAEEAAEFIGTSLTEGLTQDEFVHRLKTVGENTLGDDTKIDYKAMVLHQVCNAMIMVLLISMIISFAMHDWITGGVISFVIAVNVLIGLVQEYKATKTMNSLKNLSSPNAHVIRNGKSETINSKDVVPGDICLVKVGDTIPADLRLIETKNFDTDESLLTGESLPVSKDANLVFGKEEETSVGDRLNLAFSSSAVVKGRAKGIVIKTALNSEIGKIAKSLQGDSGLISRDPSKSWLQNTWISTKKVTGAFLGTNVGTPLHRKLSKLAVLLFWIAVLFAIIVMASQKFDVDKRVAIYAICVALSMIPSSLVVVLTITMSVGAAVMVSRNVIVRKLDSLEALGAVNDICSDKTGTLTQGKMLARQIWIPRFGTITISNSDDPFNPNEGNVSLIPRFSPYEYSHNEDGDVGILQNFKDRLYEKDLPEDIDMDLFQKWLETATLANIATVFKDDATDCWKAHGDPTEIAIQVFATKMDLPHNALTGEKSTNQSNENDQSSLSQHNEKPGSAQFEHIAEFPFDSTVKRMSSVYYNNHNETYNIYGKGAFESIISCCSSWYGKDGVKITPLTDCDVETIRKNVYSLSNEGLRVLGFASKSFTKDQVNDDQLKNITSNRATAESDLVFLGLIGIYDPPRNETAGAVKKFHQAGINVHMLTGDFVGTAKAIAQEVGILPTNLYHYSQEIVDSMVMTGSQFDGLSEEEVDDLPVLPLVIARCSPQTKVRMIEALHRRKKFCTMTGDGVNDSPSLKMANVGIAMGINGSDVSKEASDIVLSDDNFASILNAVEEGRRMTDNIQKFVLQLLAENVAQALYLIIGLVFRDENGKSVFPLSPVEVLWIIVVTSCFPAMGLGLEKAAPDLMDRPPHDSEVGIFTWEVIIDTFAYGIIMTGSCMASFTGSLYGINSGRLGHDCDGTYNSSCRDVYRSRSAAFATMTWCALILAWEVVDMRRSFFRMHPDTDSPVKEFFRSIWGNQFLFWSIIFGFVSAFPVVYIPVINDKVFLHKPIGAEWGLAIAFTIAFWIGAELYKCGKRRYFKTQRAHNPENDLESNNKRDPFEAYSTSTTIHTEVNIGIKQ", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGPPVHHLLTGLCVGVALGWVGGSVPNLGPAEQEQNHYLAQLFGLYGENGTLTAGGLARLLHSLGLGRVQGLRLGHHEPPTGRAAPTSGDNFTHRLQEPELSVDIWAGMPLGPSGWGDQEESKAPDLHGSGPSSLDLFQRLLLLDHSLADHLNEDCLNGSQLLVNFGLSPVAPLTPRQFALLCPALLYQIDSRVCIKTPAPAPPGDVLSALLHSGLAVLFLSLPAPLSLLLLRLLGPRLLRPVLGFLGALAVGTLCGDALLHLLPHAQGGRHTGPSEQSEEDLGPGLSVLGGLFLLFMLENTLGLVRHRGLRPRCCRNKRDLGEPNPDPEDGSGMVLRPLQAASEPEVQGQRENRQSSPSLAPPGHQGHSHEHRGGSIAWMVLLGDCLHNLTDGLALGAAFSDGFSSGLSTTLAVFCHELPHELGDFAMLLQEGLSFRKLLLLSLVSGALGLGGAALGVGLSLGPVPLTPWVFGTTAGVFLYVALVDMLPTLLRPPEPLPVFHVLLQGLGLLLGGSLMFTIALLEEQLVPTVPDG", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKKKNISPSLVLHPLLLLLLPFFAFNSLALKFSPQLLSLLSLKTSLSGPPSAFQDWKVPVNGQNDAVWCSWSGVVCDNVTAQVISLDLSHRNLSGRIPIQIRYLSSLLYLNLSGNSLEGSFPTSIFDLTKLTTLDISRNSFDSSFPPGISKLKFLKVFNAFSNNFEGLLPSDVSRLRFLEELNFGGSYFEGEIPAAYGGLQRLKFIHLAGNVLGGKLPPRLGLLTELQHMEIGYNHFNGNIPSEFALLSNLKYFDVSNCSLSGSLPQELGNLSNLETLFLFQNGFTGEIPESYSNLKSLKLLDFSSNQLSGSIPSGFSTLKNLTWLSLISNNLSGEVPEGIGELPELTTLFLWNNNFTGVLPHKLGSNGKLETMDVSNNSFTGTIPSSLCHGNKLYKLILFSNMFEGELPKSLTRCESLWRFRSQNNRLNGTIPIGFGSLRNLTFVDLSNNRFTDQIPADFATAPVLQYLNLSTNFFHRKLPENIWKAPNLQIFSASFSNLIGEIPNYVGCKSFYRIELQGNSLNGTIPWDIGHCEKLLCLNLSQNHLNGIIPWEISTLPSIADVDLSHNLLTGTIPSDFGSSKTITTFNVSYNQLIGPIPSGSFAHLNPSFFSSNEGLCGDLVGKPCNSDRFNAGNADIDGHHKEERPKKTAGAIVWILAAAIGVGFFVLVAATRCFQKSYGNRVDGGGRNGGDIGPWKLTAFQRLNFTADDVVECLSKTDNILGMGSTGTVYKAEMPNGEIIAVKKLWGKNKENGKIRRRKSGVLAEVDVLGNVRHRNIVRLLGCCTNRDCTMLLYEYMPNGSLDDLLHGGDKTMTAAAEWTALYQIAIGVAQGICYLHHDCDPVIVHRDLKPSNILLDADFEARVADFGVAKLIQTDESMSVVAGSYGYIAPEYAYTLQVDKKSDIYSYGVILLEIITGKRSVEPEFGEGNSIVDWVRSKLKTKEDVEEVLDKSMGRSCSLIREEMKQMLRIALLCTSRSPTDRPPMRDVLLILQEAKPKRKTVGDNVIVVGDVNDVNFEDVCSVDVGHDVKCQRIGV", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MADSSSTSAFISTLIIYGLTAVVFVWLFLLLRPKNRRVYEPRSLKDIQTIPEEERTEPVPEGYFGWVEYLLSKPHSFLIQHTSVDGYFLLRYIGIVGSLSFVGCLLLLPILLPVNATNGNNLQGFELLSFSNVTNKNRFYAHVFLSWIFFGLFTYVIYKELYYYVVFRHAMQTTPLYDGLLSSRTVIVTELHKSIAQEGEMQMRFPKASNVAFAYDLSDLQELCKERAKNAAKYEAALNKVLNKCVKMTRNKTQKQLDKLYNNGTKPKDDLETYVPHKKRPKHRLGKLPLCLGGKKVNTLSYSSKRIGELNEEIHEKQADWASNDRQPACFIQFETQLEAQRCYQSVEAILGKKNFGKRLIGYSPEDVNWGSMRLSSKERHSRRAVANTIMVLLIIFWAFPVAVVGIISNVNFLTDKVPFLRFINNMPTFLMGVITGLLPTIALVVLMSLVPPFIVMLGKLSGCVTRQETDLYSQAWYYAFAVIQIFLVVTATSSASSTVDSIIDRPRSAMTLLANNLPKASNFYIMYFILKGLTGPTWTILQAVNLLLSKVLGRVLDSTPRQKWNRYNTLATPRMGIVYPGIEILVCIYICYSIIAPILLFFSTVMLTLLYVAYLYNLNYVFGFSFDLKGRNYPRALFQIFVGIYLSEVCLLGLFIMAKTWGPLVLEVFWIVVTALAHIYMKRKFIPLFDAVPLSAIRHARGEPGYSYPTSDLGLQEIKDIADEMKGKYEQDNTHGILTPVTKDDLKKANLIPDNDGSSENGTPSNPFESGSERASLSGSNAESDSIKKLNDTVIKKSSTLSSSTKDNNESTFVPEGEKFRKFHYSDVEALRNKRPYDEDDHSKHGPEGAVPVNADAGVIYSDPAAVMKEPQAFPPDVLETNTWTRRILQFFNPRRSYPFDSVRMRFPLVFNTSIEYDEEYLSSAYTDPCVREKDPIVWCCKDPLGVSKQQIQEARSNGLDVRDDFTRYDEKGKVIFTYNPPDYEPEAKK", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSCFGSKKKPIYRKIVILGDGAAGKTSLLNVFTKGYFPQVYEPTIFENYIHDIFVDGNSIELSLWDTAGQEEYDQLRSLSYSDTHVIMICFAVDSRDSLENVITKWLPEVSSNCPGVKLVLVALKCDLRGADEEQVDHSKIIDYEEGLAAAKKINAVRYLECSAKLNRGVNEAFTEAARVALAAQPRGTKDGADESHGTGCIIA", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSKSKCSVGPMSSVVAPAKESNAVGPREVELILVKEQNGVQLTNSTLINPPQTPVEAQERETWSKKIDFLLSVIGFAVDLANVWRFPYLCYKNGGGAFLVPYLLFMVIAGMPLFYMELALGQFNREGAAGVWKICPVLKGVGFTVILISFYVGFFYNVIIAWALHYFFSSFTMDLPWIHCNNTWNSPNCSDAHASNSSDGLGLNDTFGTTPAAEYFERGVLHLHQSRGIDDLGPPRWQLTACLVLVIVLLYFSLWKGVKTSGKVVWITATMPYVVLTALLLRGVTLPGAMDGIRAYLSVDFYRLCEASVWIDAATQVCFSLGVGFGVLIAFSSYNKFTNNCYRDAIITTSINSLTSFSSGFVVFSFLGYMAQKHNVPIRDVATDGPGLIFIIYPEAIATLPLSSAWAAVFFLMLLTLGIDSAMGGMESVITGLVDEFQLLHRHRELFTLGIVLATFLLSLFCVTNGGIYVFTLLDHFAAGTSILFGVLIEAIGVAWFYGVQQFSDDIKQMTGQRPNLYWRLCWKLVSPCFLLYVVVVSIVTFRPPHYGAYIFPDWANALGWIIATSSMAMVPIYATYKFCSLPGSFREKLAYAITPEKDHQLVDRGEVRQFTLRHWLLL", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKVTLSALDTSESSFTPLVVIELAQDVKEETKEWLKNRIIAKKKDGGAQLLFRPLLNKYEQETLENQNLYLVGASKIRMLLGAEAVGLVKECNDNTMRAFTYRTRQNFKGFDDNNDDFLTMAECQFIIKHELENLRAKDEKMIPGYPQAKLYPGKSLLRRLLTSGIVIQVFPLHDSEALKKLEDTWYTRFALKYQPIDSIRGYFGETIALYFGFLEYFTFALIPMAVIGLPYYLFVWEDYDKYVIFASFNLIWSTVILELWKRGCANMTYRWGTLLMKRKFEEPRPGFHGVLGINSITGKEEPLYPSYKRQLRIYLVSLPFVCLCLYFSLYVMMIYFDMEVWALGLHENSGSEWTSVLLYVPSIIYAIVIEIMNRLYRYAAEFLTSWENHRLESAYQNHLILKVLVFNFLNCFASLFYIAFVLKDMKLLRQSLATLLITSQILNQIMESFLPYWLQRKHGVRVKRKVQALKADIDATLYEQVILEKEMGTYLGTFDDYLELFLQFGYVSLFSCVYPLAAAFAVLNNFTEVNSDALKMCRVFKRPFSEPSANIGVWQLAFETMSVISVVTNCALIGMSPQVNAVFPESKADLILIVVAVEHALLALKFILAFAIPDKPRHIQMKLARLEFESLEALKQQQMKLVTENLKEEPMESGKEKAT", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPVPWFLLSLALGRSPVVLSLERLVGPQDATHCSPVSLEPWGDEERLRVQFLAQQSLSLAPVTAATARTALSGLSGADGRREERGRGKSWVCLSLGGSGNTEPQKKGLSCRLWDSDILCLPGDIVPAPGPVLAPTHLQTELVLRCQKETDCDLCLRVAVHLAVHGHWEEPEDEEKFGGAADSGVEEPRNASLQAQVVLSFQAYPTARCVLLEVQVPAALVQFGQSVGSVVYDCFEAALGSEVRIWSYTQPRYEKELNHTQQLPDCRGLEVWNSIPSCWALPWLNVSADGDNVHLVLNVSEEQHFGLSLYWNQVQGPPKPRWHKNLTGPQIITLNHTDLVPCLCIQVWPLEPDSVRTNICPFREDPRAHQNLWQAARLQLLTLQSWLLDAPCSLPAEAALCWRAPGGDPCQPLVPPLSWENVTVDKVLEFPLLKGHPNLCVQVNSSEKLQLQECLWADSLGPLKDDVLLLETRGPQDNRSLCALEPSGCTSLPSKASTRAARLGEYLLQDLQSGQCLQLWDDDLGALWACPMDKYIHKRWALVWLACLLFAAALSLILLLKKDHAKGWLRLLKQDVRSGAAARGRAALLLYSADDSGFERLVGALASALCQLPLRVAVDLWSRRELSAQGPVAWFHAQRRQTLQEGGVVVLLFSPGAVALCSEWLQDGVSGPGAHGPHDAFRASLSCVLPDFLQGRAPGSYVGACFDRLLHPDAVPALFRTVPVFTLPSQLPDFLGALQQPRAPRSGRLQERAEQVSRALQPALDSYFHPPGTPAPGRGVGPGAGPGAGDGT", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRSAARVSRSNSHPRTRHPTRENEGTTWGSQPSRTERDGDRKCPPSILRPRRQECGCHGGEPQKTSRHVRFREPLEVAVHYIARKDTTAAIKVPSRPASHGGSPLQPASCSGSLFLWLTLCALLGVVLVLYCGQAKRVTAALEDLLAQLLALILRLWRVVLACWH", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEQQDQSMKEGRLTLVLALATLIAAFGSSFQYGYNVAAVNSPALLMQQFYNETYYGRTGEFMEDFPLTLLWSVTVSMFPFGGFIGSLLVGPLVNKFGRKGALLFNNIFSIVPAILMGCSRVATSFELIIISRLLVGICAGVSSNVVPMYLGELAPKNLRGALGVVPQLFITVGILVAQIFGLRNLLANVDGWPILLGLTGVPAALQLLLLPFFPESPRYLLIQKKDEAAAKKALQTLRGWDSVDREVAEIRQEDEAEKAAGFISVLKLFRMRSLRWQLLSIIVLMGGQQLSGVNAIYYYADQIYLSAGVPEEHVQYVTAGTGAVNVVMTFCAVFVVELLGRRLLLLLGFSICLIACCVLTAALALQDTVSWMPYISIVCVISYVIGHALGPSPIPALLITEIFLQSSRPSAFMVGGSVHWLSNFTVGLIFPFIQEGLGPYSFIVFAVICLLTTIYIFLIVPETKAKTFIEINQIFTKMNKVSEVYPEKEELKELPPVTSEQ", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGKAENYELYQVELGPGPSGDMAAKMSKKKAGRGGGKRKEKLENMKKEMEINDHQLSVAELEQKYQTSATKGLSASLAAELLLRDGPNALRPPRGTPEYVKFARQLAGGLQCLMWVAAAICLIAFAIQASEGDLTTDDNLYLALALIAVVVVTGCFGYYQEFKSTNIIASFKNLVPQQATVIRDGDKFQINADQLVVGDLVEMKGGDRVPADIRILQAQGRKVDNSSLTGESEPQTRSPECTHESPLETRNIAFFSTMCLEGTAQGLVVNTGDRTIIGRIASLASGVENEKTPIAIEIEHFVDIIAGLAILFGATFFIVAMCIGYTFLRAMVFFMAIVVAYVPEGLLATVTVCLSLTAKRLASKNCVVKNLEAVETLGSTSVICSDKTGTLTQNRMTVSHLWFDNHIHSADTTEDQSGQTFDQSSETWRALCRVLTLCNRAAFKSGQDAVPVPKRIVIGDASETALLKFSELTLGNAMGYRERFPKVCEIPFNSTNKFQLSIHTLEDPRDPRHVLVMKGAPERVLERCSSILIKGQELPLDEQWREAFQTAYLSLGGLGERVLGFCQLYLSEKDYPPGYAFDVEAMNFPTSGLSFAGLVSMIDPPRATVPDAVLKCRTAGIRVIMVTGDHPITAKAIAASVGIISEGSETVEDIAARLRVPVDQVNRKDARACVINGMQLKDMDPSELVEALRTHPEMVFARTSPQQKLVIVESCQRLGAIVAVTGDGVNDSPALKKADIGVAMGIAGSDAAKNAADMILLDDNFASIVTGVEQGRLIFDNLKKSIAYTLTKNIPELTPYLIYITVSVPLPLGCITILFIELCTDIFPSVSLAYEKAESDIMHLRPRNPKRDRLVNEPLAAYSYFQIGAIQSFAGFTDYFTAMAQEGWFPLLCVGLRPQWENHHLQDLQDSYGQEWTFGQRLYQQYTCYTVFFISIEMCQIADVLIRKTRRLSAFQQGFFRNRILVIAIVFQVCIGCFLCYCPGMPNIFNFMPIRFQWWLVPMPFGLLIFVYDEIRKLGVRCCPGSWWDQELYY", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGMLNCLHGNNMSGQHDIPPEVGDQPEQEPLEAQGAAAPGAGVGPAEEMETEPSNNEPIPDETDSEVCGPPEDSKSDIQSPSQAFEEVQVGGDYSPPPEEAMPFEIQQPSLGDFWPTLEQPGPSGTPSGIKAFNPAILEPGTPTGAHPGLGAYSPPPEEAMPFEFNEPAQEDRCQPPLQVPDLAPGGPEAWVSRALPAEPGNLGFENTGFREDYSPPPEESVPFQLDGEEFGGDSPPPGLPRVTPQIGIGGEFPTVAVPSTLCLAPAANAPPLWVQGAIGRPFREAVRSPNFAYDISPMEITRPLLEIGRASTGVDDDTAVNMDSPPIASDGPPIEVSGAPVKSEHAKRPPLERQAAETGNSPISSTTAEEAKVPSLERGEGSPTQPETVHIKPAPVAESGTDSSKADPDSATHAVLQIGPEEVGGVPTMPTDLPPASEDAGPDVRAEPDGGTAPATPAESEDNREPAAAAAAEPAAEPAAEPAAEPAAEPAAEPAAEAVPDTEAESASGAVPDTQEEPAAAAASATPAEPAARAAPVTPTEPATRAVPSARAHPAAGAVPGASAMSAAARAAAARAAYAGPLVWGARSLSATPAARASLPARAAAAARAASAARAVAAGRSASAAPSRAHLRPPSPEIQVADPPTPRPAPRPSAWPDKYERGRSCCRYEAASGICEIESSSDESEEGATGCFQWLLRRNRRPGQPRSHTVGSNPVRNFFARAFGSCFGLSECTRSRSLSPGKAKDPMEERRKQMRKEAMEMREQKRADKKRSKLIDKQLEEEKMDYMCTHRLLLLGAGESGKSTIVKQMRILHVNGFNGEGGEEDPQAARSNSDGEKATKVQDIKNNLKEAIETIVAAMSNLVPPVELANPENQFRVDYILSVMNVPNFDFPPEFYEHAKALWEDEGVRACYERSNEYQLIDCAQYFLDKIDVIKQADYVPSDQDLPRCRVLTSGIFETKFQVDKVNFHMFDVGGQRDERRKWIQCFNDVTAIIFVVASSSYNMVIREDNQTNRLQEALNLFKSIWNNRWLRTISVILFLNKQDLLAEKVLAGKSKIEDYFPEFARYTTPEDATPEPGEDPRVTRAKYFIRDEFLRISTASGDGRHYCYPHFTCAVDTENIRRVFNDCRDIIQRMHLRQYELL", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRTNRLSWILVLSVVIFLVIINTINASDDEERLMVDVFRGYNSLIQPVRNSSELPLIVKMALQLVLLINVDEKDQVMHTNVWLTLQWHDFQMKWNPVNYGEIKQIRVSPDKVWLPDIVLFNNADGNYEVSFMCNVVINHKGDMLWVPPAIYKSSCIIDVEFFPFDEQVCTLVFGSWTYNENEIKLEFVQAELVDVSEYSASSIWDVIDVPASLVNKRSRIEFQVRIRRKTLFYTVVLIIPTVLMAFLSMAVFFLPTDSGEKITLTISVLLSIVVFLLLVSKILPPTSSTIPLMAKYLLLTFVLNVITILVTVIIINVYFRGPRTHRMPQWVRVVFLQFLPKLVCMKRPKSASERSAVRSGMAQLPGVGQFTLSPSAHHPLCPSADDRTTTIRNTASNETSAYYPLSTDALRAIDAIEYITEHLKRDEQHKSFRDDWKYVAMIIDRLLLYVFFGITVGGTCGILFSAPHVFQRIDQQEMLDRLKEKYDTASNIP", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSVCTLLISCAILAAPTLGSLQERRLYEDLMRNYNNLERPVANHSEPVTVHLKVALQQIIDVDEKNQVVYVNAWLDYTWNDYNLVWDKAEYGNITDVRFPAGKIWKPDVLLYNSVDTNFDSTYQTNMIVYSTGLVHWVPPGIFKISCKIDIQWFPFDEQKCFFKFGSWTYDGYKLDLQPATGGFDISEYISNGEWALPLTTVERNEKFYDCCPEPYPDVHFYLHMRRRTLYYGFNLIMPCILTTLMTLLGFTLPPDAGEKITLQITVLLSICFFLSIVSEMSPPTSEAVPLLGIFFTCCMIVVTASTVFTVYVLNLHYRTPETHDMGPWTRNLLLYWIPWILRMKRPGHNLTYASLPSLFSTKPNRHSESLIRNIKDNEHSLSRANSFDADCRLNQYIMTQSVSNGLTSLGSIPSTMISSNGTTTDVSQQATLLILHRIYHELKIVTKRMIEGDKEEQACNNWKFAAMVVDRLCLYVFTIFIIVSTIGIFWSAPYLVA", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKRASSGGSRLLAWVLWLQAWRVATPCPGACVCYNEPKVTTSCPQQGLQAVPTGIPASSQRIFLHGNRISHVPAASFQSCRNLTILWLHSNALARIDAAAFTGLTLLEQLDLSDNAQLHVVDPTTFHGLGHLHTLHLDRCGLRELGPGLFRGLAALQYLYLQDNNLQALPDNTFRDLGNLTHLFLHGNRIPSVPEHAFRGLHSLDRLLLHQNHVARVHPHAFRDLGRLMTLYLFANNLSMLPAEVLMPLRSLQYLRLNDNPWVCDCRARPLWAWLQKFRGSSSEVPCNLPQRLADRDLKRLAASDLEGCAVASGPFRPIQTSQLTDEELLSLPKCCQPDAADKASVLEPGRPASAGNALKGRVPPGDTPPGNGSGPRHINDSPFGTLPSSAEPPLTALRPGGSEPPGLPTTGPRRRPGCSRKNRTRSHCRLGQAGSGASGTGDAEGSGALPALACSLAPLGLALVLWTVLGPC", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MHKEEHEVAVLGPPPSTILPRSTVINIHSETSVPDHVVWSLFNTLFLNWCCLGFIAFAYSVKSRDRKMVGDVTGAQAYASTAKCLNIWALILGILMTIGFILLLVFGSVTVYHIMLQIIQEKRGY", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MATETTKMIYTPPPLDIKMEIEIGEQPQPPVKCSNFFANHWKGLVVFLVPLLCLPVMLLNEGAEFRCMYLLLVMAIFWVTEALPLYVTSMIPIVAFPIMGIMSSDQTCRLYFKDTLVMFMGGIMVALAVEYCNLHKRLALRVIQIVGCSPRRLHFGLIMVTMFLSMWISNAACTAMMCPIIQAVLEELQAQGVCKINHEPQYQIVGGNKKNNEDEPPYPTKITLCYYLGIAYASSLGGCGTIIGTATNLTFKGIYEARFKNSTEQMDFPTFMFYSVPSMLVYTLLTFVFLQWHFMGLWRPKSKEAQEVQRGREGADVAKKVIDQRYKDLGPMSIHEIQVMILFIFMVVMYFTRKPGIFLGWADLLNSKDIRNSMPTIFVVVMCFMLPANYAFLRYCTRRGGPVPTGPTPSLITWKFIQTKVPWGLVFLLGGGFALAEGSKQSGMAKLIGNALIGLKVLPNSVLLLVVILVAVFLTAFSSNVAIANIIIPVLAEMSLAIEIHPLYLILPAGLACSMAFHLPVSTPPNALVAGYANIRTKDMAIAGIGPTIITIITLFVFCQTWGLVVYPNLNSFPEWAQIYAAAALGNKTH", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRSTTLLALLALVLLYLVSGALVFQALEQPHEQQVQKDLEDGRDQFLKDHPCVSQKNLEGFIKLVAEALGGGANPETSWTNSSNHSSAWNLGSAFFFSGTIITTIGYGNIALHTDAGRLFCIFYALVGIPLFGMLLAGVGDRLGSSLRRGIGHIEAVFLKWHVPPGLVRMLSAVLFLLIGCLLFVLTPTFVFSYMESWSKLEAIYFVIVTLTTVGFGDYVPGDGTGQNSPAYQPLVWFWILFGLAYFASVLTTIGNWLRAVSRRTRAEMGGLTAQAASWTGTVTARVTQRTGPSAPPPEKEQPLLPSSLPAPPAVAEPAHRPGSPAPAEKVETPPPTASALDYPSENLAFIDESSDTQSERGCALPRAPRGRRRPNPTKKPSRPRGPGRLRDKAVPV", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTKITRDVSITTENSKSTSGSATASSASLPENDHPIFHQPRARIRSGSLFIEGSDSFPSSEVKSYNVYIDDSKYSEILKGDTNSSSTDGKQVFEDARDDNFHQESHRDLEDSILDLVRRDPEVAAFPLPPPNSNERNRNSSNGSSAETNLNGHSSSGTISTSVLLNMGSAEKHAGTTRGDHMESSSMKSFEKLGTRPSSLFYPPPEGTAPYQGPRATVSGNKSTRQTQGTYSFPSMRYGVDLVSPVEGAVDVAKSRVPNSTLNGTFPDKAFIPHEFQIPKKAWNRIPANKSTSLKTPRNHSLLIDILKPFEAADLANDQRSSSAVLKNTVHSNGQYNPTNETSGTRMQDQRQKNTNEIDLEKIPNPQVPLGIAMDTMRSPNQLHEKEYESNIEAGLASGVGKGDNSIKQHQYKKIPQEIDRDQQLSFQMETMPIQRIDSSSIRSFDSRIYGFSEIYSIPRVITTLCICLFVPPLFFFFSINGNNGVSNYRLMRMIMNYEHRIGLLKGFEWDIDVQWFRTLCFVLGCIEMLAIFASIGIGFGVGIIRE", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASTENPDPETGKSEPIPASATPPPSSAASFLDCRKIDIITRVLLFSATLTALIVMVTSDQTEMTQLPGVSSPAPVSAEFNDSPAFIYFVVALVVASFYALISTLVSISLLLKPEFTAQFSIYLASLDMVMLGILASATGTAGGVAYIALKGNEEVGWNKICNVYDKFCRYIATSLALSLFASLLLLVLSIWSALSKRT", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAMDISLFFIFLVIYAPLVSYADESQAEIDALTAFKLNLHDPLGALTSWDPSTPAAPCDWRGVGCTNHRVTEIRLPRLQLSGRISDRISGLRMLRKLSLRSNSFNGTIPTSLAYCTRLLSVFLQYNSLSGKLPPAMRNLTSLEVFNVAGNRLSGEIPVGLPSSLQFLDISSNTFSGQIPSGLANLTQLQLLNLSYNQLTGEIPASLGNLQSLQYLWLDFNLLQGTLPSAISNCSSLVHLSASENEIGGVIPAAYGALPKLEVLSLSNNNFSGTVPFSLFCNTSLTIVQLGFNAFSDIVRPETTANCRTGLQVLDLQENRISGRFPLWLTNILSLKNLDVSGNLFSGEIPPDIGNLKRLEELKLANNSLTGEIPVEIKQCGSLDVLDFEGNSLKGQIPEFLGYMKALKVLSLGRNSFSGYVPSSMVNLQQLERLNLGENNLNGSFPVELMALTSLSELDLSGNRFSGAVPVSISNLSNLSFLNLSGNGFSGEIPASVGNLFKLTALDLSKQNMSGEVPVELSGLPNVQVIALQGNNFSGVVPEGFSSLVSLRYVNLSSNSFSGEIPQTFGFLRLLVSLSLSDNHISGSIPPEIGNCSALEVLELRSNRLMGHIPADLSRLPRLKVLDLGQNNLSGEIPPEISQSSSLNSLSLDHNHLSGVIPGSFSGLSNLTKMDLSVNNLTGEIPASLALISSNLVYFNVSSNNLKGEIPASLGSRINNTSEFSGNTELCGKPLNRRCESSTAEGKKKKRKMILMIVMAAIGAFLLSLFCCFYVYTLLKWRKKLKQQSTTGEKKRSPGRTSAGSRVRSSTSRSSTENGEPKLVMFNNKITLAETIEATRQFDEENVLSRTRYGLLFKANYNDGMVLSIRRLPNGSLLNENLFKKEAEVLGKVKHRNITVLRGYYAGPPDLRLLVYDYMPNGNLSTLLQEASHQDGHVLNWPMRHLIALGIARGLGFLHQSNMVHGDIKPQNVLFDADFEAHISDFGLDRLTIRSPSRSAVTANTIGTLGYVSPEATLSGEITRESDIYSFGIVLLEILTGKRPVMFTQDEDIVKWVKKQLQRGQVTELLEPGLLELDPESSEWEEFLLGIKVGLLCTATDPLDRPTMSDVVFMLEGCRVGPDVPSSADPTSQPSPA", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAAQPKYPAGATARRLARGCWSALWDYETPKVIVVRNRRLGVLYRAVQLLILLYFVWYVFIVQKSYQESETGPESSIITKVKGITTSEHKVWDVEEYVKPPEGGSVFSIITRVEATHSQTQGTCPESIRVHNATCLSDADCVAGELDMLGNGLRTGRCVPYYQGPSKTCEVFGWCPVEDGASVSQFLGTMAPNFTILIKNSIHYPKFHFSKGNIADRTDGYLKRCTFHEASDLYCPIFKLGFIVEKAGESFTELAHKGGVIGVIINWDCDLDLPASECNPKYSFRRLDPKHVPASSGYNFRFAKYYKINGTTTRTLIKAYGIRIDVIVHGQAGKFSLIPTIINLATALTSVGVGSFLCDWILLTFMNKNKVYSHKKFDKVCTPSHPSGSWPVTLARVLGQAPPEPGHRSEDQHPSPPSGQEGQQGAECGPAFPPLRPCPISAPSEQMVDTPASEPAQASTPTDPKGLAQL", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEHEGKNNMNGMEMEKGKRELGSRKGVELTMRVLALILTMAAATVLGVAKQTKVVSIKLIPTLPPLDITTTAKASYLSAFVYNISVNAIACGYTAISIAILMISRGRRSKKLLMVVLLGDLVMVALLFSGTGAASAIGLMGLHGNKHVMWKKVCGVFGKFCHRAAPSLPLTLLAAVVFMFLVVLDAIKLP", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSGTELLWPGAALLVLLGVAASLCVRCSRPGAKRSEKIYQQRSLREDQQSFTGSRTYSLVGQAWPGPLADMAPTRKDKLLQFYPSLEDPASSRYQNFSKGSRHGSEEAYIDPIAMEYYNWGRFSKPPEDDDANSYENVLICKQKTTETGAQQEGIGGLCRGDLSLSLALKTGPTSGLCPSASPEEDEESEDYQNSASIHQWRESRKVMGQLQREASPGPVGSPDEEDGEPDYVNGEVAATEA", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MISFSFHLLVFILLSLSSFATAQQPYVGISTTDCSVSDNTTSVFGYSCNGLNKTCQAYVIFRSTPSFSTVTSISSLFSVDPSLVSSLNDASPSTSFPSGQQVIIPLTCSCTGDDSQSNITYTIQPNDSYFAIANDTLQGLSTCQALAKQNNVSSQSLFPGMRIVVPIRCACPTAKQINEDGVKYLMSYTVVFEDTIAIISDRFGVETSKTLKANEMSFENSEVFPFTTILIPLVNPPANTNSLIPPPPPPPPQSVSPPPLSPDGRKSKKKTWVYALAGVLGGALVLSVIGAAIFCLSKKKTKTQTQEETGNLDSFMGKKPPMSDQEFDPLDGLSGMVVESLKVYKFHELQSATSDFTSSSSIGGSGYIGKINGDGAMIKKIEGNASEEVNLLSKLNHLNIIRLSGFCFHEGDWYLVYEHASNGSLSEWIHTTKSLLSLTQKLQIALDIATGLNYLHNFADPPYVHRDLNSNNVFLDLEFRAKIGSLGSARSTTEDFVLTKHVEGTRGYLAPEYLEHGLVSTKLDVYAFGVVLLEIVTGKEASELKKEIDEGKAIDEILIHGRLLPEGLTSFVERLVVDCLKKDHLNRPSMDENVMSLSKILAATQNWEESSY", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAKEDTGVTAPKKPETAQVANINGIDKLEPPKTKEETESSKSVSSEKAAHASDESFKRSIHEASYVGWKQIGGWEDKDELTLDDELMDMTRETFLDNIIPDSLYGDWYHSVAIFFIGGVASFALGHYKFSMGSAFFVIVITSLLYRTSAKKYRGSIRELVQKEFTVQKVENDYESLEWLNAFLDKYWPILEPSVSQLIVQQANEQMATNEAIPKFITQLWIDELTLGVKPPRVDLVKTFQNTASDVVVMDWGISFTPHDLCDMSAKQVRNYVNELAVVKAKIFGITIPVSVSDIAFKAHARVKFKLMTPFPHVETVNIQLLKVPDFDFVATLFGRSIFNWEILAIPGLMTLIQKMAKKYMGPILLPPFSLQLNIPQLLSGSNLSIGILEITVKNAKGLKRTSSILNESIDPYLSFEFNDISIAKTRTVRDTLNPVWDETLYVLLNSFTDPLTISVYDKRAKLKDKVLGRIQYNLNTLHDKTTQRNLKAQFLRNSKPVGELTFDLRFFPTLEEKKLPDGSVEELPDLNTGIAKVVVEEGSRFAEEEQKVTAYVEVYLNAKLVLTTGKATDTGTLKWNSDYEAVIADRRKTRYKFVVKDGKGEEIGSTIQTLNDLIDRSQVNKNLIPLKNQKGDIKITTYWRPVRLEIGSNSVAYTPPIGAIRVFIEKANDLRNLEKFGTIDPYCKVLVNGLSKGRTDFKSQTLNPVWNQVIYVAVTSPNQRITLQCMDVETVNKDRSLGEFNVNVQDLFKKDENDKYEETIDEKAKVGRLVMPKKKPKGTITYYTSFYPALPVLTLEEIQDLDKVNKKKKALELRKSAIDEKKISKEDKAKFDQEWNEVKELEDMYSNRQKLDLPELLQYNQGVLAVTVLNGELPDSGLYVQAFFDDNGHPRFVSPRIPSRIVKNGWSGDVIIKELDKSITTFRVAKNKNYNRVEKCVCEVELPTQELVKNCYYKPSILHLSGEGSAKLMLQISWFPIDTKQLPANDLITNSGDLTIMSRSAENLIASDLNGYSDPYLKYYINNEEDCAYKTKVVKKTLNPKWNDEGTIQINNRLNDVLRIKVMDWDSTSADDTIGTAEIPLNKVKVEGTTELDVPVEGLENAGQDGGMLHLAFSFKPRYTISVSKREKKVGDIASKGLGTGLKAGTTVIGGGVGAIGKIKKGVFGGLGSLTNHKKNHEMGEEETKF", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKMQKGNVLLMFGLLLHLEAATNSNETSTSANTGSSVISSGASTATNSGSSVTSSGVSTATISGSSVTSNGVSIVTNSEFHTTSSGISTATNSEFSTVSSGISIATNSESSTTSSGASTATNSESSTPSSGASTATNSDSSTTSSGASTATNSDSSTTSSEASTATNSESSTTSSGASTATNSESSTVSSRASTATNSESSTTSSGASTATNSESRTTSNGAGTATNSESSTTSSGASTATNSESSTPSSGAGTATNSESSTTSSGAGTATNSESSTVSSGISTVTNSESSTPSSGANTATNSESSTTSSGANTATNSDSSTTSSGASTATNSESSTTSSGASTATNSESSTTSSGASTATNSGSSTTSSGTSTATNSESSTVSSGASTATTSESSTTSSGASTATNSESSTVSSGASTATNSESSTTSSGANTATNSGSSVTSAGSGTAALTGMHTTSHSASTAVSEAKPGGSLVPWEIFLITLVSVVAAVGLFAGLFFCVRNSLSLRNTFNTAVYHPHGLNHGLGPGPGGNHGAPHRPRWSPNWFWRRPVSSIAMEMSGRNSGP", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDYLLQVKVGALVGLLLLTLFFGFIPARMKWFHVTGGTELHKAVLSFVSCFAGGVFLSACLLDIIPDYLSDIHGELQKRDLDDGFPLPEFIMACGFFTVLILEKMVLSCTEGHRNEETAPLLAPAAPNGHAHGHPSVNDLEGSGHHVHVDFHAHSSFRSFMLFLSLSLHSVFEGLAIGLQTTNAKVLEICIAILVHKSIIVFSLSVKLVQSAVKPLWVVLYVTVFAIMSPLGIGIGIVVIETERQAGGLIQAVLEGLAAGTFIYITFLEILPHELNSSERPLLKVLFLLCGFSIMAALCFLG", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAHALKRILYATWYPAACQFAFIARNPRSPSSKLFCHLFVGSQPGEVHILYLLLCRSFQLAYLLQHPEERAQSEPCLAPVGDLSLKPLCSPGVPPALVREPFSRDQLSQNVHALVSFRRLPAEGLLGSNGKELPESEGRGGTRHIRLGNPYCSPTLVRKKAIRSKVIRSGAYRGCTYETQLQLSAREAFPAAWEAWPRGPGGPSCLVENEGSLTENIWAFAGLSRSCALSLLRRDVHGAFLLWPEPGTSDQWSLSVRTQCGVVPHQVFRNHLGRFCLEHLPAEFPSLEALVESHAGVERSLFCPLSMGRLNPTYEEQDCGTEGRFPRTLRPLSHAKSEAELQGLG", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNMGSVAGAVLKMLLLLSTQNWNRVEAGNSYDCDEPLVSALPQASFSSSSELSSSHGPGFARLNRRDGAGGWSPLVSNKYQWLQIDLGERMEVTSVATQGGYGSSNWVTSYLLMFSDSGRNWKQYRQEDSIWGFSGNANADSVVYYRLQPSIKARFLRFIPLEWNPKGRIGMRIEVFGCAYRSVVIDLDGKSSLLYRFDQNSLSPIRDIISLKFKTMESDGILLHRAGPAGDHITLELRRGKLFLLINSGDARLTSSSTLINLTLGSLLDDQHWHSVLIQRLGKQVNFTVDEHRRHFHAQGEFNYLDLDYEISFGGISAPAKSVSLPYKHFHGCLENLFYNGVDVIGLVKEHSPQIITMGNASFSCSQPQSMPLTFLSPRSYLVLPASTKEEAISASFQFRTWNKAGLLLFSELQLVSGSLLLLLSDGKLKLTLYQPGKSPSDITAGAGLGDGQWHSVSLSAKRNHLSVVVDGHISPASPWLGPEQVNSGGVFYFGGCPDKGFGSKCKSPLGGFQGCMRLISINNKMVDLIAVQQGALGNFSDLQIDSCGISDRCLPNSCEHGGECSQSWSTFHCNCTNTGYTGATCHSSVYEQSCEAYKHQGNASGFYYIDSDGSGPLQPFLLYCNMTETAWTVMQHNGSDLMRVRNTHSENAHTGVFEYTASMEQLQAAINRAEHCQQELVYYCKKSRLVNQQDGSPRSWWVGRTNETQTYWGGSLPVHQKCTCGLEGNCIDAQYHCNCDADLNEWTNDTGFLSYKEHLPVTKIVITDTGRPHSEAAYKLGPLLCRGDRPFWNAASFNTEASYLHFPTFHGELSADVSFFFKTTALSGVFLENLGITDFIRIELRSPTTVTFSFDVGNGPFELSVHSPTHFNDNQWHHVRVERNMKEASLRVDELPPKIQAAPTDGHVLLQLNSQLFVGGTATRQRGFLGCIRSLQLNGMALDLEERATVTPGVQPGCRGHCGSYGKLCRHGGKCREKPSGFFCDCSSSAYAGPFCSKEISAYFGSGSSVIYNFQENYSLSKNSSFHAASFHGDMKLSREMIKFSFRTTRAPSLLLHMSSFYKEYLSIIIAKNGSLQIRYKLNKYHEPDVISFDLKSMADGQLHHIKINREEGMVFVEIDENTRRQTYLSSGTEFSAVKSLVLGRMLEYSDVDQETALAAAHGFTGCLSAVQFSHIAPLKAALQPGPPAPVTVTGHVTESSCVAPSGTDATSRERTHSFADHSGTMDDREPLTHAIKSDSAVIGGLIAVVIFILLCVSAIAVRIYQQKRLYKRNEAKRSENVDSAEAVLKSELHIQNAVGENQKEYFF", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVPSLEEPAAAERETNEAQPPGPAPSDDAPLPVPGPSDVSDGSVEKVEVELTRSTGNQEPPEPPEGGWGWLVMLAAMWCNGSVFGIQNAYGVLFVSMLETFGAKDDDNMAFKAAWVGSLSMGMIFFCCPIVSVFTDMFGCRRTAVLGAAVGFVGLMSSSFVSSIEPLYFTYGVVFACGCSFAYQPSLVILGHYFKKRLGLVNGIVTAGSSVFTILLPLLLGNLTSTVGLCYTLRILCIFMFVLFLAGFTYRPLVPSSKEKESEDSRSSFFSRRKLSPPKKIFNFALFKETAYAVWAAGIPLALFGYFVPYVHLMNHVKERFKDVNNKEVLFMCIGVTSGVGRLLFGRIADYLPGVKKVYLQVLSFFFIGLTSMMIPLCSVFGALIALCLIMGLFDGCFISIMAPIAFELVGPQDASQAIGFLLGFMSIPMTVGPPVAGLLHDKLGSYDLAFYLAGIPPFIGGAVLCLIPWIHSKKQREISKNTGGEKMEKMLANQSSLLSSSSGIFKKESDSII", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDYQVSSPIYDINYYTSEPCQKINVKQIAARLLPPLYSLVFIFGFVGNMLVILILINCKRLKSMTDIYLLNLAISDLFFLLTVPFWAHYAAAQWDFGNTMCQLLTGLYFIGFFSGIFFIILLTIDRYLAVVHAVFALKARTVTFGVVTSVITWVVAVFASLPGIIFTRSQKEGLHYTCSSHFPYSQYQFWKNFQTLKIVILGLVLPLLVMVICYSGILKTLLRCRNEKKRHRAVRLIFTIMIVYFLFWAPYNIVLLLNTFQEFFGLNNCSSSNRLDQAMQVTETLGMTHCCINPIIYAFVGEKFRNYLLVFFQKHIAKRFCKCCSIFQQEAPERASSVYTRSTGEQEISVGL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDGVTPTLSTIRGRTLESSTLHVTPRSLDRNKDQITNIFSGFAGLLAILLVVAVFCILWNWNKRKKRQVPYLRVTVMPLLTLPQTRQRAKNIYDILPWRQEDLGRHESRSMRIFSTESLLSRNSESPEHVPSQAGNAFQEHTAHIHATEYAVGIYDNAMVPQMCGNLTPSAHCINVRASRDCASISSEDSHDYVNVPTAEEIAETLASTKSPSRNLFVLPSTQKLEFTEERDEGCGDAGDCTSLYSPGAEDSDSLSNGEGSSQISNDYVNMTGLDLSAIQERQLWVAFQCCRDYENVPAADPSGSQQQAEKDVPSSNIGHVEDKTDDPGTHVQCVKRTFLASGDYADFQPFTQSEDSQMKHREEMSNEDSSDYENVLTAKLGGRDSEQGPGTQLLPDE", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGACRIQYILLVFLLIASHWTLVQNIYCEVSRTLSLEDNPSGTFNWTSKAEKCNPGEFCQETVLLIKAEGTKTAILASKSCVPQGAETMTFVQYTAPPGLVAISYSNYCNDSLCNNRNNLASILQAPEPTATSNMSGARHCPTCLALEPCSSAPSMPCANGTTQCYHGKIELSGGGMDSVVHVKGCTTAIGCRLMAKMESVGPMTVKETCSYQSFLHPRMAEIGASWMPTSLWVLELLLPALSLPLIYFP", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGQGDESERIVINVGGTRHQTYRSTLRTLPGTRLAWLAEPDAHSHFDYDPRADEFFFDRHPGVFAHILNYYRTGKLHCPADVCGPLYEEELAFWGIDETDVEPCCWMTYRQHRDAEEALDSFGGAPLDNSADDADADGPGDSGDGEDELEMTKRLALSDSPDGRPGGFWRRWQPRIWALFEDPYSSRYARYVAFASLFFILVSITTFCLETHERFNPIVNKTEIENVRNGTQVRYYREAETEAFLTYIEGVCVVWFTFEFLMRVVFCPNKVEFIKNSLNIIDFVAILPFYLEVGLSGLSSKAAKDVLGFLRVVRFVRILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERIGAQPNDPSASEHTHFKNIPIGFWWAVVTMTTLGYGDMYPQTWSGMLVGALCALAGVLTIAMPVPVIVNNFGMYYSLAMAKQKLPKKKKKHIPRPPQLGSPNYCKSVVNSPHHSTQSDTCPLAQEEILEINRADSKLNGEVAKAALANEDCPHIDQALTPDEGLPFTRSGTRERYGPCFLLSTGEYACPPGGGMRKDLCKESPVIAKYMPTEAVRVT", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MITGKDMYDVLAAMVPLYVAMILAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMNYHFLAADSLQKVVILAALFLWQAFSRRGSLEWMITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQIVVLQSIIWYTLMLFLFEFRGAKLLISEQFPETAGSITSFRVDSDVISLNGREPLQTDAEIGDDGKLHVVVRRSSAASSMISSFNKSHGGGLNSSMITPRASNLTGVEIYSVQSSREPTPRASSFNQTDFYAMFNASKAPSPRHGYTNSYGGAGAGPGGDVYSLQSSKGVTPRTSNFDEEVMKTAKKAGRGGRSMSGELYNNNSVPSYPPPNPMFTGSTSGASGVKKKESGGGGSGGGVGVGGQNKEMNMFVWSSSASPVSEANAKNAMTRGSSTDVSTDPKVSIPPHDNLATKAMQNLIENMSPGRKGHVEMDQDGNNGGKSPYMGKKGSDVEDGGPGPRKQQMPPASVMTRLILIMVWRKLIRNPNTYSSLFGLAWSLVSFKWNIKMPTIMSGSISILSDAGLGMAMFSLGLFMALQPKIIACGKSVAGFAMAVRFLTGPAVIAATSIAIGIRGDLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLVALPVTVLYYVLLGL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDTSSKENAHLFHKNSAQPAGGPSFTVGYPSTEEARPCCGKLKVFLGALSFVYFAKALAEGYLKSTVTQIERRFEIPSSLVGIIDGSFEIGNLLVITFVSYFGAKLHRPKIIGAGCLVMGFGTMLIAVPQFFMEKYSYEKYERYSPSSNVTPSISPCYLESSSPSPSSILGKSQNKISHECVGDSSSSMWVYVFLGNLLRGLGETPIQPLGIAYLDDFASEDNAAFYIGCVQTVAIIGPIFGFLLGSLCAKLYVDIGFVNLDHITITPKDPQWVGAWWLGYLIAGFLSLLAAVPFWCLPKTLPRSQSRENSGSTSEKSKFIDDPIHYQMAPGDDKMKIMEMAKDFLPSLKTLFRNPVYILYLCASTVQFNSLFGMVTYKPKYIEQQYGQSSSKANFVIGLINIPAVALGIFSGGIVMKKFRLGICEATKLYLGSSVFGYLLFLSLFALGCENSSVAGLTVSYQGTKPVSYHERALFSDCNSRCKCSDSKWEPMCGDNGITYVSACLAGCQSSSRSGKNIIFSNCTCVGFAAPKSGNWSGMMGRCQKDNGCSQMFLYFLVISVITSYTLSLGGIPGYILLLRCIQPQLKSFALGIYTLAVRVLAGIPAPVYFGVLIDTSCLKWGFKKCGSRGSCRLYDSHAFRHIYLGLTTLLGTVSVFLSMAVLFVLKKKYVSKHSSLITTREKIGMSSSIKKETCAARDRGLQPKYWPGKETRL", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTKTSTCIYHFLVLSWYTFLNYYISQEGKDEVKPKILANGARWKYMTLLNLLLQTIFYGVTCLDDVLKRTKGGKDIKFLTAFRDLLFTTLAFPVSTFVFLAFWILFLYNRDLIYPKVLDTVIPVWLNHAMHTFIFPITLAEVVLRPHSYPSKKTGLTLLAAASIAYISRILWLYFETGTWVYPVFAKLSLLGLAAFFSLSYVFIASIYLLGEKLNHWKWGDMRQPRKKRK", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAKAAVTKRHHFMIQKLLILLSYGYTNGLDDAHSLRCNLTIKAPTPADPLWYEAKCLVDEILILHLSNINKTMTSGDPGETANATEVGECLTQPVNDLCQKLRDKVSNTKVDTHKTNGYPHLQVTMIYPQSQGQTPSATWEFNISDSYFFTFYTENMSWRSANDESGVIMNKWNDDGDLVQRLKYFIPECRQKIDEFLKQSKEKPRSTSRSPSITQLTSTSPLPPPSHSTSKKGFISVGLIFISLLFAFAFAM", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MYPMDSDYHRRGLVANDRSPAQFVRLDKPRAVDDLYIGKREKMRRWLCCACHVEEPYHSSENEHLRSPKHHNDFGHHTRKPQAAVKPDALKEPPSIDVPALSLDELKEKTDNFGSKSLIGEGSYGRAYYATLKDGKAVAVKKLDNAAEPESNVEFLTQVSRVSKLKHDNFVELFGYCVEGNFRILAYEFATMGSLHDILHGRKGVQGAQPGPTLDWIQRVRIAVDAARGLEYLHEKVQPAVIHRDIRSSNVLLFEDFKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKAVAKLAAVAALCVQYESEFRPNMSIVVKALQPLLRSSTAAAVPVQEA", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MISEKAATALATIATVCWCVQLIPQIIYNWKKKDCTGLPPLMMFLWVVSGIPFAIYFCVSKGNVILQVQPHLFMFFCSISFVQSCYYPPISMARSKIVMIVAAIIAADVGMEVGFILWLRPLYEKGVKWPDLIFGISASVLLAVGLLPPYFELAKRKGRVIGINFAFLFIDSLGAWLSIISVILGNMDIMGIILYSIVAGMELGIFASHFIWWCRFRFLAKGNTFDEESGQAQKEEPDEKIEQDISKSDRNVTNYNLDNCSIPDDASSFADDFNIYDSTDGGTLSRAQTLHAVHGVVVRTDPDRYSRLSV", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MENRASGTTSNGETKPVCPAMEKVEEDGTLEREHWNNKMEFVLSVAGEIIGLGNVWRFPYLCYKNGGGAFFIPYLIFLFTCGIPVFFLETALGQYTNQGGITAWRRICPIFEGIGYASQMIVSLLNVYYIVVLAWALFYLFSSFTTDLPWGSCSHEWNTENCVEFQKANDSMNVTSENATSPVIEFWERRVLKLSDGIQHLGSLRWELVLCLLLAWIICYFCIWKGVKSTGKVVYFTATFPYLMLVVLLIRGVTLPGAAQGIQFYLYPNITRLWDPQVWMDAGTQIFFSFAICLGCLTALGSYNKYHNNCYRDCIALCILNSSTSFMAGFAIFSILGFMSQEQGVPISEVAESGPGLAFIAYPRAVVMLPFSPLWACCFFFMVVLLGLDSQFVCVESLVTALVDMYPRVFRKKNRREVLILIVSVISFFIGLIMLTEGGMYVFQLFDYYAASGMCLLFVAIFESLCVAWVYGAGRFYDNIEDMIGYKPWPLIKYCWLFFTPAVCLATFLFSLIKYTPLTYNKKYTYPWWGDALGWLLALSSMICIPAWSIYKLRTLKGPLRERLRQLVCPAEDLPQKNQPEPTAPATPMTSLLRLTELESNC", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVNLGNAVRSLLMHLIGLLVWQFDISISPVAAIVTDTFNSSDGGRLFQFPDGVQNWPALSIVVIIIMTIGGNILVIMAVSMEKKLHNATNYFLMSLAIADMLVGLLVMPLSLLAILYDYVWPLPRYLCPVWISLDVLFSTASIMHLCAISLDRYVAIRNPIEHSRFNSRTKAIMKIAIVWAISIGVSVPIPVIGLRDESKVFVNNTTCVLNDPNFVLIGSFVAFFIPLTIMVITYFLTIYVLRRQTLMLLRGHTEEELANMSLNFLNCCCKKNGGEEENAPNPNPDQKPRRKKKEKRPRGTMQAINNEKKASKVLGIVFFVFLIMWCPFFITNILSVLCGKACNQKLMEKLLNVFVWIGYVCSGINPLVYTLFNKIYRRAFSKYLRCDYKPDKKPPVRQIPRVAATALSGRELNVNIYRHTNERVARKANDPEPGIEMQVENLELPVNPSNVVSERISSV", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDVLSPGQGNNTTSPPAPFETGGNTTGISDVTVSYQVITSLLLGTLIFCAVLGNACVVAAIALERSLQNVANYLIGSLAVTDLMVSVLVLPMAALYQVLNKWTLGQVTCDLFIALDVLCCTSSILHLCAIALDRYWAITDPIDYVNKRTPRRAAALISLTWLIGFLISIPPMLGWRTPEDRSDPDACTISKDHGYTIYSTFGAFYIPLLLMLVLYGRIFRAARFRIRKTVKKVEKTGADTRHGASPAPQPKKSVNGESGSRNWRLGVESKAGGALCANGAVRQGDDGAALEVIEVHRVGNSKEHLPLPSEAGPTPCAPASFERKNERNAEAKRKMALARERKTVKTLGIIMGTFILCWLPFFIVALVLPFCESSCHMPTLLGAIINWLGYSNSLLNPVIYAYFNKDFQNAFKKIIKCKFCRQ", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MQAGGGGDAGDTRGRHRIQAELKKLEQEARFLEEELEELDKTDKVSAALQELMVTAESKADPLLPVTTGPACQSWDRWFEGPQDLRRCKCWFL", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDNRVSGTTSNGETKPVCPVMEKVEEDGTLEREQWTNKMEFVLSVAGEIIGLGNVWRFPYLCYKNGGGAFFIPYLIFLFTCGIPVFFLETALGQYTNQGGITAWRKICPIFEGIGYASQMIVSLLNVYYIVVLAWALFYLFSSFTTDLPWGSCSHEWNTENCVEFQKTNNSLNVTSENATSPVIEFWERRVLKISDGIQHLGSLRWELVLCLLLAWIICYFCIWKGVKSTGKVVYFTATFPYLMLVVLLIRGVTLPGAAQGIQFYLYPNITRLWDPQVWMDAGTQIFFSFAICLGCLTALGSYNKYHNNCYRDCVALCILNSSTSFVAGFAIFSILGFMSQEQGVPISEVAESGPGLAFIAYPRAVVMLPFSPLWACCFFFMVVLLGLDSQFVCVESLVTALVDMYPRVFRKKNRREILILIVSVVSFFIGLIMLTEGGMYVFQLFDYYAASGMCLLFVAIFESLCVAWVYGASRFYDNIEDMIGYKPWPLIKYCWLFFTPAVCLATFLFSLIKYTPLTYNKKYTYPWWGDALGWLLALSSMVCIPAWSIYKLRTLKGPLRERLRQLVCPAEDLPQKSQPELTSPATPMTSLLRLTELESNC", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRAFCTVSAPLEVCASSAEQLSPGSRFLALRLLGQQQPKTLYFLVDAKSRVREVYTQTCLHFATQGMLDTELFGLAVLIDGEYMFADPESKLSKYGPKSWRSSHTHGLDANGRPLLELHFRVQFYIESPFMLKDETSRHNYYLQLRHNILQRDLPREQAEQALVFLAGLALQADLGDAPPGTSNSKDDSGEETSASPSNGGRGLSATTTLPKISKRANERMLRLSTYVASTSKRETIPLPPSLPPNGADYYRIEDYLPSGLHTPWARSAMRACHREHLGMATAEAELLYIQQACSLHETINAHTYRMRLAKSEQGSGSAWFVVYAKGIKILGGESTNSSSNPETTTFLWPNITKLSFERKKFEIRSGESRITLYAASDEKNKLLLTLCKDTHQWSMKLAARLKEVSKREEEEAAESQRLHASYACSRSLLLPYKSKNEQRISVISSTSSNTTSGIVSDRVHSEDELEIMINTPPAPLAAPSTESLALAHLLDRPSVSRQTSSVGQMSLKDLEEQLAALSVRPQDASSNGATIVTNSSVQRNSMGTTANDSSTATDSPSSQHNIGSQCSSTCSTVVVTSPVNGAGASSSGAPIPVHSTSSSLELGFSHTAQNSALSETSPDDFLSTSAREETESVSGASGVYTLAHGAPPTETSGVYTMHSSELTGQSSEIAESEKSSHYGMFQPQKLEETHVQHSDSVDGKKKEDFRPRSDSNVSTGSSFRGDGSDPTDNKHSLLSAEELTNLIVGRGTYPSRKTVSSSLHSDCDYVTLPLGDQGEEEVDQPPAPPPPYSARHEKTGLCGPPIAKPIPKPIAVVAPKPDSPPCSPPVPPAPIPAPPPAIRRRDPPPYSISSKPRPTSLISVSSSAHPAPSAAGSMSSLKSEEVTARFITTRPQISILKAHTSLIPDGAKPSYAAPHHCSSVASSNGSVCSHQLSQQSLHNSNYAGGSQASLHHHHVPSHHRHSGSAAIGIVPYGLHKSTASLHHQQSCVLLPVIKPRQFLAPPPPSLPRQPPPPPPPNHPHLASHLYEREMARKQLELYQQQLYSDVDYVIYPIQDPAVSQQEYLDAKQGSLLAAMAQAAPPPPHHPYLAMQVSPAIYRSTPYLPLTLSTHSRYASTQNLSDTYVQLPGPGYSPLYSPSMASLCSSYEPPPPPPLHPAALAAAAAAGAGSSSSSMFARSRSDDNILNSLDLLPKGKRLPPPPPPPYVNRRLKKPPMPAPSEKPPPIPSKPIPSRMSPIPPRKPPTLNPHHANSPLTKTSSGAQWAGERPRPDLGLGLGLNRGNNSILAQLQASMVAQSHAQAQAQALDIALLREKSKHLDLPLISALCNDRSLLKQTKVVINPKTGQEMPTSSAQPSGATTNGVANSSAGAGTLSKARKGSTVSHRHPQDKLPPLPVQQLAEANNYVIDPAVMMKQQQQQQQHNKTS", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MELSMFGREDTNSSQWGEVHGPFMLEEIVCQAQNMLTSRGIFMKSNPLKYALPLLLLQMSVIIVTSRLIFRVLQPLKQGMISAQVLTGVVLGPSFLGHNVIYMNMFLPAGGKIIIQTLSNVGFVIHLFLLGLKIDGSIIRKAGSKAILIGTASYAFPFSLGNLTIMFISKTMGLPSDVISCTSSAISLSSMTSFPVTTTVLAELNILNSELGRLATHCSMVCEVCSWFVALAFNLYTRDRTMTSLYALSMIIGLLLVIYFVFRPIIVWLTQRKTKSMDKKDVVPFFPVLLLLSIASLSGEAMGVHAAFGAFWLGVSLPDGPPLGTELAAKLEMFASNLFLPCFIAISGLQTNFFEITESHEHHVVMIEIILLITYGCKFLGTAAASAYCQTQIGDALCLAFLMCCQGIIEVYTTIVWKDAQVVDTECFNLVIITILFVTGISRFLVVYLYDPSKRYKSKSKRTILNTRQHNLQLRLLLGLYNVENVPSMVNLLEATYPTRFNPISFFTLHLVELKGRAHALLTPHHQMNKLDPNTAQSTHIVNAFQRFEQKYQGALMAQHFTAAAPYSSINNDICTLALDKKATLIVIPFHKQYAIDGTVGQVNGPIRTINLNVLDAAPCSVAIFIDRGETEGRRSVLMTNTWQNVAMLFIGGKDDAEALALCMRMAEKPDLNVTMIHFRHKSALQDEDYSDMSEYNLISDFKSYAANKGKIHYVEEIVRDGVETTQVISSLGDAYDMVLVGRDHDLESSVLYGLTDWSECPELGVIGDMLTSPDFHFSVLVVHQQQGDDLLAMDDSYKLPNVEHQKIGDTGIQQRFSAEEGFTTIDLGKR", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MELASAHLHKGQVPWFGLLLTASLLASWSPPTTAQVTVMAFPLHAAEGNNVILVVYNMMKGVSAFSWHKGSTTSTNAEIVRFVTGTNKTIKGPVHSGRETLYSNGSLLIQRVTMKDTGVYTIEMTDQNYRRRVLTGQFHVHTLLLKSNITSNNSNPVEGDDSVSLTCDSYTDPDNITYLWSRNGESLSEGDRLKLSEGNRTLTLLNVTRNDTGPYVCETRNPVSVNRSDPFSLNIIYGPDTPIISPSDIYLHPGSNLNLSCHAASNPPAQYFWLINEKPHASSQELFIPNITTNNSGTYTCFVNNSVTGLSRTTVKNITVLEPVTQPSLQVTNTTVKELDSVTLTCLSKDRQAHIHWIFNNDTLLITEKMTTSQAGLILKIDPIKREDAGEYQCEISNPVSVKRSNSIKLEVIFDSTYDISDVPIAVIITGAVAGVILIAGLAYRLCSRKSRWGSDQRDLTEHKPSASNHNLAPSDNSPNKVDDVAYTVLNFNSQQPNRPTSAPSSPRATETVYSEVKKK", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSVDANKVKFFFGKNCTGESFEYNKGETVRFNNGDKWNDKFMSCLVGSNVRCNIWEHNEIDTPTPGKFQELAQGSTNNDLTSINGLSKFQVLPGAFQWAVDVKIVNKVNSTAGSYEMTITPYQVDKVACKDGDDFVQLPIPKLTPPDSEIVSHLTVRQTHTPYDYVVNGSVYFKYSPTTGQVTVIKKDETFPKNMTVTQDDNTSFIFNLNSEK", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVVSVTIRRRFVLLLLACTCLLSRRLCFGEDRITFSSPIKDSESETLLCKSGIFRFGFFTPVNSTTRLRYVGIWYEKIPIQTVVWVANKDSPINDTSGVISIYQDGNLAVTDGRNRLVWSTNVSVPVAPNATWVQLMDSGNLMLQDNRNNGEILWESFKHPYDSFMPRMTLGTDGRTGGNLKLTSWTSHDDPSTGNYTAGIAPFTFPELLIWKNNVPTWRSGPWNGQVFIGLPNMDSLLFLDGFNLNSDNQGTISMSYANDSFMYHFNLDPEGIIYQKDWSTSMRTWRIGVKFPYTDCDAYGRCGRFGSCHAGENPPCKCVKGFVPKNNTEWNGGNWSNGCMRKAPLQCERQRNVSNGGGGGKADGFLKLQKMKVPISAERSEASEQVCPKVCLDNCSCTAYAYDRGIGCMLWSGDLVDMQSFLGSGIDLFIRVAHSELKTHSNLAVMIAAPVIGVMLIAAVCVLLACRKYKKRPAPAKDRSAELMFKRMEALTSDNESASNQIKLKELPLFEFQVLATSTDSFSLRNKLGQGGFGPVYKGKLPEGQEIAVKRLSRKSGQGLEELMNEVVVISKLQHRNLVKLLGCCIEGEERMLVYEYMPKKSLDAYLFDPMKQKILDWKTRFNIMEGICRGLLYLHRDSRLKIIHRDLKASNILLDENLNPKISDFGLARIFRANEDEANTRRVVGTYGYMSPEYAMEGFFSEKSDVFSLGVIFLEIISGRRNSSSHKEENNLNLLAYAWKLWNDGEAASLADPAVFDKCFEKEIEKCVHIGLLCVQEVANDRPNVSNVIWMLTTENMSLADPKQPAFIVRRGASEAESSDQSSQKVSINDVSLTAVTGR", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MIFKRFVNLLVFLFLLGAGLLTFFLILSGGRESGTLKNFYWLQADTNGFNSAPSTTRWYNYNWCGYEDGQLANCSSRAPAKPFSPRDNFGNSVNLPSSFRNNRDTYYYLSRVGWAMLLISLFFIVLALVPGFLATFLPFKAVPVLYCVLSWLAFFFIILAACLYTGCYVKARKTFRNSGRSARLGPKNFAFIWTSVFLMLVNAIWSTIFSATHKAHSTYSDHDMYAQYESPSVDTGAQMEKSTYNSGATDGAGPITAAPVVGQPQPTTTTTPAGNGKFFQKLKTRKQVPSAELEPAGDGGLAGPVTVRD", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MMPPRLPGGHGGAMRSRSSSSGHHLNTTFHKRRRRRQQHHIGGSHISISHGSYLALLLLSTTCSLVATSASSSSSAANTDIAPPDPPPVSQVSIASSSPCAPQHWWDSQRDRCTPCTRCQGEMIPLRPCQLHTDTICGSIYDLKIDWVVLAKTEPNWKERRKSSEYEHFEHNAPLQHLTHEQLQQLHEEAAAAWVLDWQTGVLYVAVLTCLVFFSVAACILIHHMRQWRRMERRLDQDVEELSTKLMAKLAEVQSLDGGTFFIGNADALRGLPASAATTHPATTQSGIFQPQHVLLPEKRGKHQERRILKTLQPGNVYIEESNAGLGGMGVGLGVRGCSGLKG", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNSQQSTRTKQMLQQSSTHLLCGVVLLQLFAAQVDAQRSTSPWQTLSGDAPLVIARGGFSGLFPDSSLAAYQFAMVVSVADVVLWCDVQLTKDGHGICFPDLNLANASNSEEVYPNRQKSYPVNGVTTKGWFPIDFSLTELQKVLFSLIRGILSRSGKFDENGYSISTVQNVATQMKPALFWLNVQHDEFYEQHNLSMSSFLLSTSRTVSIDFISSPEVNFFRKIAGGFGNNGPSFVFQFMGKEDFEPTTNRTYGSILSNLSFVKTFASGILVPKSYILPLDDKQYLLPHTSLVQDAHKAGLKLYASGFANDVDIAYNYSWDPVSEYLSFVDNGNFSVDGMLSDFPLTASASVDCFSHIGRNATKQVDFLVISKNGASGEYPGCTKLAYEKAIKDGSDVIDCPVQMSSDGIPFCSSSIDLVNSTTVGQTHLRNRSIIVPEISSVAGIFTFSLTWHEIQSLTPAISNPFRENGMSRNPNERNSGNLISLYEFLNLAKNSTSLSGILISLENVVYLREKKGLDVVKVVLNRLTETGYIVGTLKVMIQSTTRLVLVDFKNQSTYKTVYKIKETIGNITDSAIEDIKKFANAVVINKASVFPNSDSFLTGQTTNVLERLQKFQLPVYVELFQNEFVSQPFDFFADETVEINAYIFGAGINGTITEFPYTAARYKRNRCLGREEVPPYMLPVNPGGVLTLISTSSLPPAQDPNPIFTHDDVTEPPLPPVIAKSPTSTLGTPSTIAKPLRNFLKVIRIVSWSVAGVVLFLVLLTLVFCFHRKRETRLRQQKLKALIPLEHYTYAQVKRITKSFAEVVGRGGFGIVYKGTLSDGRVVAVKVLKDTKGNGEDFINEVATMSRTSHLNIVSLLGFCSEGSKRAIIYEFLENGSLDKFILGKTSVNMDWTALYRIALGVAHGLEYLHHSCKTRIVHFDIKPQNVLLDDSFCPKVSDFGLAKLCEKKESILSMLDTRGTIGYIAPEMISRVYGNVSHKSDVYSYGMLVLEIIGARNKEKANQACASNTSSMYFPEWVYRDLESCKSGRHIEDGINSEEDELAKKMTLVGLWCIQPSPVDRPAMNRVVEMMEGSLEALEVPPRPVLQQIPISNLHESSILSEDVSVYTEG", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGELPPNSVGVFLALLLCSCSLIELTRAQSPILEIYPKQEVQRKPVGKPLILTCRPTVPEPSLVADLQWKDNRNNTILPKPNGRNQPPMYTETLPGESLALMITSLSVEMGGKYYCTASYANTEILEKGVTIKTYVAITWTNAPENQYPTLGQDYVVMCEVKADPNPTIDWLRNGDPIRTTNDKYVVQTNGLLIRNVQESDEGIYTCRAAVIETGELLERTIRVEVFIQPEIISLPTNLEAVEGKPFAANCTARGKPVPEISWIRDATQLNVATADRFQVNPQTGLVTISSVSQDDYGTYTCLAKNRAGVVDQKTKLNVLVRPQIYELYNVTGARTKEIAITCRAKGRPAPAITFRRWGTQEEYTNGQQDDDPRIILEPNFDEERGESTGTLRISNAERSDDGLYQCIARNKGADAYKTGHITVEFAPDFSHMKELPPVFSWEQRKANLSCLAMGIPNATIEWHWNGRKIKDLYDTNLKIVGTGPRSDLIVHPVTRQYYSGYKCIATNIHGTAEHDMQLKEARVPDFVSEAKPSQLTATTMTFDIRGPSTELGLPILAYSVQYKEALNPDWSTAYNRSWSPDSPYIVEGLRPQTEYSFRFAARNQVGLGNWGVNQQQSTPRRSAPEEPKPLHNPVQHDKEEPVVVSPYSDHFELRWGVPADNGEPIDRYQIKYCPGVKISGTWTELENSCNTVEVMETTSFEMTQLVGNTYYRIELKAHNAIGYSSPASIIMKTTRGESDSANNNLGTLLYSAGFNSGVGALHKRLFTTTTTTTATSTTTITSITTATTTIITLATTISITLLSVLASMLA", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MQASRHSIQAEPGWYVSAQQPEEAVAADEWSPLLSNEPHRQGSSGASFGLSVFNVMNAIMGSGILGLAYVMANTGILGFSFLLLFVALLASYSVHLLLAMCIHTAVTSYEDLGLFAFGLPGKVVVAGTIIIQNIGAMSSYLLIIKTELPAAISEFLPSDHSGSWYLDGQMLLIIICVGIVFPLSLLPKIGFLGYTSSLSFFFMVFFALVVVIKKWAVPCPVTLDCINEVFQISNATDDCKPKLFHFSKESVYAIPTMAFSFLCHTSVLPIYCELQSPSKKRMQNVTNTAIALSFLVYFVSALFGYLTFYDKVESELLQGYSKYLPHDVIVMAVKLCILFAVLLTAPLIHFPARKALMMILFSNYPFSWIRHSLTTAALNAIIVVLAIYVPDIRNVFGVVGASTSTCLIFVFPGLFYLKLSREDFLSWKKLGALFLLLTGAVVGSFSLVLIIFDWVNK", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKEIGSKPRKLLPLCFIIFLCFCSSVMAADEDDIRCLRGLKASLTDPQNALKSWNFDNTTLGFLCNFVGVSCWNNQENRVINLELRDMGLSGKIPDSLQYCASLQKLDLSSNRLSGNIPTELCNWLPFLVSLDLSNNELNGEIPPDLAKCSFVNSLVLSDNRLSGQIPVQFSALGRLGRFSVANNDLSGRIPVFFSSPSYSSDDFSGNKGLCGRPLSSSCGGLSKKNLGIIIAAGVFGAAASMLLAFGIWWYYHLKWTRRRRSGLTEVGVSGLAQRLRSHKLTQVSLFQKPLVKVKLGDLMAATNNFNSENIIVSTRTGTTYKALLPDGSALAVKHLSTCKLGEREFRYEMNQLWELRHSNLAPLLGFCVVEEEKFLVYKYMSNGTLHSLLDSNRGELDWSTRFRIGLGAARGLAWLHHGCRPPILHQNICSSVILIDEDFDARIIDSGLARLMVPSDNNESSFMTGDLGEFGYVAPEYSTTMLASLKGDVYGLGVVLLELATGLKAVGGEGFKGSLVDWVKQLESSGRIAETFDENIRGKGHDEEISKFVEIALNCVSSRPKERWSMFQAYQSLKAIAEKQGYSFSEQDDDFPLIFDTQENEKV", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDMFSLGQGNNTTTSLEPFGTGGNDTGLSNVTFSYQVITSLLLGTLIFCAVLGNACVVAAIALERSLQNVANYLIGSLAVTDLMVSVLVLPMAALYQVLNKWTLGQVTCDLFIALDVLCCTSSILHLCAIALDRYWAITDPIDYVNKRTPRRAAALISLTWLIGFLISIPPMLGWRTPEDRSNPNECTISKDHGYTIYSTFGAFYIPLLLMLVLYGRIFRAARFRIRKTVKKVEKKGAGTSFGTSSAPPPKKSLNGQPGSGDCRRSAENRAVGTPCANGAVRQGEDDATLEVIEVHRVGNSKGHLPLPSESGATSYVPACLERKNERTAEAKRKMALARERKTVKTLGIIMGTFILCWLPFFIVALVLPFCESSCHMPELLGAIINWLGYSNSLLNPVIYAYFNKDFQNAFKKIIKCKFCR", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEEAILVPCVLGLLLLPILAMLMALCVHCHRLPGSYDSTSSDSLYPRGIQFKRPHTVAPWPPAYPPVTSYPPLSQPDLLPIPRSPQPLGGSHRTPSSRRDSDGANSVASYENEGASGIRGAQAGWGVWGPSWTRLTPVSLPPEPACEDADEDEDDYHNPGYLVVLPDSTPATSTAAPSAPALSTPGIRDSAFSMESIDDYVNVPESGESAEASLDGSREYVNVSQELHPGAAKTEPAALSSQEAEEVEEEGAPDYENLQELN", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDMGGHDMGGMSPPAAGAAAQGGMGAMKSMRYTHMTFFWGKNSEVLFTMWPGTRGGMYALALIFVFALAVIVEFLGSRRADACLAALARRAPAAGGLARAAVHTVRVGVAYLLMLALMSFNGGVFLVAVAGHAAGFLAFRAGLCGGPAQVEEDRKNDPACC", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGIEKFETFILISTISILLCICHGFTPVDNYLINCGSPTNGTLMGRIFLSDKLSSKLLTSSKEILASVGGNSGSDIYHTARVFTEVSSYKFSVTRGRHWVRLYFNPFDYQNFKMGSAKFAVSSQSHVLLSDFTVTSSKVVKEYSLNVTTNDLVLTFTPSSGSFAFVNAIEVISIPDTLITGSPRFVGNPAQFPDMSMQGLETIHRVNMGGPLVASNNDTLTRTWVPDSEFLLEKNLAKSMSKFSTVNFVPGYATEDSAPRTVYGSCTEMNSADNPNSIFNVTWEFDVDPGFQYYFRFHFCDIVSLSLNQLYFNLYVDSMVAATDIDLSTLVDNTLAGAYSMDFVTQTPKGSNKVRVSIGPSTVHTDYPNAIVNGLEIMKMNNSKGQLSTGTFVPGSSSSSKSNLGLIVGSAIGSLLAVVFLGSCFVLYKKRKRGQDGHSKTWMPFSINGTSMGSKYSNGTTLTSITTNANYRIPFAAVKDATNNFDESRNIGVGGFGKVYKGELNDGTKVAVKRGNPKSQQGLAEFRTEIEMLSQFRHRHLVSLIGYCDENNEMILIYEYMENGTVKSHLYGSGLPSLTWKQRLEICIGAARGLHYLHTGDSKPVIHRDVKSANILLDENFMAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPVIDPTLPREMVNLAEWAMKWQKKGQLDQIIDQSLRGNIRPDSLRKFAETGEKCLADYGVDRPSMGDVLWNLEYALQLQEAVIDGEPEDNSTNMIGELPPQINNFSQGDTSVNVPGTAGRFEESSIDDLSGVSMSKVFSQLVKSEGR", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MANSGTGAPPPLLLLPLLLLLGTGLLPASSHIETRAHAEERLLKRLFSGYNKWSRPVANISDVVLVRFGLSIAQLIDVDEKNQMMTTNVWVKQEWHDYKLRWDPGDYENVTSIRIPSELIWRPDIVLYNNADGDFAVTHLTKAHLFYDGRVQWTPPAIYKSSCSIDVTFFPFDQQNCTMKFGSWTYDKAKIDLVSMHSRVDQLDFWESGEWVIVDAVGTYNTRKYECCAEIYPDITYAFIIRRLPLFYTINLIIPCLLISCLTVLVFYLPSECGEKVTLCISVLLSLTVFLLLITEIIPSPTSLVIPLIGEYLLFTMIFVTLSIVITVFVLNVHHRSPRTHTMPAWVRRVFLDIVPRLLFMKRPSVVKDNCRRLIESMHKMANAPRFWPEPVGEPGILSDICNQGLSPAPTFCNPTDTAVETQPTCRSPPLEVPDLKTSEVEKASPCPSPGSCPPPKSSSGAPMLIKARSLSVQHVPSSQEAAEDGIRCRSRSIQYCVSQDGAASLADSKPTSSPTSLKARPSQLPVSDQASPCKCTCKEPSPVSPVTVLKAGGTKAPPQHLPLSPALTRAVEGVQYIADHLKAEDTDFSVKEDWKYVAMVIDRIFLWMFIIVCLLGTVGLFLPPWLAAC", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVRTRVLFCLFISFFATVQSSATLISTSCISQAALYQFGCSSKSKSCYCKNINWLGSVTACAYENSKSNKTLDSALMKLASQCSSIKVYTLEDMKNIYLNASNYLRAPEKSDKKTVVSQPLMANETAYHYYYEENYGIHLNLMRSQWCAWGLVFFWVAVLTAATILNILKRVFGKNIMANSVKKSLIYPSVYKDYNERTFYLWKRLPFNFTTRGKGLVVLIFVILTILSLSFGHNIKLPHPYDRPRWRRSMAFVSRRADLMAIALFPVVYLFGIRNNPFIPITGLSFSTFNFYHKWSAYVCFMLAVVHSIVMTASGVKRGVFQSLVRKFYFRWGIVATILMSIIIFQSEKVFRNRGYEIFLLIHKAMNIMFIIAMYYHCHTLGWMGWIWSMAGILCFDRFCRIVRIIMNGGLKTATLSTTDDSNVIKISVKKPKFFKYQVGAFAYMYFLSPKSAWFYSFQSHPFTVLSERHRDPNNPDQLTMYVKANKGITRVLLSKVLSAPNHTVDCKIFLEGPYGVTVPHIAKLKRNLVGVAAGLGVAAIYPHFVECLRLPSTDQLQHKFYWIVNDLSHLKWFENELQWLKEKSCEVSVIYTGSSVEDTNSDESTKGFDDKEESEITVECLNKRPDLKELVRSEIKLSELENNNITFYSCGPATFNDDFRNAVVQGIDSSLKIDVELEEESFTW", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAIRRCWPRVVPGPALGWLLLLLNVLAPGRASPRLLDFPAPVCAQEGLSCRVKNSTCLDDSWIHPKNLTPSSPKNIYINLSVSSTQHGELVPVLHVEWTLQTDASILYLEGAELSVLQLNTNERLCVKFQFLSMLQHHRKRWRFSFSHFVVDPGQEYEVTVHHLPKPIPDGDPNHKSKIIFVPDCEDSKMKMTTSCVSSGSLWDPNITVETLDTQHLRVDFTLWNESTPYQVLLESFSDSENHSCFDVVKQIFAPRQEEFHQRANVTFTLSKFHWCCHHHVQVQPFFSSCLNDCLRHAVTVPCPVISNTTVPKPVADYIPLWVYGLITLIAILLVGSVIVLIICMTWRLSGADQEKHGDDSKINGILPVADLTPPPLRPRKVWIVYSADHPLYVEVVLKFAQFLITACGTEVALDLLEEQVISEVGVMTWVSRQKQEMVESNSKIIILCSRGTQAKWKAILGWAEPAVQLRCDHWKPAGDLFTAAMNMILPDFKRPACFGTYVVCYFSGICSERDVPDLFNITSRYPLMDRFEEVYFRIQDLEMFEPGRMHHVRELTGDNYLQSPSGRQLKEAVLRFQEWQTQCPDWFERENLCLADGQDLPSLDEEVFEDPLLPPGGGIVKQQPLVRELPSDGCLVVDVCVSEEESRMAKLDPQLWPQRELVAHTLQSMVLPAEQVPAAHVVEPLHLPDGSGAAAQLPMTEDSEACPLLGVQRNSILCLPVDSDDLPLCSTPMMSPDHLQGDAREQLESLMLSVLQQSLSGQPLESWPRPEVVLEGCTPSEEEQRQSVQSDQGYISRSSPQPPEWLTEEEELELGEPVESLSPEELRSLRKLQRQLFFWELEKNPGWNSLEPRRPTPEEQNPS", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAEQQLGVLKALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRIYYFNPESAKPGSLPPHVAAAVNGVALCGTLSGQLFFGWLGDKLGRKKVYGLTLVMMILCSVASGLSFGHEAKGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGILAGGFVALAVSSIFDKKFPAPTYAVNRALSTPPQVDYIWRIIVMFGALPAALTYYWRMKMPETARYTALVAKNIKQATADMSKVLQTDIELEERVEDDVKDPKQNYGLFSKEFLRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKAATMNATHEVFRIARAQTLIALCSTVPGYWFTVAFIDTIGRFKIQLNGFFMMTVFMFAIAFPYNHWIKPENRIGFVVMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSQDKAKVDAGYPPGIGVKNSLIMLGVLNFIGMLFTFLVPEPKGKSLEELSGEAEVSHDEK", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGTVLSLSPASSAKGRRPGGLPEEKKKAPPAGDEALGGYGAPPVGKGGKGESRLKRPSVLISALTWKRLVAASAKKKKGSKKVTPKPASTGPDPLVQQRNRENLLRKGRDPPDGGGTAKPLAVPVPTVPAAAATCEPPSGGSAAAQPPGSGGGKPPPPPPPAPQVAPPVPGGSPRRVIVQASTGELLRCLGDFVCRRCYRLKELSPGELVGWFRGVDRSLLLQGWQDQAFITPANLVFVYLLCRESLRGDELASAAELQAAFLTCLYLAYSYMGNEISYPLKPFLVEPDKERFWQRCLRLIQRLSPQMLRLNADPHFFTQVFQDLKNEGEAAASGGGPPSGGAPAASSAARDSCAAGTKHWTMNLDR", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGVCFSAIRVTGASSSRRSSQTKSKAAPTPIDTKASTKRRTGSIPCGKRTDFGYSKDFHDHYTIGKLLGHGQFGYTYVAIHRPNGDRVAVKRLDKSKMVLPIAVEDVKREVQILIALSGHENVVQFHNAFEDDDYVYIVMELCEGGELLDRILSKKGNRYSEKDAAVVVRQMLKVAGECHLHGLVHRDMKPENFLFKSAQLDSPLKATDFGLSDFIKPGKRFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDRTEDGIFKEVLRNKPDFSRKPWATISDSAKDFVKKLLVKDPRARLTAAQALSHAWVREGGNATDIPVDISVLNNLRQFVRYSRLKQFALRALASTLDEAEISDLRDQFDAIDVDKNGVISLEEMRQALAKDLPWKLKDSRVAEILEAIDSNTDGLVDFTEFVAAALHVHQLEEHDSEKWQLRSRAAFEKFDLDKDGYITPEELRMHTGLRGSIDPLLDEADIDRDGKISLHEFRRLLRTASISSQRAPSPAGHRNLR", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKLLWQVTVHHTWNAVLLPVVYLTAQVWILCAAIAAAASAGPQNCPSVCSCSNQFSKVVCTRRGLSEVPQGIPSNTRYLNLMENNIQMIQADTFRHLHHLEVLQLGRNAIRQIEVGAFNGLASLNTLELFDNWLTVIPSGAFEYLSKLRELWLRNNPIESIPSYAFNRVPSLMRLDLGELKKLEYISEGAFEGLFNLKYLNLGMCNIKDMPNLTPLVGLEELEMSGNHFPEIRPGSFHGLSSLKKLWVMNSQVSLIERNAFDGLASLVELNLAHNNLSSLPHDLFTPLRYLVELHLHHNPWNCDCDILWLAWWLREYIPTNSTCCGRCHAPMHMRGRYLVEVDQASFQCSAPFIMDAPRDLNISEDRMAELKCRTPPMSSVKWLLPNGTVLSHASRHPRISVLNDGTLNFSRVLLIDTGVYTCMVTNVAGNSNASAYLNVSSAELNTPNFSFFTTVTVETTEISPEDITRKYKPVPTTSTGYQPAYTTSTTVLIQTTRVPKQVPVPSTDTTDKMQTSLDEVMKTTKIIIGCFVAVTLLAAAMLIVFYKLRKRHQQRSTVTAARTVEIIQVDEDIPAAASAAATAAPSGVSGEGAVVLPTIHDHINYNTYKPAHGAHWTENSLGNSLHPTVTTISEPYIIQTHTKDKVQETQI", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "METVFEEMDEESTGGVSSSKEEIVLGQRLHLSFPFSIIFSTVLYCGEVAFGLYMFEIYRKANDTFWMSFTISFIIVGAILDQIILMFFNKDLRRNKAALLFWHILLLGPIVRCLHTIRNYHKWLKNLKQEKEETQVSITKRNTMLEREIAFSIRDNFMQQKAFKYMSVIQAFLGSVPQLILQMYISLTIREWPLNRALLMTFSLLSVTYGAIRCNILAIQISNDDTTIKLPPIEFFCVVMWRFLEVISRVVTLAFFIASLKLKSLPVLLIIYFVSLLAPWLEFWKSGAHLPGNKENNSNMVGTVLMLFLITLLYAAINFSCWSAVKLQLSDDKIIDGRQRWGHRILHYSFQFLENVIMILVFRFFGGKTLLNCCDSLIAVQLIISYLLATGFMLLFYQYLYPWQSGKVLPGRTENQPEAPYYYVNIEKTEKNKNKQLRNYCHSCNRVGYFSIRKSMTCS", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSKKSLGLDVRLELEGLISNDDTIRSEKDGRQASIFRVAELDARTEADNLRSIIHQSAREGNVNALQEALLKAPLAVNAQDGDFMTPLHYAARYGNYDAVKLLLSKNALPNTKNREGDTPLHIASKYIYGYSDICSIIDEDQADSARKYNTATKKIINALVSENAEIDPVNKYQLTPLHYAAMKSNFSALHALIKLKADVDAEDDNKMTPLLLACVHGSQEIIQELIKANSNVTKRDQRLNTVFHIVALRGEPEYLEMMMDHDPVEAIKALNLFNNEKKTPLRMAVEGNHPETLKKILQMEKKNSCKWMDREKELIHFAAEKGFLEVLKALVEAGGNKNELNEVKAVPLHVAAQMNQLEVVSYLIEEEKDNIDVVDEQGLTPLMMAVTHDSKKCVEYLIAKKANLTITDKDERTPVFIGAKFNALSSVEYILDHLRKKNKETERSALKSPTRNTLRIVSEDVRRTMVNMVDRDQNTPMHIVASNGYLEMMQLLQKHGASITQVNEDEETALHRAAIGGQTGAVRQLLEWDIRLLLMKDEMGNSALHLAARSGHDATTKVLLDNGADKEAKNSYQKTPLQVAVDSGKLETCQRLVAKGAQIESSSDTKTVLHTAAFYGNESIVRYFIAEGVTIDRRDEEGKTAFDIACENDHKDVARAFLETDQWKNLMIPCDVIPLDKHRNPVNMKRRTPFRTLLTKFPELASFVMDNCIEKSKEETDSTQSVAYNFEFLDDTYMMRCVSDDGTGEQLIGCKSAYDEDFKLEKDAQSYASNYDRVYKYHPLKLMADAEKLHLLNHPLSKALLKYKWNRLGRPMYYFALFMYLVFIVSLTQYVRHTKAPYNVWNEESYYDSEYFDENETCPQINTTKPDVVWKIIIQTLAVCQILVECFQLFQRKFAYLVNWENWIDCFIYSTALITVYDFSECSATSGVRQNWQWILAALCIFFGWINLLFMIRKMPRFGIFVVMFVDIVKTFFRFFPVFVLFIIAFSSSFYVILQNRPEFSTIFMSPLKTTVMMIGEFEFTGIFHGDETTHAEKMFGPAHTAVACALFFFFCIIMTILLMNLLVGLAVDDIKGVQEKAELKRLAMQVDLVLQIEASLHFFIQRTKKYATCRYATFPYGKLHKTGFAGWWSNFRRRFGLSVSTDPEIDEMYEREAEFTSEMTQKLQNQAAKLKNIQENIDVMYEKQVRLEAIIAKLATGLNINIELEEKDN", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRTLLLTILIFFFTVNPISAKFYTNVSSIPPLQFLNATQNAWETFSKLAGCHIGENINGLSKLKQYFRRFGYITTTGNCTDDFDDVLQSAINTYQKNFNLKVTGKLDSSTLRQIVKPRCGNPDLIDGVSEMNGGKILRTTEKYSFFPGKPRWPKRKRDLTYAFAPQNNLTDEVKRVFSRAFTRWAEVTPLNFTRSESILRADIVIGFFSGEHGDGEPFDGAMGTLAHASSPPTGMLHLDGDEDWLISNGEISRRILPVTTVVDLESVAVHEIGHLLGLGHSSVEDAIMFPAISGGDRKVELAKDDIEGIQHLYGGNPNGDGGGSKPSRESQSTGGDSVRRWRGWMISLSSIATCIFLISV", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEIALGPLENGGAMTIRGGGEETAGCSQAAPTAGLGDGSQEPAPRGRGCSARRGAEPGERPLPPQPPELPQSRRSPLEEEEGEGDPGLSVAEEQTLGAGALHHQRVLINISGLRFETQLGTLAQFPNTLLGDPAKRLRYFDPLRNEYFFDRNRPSFDGILYYYQSGGRLRRPVNVSLDVFADEIRFYQLGDEAMERFREDEGFIKDEEKPLPRNEFQRQVWLIFEYPESSGSARAIAIVSVLVILISIITFCLETLPEFKDERELLRHPPVPHQPPAAPALGANGSGAVAPASGSTVAPLLPRTLADPFFIVETTCVIWFTFELLVRFFACPSKAEFSRNIMNIIDIVAIFPYFITLGTELAEQQPGGGGGGQNGQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGKTLQASMRELGLLIFFLFIGVILFSSAVYFAEADNQGTHFSSIPDAFWWAVVTMTTVGYGDMRPITVGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETDHEEQAALKEEPGSQSRGTSLDAGGQRKASWSKASLCKAGGSLETADSVRRGSCLLEKYNLKAKSNVDLRRSLYALCLDTSRETDL", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEVEKTEKNIAEDDESKIIYRGWKVMPFIIGNETFEKLGIVGSSSNLVIYLTTVFNMKSITAAKVVNIYGGTSNFGTIVAAFLCDSYFGRYKTLSFAMIACFLGSVAMDLTAVIHPLHPAQCAKEIGSVCNGPSIGQIMFLAGAMVLLVIGAGGIRPCNLPFGADQFDPKTKEGKRGIESFFNWYFFTFTFAQMVSLTLIVYVQSNVSWSIGLAIPAILMLLGCIIFFAGSKLYVKVKASGSPIHSITRVIVVAIKKRRLKPVGPNELYNYIASDFKNSKLGHTEQFRFLDKSAIQTQDDKLNKDGSPVDAWKLCSMQQVEEVKCVIRVLPVWLSAALFYLAYIQQTTYTIFQSLQSDRRLGPGSFQIPAGSYTVFLMLGMTIFIPIYDRVLVPFLRKYTGRDGGITQLQRVGAGLFLCITSMMVSAIVEQYRRKVALTKPTLGLAPRKGAISSMSGMWLIPQLVLMGIADALAGVGQMEFYYKQFPENMRSFAGSLYYCGIGLASYLSTFLLSAVHDTTEGFSGGSWLPEDLNKGRLEYFYFLVAGMMTLNLAYFLLVSHWYRYKDVVAKDKDMDKTSAEFDKVSV", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSQTITSLDPNCVIVFNKTSSANEKSLNVEFKRLNIHSIIEPGHDLQTSYAFIRIHQDNAKPLFSFLQNLDFIESIIPYHDTELSDDLHKLISISKSKILEAPKQYELYNLSNLTNNPKQSLYFAFLQNYIKWLIPFSFFGLSIRFLSNFTYEFNSTYSLFAILWTLSFTAFWLYKYEPFWSDRLSKYSSFSTIEFLQDKQKAQKKASSVIMLKKCCFIPVALLFGAILLSFQLYCFALEIFIKQIYNGPMISILSFLPTILICTFTPVLTVIYNKYFVEPMTKWENHSSVVNAKKSKEAKNFVIIFLSSYVPLLITLFLYLPMGHLLTAEIRTKVFNAFSILARLPTHDSDFIIDTKRYEDQFFYFIVINQLIQFSMENFVPSLVSIAQQKINGPNPNFVKAESEIGKAQLSSSDMKIWSKVKSYQTDPWGATFDLDANFKKLLLQFGYLVMFSTIWPLAPFICLIVNLIVYQVDLRKAVLYSKPEYFPFPIYDKPSSVSNTQKLTVGLWNSVLVMFSILGCVITATLTYMYQSCNIPGVGAHTSIHTNKAWYLANPINHSWINIVLYAVFIEHVSVAIFFLFSSILKSSHDDVANGIVPKHVVNVQNPPKQEVFEKIPSPEFNSNNEKELVQRKGSANEKLHQELGEKQPASSANGYEAHAATHANNDPSSLSSASSPSLSSSSSSSKTGVVKAVDNDTAGSAGKKPLATESTEKRNSLVKVPTVGSYGVAGATLPETIPTSKNYYLRFDEDGKSIRDAKSSAESSNATNNNTLGTESKLLPDGDAVDALSRKIDQIPKIAVTGGENNENTQAKDDAATKTPLIKDANIKPVVNAAVNDNQSKVSVATEQTKKTEVSTKNGPSRSISTKETKDSARPSNNNTTTTTTTDATQPHHHHHHHRHRDAGVKNVTNNSKTTESSSSSSAAKEKPKHKKGLLHKLKKKL", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNQIQVFISGLILLLPGAVESHTAVQGLAGHPVTLPCIYSTHLGGIVPMCWGLGECRHSYCIRSLIWTNGYTVTHQRNSLYQLKGNISEGNVSLTIENTVVGDGGPYCCVVEIPGAFHFVDYMLEVKPEISTSPPTRPTATGRPTTISTRSTHVPTSTRVSTSTSPTPAHTETYKPEATTFYPDQTTAEVTETLPDTPADWHNTVTSSDDPWDDNTEVIPPQKPQKNLNKGFYVGISIAALLILMLLSTMVITRYVVMKRKSESLSFVAFPISKIGASPKKVVERTRCEDQVYIIEDTPYPEEES", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRWLTLIAVAHLIAFLSSAEITCPRIPEKCDCKISKSMIILSCNGEDVKTIAQTVGTSQIDELHILNGTDVKIESLPFNGLRTIAILNSTLQSFSPTAWRHVEATIEHITINGNELKTVPVFGNLSTLMSMNLNSNQISSIPDKAFNGLSALTQLRLENNAICDFPPKSLDAVKASLVLLDVSGNCLDAIPAQILRNAANLMYLDLGSNNISEINNFELMNLPFLRELRVQNNTLRRIHPMAFMNVPQLQYLYLQDNIISTLDGNRLQGFKNLEVLDVSNNALYALPSLKDLPNLKQVRVDGNLITKIETLAFSNNPNLQLISVQNNNIVQISRNSFESLDKLVVLLVGNNSLAKIERGMFDGMKNLQQLSIRNNTLTALDASSFAQLAHLTTLDLGHNKIHDIEEGTFDKLSKLFWLDLSNNKISGFKTSVFKKKISNILLDGNQLICDESFNEFLTYLIANKVRTFLPFQQEIMCHGPEKYAGVRLKDLMMKKANETLSEGSRLLGVPQGSNQHSLLSSFLPSLGPLGTLNGAGGAAIPLVNTLTNTIPALRSIPGFGGNIPVGTGASSVPNKNLNDAIEGFTGPLVRFATGGQPVASDIEQLIRSIPNMVVNVPGFGDIDLSKMDPTMIQYVLNGGQIPGIDKATLDKIVKQTMNKMHTAAAANLAGNPVEGQEKVLPPLDKLPSGLVTQVMSGEPLPGLNENQTKIIMEYYTHQMPGMDGIPARPVESQGNTTANNMFNPAMFDLLKMLPPGYNLSKIPMEVIAAVTRGEVPDMRLLPEDLLEHFKQHTTSLTSMFAGATAKNISIEEILEKLPVFVRPELSTFVPYDINELTSEMVLEQEQNERHRNIRIITAIALAFVGAVTVVVIIFFVNYTKKQRRLRKSLVYRSSPSSSGSSGQNAANESGRSSAAPSPIRPPLMNIPKTPNNRTMESTFGQPQLCSTLLENPQAVSHRSRH", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTTVIDATMAYRFLEEATDSSSSSSSSKLESSPVDAVLFVGMSLVLGIASRHLLRGTRVPYTVALLVIGIALGSLEYGAKHNLGKIGHGIRIWNEIDPELLLAVFLPALLFESSFSMEVHQIKRCLGQMVLLAVPGVLISTACLGSLVKVTFPYEWDWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVFQLFLKMAMGQNSDWSSIIKFLLKVALGAVGIGLAFGIASVIWLKFIFNDTVIEITLTIAVSYFAYYTAQEWAGASGVLTVMTLGMFYAAFARTAFKGDSQKSLHHFWEMVAYIANTLIFILSGVVIAEGILDSDKIAYQGNSWRFLFLLYVYIQLSRVVVVGVLYPLLCRFGYGLDWKESIILVWSGLRGAVALALSLSVKQSSGNSHISKETGTLFLFFTGGIVFLTLIVNGSTTQFVLRLLRMDILPAPKKRILEYTKYEMLNKALRAFQDLGDDEELGPADWPTVESYISSLKGSEGELVHHPHNGSKIGSLDPKSLKDIRMRFLNGVQATYWEMLDEGRISEVTANILMQSVDEALDQVSTTLCDWRGLKPHVNFPNYYNFLHSKVVPRKLVTYFAVERLESACYISAAFLRAHTIARQQLYDFLGESNIGSIVINESEKEGEEAKKFLEKVRSSFPQVLRVVKTKQVTYSVLNHLLGYIENLEKVGLLEEKEIAHLHDAVQTGLKKLLRNPPIVKLPKLSDMITSHPLSVALPPAFCEPLKHSKKEPMKLRGVTLYKEGSKPTGVWLIFDGIVKWKSKILSNNHSLHPTFSHGSTLGLYEVLTGKPYLCDLITDSMVLCFFIDSEKILSLQSDSTIDDFLWQESALVLLKLLRPQIFESVAMQELRALVSTESSKLTTYVTGESIEIDCNSIGLLLEGFVKPVGIKEELISSPAALSPSNGNQSFHNSSEASGIMRVSFSQQATQYIVETRARAIIFNIGAFGADRTLHRRPSSLTPPRSSSSDQLQRSFRKEHRGLMSWPENIYAKQQQEINKTTLSLSERAMQLSIFGSMVNVYRRSVSFGGIYNNKLQDNLLYKKLPLNPAQGLVSAKSESSIVTKKQLETRKHACQLPLKGESSTRQNTMVESSDEEDEDEGIVVRIDSPSKIVFRNDL", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPRAPALLTNDARHQFTCCLCLHVRTGTIIFGITQIIIQLVFISFLFLMTFNPRLIPEDNHGNTDPSEDKIRFYVFSTLFRLVPAVSDIHESLTLPSPGTRNVNGNKLYLGHNVESETNFNYDIPPGYKDDVLVDVNNMSPSLVSYTQKNERGSHEVKIKHFSPYIAVCVTTFSLAFCCFMVHGAITKQPTHLLPFFFIQVFDLIICLIHILGFMSSTSDLRLMIHTKTGPIYIKSTGFTFIILSISCMMLAFKAYCLGMVWDCYKYLMLNRRGNLLDDWYSDQWGHLSTFWSLLRAGRNRGNNSIGNSGSPNEPNTRPRPEPITYDPANDLPKYEDILKIPANAYAPPPYYCSNINGNVNTTEASAVTTNTSNSATAANTTTTTTNTGTTTSVISTLTTTNKDDTQINSAPSNAHSSC", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKVVFTFFNLFFLAGTVLLLIFTVLSGSSKHFPLNKFYWLEADTSGIKNAPANRSAWTFWGVCDKADYSNCLLGPAYPISPEDNFGTTADIPKDFVDNENTYYYLSRFAFAFCLIALAFSGLAFIIDILGFCFEIIDKVVIFLITIGLLFLAGFASLQTAVVVLAKNAFKNDGRYAHIGAKSMGIMWAAFACLLICWLLIFAGTISNSYKKHIARVKAEQGQYSQPTHGPAGDESSFTRAAPPTKDEENTGGIRFFKIKRNQKVSDDESV", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MALFDTHNTWAFVFGLLGNLISFAVFLSPVPTFYRICKKKTTEGFQSIPYVVALFSAMLWLYYATQKKDVFLLVTINSFGCFIETIYISIFVAFASKKARMLTVKLLLLMNFGGFCLILLLCQFLAKGTTRAKIIGGICVGFSVCVFAAPLSIIRTVIKTKSVEYMPFSLSLTLTISAVIWLLYGLALKDIYVAFPNVIGFVLGALQMILYVVYKYCKTPSDLVEKELEAAKLPEVSIDMVKLGTLTSPEPVAITVVRSVNTCNCNDRNAEIENGQGVRNSAATT", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGAPPGYRPSAWVHLLHQLPRADFQLRPVPSGFAPRDQEYQQALLLVAALAGLGLGLSLIFIAVYLIRFCCCRPPEPPGAKSPPPGGGCVTWSCIAALLVGCAGIGIGFYGNSETSDGVSQLSSALQHANHTLSTIDDLVLETVERLGEAVRTELTTLEEVLSERVELVAATRGARRQAEAAAQHLQGLAFWQGVSLSPVQVAEDVTFVEEYRWLAYVLLLLLVLLVCLFTLLGLAKQSKWLVVVMTAMSLLVLVLSWGSMGLEAATAVGLSDFCSNPDTYVLNLTQEETGISSDILNYYFLCNQAVSNPFQQRLTLSQRALASIHSQLQGLEREASPQFPAAQKPLLSLEETLNVTERSFHQLVALLHCRSLHKDYGSALRGLCEDALEGLLFLMLFSLLSAGALATTLCSLPRAWALFPPSDDYDDTDDDDPFNPQESKRFVQWQSSI", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDSRVSGTTSNGETKPVYPVMEKKEEDGTLERGHWNNKMEFVLSVAGEIIGLGNVWRFPYLCYKNGGGAFFIPYLVFLFTCGIPVFLLETALGQYTSQGGVTAWRKICPIFEGIGYASQMIVILLNVYYIIVLAWALFYLFSSFTIDLPWGGCYHEWNTEHCMEFQKTNGSLNGTSENATSPVIEFWERRVLKISDGIQHLGALRWELALCLLLAWVICYFCIWKGVKSTGKVVYFTATFPYLMLVVLLIRGVTLPGAAQGIQFYLYPNLTRLWDPQVWMDAGTQIFFSFAICLGCLTALGSYNKYHNNCYRDCIALCFLNSGTSFVAGFAIFSILGFMSQEQGVPISEVAESGPGLAFIAYPRAVVMLPFSPLWACCFFFMVVLLGLDSQFVCVESLVTALVDMYPHVFRKKNRREVLILGVSVVSFLVGLIMLTEGGMYVFQLFDYYAASGMCLLFVAIFESLCVAWVYGAKRFYDNIEDMIGYRPWPLIKYCWLFLTPAVCTATFLFSLIKYTPLTYNKKYTYPWWGDALGWLLALSSMVCIPAWSLYRLGTLKGPFRERIRQLMCPAEDLPQRNPAGPSAPATPRTSLLRLTELESHC", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAARLLAPPGPDSFKPFTPESLANIERRIAESKLKKPPKADGSHREDDEDSKPKPNSDLEAGKSLPFIYGDIPQGLVAVPLEDFDPYYLTQKTFVVLNRGKTLFRFSATPALYILSPFNLIRRIAIKILIHSVFSMIIMCTILTNCVFMTFSNPPEWSKNVEYTFTGIYTFESLVKIIARGFCIDGFTFLRDPWNWLDFSVIMMAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCVVWPINFNESYLENGTRGFDWEEYINNKTNFYMVPGMLEPLLCGNSSDAGQCPEGFQCMKAGRNPNYGYTSFDTFSWAFLALFRLMTQDYWENLYQLTLRAAGKTYMIFFVLVIFVGSFYLVNLILAVVAMAYEEQNQATLEEAEQKEAEFKAMLEQLKKQQEEAQAAAMATSAGTVSEDAIEEEGEDGVGSPRSSSELSKLSSKSAKERRNRRKKRKQKELSEGEEKGDPEKVFKSESEDGMRRKAFRLPDNRIGRKFSIMNQSLLSIPGSPFLSRHNSKSSIFSFRGPGRFRDPGSENEFADDEHSTVEESEGRRDSLFIPIRARERRSSYSGYSGYSQCSRSSRIFPSLRRSVKRNSTVDCNGVVSLIGPGSHIGRLLPEATTEVEIKKKGPGSLLVSMDQLASYGRKDRINSIMSVVTNTLVEELEESQRKCPPCWYKFANTFLIWECHPYWIKLKEIVNLIVMDPFVDLAITICIVLNTLFMAMEHHPMTPQFEHVLAVGNLVFTGIFTAEMFLKLIAMDPYYYFQEGWNIFDGFIVSLSLMELSLADVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKINQECKLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQAMCLIVFMMVMVIGNLVVLNLFLALLLSSFSADNLAATDDDGEMNNLQISVIRIKKGVAWTKVKVHAFMQAHFKQREADEVKPLDELYEKKANCIANHTGVDIHRNGDFQKNGNGTTSGIGSSVEKYIIDEDHMSFINNPNLTVRVPIAVGESDFENLNTEDVSSESDPEGSKDKLDDTSSSEGSTIDIKPEVEEVPVEQPEEYLDPDACFTEGCVQRFKCCQVNIEEGLGKSWWILRKTCFLIVEHNWFETFIIFMILLSSGALAFEDIYIEQRKTIRTILEYADKVFTYIFILEMLLKWTAYGFVKFFTNAWCWLDFLIVAVSLVSLIANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVVVNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKYHYCFNETSEIRFEIDIVNNKTDCEKLMEGNSTEIRWKNVKINFDNVGAGYLALLQVATFKGWMDIMYAAVDSRKPDEQPDYEGNIYMYIYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPLNKIQGIVFDFVTQQAFDIVIMMLICLNMVTMMVETDTQSKQMENILYWINLVFVIFFTCECVLKMFALRHYYFTIGWNIFDFVVVILSIVGMFLADIIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIFSIFGMSNFAYVKHEAGIDDMFNFETFGNSMICLFQITTSAGWDGLLLPILNRPPDCSLDKEHPGSGFKGDCGNPSVGIFFFVSYIIISFLIVVNMYIAIILENFSVATEESADPLSEDDFETFYEIWEKFDPDATQFIEYCKLADFADALEHPLRVPKPNTIELIAMDLPMVSGDRIHCLDILFAFTKRVLGDSGELDILRQQMEERFVASNPSKVSYEPITTTLRRKQEEVSAVVLQRAYRGHLARRGFICRKMASNKLENGGTHRDKKESTPSTASLPSYDSVTKPDKEKQQRAEEGRRERAKRQKEVRESKC", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDTNMSLLMNKSAVNLMNVSGSTQSVSAGYIVLDVFSYLIFAVTFVLGVLGNGLVIWVAGFRMKHTVTTISYLNLAIADFCFTSTLPFYIASMVMGGHWPFGWFMCKFIYTVIDINLFGSVFLIALIALDRCICVLHPVWAQNHRTVSLAKKVIIVPWICAFLLTLPVIIRLTTVPNSRLGPGKTACTFDFSPWTKDPVEKRKVAVTMLTVRGIIRFIIGFSTPMSIVAICYGLITTKIHRQGLIKSSRPLRVLSFVVAAFFLCWCPFQVVALISTIQVRERLKNMTPGIVTALKITSPLAFFNSCLNPMLYVFMGQDFRERLIHSLPASLERALTEDSAQTSDTGTNLGTNSTSLSENTLNAM", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MMALWSLLHLTFLGFSITLLLVHGQGFQGTAAIWPSLFNVNLSKKVQESIQIPNNGSAPLLVDVRVFVSNVFNVDILRYTMSSMLLLRLSWLDTRLAWNTSAHPRHAITLPWESLWTPRLTILEALWVDWRDQSPQARVDQDGHVKLNLALATETNCNFELLHFPRDHSNCSLSFYALSNTAMELEFQAHVVNEIVSVKREYVVYDLKTQVPPQQLVPCFQVTLRLKNTALKSIIALLVPAEALLLADVCGGLLPLRAIERIGYKVTLLLSYLVLHSSLVQALPSSSSCNPLLIYYFTILLLLLFLSTIETVLLAGLLARGNLGAKSGPSPAPRGEQREHGNPGPHPAEEPSRGVKGSQRSWPETADRIFFLVYVVGVLCTQFVFAGIWMWAACKSDAAPGEAAPHGRRPRL", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEEQGIQCAPPPPAASQTGVPLTNLSHNCSADGYIYQDSIALPWKVLLVALLALITLATTLSNAFVIATVYRTRKLHTPANYLIASLAVTDLLVSILVMPISTMYTVTGRWTLGQVVCDFWLSSDITCCTASIMHLCVIALDRYWAITDAVEYSAKRTPKRAAIMIVLVWVFSISISLPPFFWRQAKAEEEMLDCFVNTDHVLYTVYSTVGAFYLPTLLLIALYGRIYVEARSRILKQTPNKTGKRLTRAQLITDSPGSTSSVTSINSRAPDVPSESGSPVYVNQVKVRVSDALLEKKKLMAARERKATKTLGIILGAFIVCWLPFFIISLVMPICKDACWFHMAIFDFFNWLGYLNSLINPIIYTMSNEDFKQAFHKLIRFKCAG", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVNLRNAVHSFLVHLIGLLVWQCDISVSPVAAIVTDIFNTSDGGRFKFPDGVQNWPALSIVIIIIMTIGGNILVIMAVSMEKKLHNATNYFLMSLAIADMLVGLLVMPLSLLAILYDYVWPLPRYLCPVWISLDVLFSTASIMHLCAISLDRYVAIRNPIEHSRFNSRTKAIMKIAIVWAISIGVSVPIPVIGLRDEEKVFVNNTTCVLNDPNFVLIGSFVAFFIPLTIMVITYCLTIYVLRRQALMLLHGHTEEPPGLSLDFLKCCKRNTAEEENSANPNQDQNARRRKKKERRPRGTMQAINNERKASKVLGIVFFVFLIMWCPFFITNILSVLCEKSCNQKLMEKLLNVFVWIGYVCSGINPLVYTLFNKIYRRAFSNYLRCNYKVEKKPPVRQIPRVAATALSGRELNVNIYRHTNEPVIEKASDNEPGIEMQVENLELPVNPSSVVSERISSV", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSMPIASTTLAVNNLTNINGNANFNVQANKQLHHQAVDSPARSSMTATTAANSNSNSSRDDSTIVGLHYKIGKKIGEGSFGVLFEGTNMINGVPVAIKFEPRKTEAPQLRDEYKTYKILNGTPNIPYAYYFGQEGLHNILVIDLLGPSLEDLFDWCGRKFSVKTVVQVAVQMITLIEDLHAHDLIYRDIKPDNFLIGRPGQPDANNIHLIDFGMAKQYRDPKTKQHIPYREKKSLSGTARYMSINTHLGREQSRRDDMEALGHVFFYFLRGHLPWQGLKAPNNKQKYEKIGEKKRSTNVYDLAQGLPVQFGRYLEIVRSLSFEECPDYEGYRKLLLSVLDDLGETADGQYDWMKLNDGRGWDLNINKKPNLHGYGHPNPPNEKSRKHRNKQLQMQQLQMQQLQQQQQQQQYAQKTEADMRNSQYKPKLDPTSYEAYQHQTQQKYLQEQQKRQQQQKLQEQQLQEQQLQQQQQQQQQLRATGQPPSQPQAQTQSQQFGARYQPQQQPSAALRTPEQHPNDDNSSLAASHKGFFQKLGCC", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSQVQSPLTATNSGLAVNNNTMNSQMPNRSNVRLVNGTLPPSLHVSSNLNHNTGNSSASYSGSQSRDDSTIVGLHYKIGKKIGEGSFGVLFEGTNMINGLPVAIKFEPRKTEAPQLKDEYRTYKILAGTPGIPQEYYFGQEGLHNILVIDLLGPSLEDLFDWCGRRFSVKTVVQVAVQMITLIEDLHAHDLIYRDIKPDNFLIGRPGQPDANKVHLIDFGMAKQYRDPKTKQHIPYREKKSLSGTARYMSINTHLGREQSRRDDMEAMGHVFFYFLRGQLPWQGLKAPNNKQKYEKIGEKKRLTNVYDLAQGLPIQFGRYLEIVRNLSFEETPDYEGYRMLLLSVLDDLGETADGQYDWMKLNGGRGWDLSINKKPNLHGYGHPNPPNEKSKRHRSKNHQYSSPDHHHHYNQQQQQQQAQAQAQAQAQAKVQQQQLQQAQAQQQANRYQLQPDDSHYDEEREASKLDPTSYEAYQQQTQQKYAQQQQKQMQQKSKQFANTGANGQTNKYPYNAQPTANDEQNAKNAAQDRNSNKSSKGFFSKLGCC", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPSQQKKIIFCMAGVFSFACALGVVTALGTPLWIKATVLCKTGALLVNASGQELDKFMGEMQYGLFHGEGVRQCGLGARPFRFSFFPDLLKAIPVSIHVNVILFSAILIVLTMVGTAFFMYNAFGKPFETLHGPLGLYLLSFISGSCGCLVMILFASEVKIHHLSEKIANYKEGTYVYKTQSEKYTTSFWVIFFCFFVHFLNGLLIRLAGFQFPFAKSKDAETTNVAADLMY", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRTLTESRRRQSGSSGCKKDSESDDDENTICSRAAIKRSVVYYLKNSTLHGLKYIAEESITIPERIFFGLAFVLVVILSVFFISNVYVKWSASPIIISTSAKQKLTSNMPFPAITICNLNQALLSKVDRIGRTSTNFSLLMGLCDQGGDTTISYIGTWKYFKAILVDVAQPCEKMLLYCSFGSREEDCSWLFTSILTDDGLCCNFNALHPSYLIRNYSDDVRLETAHPNTRYELIDWTPEKGYARNLPEFYFPRTSGGTGIRMGLTVVLNASIAEYYCTKSMSVGFKVLVHNPAELPKVSNYGFVVTAGREARIPIEPVYEDALPTIRSIKKSVRRCLFSDENDLAYYRTYSRKNCELECEAKLLLRECSCVLYYLPRIDPLARVCGPNDNQCTDRVQTEIESSLTNLSCENCWPGCFELTYRATLSTASIVSDPRFQAGENLPEYIFHGPYSNASEISILHFYYMTNIFRSTTKSEMFGFTEFLSNTGGLLGLFMGFSIFSVIEIFFYITVRPYCASRTLRQRHKRRLEQLSWLTPIRMPVRRALRRNRGGLLRNPPPPAYSDLQKFRGKLDKPETCKRKLWRTLQVRPVVDRADEELPTYPYLD", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGFISSILCCSSETTQSNSNSAYRQQQSSSLNKNRSVKHSNTKSRTRGVHQTNSPPSKTNSAATFSSTERSTGKSGISTNDNEKKKPSSPTAAVTATTTNNMTKVEKRISKDDLYEEKYEVDEDEEIDDEDNRRSRGIVQEKGDAVKDTSRQKKQQQQQQQQSQPQPQPQSQSQSQSQSQSQQRGPTVQVSSDHLIQDMNLSRVSSSSQASETSNDADDEDDEDEEYIDLTLLQQGQYHAPGYNTLLPPQDESTKGKKCLILDLDETLVHSSFKYLRSADFVLSVEIDDQVHNVYVIKRPGVEEFLERVGKLFEVVVFTASVSRYGDPLLDILDTDKVIHHRLFREACYNYEGNYIKNLSQIGRPLSDIIILDNSPASYIFHPQHAIPISSWFSDTHDNELLDIIPLLEDLSVKTSLDVGKILDVTI", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MWGLGARGPDRGLLLALALGGLARAGGVEVEPGGAHGESGGFQVVTFEWAHVQDPYVIALWILVASLAKIGFHLSHKVTSVVPESALLIVLGLVLGGIVWAADHIASFTLTPTVFFFYLLPPIVLDAGYFMPNRLFFGNLGTILLYAVVGTVWNAATTGLSLYGVFLSGLMGDLQIGLLDFLLFGSLMAAVDPVAVLAVFEEVHVNEVLFIIVFGESLLNDAVTVVLYNVFESFVALGGDNVTGVDCVKGIVSFFVVSLGGTLVGVVFAFLLSLVTRFTKHVRIIEPGFVFIISYLSYLTSEMLSLSAILAITFCGICCQKYVKANISEQSATTVRYTMKMLASSAETIIFMFLGISAVNPFIWTWNTAFVLLTLVFISVYRAIGVVLQTWLLNRYRMVQLEPIDQVVLSYGGLRGAVAFALVVLLDGDKVKEKNLFVSTTIIVVFFTVIFQGLTIKPLVQWLKVKRSEHREPRLNEKLHGRAFDHILSAIEDISGQIGHNYLRDKWSHFDRKFLSRVLMRRSAQKSRDRILNVFHELNLKDAISYVAEGERRGSLAFIRSPSTDNVVNVDFTPRSSTVEASVSYLLRENVSAVCLDMQSLEQRRRSIRDAEDMVTHHTLQQYLYKPRQEYKHLYSRHELTPTEDEKQDREIFHRTMRKRLESFKSTKLGLNQNKKAAKLYKRERAQKRRNSSIPNGKLPMESPAQNFTIKEKDLELSDTEEPPNYDEEMSGGIEFLASVTKDTASDSPAGIDNPVFSPDEALDRSLLARLPPWLSPGETVVPSQRARTQIPYSPGTFCRLMPFRLSSKSVDSFLQADGPEERPPAALPESTHM", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRAVFIQGAEEHPAAFCYQVNGSCPRTVHTLGIQLVIYLACAAGMLIIVLGNVFVAFAVSYFKALHTPTNFLLLSLALADMFLGLLVLPLSTIRSVESCWFFGDFLCRLHTYLDTLFCLTSIFHLCFISIDRHCAICDPLLYPSKFTVRVALRYILAGWGVPAAYTSLFLYTDVVETRLSQWLEEMPCVGSCQLLLNKFWGWLNFPLFFVPCLIMISLYVKIFVVATRQAQQITTLSKSLAGAAKHERKAAKTLGIAVGIYLLCWLPFTIDTMVDSLLHFITPPLVFDIFIWFAYFNSACNPIIYVFSYQWFRKALKLTLSQKVFSPQTRTVDLYQE", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRKWICCTCQIEDSNEEQQLKSSQQQSDANHKNSKPAPVAKHEVKKEALPIEVPPLSLDEVKEKTENFGSKALIGEGSYGRVYYATLNDGVAVALKKLDVAPEAETDTEFLSQVSMVSRLKHENLIQLLGFCVDGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLDWITRVKIAVEAARGLEYLHEKSQPPVIHRDIRSSNVLLFEDYKAKIADFNLSNQAPDNAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCIDPKLKADYPPKAVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKPPAAAPAPES", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLTLSKFHVILIPILFFITLLSPLFSIALPINIWPKPRFLSWPQHKAIALSPNFTILAPEHQYLSASVTRYHNLIRSENYSPLISYPVKLMKRYTLRNLVVTVTDFSLPLHHGVDESYKLSIPIGSFSAHLLAHSAWGAMRGLETFSQMIWGTSPDLCLPVGIYIQDSPLFGHRGVLLDTSRNYYGVDDIMRTIKAMSANKLNVFHWHITDSQSFPLVLPSEPSLAAKGSLGPDMVYTPEDVSKIVQYGFEHGVRVLPEIDTPGHTGSWGEAYPEIVTCANMFWWPAGKSWEERLASEPGTGQLNPLSPKTYEVVKNVIQDIVNQFPESFFHGGGDEVIPGCWKTDPAINSFLSSGGTLSQLLEKYINSTLPYIVSQNRTVVYWEDVLLDAQIKADPSVLPKEHTILQTWNNGPENTKRIVAAGYRVIVSSSEFYYLDCGHGGFLGNDSIYDQKESGGGSWCAPFKTWQSIYNYDIADGLLNEEERKLVLGGEVALWSEQADSTVLDSRLWPRASALAESLWSGNRDERGVKRCGEAVDRLNLWRYRMVKRGIGAEPIQPLWCLKNPGMCNTVHGALQDQ", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MANSERTNGLQETNQRYGPLQEQVPKVGNQAVGPIEIFRFADNLDIVLMTLGILASMINGATVPLMSLVLGEISDHLINGCLVQTNRTKYQNCSQTQEKLNEDIIVLTLYYIGIGAAALIFGYVQISFWVITAARQTTRIRKQFFHSILAQDISWFDGSDICELNTRMTGDINKLCDGIGDKIPLMFQNISGFSIGLVISLIKSWKLSLVVLSTSPLIMASSALCSRMIISLTSKELDAYSKAGAVAEEALSSIQTVTAFGAQEKEIQRYTQHLKDAKDAGIKRATASKLSLGAVYFFMNGAYGLAFWYGTSLIFGGEPGYTIGTILAVFFSVIHSSYCIGSVAPHLETFTVARGAAFNIFQVIDKKPNIDNFSTAGFVPECIEGNIEFKNVSFSYPSRPSAKVLKGLNLKIKAGETVALVGPSGSGKSTTVQLLQRLYDPEDGCITVDENDIRAQNVRHYREQIGVVRQEPVLFGTTIGNNIKFGREGVGEKEMEQAAREANAYDFIMAFPKKFNTLVGEKGAQMSGGQKQRIAIARALVRNPKILILDEATSALDTESESLVQTALEKASKGRTTIVVAHRLSTIRGADLIVTMKDGMVVEKGTHAELMAKQGLYYSLAMAQDIKKVDEQMESRTCSTAGNASYGSLCDVNSAKAPCTDQLEEAVHHQKTSLPEVSLLKIFKLSKSEWPFVVLGTLASALNGSVHPVFSIIFGKLVTMFEDKNKATLKQDAELYSMMLVVLGIVALVTYLMQGLFYGRAEENLAMRLRHSAFKAMLYQDMAWYDDKENNTGALTTTLAVDVAQIQGAATSRLGIVTQDVSNMSLSILISFIYGWEMTLLILSFAPVLAVTGMIQTAAMAGFANRDKQALKRAGKIATEAVENIRTVVSLTRERAFEQMYEETLQTQHRNALKRAHITGCCYAVSHAFVHFAHAAGFRFGAYLIQAGRMMPEGMFIVFTAIAYGAMAIGETLVWAPEYSKAKAGASHLFALLKNKPTINSCSQSGEKPDTCEGNLEFREVSFVYPCRPEVPVLQNMSLSIEKGKTVAFVGSSGCGKSTCVQLLQRFYDPMKGQVLLDGVDVKELNVQWLRSQTAIVSQEPVLFNCSIAENIAYGDNSRMVPLEEIKEVADAANIHSFIEGLPRKYNTLVGLRGVQLSGGQKQRLAIARALLRKPKILLLDEATSALDNESEKVVQQALDKARRGKTCLVVAHRLSTIQNADMIVVLQNGSIKEQGTHQELLRNGDTYFKLVAAH", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNWHMIISGLIVVVLKVVGMTLFLLYFPQIFNKSNDGFTTTRSYGTVSQIFGSSSPSPNGFITTRSYGTVCPKDWEFYQARCFFLSTSESSWNESRDFCKGKGSTLAIVNTPEKLKFLQDITDAEKYFIGLIYHREEKRWRWINNSVFNGNVTNQNQNFNCATIGLTKTFDAASCDISYRRICEKNAK", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDRVYEIPEEPNVDPVSSLEEDVIRGANPRFTFPFSILFSTFLYCGEAASALYMVRIYRKNSETYWMTYTFSFFMFSSIMVQLTLIFVHRDLAKDKPLSLFMHLILLGPVIRCLEAMIKYLTLWKKEEQEEPYVSLTRKKMLIDGEEVLIEWEVGHSIRTLAMHRNAYKRMSQIQAFLGSVPQLTYQLYVSLISAEVPLGRVVLMVFSLVSVTYGATLCNMLAIQIKYDDYKIRLGPLEVLCITIWRTLEITSRLLILVLFSATLKLKAVPFLVLNFLIILFEPWIKFWRSGAQMPNNIEKNFSRVGTLVVLISVTILYAGINFSCWSALQLRLADRDLVDKGQNWGHMGLHYSVRLVENVIMVLVFKFFGVKVLLNYCHSLIALQLIIAYLISIGFMLLFFQYLHPLRSLFTHNVVDYLHCVCCHQHPRTRVENSEPPFETEARQSVV", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTTSPIRVRIRTRIQISFIFLLTHLSQSSSSDQSSLKTDSLSLLSFKTMIQDDPNNILSNWSPRKSPCQFSGVTCLGGRVTEINLSGSGLSGIVSFNAFTSLDSLSVLKLSENFFVLNSTSLLLLPLTLTHLELSSSGLIGTLPENFFSKYSNLISITLSYNNFTGKLPNDLFLSSKKLQTLDLSYNNITGPISGLTIPLSSCVSMTYLDFSGNSISGYISDSLINCTNLKSLNLSYNNFDGQIPKSFGELKLLQSLDLSHNRLTGWIPPEIGDTCRSLQNLRLSYNNFTGVIPESLSSCSWLQSLDLSNNNISGPFPNTILRSFGSLQILLLSNNLISGDFPTSISACKSLRIADFSSNRFSGVIPPDLCPGAASLEELRLPDNLVTGEIPPAISQCSELRTIDLSLNYLNGTIPPEIGNLQKLEQFIAWYNNIAGEIPPEIGKLQNLKDLILNNNQLTGEIPPEFFNCSNIEWVSFTSNRLTGEVPKDFGILSRLAVLQLGNNNFTGEIPPELGKCTTLVWLDLNTNHLTGEIPPRLGRQPGSKALSGLLSGNTMAFVRNVGNSCKGVGGLVEFSGIRPERLLQIPSLKSCDFTRMYSGPILSLFTRYQTIEYLDLSYNQLRGKIPDEIGEMIALQVLELSHNQLSGEIPFTIGQLKNLGVFDASDNRLQGQIPESFSNLSFLVQIDLSNNELTGPIPQRGQLSTLPATQYANNPGLCGVPLPECKNGNNQLPAGTEEGKRAKHGTRAASWANSIVLGVLISAASVCILIVWAIAVRARRRDADDAKMLHSLQAVNSATTWKIEKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSAASMIGHGGFGEVFKATLKDGSSVAIKKLIRLSCQGDREFMAEMETLGKIKHRNLVPLLGYCKIGEERLLVYEFMQYGSLEEVLHGPRTGEKRRILGWEERKKIAKGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDQDMEARVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSIGVVMLEILSGKRPTDKEEFGDTNLVGWSKMKAREGKHMEVIDEDLLKEGSSESLNEKEGFEGGVIVKEMLRYLEIALRCVDDFPSKRPNMLQVVASLRELRGSENNSHSHSNSL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MIPCRAVLTFARCLIRRKIVTLDSLEDSKLCRCLTTVDLIALGVGSTLGAGVYVLAGEVAKADSGPSIVVSFLIAALASVMAGLCYAEFGARVPKTGSAYLYTYVTVGELWAFITGWNLILSYVIGTSSVARAWSGTFDELLNKQIGQFFKTYFKMNYTGLAEYPDFFAVCLVLLLAGLLSFGVKESAWVNKFFTAINILVLLFVMVAGFVKGNVANWKISEEFLKNISASAREPPSENGTSIYGAGGFMPYGFTGTLAGAATCFYAFVGFDCIATTGEEVRNPQKAIPIGIVTSLLVCFMAYFGVSAALTLMMPYYLLDEKSPLPVAFEYVRWSPAKYVVSAGSLCALSTSLLGSMFPLPRILFAMARDGLLFRFLARVSKRQSPVAATMTAGVISAVMAFLFDLKALVDMMSIGTLMAYSLVAACVLILRYQPGLCYDQPKYTPEKETLESCTNATLKSESQVTMLQGQGFSLRTLFSPSALPTRQSASLVSFLVGFLAFLILGLSILTTYGVQAIARLEAWSLALLALFLVLCVAVILTIWRQPQNQQKVAFMVPFLPFLPAFSILVNIYLMVQLSADTWIRFSIWMALGFLIYFAYGIRHSLEGNPRDEEDDEDAFSDNINAATEEKSAMQANDHHQRNLSLPFILHEKTSEC", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSCSATDLAVLLGPNATAAANYICGQLGDVNNKFIDTAFAIDNTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGYAFAFGSPSNGFIGKHYFGLKDIPTASADYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSVDGWASPFRTDGDLLFSTGAIDFAGSGVVHMVGGIAGLWGALIEGPRLGRFDNGGRAIALRGHSASLVVLGTFLLWFGWYGFNPGSFNKILVTYETGTYNGQWSAVGRTAVTTTLAGCTAALTTLFGKRLLSGHWNVTDVCNGLLGGFAAITGGCSVVEPWAAIICGFVAALVLLGCNKLAEKLKYDDPLEAAQLHGGCGAWGLIFTALFAQEKYLNQIYGNKPGRPHGLFMGGGGKLLGAQLIQIIVITGWVSATMGTLFFILKKMKLLRISSEDEMAGMDMTRHGGFAYMYFDDDESHKAIQLRRVEPRSPSPSGANTTPTPV", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRNFFTLFFAAIFSLGALILAIVACAGSTKNYSPINKIYCAELDLSQMKVSTVLPSLSSATLSSLGLPSYINIGLWSYCTVDSSHNIQSCSSPHGIQNFNLSSLVYDNINNNEALELMDSVASVVLPEKLKSKMTYYNNLVKCMFITILIGIVLTFVNLVFNVLRWIIHIRPLTWFGAFFSFFAFAALLVSIGSCLGTYSYIKYILKHNYSDYGISMSIGRNYQGLMWGAVVGALLNFILWCSVRSRPTVIYANAPIEEKPLI", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKKILPIIWLINLVSGSLSLEKKAPDLLGKVCAFGDFNADRNTDILVFANGTLTINYQETKLLDVLEASKFTPGTSFAISKPSLNADFVECSVGDFNGDSRLDVLVSIRDKDTEIYNHTLWTSEIEDEKEIFRPFHVAMLQQHAMAIDVSDDGWTDVLGFYPNGSMFCTGFNKEGKYNLLVNGCKHEFVAFPEKLNIYPGMPHLFVDLNSDLIADIVFMTKESDGSLFMSVWQKTKISWQFRDWVPKLTPAQYPFVGAPVVMDVDSDGELDILVPICREDECSHITQMASWSKTKLWGLVACDMQDYTVIKEPFSRVIFRVGEFSLDSFPDMVVIAQATRANTRPVIKVMDNAECTKCEKNGTRRFEIRAQENIQPKNMSLGVIKMGTFFDLLEDGSLDLLVEYEYGGQTRFGFIYCPDKGDTTFLKVQVFTGVCSDRCNPKSNEIGSSISMTGACASFSMTDGWGGSTQSVACQVPASSNRALYLPFLLYGLGRSPNFVDELNIAIPKYADRKEDWKHSLKQIVPNSRIIVLPPSDQYPHWTSRLYVTPSALIVQSLAVIALVCCMLLMVVVFLHYREKKEDRYERQQQSHRFHFDAM", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGTQKVTPALIFAITVATIGSFQFGYNTGVINAPEKIIKEFINKTLTDKGNAPPSEVLLTSLWSLSVAIFSVGGMIGSFSVGLFVNRFGRRNSMLIVNLLAVTGGCFMGLCKVAKSVEMLILGRLVIGLFCGLCTGFVPMYIGEISPTALRGAFGTLNQLGIVVGILVAQIFGLEFILGSEELWPLLLGFTILPAILQSAALPFCPESPRFLLINRKEEENAKQILQRLWGTQDVSQDIQEMKDESARMSQEKQVTVLELFRVSSYRQPIIISIVLQLSQQLSGINAVFYYSTGIFKDAGVQEPIYATIGAGVVNTIFTVVSLFLVERAGRRTLHMIGLGGMAFCSTLMTVSLLLKDNYNGMSFVCIGAILVFVAFFEIGPGPIPWFIVAELFSQGPRPAAMAVAGCSNWTSNFLVGLLFPSAAHYLGAYVFIIFTGFLITFLAFTFFKVPETRGRTFEDITRAFEGQAHGADRSGKDGVMEMNSIEPAKETTTNV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTTLANLTQTLEDAFKKVFITYMDSWRRNTTAEQQALQARVDAENFYYVILYLMVMIGMFAFIVVAILVSTVKSKRREHSQDPYHQYIVEDWQQKYRSQILHLEDSKATIHENLGATGFTVSP", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVFTKSLLVLLWFLSCYTTTTSSALFNPPDNYLISCGSSQNITFQNRIFVPDSLHSSLVLKIGNSSVATSTTSNNSTNSIYQTARVFSSLASYRFKITSLGRHWIRLHFSPINNSTWNLTSASITVVTEDFVLLNNFSFNNFNGSYIFKEYTVNVTSEFLTLSFIPSNNSVVFVNAIEVVSVPDNLIPDQALALNPSTPFSGLSLLAFETVYRLNMGGPLLTSQNDTLGRQWDNDAEYLHVNSSVLVVTANPSSIKYSPSVTQETAPNMVYATADTMGDANVASPSFNVTWVLPVDPDFRYFVRVHFCDIVSQALNTLVFNLYVNDDLALGSLDLSTLTNGLKVPYFKDFISNGSVESSGVLTVSVGPDSQADITNATMNGLEVLKISNEAKSLSGVSSVKSLLPGGSGSKSKKKAVIIGSLVGAVTLILLIAVCCYCCLVASRKQRSTSPQEGGNGHPWLPLPLYGLSQTLTKSTASHKSATASCISLASTHLGRCFMFQEIMDATNKFDESSLLGVGGFGRVYKGTLEDGTKVAVKRGNPRSEQGMAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGADLPPLSWKQRLEICIGAARGLHYLHTGASQSIIHRDVKTTNILLDENLVAKVADFGLSKTGPSLDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCCRPALNPVLPREQVNIAEWAMAWQKKGLLDQIMDSNLTGKVNPASLKKFGETAEKCLAEYGVDRPSMGDVLWNLEYALQLEETSSALMEPDDNSTNHIPGIPMAPMEPFDNSMSIIDRGGVNSGTGTDDDAEDATTSAVFSQLVHPRGR", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSSHKGSAGAQGNGAPSGNREADTVELAELGPLLEEKGKRAASSPAKAEEDQACPVPQEEEEEVRVLTLPLQAHHAMEKMEEFVYKVWEGRWRVIPYDVLPDWLKDNDYLLHGHRPPMPSFRACFKSIFRIHTETGNIWTHLLGFVLFLFLGILTMLRPNMYFMAPLQEKVVFGMFFLGAVLCLSFSWLFHTVYCHSEKVSRTFSKLDYSGIALLIMGSFVPWLYYSFYCSPQPRLIYLSIVCVLGISAIIVAQWDRFATPKHRQTRAGVFLGLGLSGVVPTMHFTIAEGFVKATTVGQMGWFFLMAVMYITGAGLYAARIPERFFPGKFDIWFQSHQIFHVLVVAAAFVHFYGVSNLQEFRYGLEGGCTDDSLL", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSAQDYYGNSASKQSYSRPSAPPPGYETASRGYAPSQSQQNYYPPQQQQQQYQQQPQYYQQQQPQYYQQHPQQPIYVQQQPASSGNEDCLAGCLAGLCLCCTLDMLF", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVLSQEEPDSARGTSEAQPLGPAPTGAAPPPGPGPSDSPEAAVEKVEVELAGPATAEPHEPPEPPEGGWGWLVMLAAMWCNGSVFGIQNACGVLFVSMLETFGSKDDDKMVFKTAWVGSLSMGMIFFCCPIVSVFTDLFGCRKTAVVGAAVGFVGLMSSSFVSSIEPLYLTYGIIFACGCSFAYQPSLVILGHYFKKRLGLVNGIVTAGSSVFTILLPLLLRVLIDSVGLFYTLRVLCIFMFVLFLAGFTYRPLATSTKDKESGGSGSSLFSRKKFSPPKKIFNFAIFKVTAYAVWAVGIPLALFGYFVPYVHLMKHVNERFQDEKNKEVVLMCIGVTSGVGRLLFGRIADYVPGVKKVYLQVLSFFFIGLMSMMIPLCSIFGALIAVCLIMGLFDGCFISIMAPIAFELVGAQDVSQAIGFLLGFMSIPMTVGPPIAGLLRDKLGSYDVAFYLAGVPPLIGGAVLCFIPWIHSKKQREISKTTGKEKMEKMLENQNSLLSSSSGMFKKESDSII", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAVAGLYGLGEDRQHRKKQQQQQQHQKEQLEQKEEQKKIAERKLQLREQQLQRNSLDGYGSLPKLSSQDEEGGAGHGFGGGPQHFEPIPHDHDFCERVVINVSGLRFETQLRTLNQFPDTLLGDPARRLRYFDPLRNEYFFDRSRPSFDAILYYYQSGGRLRRPVNVPLDVFSEEIKFYELGDQAINKFREDEGFIKEEERPLPDNEKQRKVWLLFEYPESSQAARVVAIISVFVILLSIVIFCLETLPEFKHYKVFNTTTNGTKIEEDEVPDITDPFFLIETLCIIWFTFELTVRFLACPNKLNFCRDVMNVIDIIAIIPYFITLATVVAEEEDTLNLPKAPVSPQDKSSNQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGRTLKASMRELGLLIFFLFIGVVLFSSAVYFAEAGSENSFFKSIPDAFWWAVVTMTTVGYGDMTPVGVWGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETDQEEMQSQNFNHVTSCPYLPGTLGQHMKKSSLSESSSDMMDLDDGVESTPGLTETHPGRSAVAPFLGAQQQQQQPVASSLSMSIDKQLQHPLQQLTQTQLYQQQQQQQQQQQNGFKQQQQQTQQQLQQQQSHTINASAAAATSGSGSSGLTMRHNNALAVSIETDV", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAEVHNQLEIKFRLTDGSDIGPKAFPDATTVSALKETVISEWPREKENGPKTVKEVKLISAGKVLENSKTVKDYRSPVSNLAGAVTTMHVIIQAPVTEKEKKPKGDPKMNKCVCSVM", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSPSNQSAEGLPQEAANRSLNATGTPEAWDPGTLQALKISLAVVLSIITVATVLSNTFVLTTILLTRKLHTPANYLIGSLATTDLLVSILVMPISIAYTITHTWNFGQVLCDIWVSSDITCCTASILHLCVIALDRYWAITDALEYSKRRTAGHAAAMIAVVWAISICISIPPLFWRQAKAHEEVSDCLVNTSQISYTIYSTCGAFYIPSVLLIVLYGRIYMAARNRILNPPSLYGKRFTTAHLITGSAGSSLCSLSPSLGEGHSHSAGSPLFFNPVRIKLADSVLERKRISAARERKATKTLGIILGAFIGCWLPFFVASLVLPICRDSCWMPPGLFDFFTWLGYLNSLINPIIYTVFNEDFRQAFQRVIHFRKAF", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MQGPPLLTAAHLLCVCTAALAVAPGPRFLVTAPGIIRPGGNVTIGVELLEHCPSQVTVKAELLKTASNLTVSVLEAEGVFEKGSFKTLTLPSLPLNSADEIYELRVTGRTQDEILFSNSTRLSFETKRISVFIQTDKALYKPKQEVKFRIVTLFSDFKPYKTSLNILIKDPKSNLIQQWLSQQSDLGVISKTFQLSSHPILGDWSIQVQVNDQTYYQSFQVSEYVLPKFEVTLQTPLYCSMNSKHLNGTITAKYTYGKPVKGDVTLTFLPLSFWGKKKNITKTFKINGSANFSFNDEEMKNVMDSSNGLSEYLDLSSPGPVEILTTVTESVTGISRNVSTNVFFKQHDYIIEFFDYTTVLKPSLNFTATVKVTRADGNQLTLEERRNNVVITVTQRNYTEYWSGSNSGNQKMEAVQKINYTVPQSGTFKIEFPILEDSSELQLKAYFLGSKSSMAVHSLFKSPSKTYIQLKTRDENIKVGSPFELVVSGNKRLKELSYMVVSRGQLVAVGKQNSTMFSLTPENSWTPKACVIVYYIEDDGEIISDVLKIPVQLVFKNKIKLYWSKVKAEPSEKVSLRISVTQPDSIVGIVAVDKSVNLMNASNDITMENVVHELELYNTGYYLGMFMNSFAVFQECGLWVLTDANLTKDYIDGVYDNAEYAERFMEENEGHIVDIHDFSLGSSPHVRKHFPETWIWLDTNMGYRIYQEFEVTVPDSITSWVATGFVISEDLGLGLTTTPVELQAFQPFFIFLNLPYSVIRGEEFALEITIFNYLKDATEVKVIIEKSDKFDILMTSNEINATGHQQTLLVPSEDGATVLFPIRPTHLGEIPITVTALSPTASDAVTQMILVKAEGIEKSYSQSILLDLTDNRLQSTLKTLSFSFPPNTVTGSERVQITAIGDVLGPSINGLASLIRMPYGCGEQNMINFAPNIYILDYLTKKKQLTDNLKEKALSFMRQGYQRELLYQREDGSFSAFGNYDPSGSTWLSAFVLRCFLEADPYIDIDQNVLHRTYTWLKGHQKSNGEFWDPGRVIHSELQGGNKSPVTLTAYIVTSLLGYRKYQPNIDVQESIHFLESEFSRGISDNYTLALITYALSSVGSPKAKEALNMLTWRAEQEGGMQFWVSSESKLSDSWQPRSLDIEVAAYALLSHFLQFQTSEGIPIMRWLSRQRNSLGGFASTQDTTVALKALSEFAALMNTERTNIQVTVTGPSSPSPVKFLIDTHNRLLLQTAELAVVQPTAVNISANGFGFAICQLNVVYNVKASGSSRRRRSIQNQEAFDLDVAVKENKDDLNHVDLNVCTSFSGPGRSGMALMEVNLLSGFMVPSEAISLSETVKKVEYDHGKLNLYLDSVNETQFCVNIPAVRNFKVSNTQDASVSIVDYYEPRRQAVRSYNSEVKLSSCDLCSDVQGCRPCEDGASGSHHHSSVIFIFCFKLLYFMELWL", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAAPTLGRLVLTHLLVALFGMGSWAAVNGIWVELPVVVKDLPEGWSLPSYLSVVVALGNLGLLVVTLWRQLAPGKGEQVPIQVVQVLSVVGTALLAPLWHHVAPVAGQLHSVAFLTLALVLAMACCTSNVTFLPFLSHLPPPFLRSFFLGQGLSALLPCVLALVQGVGRLECPPAPTNGTSGPPLDFPERFPASTFFWALTALLVTSAAAFRGLLLLLPSLPSVTTGGSGPELQLGSPGAEEEEKEEEEALPLQEPPSQAAGTIPGPDPEAHQLFSAHGAFLLGLMAFTSAVTNGVLPSVQSFSCLPYGRLAYHLAVVLGSAANPLACFLAMGVLCRSLAGLVGLSLLGMLFGAYLMALAILSPCPPLVGTTAGVVLVVLSWVLCLCVFSYVKVAASSLLHGGGRPALLAAGVAIQVGSLLGAGAMFPPTSIYHVFQSRKDCVDPCGP", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKKRRTFLLFSFTFLLLLSLSKADSDGDLSAMLSLKKSLNPPSSFGWSDPDPCKWTHIVCTGTKRVTRIQIGHSGLQGTLSPDLRNLSELERLELQWNNISGPVPSLSGLASLQVLMLSNNNFDSIPSDVFQGLTSLQSVEIDNNPFKSWEIPESLRNASALQNFSANSANVSGSLPGFLGPDEFPGLSILHLAFNNLEGELPMSLAGSQVQSLWLNGQKLTGDITVLQNMTGLKEVWLHSNKFSGPLPDFSGLKELESLSLRDNSFTGPVPASLLSLESLKVVNLTNNHLQGPVPVFKSSVSVDLDKDSNSFCLSSPGECDPRVKSLLLIASSFDYPPRLAESWKGNDPCTNWIGIACSNGNITVISLEKMELTGTISPEFGAIKSLQRIILGINNLTGMIPQELTTLPNLKTLDVSSNKLFGKVPGFRSNVVVNTNGNPDIGKDKSSLSSPGSSSPSGGSGSGINGDKDRRGMKSSTFIGIIVGSVLGGLLSIFLIGLLVFCWYKKRQKRFSGSESSNAVVVHPRHSGSDNESVKITVAGSSVSVGGISDTYTLPGTSEVGDNIQMVEAGNMLISIQVLRSVTNNFSSDNILGSGGFGVVYKGELHDGTKIAVKRMENGVIAGKGFAEFKSEIAVLTKVRHRHLVTLLGYCLDGNEKLLVYEYMPQGTLSRHLFEWSEEGLKPLLWKQRLTLALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKGSIETRIAGTFGYLAPEYAVTGRVTTKVDVYSFGVILMELITGRKSLDESQPEESIHLVSWFKRMYINKEASFKKAIDTTIDLDEETLASVHTVAELAGHCCAREPYQRPDMGHAVNILSSLVELWKPSDQNPEDIYGIDLDMSLPQALKKWQAYEGRSDLESSTSSLLPSLDNTQMSIPTRPYGFAESFTSVDGR", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MYFLTPILVAILCILVVWIFKNADRSMEKKKGEPRTRAEARPWVDEDLKDSSDLHQAEEDADEWQESEENVEHIPFSHNHYPEKEMVKRSQEFYELLNKRRSVRFISNEQVPMEVIDNVIRTAGTAPSGAHTEPWTFVVVKDPDVKHKIRKIIEEEEEINYMKRMGHRWVTDLKKLRTNWIKEYLDTAPILILIFKQVHGFAANGKKKVHYYNEISVSIACGILLAALQNAGLVTVTTTPLNCGPRLRVLLGRPAHEKLLMLLPVGYPSKEATVPDLKRKPLDQIMVTV", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKFADHLTESAIPEWRDKYIDYKVGKKKLRRYKEKLDAEEEQSSSYRSWMPSVSVYQTAFQQREPGKSRSDGDYRSGPAFKKDYSALQREFVADFIEDWLISFQLSKCNEFYLWLLKECDKKFEVLQSQLHYYSLQKNYERDNLNRSSSNVDMSTSLYAAGLAGRSDSRVNSIDSDSRSVMYGSMPCTKEAKKPRLSLLAYCQKVLKDNRLLPSWPKRGFSLLQDLRQDASSRGRETFAFGASFLETMTTTQARNLLSNAIIEYYLYLQLVKSFRDINVTGFRKMVKKFDKTCHTRELTTFMSYARTHYTLFKHADANVQLVAQKMQQITSSQPTPTSELSSAQRDKEPITWLETQITEWFTTALTNSPKDRKHNTHKLKKLTIQYSISEQMVHRNNRSIVQMLVVGLGIGVSMTLITYTLYLGISSEETSFTHKILFPLWGGWYMVLLIAFLFLVNCFIWHRTGINYRFIMLGEIQSKNGTQFFNNDFATSKIPLKLYFLTFFIVPCAVCSMLSFALEKLTPLGFLYIGIVSFLFLCPSGLIPYWDKVVHTRKWLVVTLIRLMMSGFFPVEFGDFFLGDIICSLTYSIADIAMFFCVYSHTPNNLCGSSHSRAMGVLSCLPSYWRFMQCLRRFADSGDWFPHLLNAAKYTLGIAYNATLCAYRLSDRSEQRRTPFIVCATLNSILTSAWDLVMDWSFAHNTTSYNWLLRDDLYLAGKKNWENGSYSFSRKLVYYFAMIWDILIRFEWIVYAIAPQTIQQSAVTSFILALLEVLRRFVWIIFRVENEHVANVHLFRVTGDAPLPYPIAQVGDDSMDSSDLGSKAFSSLNDIPITPSHDNNPHSFAEPMPAYRGTFRRRSSVFENISRSIPWAHATDFQRPTVNTVDDRSPETDSESEVESIM", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAKTIKVIRKKDPKKKNLSDPLAKQKLVWKIGHVLTLVFGLLFSITYFYHVLIFFKYRSWKWLFLRVNKNYSFIQSKRWYMKLLSWSPQVMYRLSLIGVFMSESVTMQQNWVGLNPTWNDLLSSENFHTLLIACLWFFGGGKSFYKILPYMILSYLHLTKMNYELNANKEEKIPLTPKDRKMLHLLAYSELLVILALTLDTILFKTGTSGFMLVIYVGIYWLRLNFSPYAQVAVLELLVKFEKYVPKKYRDKWQVIKNFIYMKMKEHEKRTEEVARYA", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MATLVLSSMPCHWLLFLLLLFSGEPVPAMTSSDLPLNFQGSPCSQIWQHPRFAAKKRSSMVKFHCYTNHSGALTWFRKRGSQQPQELVSEEGRIVQTQNGSVYTLTIQNIQYEDNGIYFCKQKCDSANHNVTDSCGTELLVLGFSTLDQLKRRNTLKDGIILIQTLLIILFIIVPIFLLLDKDDGKAGMEEDHTYEGLNIDQTATYEDIVTLRTGEVKWSVGEHPGQE", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MMHFKSGLELTELQNMTVPEDDNVSNDSNDFTEVENGQINSKFISDRESRRSLTNSHLEKRKCDEYIPGTTSLGMSVFNLSNAIMGSGILGLAFALANTGILLFLILLTSVTLLSIYSINLLLICSKETGCMVYEKLGEQVFGTTGKLVIFGATSLQNTGAMLSYLFIVKNELPSAIKSLMGEEETFSAWYVDGRVLVVMVTFGIILPLCLLKNLGYLGYTSGFSLSCMVFFLIVVIYKKFQIPCMNGEQNSTVSANVTDACTPKYVTFNSKTVYALPTIAFAFVCHPSVLPIYSELKDRSQKKMQMVSNISFFAMFVMYFLTAIFGYLTFYEKVQSDLLHKYQSTGDILILTVRLAVIVAVILTVPVLFFTVRSSLFELAKKTKFHLCRHVLVTIILLVIINLLVIFIPSMKDIFGVVGVTSANMLIFILPSSLYLKITNQDGDKNTQRIWAALFLALGVLFSLISIPLVIYDWACSSSNGEGH", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASEFKKKLFWRAVVAEFLAMILFVFISIGSALGFNYPVRNNQTAGAAQDNVKVSLAFGLSIATLAQSVGHISGAHLNPAVTLGLLLSCQISILRAVMYIIAQCVGAIVATAILSGITSSLPDNSLGRNELAPGVNSGQGLGIEIIGTLQLVLCVLATTDRRRRDLGGSGPLAIGLSVALGHLLAIDYTGCGINPARSFGSSVITHNFKDHWIFWVGPFIGGALAVLIYDFILAPRSSDLTDRVKVWTSGQVEEYELDGDDINSRVEMKPK", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MASCSFTRDQATRRLRGAAAAAAAALAAVVTTPLLSSGTPTALIGTGSSCPGAMWLSTATGSRSDSESEEEDLPVGEEVCKRGYLRKQKHGHRRYFVLKLETADAPARLEYYENARKFRHSVRAAAAAAAAAASGAAIPPLIPPRRVITLYQCFSVSQRADARYRHLIALFTQDEYFAMVAENESEQESWYLLLSRLILESKRRRCGTLGAQPDGEPAALAAAAAAEPPFYKDVWQVIVKPRGLGHRKELSGVFRLCLTDEEVVFVRLNTEVASVVVQLLSIRRCGHSEQYFFLEVGRSTVIGPGELWMQVDDCVVAQNMHELFLEKMRALCADEYRARCRSYSISIGAHLLTLLSARRHLGLVPLEPGGWLRRSRFEQFCHLRAIGDGEDEMLFTRRFVTPSEPVAHSRRGRLHLPRGRRSRRAVSVPASFFRRLAPSPARPRHPAEAPNNGARLSSEVSGSGSGNFGEEGNPQGKEDQEGSGGDYMPMNNWGSGNGRGSGGGQGSNGQGSSSHSSGGNQCSGEGQGSRGGQGSNGQGSGGNQCSRDGQGTAGGHGSGGGQRPGGGHGSGGGQGPGDGHGSGGGKNSGGGKGSGSGKGSDGDGERGKSLKKRSYFGKLTQSKQQQMPPPPPPPPPPPPAGGTGGKGKSGGRFRLYFCVDRGATKECKEAKEVKDAEIPEGAARGPHRARAFDEDEDDPYVPMRPGVATPLVSSSDYMPMAPQNVSASKKRHSRSPFEDSRGYMMMFPRVSPPPAPSPPKAPDTNKEDDSKDNDSESDYMFMAPGAGAIPKNPRNPQGGSSSKSWSSYFSLPNPFRSSPLGQNDNSEYVPMLPGKFLGRGLDKEVSYNWDPKDAASKPSGEGSFSKPGDGGSPSKPSDHEPPKNKAKRPNRLSFITKGYKIKPKPQKPTHEQREADSSSDYVNMDFTKRESNTPAPSTQGLPDSWGIIAEPRQSAFSNYVNVEFGVPFPNPANDLSDLLRAIPRANPLSLDSARWPLPPLPLSATGSNAIEEEGDYIEVIFNSAMTPAMALADSAIRYDAETGRIYVVDPFSECCMDISLSPSRCSEPPPVARLLQEEEQERRRPQSRSQSFFAAARAAVSAFPTDSLERDLSPSSAPAVASAAEPTLALSQVVAAASALAAAPGIGAAAAAAGFDSASARWFQPVANAADAEAVRGAQDVAGGSNPGAHNPSANLARGDNQAGGAAAAAAAPEPPPRSRRVPRPPEREDSDNDDDTHVRMDFARRDNQFDSPKRGR", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MMPPWLLARTLIMALFFSCLTPGSLNPCIEVVPNITYQCMDQKLSKVPDDIPSSTKNIDLSFNPLKILKSYSFSNFSELQWLDLSRCEIETIEDKAWHGLHHLSNLILTGNPIQSFSPGSFSGLTSLENLVAVETKLASLESFPIGQLITLKKLNVAHNFIHSCKLPAYFSNLTNLVHVDLSYNYIQTITVNDLQFLRENPQVNLSLDMSLNPIDFIQDQAFQGIKLHELTLRGNFNSSNIMKTCLQNLAGLHVHRLILGEFKDERNLEIFEPSIMEGLCDVTIDEFRLTYTNDFSDDIVKFHCLANVSAMSLAGVSIKYLEDVPKHFKWQSLSIIRCQLKQFPTLDLPFLKSLTLTMNKGSISFKKVALPSLSYLDLSRNALSFSGCCSYSDLGTNSLRHLDLSFNGAIIMSANFMGLEELQHLDFQHSTLKRVTEFSAFLSLEKLLYLDISYTNTKIDFDGIFLGLTSLNTLKMAGNSFKDNTLSNVFANTTNLTFLDLSKCQLEQISWGVFDTLHRLQLLNMSHNNLLFLDSSHYNQLYSLSTLDCSFNRIETSKGILQHFPKSLAFFNLTNNSVACICEHQKFLQWVKEQKQFLVNVEQMTCATPVEMNTSLVLDFNNSTCYMYKTIISVSVVSVIVVSTVAFLIYHFYFHLILIAGCKKYSRGESIYDAFVIYSSQNEDWVRNELVKNLEEGVPRFHLCLHYRDFIPGVAIAANIIQEGFHKSRKVIVVVSRHFIQSRWCIFEYEIAQTWQFLSSRSGIIFIVLEKVEKSLLRQQVELYRLLSRNTYLEWEDNPLGRHIFWRRLKNALLDGKASNPEQTAEEEQETATWT", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MELISSSTIGNSALCLTLISILIFFFLTTTTIPTFPDHPLRSLLDDSQITTNSSSIVNPKSSCVSSRSHDNGGVINYFSLHYCIFNENLFFSIPILSLLILLHFYILIKTAQTHFSTVTTKLADRLNLSPSMAAVTLLALGNGAPDVFASVAALRGGQYRTGFGAILSAGTFVSAFVVGFVAIYAAPFPVDAASFVRDVLFYLIAALFLFYVYLSGEIFVWQAIGFVGFYIFFVGFVFWMDFGTNVEKGKSISEEEKDLLRLQDCEIAAGSLGSYKAEKEHQFSGIFRLYGTISRMWETPVSVLLNLTIPKPSPSEWSRFYRSANIVFCPFALLYTCNSFVQLNHPISFLFPNTHLPLWLVVLFMTSSLAFLHFTVEKQPPKTEQLPVIVVAFIMSVFWISTIAGELLNCLAALGTLLKLPPALLGLTVLAWGNSVGDLVADVAVAKAGRPAMAMAGCFAGPMFNMLVGLGSALVMQTANVYPDAYKLGFHVGIVIAFVFLLLSLMGSLLVITWSRFRVPRFWGICLVGLYVAFTFVSLIIASVST", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAWNTNLRGRLPITCLILQVTMVVLFGVFVRYDIQADAHWWLEKKRKNISSDVENEFYYRYPSFQDVHAMVFVGFGFLMTFLQRYGFSAVGFNFLLAAFGIQWALLMQGWFHYFEEGHIVLSVENIIQADFCVASSCVAFGAVLGKVSPMQLLIMTFFQVTLFTVNEFILLNLIEAKDAGGSMTIHTFGAYFGLTVTWILYRKNLDQSKQRQSSVYHSDLFAMIGTLFLWIYWPSFNSASSFHGDAQHRAALNTYLSLAASVLTTVTVSSIVHKKGKLDMVHIQNATLAGGVGVGTAAEMMLTPYGALIVGFFCGIFSTLGFAYLTPFLESRHRIQDTCGIHNLHGIPGIIGGIVGAVTAAYSSPDVYGEPGIVHSFGFGSYKMDWNKRMQGRSQIFGLLLSLAMALVGGIIVGFILKLPFWGQAADENCFEDSIYWEVHEEVNTVYIPEDLAHKHSTSLVPAMPLVLPTTSASIVPPVPPTPPVSLATSAPSAALVH", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNGTYNTCGSSDLTWPPAIKLGFYAYLGVLLVLGLLLNSLALWVFCCRMQQWTETRIYMTNLAVADLCLLCTLPFVLHSLRDTSDTPLCQLSQGIYLTNRYMSISLVTAIAVDRYVAVRHPLRARGLRSPRQAAAVCAVLWVLVIGSLVARWLLGIQEGGFCFRSTRHNFNSMAFPLLGFYLPLAVVVFCSLKVVTALAQRPPTDVGQAEATRKAARMVWANLLVFVVCFLPLHVGLTVRLAVGWNACALLETIRRALYITSKLSDANCCLDAICYYYMAKEFQEASALAVAPSAKAHKSQDSLCVTLA", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAIYSSFWIRLYFTFRFFCYFLTSVVASDVSFLGDFSGFNVLSNSSANTDLSSQFFEQTNNGSLSSLIDTSYSNSQICYSSWQGDLYVIVLDSEQQTVLLQSNFTSNPTSLEIFSSQNTSFFGNISAIFCDDKFPYAYATFTFSDKPSFTSIYRWNVTNSNVTIEHFYNVKGNVDSLFFLNNDSVAISGNFTEISPFSSSNGPQIAKLAFRSNNSFSQNSLNRNLSSVSCDLTDSYSLWDPSSSGLVSIYAWAPYLIDFNRIRFYNYESSENSVAFFSAINPADGTVLPLTHYDLETGLSSTCDVNCSLQNFANYQDFYFSKGYNSYQIEIQMFGNGEATENSAFGLSSLQFFETNQNSYFDDSYNQESCGFPGLNSLSSYEGNFEASFSNASMPYWIQTIAGEQASVSFFPNITVPTNGTVQLLIPGCTYDNTCSQRGSVIANVYFAKNKQPATKLVQQASDFDQYVSLYSGYLQGFSDNFRPYVELLPYKNSRMVTHSIRFLEQSYTNVSNGLVFVNTTTDVNKLPSIIEFPAASKLRGTAISQIKSLSNGNFSLYMTGNFSDNYGNNVVYMDSLNHLHSFPNNGLNGWVSYIYVSGDSSYFGGNFTHTGDGSIKLNYIAMYSETSRNWSSLGLGTNGPVTHIGSTSLFIDGKIESFISFQGDFNEVYTSEGYAISTSGFSLWNPSSKSWVSMEKLGFYMSGYLFDIPGFNSTQRIYSGNLSAIASYSTRNIAHFSSDSLNDTFIPCYVNAFPSYIRLEDIAYPFANNSMIAILGSEEMEDKCTAAVYFANSTEPIYPKRILSANCSSKFIVLEDCLIIYSNDTDESDIVKNTFVSFNTTSNSLGNTTALSQLKGHINSVIVDDSYNNIFFGGNLSEQSSGCVGFCIFEYNSSSWRNISHNLISAEVQSILWVNETYSSMYLAGKFVWDTSDVDYLLMYNFDNNTIMSCKGSSSIPGPVLLASLKSQSKDEYSVLLYGTEVSSSDTYLNVLNSEGAINSYSLDIHLNQSTINSIDFFESNQISQIPINDSIIVLSGLIVLDDSSKASAVYCVNKSCLPLLTAFKDNGEAGIVRKVVQQKSFSSSASKMIPVTTKYDHIGQPRYVVIISLGISIGVMFLIMSGSIVVEIIHWFFSEHVETLHDYSNFLKELKTQ", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGNFFGRQRNAYVRVSLPAVCFVWQIAMIILFGVFIRYNEESDAHWVEHKKTNNITSDIENDFYYRYPSFQDVHVMIFVGFGFLMTFLKRYSFGGVGFNFLIAAFGIQWALLMQGWFHFLDHSTGKIYIGVENLINADFCVAGSLIAYGALLGKVSPVQLLVLTLFGVTLFAVEEYIILHLLHCRDAGGSMVIHAFGGYYGLGISWVLYRPNLHQSKRLHGSVYHSDVFAMIGTLFLWMFWPSFNSAITDHGDGQHRAAINTYLGLASCVLTTVALSSMHDKRGRLDMVHIQNATLAGGVAMGTAAEFMISPYGALIVGFCCGIISTFGYLYVTPFLEKYLKLQDTCGVHNLHAVPGMLGGFVGAIVAAAATESVYSKEGLINTFNFEGKYADRSVGTQGGYQAAGTCVAVAFGLVGGAIVGFILKFPIWGDPADDNCFDDEAYWEVPEDEETIPPVLEYNNHMIHKHQDIAETNFSVEQS", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPPMPSAPPVHPPPDGGWGWIVVGAAFISIGFSYAFPKAVTVFFKEIQQIFHTTYSEIAWISSIMLAVMYAGGPVSSVLVNKYGSRPVVIAGGLLCCLGMVLASFSSSVVQLYLTMGFITGLGLAFNLQPALTIIGKYFYRKRPMANGLAMAGSPVFLSSLAPFNQYLFNTFGWKGSFLILGSLLLNACVAGSLMRPLGPNQTTSKSKNKTGKTEDDSSPKKIKTKKSTWEKVNKYLDFSLFKHRGFLIYLSGNVIMFLGFFAPIIFLAPYAKDQGIDEYSAAFLLSVMAFVDMFARPSVGLIANSKYIRPRIQYFFSFAIMFNGVCHLLCPLAQDYTSLVLYAVFFGLGFGSVSSVLFETLMDLVGAPRFSSAVGLVTIVECGPVLLGPPLAGKLVDLTGEYKYMYMSCGAIVVAASVWLLIGNAINYRLLAKERKEENARQKTRESEPLSKSKHSEDVNVKVSNAQSVTSERETNI", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDCSAPKEMNKPPTNILEATVPGHRDSPRAPRTSPEQDLPAAAPAAAVQPPRVPRSASTGAQTFQSADARACEAQRPGVGFCKLSSPQAQATSAALRDLSEGHSAQANPPSGAAGAGNALHCKIPALRGPEEDENVSVGKGTLEHNNTPAVGWVNMSQSTVVLGTDGIASVLPGSVATTTIPEDEQGDENKARGNWSSKLDFILSMVGYAVGLGNVWRFPYLAFQNGGGAFLIPYLMMLALAGLPIFFLEVSLGQFASQGPVSVWKAIPALQGCGIAMLIISVLIAIYYNVIICYTLFYLFASFVSVLPWGSCNNPWNTPECKDKTKLLLDSCVIGDHPKIQIKNSTFCMTAYPNLTMVNFTSQANKTFVSGSEEYFKYFVLKISAGIEYPGEIRWPLAFCLFLAWVIVYASLAKGIKTSGKVVYFTATFPYVVLVILLIRGVTLPGAGAGIWYFITPKWEKLTDATVWKDAATQIFFSLSAAWGGLITLSSYNKFHNNCYRDTLIVTCTNSATSIFAGFVIFSVIGFMANERKVNIENVADQGPGIAFVVYPEALTRLPLSPFWAIIFFLMLLTLGLDTMFATIETIVTSISDEFPKYLRTHKPVFTLGCCICFFIMGFPMITQGGIYMFQLVDTYAASYALVIIAIFELVGISYVYGLQRFCEDIEMMIGFQPNIFWKVCWAFVTPTILTFILCFSFYQWEPMTYGSYRYPNWSMVLGWLMLACSVIWIPIMFVIKMYLAPGRFIERLKLVCSPQPDWGPFLAQHRGERYKNMIDPLGTSSLGLKLPVKDLELGTQC", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MISFISGRWWRWKFQNTLAVFLLLICLSTSVAQSCQSSTSCNVVLTDSQGSFTSPCYPNDYPPSQSCNWTIQAPAGFIVQITFLDFELEEAQGCIYDRVVVKTGTSDAKFCGLTANGLTLNSTGNVMEVFFNSDFSVQKKGFHISYKQVAVTLRNQKVTMPKSSKTILRVSNSISIPVLTAFTVCFEIARTAQKATETIFTLSDAAGTSILAFEKTSNGMELFIGASYCSVDNLLTSSDITATMKPLCLTWTKSSGLIGVYFGGHYFSSICSASQIYTLQSGGLLQIAGKGSSSVSVDDQNLDGFIYNFRLWDHAMLSSELSALTCDTVGNVVDWDHSYWTIPGSSTQTDSTLSCSTAITTLSPGTAGCASGLGCPATLTVTITSIATTNIIPTNATTHEDIFYRSTLVVTDEQTPDRDATAIISQWLNQTFQNWMYRVYVDGISLQLITVLSRITTTRQTYLALLVYKNTTDVNLAEVEIESMLRSAPAIGNGLTLDSVTVNLMENCQADEFPVHYRWPESRPTVTQYVPCFPYKDRNASRTCMINRDNYTSFWALPDRGNCTNITSITVSQENAMDVAVQLADISNNGLSKEELTQVVTKVMELVNIAKINATLASTVVTIISNVMVSSEDAQKDASETALKAVDELVQKIEFDGPSLTISSKNLVVGVSALDTTNFNGSTLSAFIATNTTDPQIDFDSEAHNALAVVTLPPTLLQNLSLSQIEKVSRINFMFFGRTGLFQDHQNNGLTLNSYVVASSVGNFTIKNLQDPVRIEIAHLEYQKDPNPQCVFWDFNLQNYSGGCNSDGCKVGSDSNSNRTVCLCNHLTHFGILMDVSRAAELIDEKNNRVLTFITYIGCGISAIFSAATLLTYIAFEKLRRDYPSKILMNLSTSLLFLNMVFLLDGWLASYEIKELCVTVAVFLHFFLLTSFTWMGLESIHMYIALVKVFNTYIRRYILKFCIVGWGVPAAIVGIVLAVSKDSYGKNYYGKGKDGQGTSEFCWILNPVVFYVTCVAYFSIIFLMNVAMFIVVMIQICGRNGKRSNRTLREDILRNLRSVVSLTFLLGMTWGFAFFAWGPVSLAFMYLFTIFNSLQGLFIFVFHCALKENVQKQWRRYLCCGKLRLADNSDWSKTATNNTKKVSSDNLGKSLSSSSFGSTTANWTSKAKATLNPFARHSNADSTLQ", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAPPEAEVGAVMVMAPPTPGTPGTPGGPLITGMRVDSMSFDHRKPTPRCKCLPVMGSTWGQHDTCFTDFPSPDVSLTRKLGAEFVGTFILIFTATAGPIVNQKYDGAETLIGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAALRHFPWAHVPAYIAAQVSASICASFALKGVFHPFMSGGVTIPSVSLGQAFALEFIITFILLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSTGGSMNPVRTLGPAVASGNYRSLWVYLVAPTLGAISGAAVYTGVKLNDSVTDPPRPVRSFRR", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSKSKCSVGPMSSVVAPAKEPNAVGPREVELILVKEQNGVQLTNSTLINPPQTPVEVQERETWSKKIDFLLSVIGFAVDLANVWRFPYLCYKNGGGAFLVPYLLFMVIAGMPLFYMELALGQFNREGAAGVWKICPVLKGVGFTVILISFYVGFFYNVIIAWALHYFFSSFTMDLPWIHCNNTWNSPNCSDAHSSNSSDGLGLNDTFGTTPAAEYFERGVLHLHQSRGIDDLGPPRWQLTACLVLVIVLLYFSLWKGVKTSGKVVWITATMPYVVLTALLLRGVTLPGAMDGIRAYLSVDFYRLCEASVWIDAATQVCFSLGVGFGVLIAFSSYNKFTNNCYRDAIITTSINSLTSFSSGFVVFSFLGYMAQKHNVPIRDVATDGPGLIFIIYPEAIATLPLSSAWAAVFFLMLLTLGIDSAMGGMESVITGLVDEFQLLHRHRELFTLGIVLATFLLSLFCVTNGGIYVFTLLDHFAAGTSILFGVLIEAIGVAWFYGVQQFSDDIKQMTGQRPNLYWRLCWKLVSPCFLLYVVVVSIVTFRPPHYGAYIFPDWANALGWIIATSSMAMVPIYATYKFCSLPGSFREKLAYAITPEKDRQLVDRGEVRQFTLRHWLLV", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNRHHLQDHFLEIDKKNCCVFRDDFIVKVLPPVLGLEFIFGLLGNGLALWIFCFHLKSWKSSRIFLFNLAVADFLLIICLPFLMDNYVRRWDWKFGDIPCRLMLFMLAMNRQGSIIFLTVVAVDRYFRVVHPHHALNKISNRTAAIISCLLWGITIGLTVHLLKKKMPIQNGGANLCSSFSICHTFQWHEAMFLLEFFLPLGIILFCSARIIWSLRQRQMDRHAKIKRAITFIMVVAIVFVICFLPSVVVRIRIFWLLHTSGTQNCEVYRSVDLAFFITLSFTYMNSMLDPVVYYFSSPSFPNFFSTLINRCLQRKMTGEPDNNRSTSVELTGDPNKTRGAPEALMANSGEPWSPSYLGPTSP", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAGGFLSMANPAVTLSGVAGNIISFLVFLAPVATFLQVYKKKSTGGYSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVLYLVYAPRRARLRTLAFFLLLDVAAFALIVVTTLYLVPKPHQVKFLGSVCLAFSMAVFVAPLSIIFKVIKTKSVEFMPIGLSVCLTLSAVAWFCYGLFTKDPYVMYPNVGGFFFSCVQMGLYFWYRKPRNTAVLPTTSDSMSPISAAAAATQRVIELPAGTHAFTILSVSPIPILGVHKVEVVAAEQAADGVAAAAAADKELLQNKPEVIEITAAV", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSALSESPAIPSFWRPETSEISKKPRPNTTVGFQFDNRNVGTSAPSTPAIRRNNTDSFERGLSLPLPSSKQDTGSSVLDPDGDAYVNRYARPVTAGSIYIPSNYHKSFSPNTFSGFNVKRSASKSPKRSANGSTSEDISIEGSPSETAKGARSSFNSNFRTFDIGSERRRRILEASQDSSRPGRYSYRTKSASPALIDTSTLDSRLNFTMGRLERSIAQLSKNTMRAVSHLENPPKDITLPKLNVKNSAWPLQPYSPPANETPASSSSSAKARPVSVPDMSSPVPASSVEYESLKAAVTYSPSQNPKKVAETDSESRKSSFQSSYNDADRPFQVGAQTQSTPNRISRSDSPIVYDVDTHSEDNASTASSEAISQSMRSFQPQPNTGSPFPRFTSTNTEDEQESDIPQSDANDSTVNLNQPNYANLTPTPQVSPKRPTYSRSSPLPSASVPALGDGSPDPPAAPSIQNSLSVHESEMPPHVTRDYTQPAASATPVPKEKPSEKSEKPPKKKGSKLEKFCCILM", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MELASAHLHKGQVPWGGLLLTASLLASWSPATTAEVTIEAVPPQVAEDNNVLLLVHNLPLALGAFAWYKGNTTAIDKEIARFVPNSNMNFTGQAYSGREIIYSNGSLLFQMITMKDMGVYTLDMTDENYRRTQATVRFHVHPILLKPNITSNNSNPVEGDDSVSLTCDSYTDPDNINYLWSRNGESLSEGDRLKLSEGNRTLTLLNVTRNDTGPYVCETRNPVSVNRSDPFSLNIIYGPDTPIISPSDIYLHPGSNLNLSCHAASNPPAQYFWLINEKPHASSQELFIPNITTNNSGTYTCFVNNSVTGLSRTTVKNITVLEPVTQPFLQVTNTTVKELDSVTLTCLSNDIGANIQWLFNSQSLQLTERMTLSQNNSILRIDPIKREDAGEYQCEISNPVSVRRSNSIKLDIIFDPTQGGLSDGAIAGIVIGVVAGVALIAGLAYFLYSRKSGGGSDQRDLTEHKPSTSNHNLAPSDNSPNKVDDVAYTVLNFNSQQPNRPTSAPSSPRATETVYSEVKKK", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MHSHRQKWGRQTDIARVLDDIEHDLYLPQRLSLDGATGTDESHVQYGIVKDCSVLTCGCCISESLFNDLCRETSNKQTACPICQRENVRLLSAIKPLRDLARQIDFFRSTTGQGESESDELPAIVKTSPSSSSLSLTPSRSSSTAGLEADNKTLSDPTVKEKSSLLELFHIVASKMHNANTEVGSDHPLTTGTTRDQEEHTTKENYSSSLLEPNYDDHANWKILDNASNTRTVPIDNNFSLMSTDVTIPSTANYQTNSAHDLDEEKEYFFANCFPMYRKKFQFNTHPKFLGTKSKLFINQSISPDCTKFALITEHKWEIYSINPKDNSPQLVSCGKSSGEYGPNFNQLTEQSSSSLSTTSQASKKKKKNWSQRFCKLSNDFLIISGSQNILNVHDIHQNGKLIYTYVSRFPIRCIDIDPRSQIIAYGITGKDRHTGAEQALVVIQQITRNKVTLEPEFPPPITITLPYRDPINTIQLSHDAKYLTCSTALESRFLIISLQKINEPRLIMKSVRSIDTSLESEGITDTKLFPGNPNLMCITSTAFNSSPLVINTKITQINGVRTVAQPSMLIRVDEIGCKIHKCEISPRNDAIAFLDRNGSVYIMCAPTMMDNNEKRRTILVETVANAYRAYESATLRFNPEGNKLYILDRKGTFFVEDFAYGLPQSREITKCKQIFHK", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTLKIRTIIILFCVISVTTTSQSLNATLKTFDPRLLNSTADRDIAMKNVPLVRLTRHLLSPERYDVRVRPILDHKKSLKVHISISLYQIIEVDEPSQNIKLNVWMIQKWRDEYLDWNPNEYGMINSTIIPFHHLWIPDTYLYNSVKMSRDETERYMNIQATSNYWKGEKGAELSFLYPAIYTITCRLNIRFFPYDRQNCTLTISSWTNSKSALDYYADTEVSMQSFIPNEEWQVKSFKIHRHEYKYACCAEPWVILQASLVIQRKPLYYLVNLIIPTSIITLVAITGFFTPASTDDDRTEKINLGITTLLAMSILMLMVSDQMPTTSEFVPLIAWFYLSIIIIISIGTFLTSVVLSVQGRRQYGRNPPQFIRYIFFVLLPQVLLLNVPPPLQTLWGELDDDPLNVRRRKKSHYLSRNVNNGSTKMASPMSTLRVPQSAGSVSEKRQSFQMIDVTSPNSPNTARSRAPSLAPSTAKATMWEGTMSALAGTNTQLRRTSNVFNKEVDEMRRKRQCSLEWEFLATVLDRFLLIVFVGAVVIVTAGLILVGRMAQYSYDHPDDRFFNV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEEAKHNEAEEAQGIEAREAKQIEAGETSRSSRKLITFEPKLVINKGISVLGFVLRLFAVFGTIGSALAMGTTHESVVSLSQLVLLKVKYSDLPTLMFFVVANAISGGYLVLSLPVSIFHIFSTQAKTSRIILLVVDTVMLALVSSGASAATATVYLAHEGNTTANWPPICQQFDGFCERISGSLIGSFCAVILLMLIVINSAISLSRH", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEVSGHPQARRCCPEALGKLFPGLCFLCFLVTYALVGAVVFSAIEDGQVLVAADDGEFEKFLEELCRILNCSETVVEDRKQDLQGHLQKVKPQWFNRTTHWSFLSSLFFCCTVFSTVGYGYIYPVTRLGKYLCMLYALFGIPLMFLVLTDTGDILATILSTSYNRFRKFPFFTRPLLSKWCPKSLFKKKPDPKPADEAVPQIIISAEELPGPKLGTCPSRPSCSMELFERSHALEKQNTLQLPPQAMERSNSCPELVLGRLSYSIISNLDEVGQQVERLDIPLPIIALIVFAYISCAAAILPFWETQLDFENAFYFCFVTLTTIGFGDTVLEHPNFFLFFSIYIIVGMEIVFIAFKLVQNRLIDIYKNVMLFFAKGKFYHLVKK", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDYNPNLPPLGGGGVSMRRSISRSVSRASRNIEDIFSSGSRRTQSVNDDEEALKWAAIEKLPTYSRLRTTLMNAVVEDDVYGNQLMSKEVDVTKLDGEDRQKFIDMVFKVAEQDNERILTKLRNRIDRVGIKLPTVEVRYEHLTIKADCYTGNRSLPTLLNVVRNMGESALGMIGIQFAKKAQLTILKDISGVIKPGRMTLLLGPPSSGKTTLLLALAGKLDKSLQVSGDITYNGYQLDEFVPRKTSAYISQNDLHVGIMTVKETLDFSARCQGVGTRYDLLNELARREKDAGIFPEADVDLFMKASAAQGVKNSLVTDYTLKILGLDICKDTIVGDDMMRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLNEATVLMSLLQPAPETFDLFDDIILVSEGQIVYQGPRDNILEFFESFGFKCPERKGTADFLQEVTSKKDQEQYWVNPNRPYHYIPVSEFASRYKSFHVGTKMSNELAVPFDKSRGHKAALVFDKYSVSKRELLKSCWDKEWLLMQRNAFFYVFKTVQIVIIAAITSTLFLRTEMNTRNEGDANLYIGALLFGMIINMFNGFAEMAMMVSRLPVFYKQRDLLFYPSWTFSLPTFLLGIPSSILESTAWMVVTYYSIGFAPDASRFFKQFLLVFLIQQMAASLFRLIASVCRTMMIANTGGALTLLLVFLLGGFLLPKGKIPDWWGWAYWVSPLTYAFNGLVVNEMFAPRWMNKMASSNSTIKLGTMVLNTWDVYHQKNWYWISVGALLCFTALFNILFTLALTYLNPLGKKAGLLPEEENEDADQGKDPMRRSLSTADGNRRGEVAMGRMSRDSAAEASGGAGNKKGMVLPFTPLAMSFDDVKYFVDMPGEMRDQGVTETRLQLLKGVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGFPKVQETFARISGYCEQTDIHSPQVTVRESLIFSAFLRLPKEVGKDEKMMFVDQVMELVELDSLRDSIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELMLMKRGGQVIYAGPLGQNSHKVVEYFESFPGVSKIPEKYNPATWMLEASSLAAELKLSVDFAELYNQSALHQRNKALVKELSVPPAGASDLYFATQFSQNTWGQFKSCLWKQWWTYWRSPDYNLVRFIFTLATSLLIGTVFWQIGGNRSNAGDLTMVIGALYAAIIFVGINNCSTVQPMVAVERTVFYRERAAGMYSAMPYAISQVTCELPYVLIQTVYYSLIVYAMVGFEWKAEKFFWFVFVSYFSFLYWTYYGMMTVSLTPNQQVASIFASAFYGIFNLFSGFFIPRPKIPKWWIWYYWICPVAWTVYGLIVSQYGDVETRIQVLGGAPDLTVKQYIEDHYGFQSDFMGPVAAVLIAFTVFFAFIFAFCIRTLNFQTR", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDMGGNGMAMPPPPAPVKKARYMHMTFFWGKNTEVLFTLWPGARGGMYALAILFMFALAVLLEFRGYRVLEARLARRRAPRAAAALRTAVHAVRVGVAYLIMLALMSFNGGVFLAIVAGHAAGFLAFRAGLCGGGPAPPLEEDRKNDPVCC", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MECVQTLWLSLALALARGSWVVRGHPQPCGVPTRAGASVRLAALLPRAPAARARVLAALATPSPRLPHNLSLELVAVASPTRDPASLARGLCQVLAPPGVVASITFPEARPELRLLQFLAAATETPVLSVLRREVRAPLGAPTPFHLQLDWASPLETILDVLVSLVRAHAWEDIALVLCRVRDPSGLVTLWTSRASQAPKFVLDLSQLDSGNDSLRATLALLGTLEGGGTPVSAAVLLGCSTAHAHEVLEAAPPGPQWLLGTPLPAEALPKTGLPPGVLVLGETGQPSLEAAVHDMVELVARALSSMALMHPERALLPAAVNCEDLKTGGSESTARTLARFLSNTSFQGRTGAVWVAGSSQVHVSRHFKVWSLRRDPLGAPAWATVGSWQDGQLDFQPGAAALRVPSPSGTQARPKLRVVTLVEHPFVFTRESDEDGQCPAGQLCLDPGTNDSARLDALFTALENGSVPRTLRRCCYGYCIDLLERLAEDLAFDFELYIVGDGKYGALRDGRWTGLVGDLLAGRAHMAVTSFSINSARSQVVDFTSPFFSTSLGIMVRTRDTASPIGAFMWPLHWSMWVGVFAALHLTALFLTLYEWRSPYGLTPRGRNRGTVFSYSSALNLCYAILFGRTVSSKTPKCPTGRFLMNLWAIFCLLVLSSYTANLAAVMVGDKTFEELSGIHDPKLHHPSQGFRFGTVWESSAEAYIKASFPEMHAHMRRHSAPTTPHGVAMLTSDPPKLNAFIMDKSLLDYEVSIDADCKLLTVGKPFAIEGYGIGLPQNSPLTSNLSEFISRYKSSGFIDLLHDKWYKMVPCGKRVFAVTETLQMGVYHLSGLFVLLCLGLGSALLTSLGEHVFYRLVLPRIRRGNKLQYWLHTSQKIHRALNTGPPEGQQERAEQECSGPKEEQPAADGAGRWRRVRRAVVERERRVRFLLEPGEAGGDHPWLCSNGPGVQAELRELELRIEAARERLRSALLRRGELRAQLGDGTRLRPLRLLHAAPAES", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MALSGQDWRRHQSHRQHRNHRTQGNHQKLISTATLTLFVLFLSSWIAYAAGKATVPAPLVEGETESATSQDFNSSSAFLGAIASASSTGSGSGSGSGSGSGSGSGSYGLASMNSSPIAIVSYQGITSSNLGDSNTTLVPLSDTPLLLEEFAAGEFVLPPLTSIFVSIVLLIVILGTVVGNVLVCIAVCMVRKLRRPCNYLLVSLALSDLCVALLVMPMALLYEVLEKWNFGPLLCDIWVSFDVLCCTASILNLCAISVDRYLAITKPLEYGVKRTPRRMMLCVGIVWLAAACISLPPLLILGNEHEDEEGQPICTVCQNFAYQIYATLGSFYIPLSVMLFVYYQIFRAARRIVLEEKRAQTHLQQALNGTGSPSAPQAPPLGHTELASSGNGQRHSSVGNTSLTYSTCGGLSSGGGALAGHGSGGGVSGSTGLLGSPHHKKLRFQLAKEKKASTTLGIIMSAFTVCWLPFFILALIRPFETMHVPASLSSLFLWLGYANSLLNPIIYATLNRDFRKPFQEILYFRCSSLNTMMRENYYQDQYGEPPSQRVMLGDERHGARESFL", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAAPGLRDIPLLPGSPRRLSSRTVARGSQGPKHGQQYLKVPGHRAPGQRDNSSLHPSQVSRRESSRDRSVINNYLDANEPPSSEARLSRMHFHDNQRKVDYVLAYHYRKRGAHLGHGSPGHSLAVISNGETGKERHGGGPGDVELGPLDALEEERREQRDEFEHNLMAAGLELEKDLESKSQGSVFVRIHAPWQVLAREAEFLKIKVPTKKMYEIKAGGSIAKKFSAILQTLSSPLQPRVPEHSNNRMKNLSYPFSREKMYLYNIQEKDTFFDNATRSRIVHEILKRTACSRANNTMGINSLIANNIYEAAYPLHDGEYDSPGDDMNDRKLLYQEWARYGVFYKFQPIDLIRKYFGEKIGLYFAWLGLYTSFLIPSSVIGVIVFLYGCATIEEDIPSKEMCDHQNAFTMCPLCDKSCDYWNLSSACGTARASHLFDNPATVFFSIFMALWATMFLENWKRLQMRLGYFWDLTGIEEEEERSQEHSRPEYETKVREKLLKESGKSAVQKLEANSPEDDEDDEDKLTWKDRFPGYLMNFASILFMIALTFSIVFGVIVYRITTAAALSLNKATRSNVRVTVTATAVIINLVVILILDEIYGAVAKWLTKIEVPKTEQTFEERLILKAFLLKFVNAYSPIFYVAFFKGRFVGRPGSYVYVFDGYRMEECAPGGCLMELCIQLSIIMLGKQLIQNNIFEIGVPKLKKLFRKLKDETEPGESDPDHSKRPEQWDLDHSLEPYTGLTPEYMEMIIQFGFVTLFVASFPLAPVFALLNNVIEVRLDAKKFVTELRRPDAVRTKDIGIWFDILSGIGKFSVIINAFVIAVTSDFIPRLVYQYSYSHNGTLHGFVNHTLSFFNVSQLKEGTQPENSQFDQEVQFCRFKDYREPPWAPNPYEFSKQYWSVLSARLAFVIIFQNLVMFLSVLVDWMIPDIPTDISDQIKKEKSLLVDFFLKEEHEKVKLADEPTQRSQGGGDRSRRSRAASSAPSGRSQPGSIASSGSQHTNV", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEPVPSARAELQFSLLANVSDTFPSAFPSASANASGSPGARSASSLALAIAITALYSAVCAVGLLGNVLVMFGIVRYTKLKTATNIYIFNLALADALATSTLPFQSAKYLMETWPFGELLCKAVLSIDYYNMFTSIFTLTMMSVDRYIAVCHPVKALDFRTPAKAKLINICIWVLASGVGVPIMVMAVTQPRDGAVVCTLQFPSPSWYWDTVTKICVFLFAFVVPILIITVCYGLMLLRLRSVRLLSGSKEKDRSLRRITRMVLVVVGAFVVCWAPIHIFVIVWTLVDINRRDPLVVAALHLCIALGYANSSLNPVLYAFLDENFKRCFRQLCRAPCGGQEPGSLRRPRQATARERVTACTPSDGPGGGAAA", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MESPIQIFRGDPGPTCSPSACLLPNSSSWFPNWAESDSNGSVGSEDQQLESAHISPAIPVIITAVYSVVFVVGLVGNSLVMFVIIRYTKMKTATNIYIFNLALADALVTTTMPFQSAVYLMNSWPFGDVLCKIVISIDYYNMFTSIFTLTMMSVDRYIAVCHPVKALDFRTPLKAKIINICIWLLASSVGISAIVLGGTKVREDVDVIECSLQFPDDEYSWWDLFMKICVFVFAFVIPVLIIIVCYTLMILRLKSVRLLSGSREKDRNLRRITKLVLVVVAVFIICWTPIHIFILVEALGSTSHSTAALSSYYFCIALGYTNSSLNPVLYAFLDENFKRCFRDFCFPIKMRMERQSTNRVRNTVQDPASMRDVGGMNKPV", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGFIFSTEKVVYVLLLIFVCLENFGSNAQLLPEDEVQTLRTIFRKLQNQTVNIERTSCSDQNWNFVVESASNSPTSNITCDCTFNASSVCRVTNIQLKSFSLPGIFPPEFGNLTRLREIDLSRNFLNGTIPTTLSQIPLEILSVIGNRLSGPFPPQLGDITTLTDVNLETNLFTGPLPRNLGNLRSLKELLLSANNFTGQIPESLSNLKNLTEFRIDGNSLSGKIPDFIGNWTLLERLDLQGTSMEGPIPPSISNLTNLTELRITDLRGQAAFSFPDLRNLMKMKRLVLRNCLIRGPIPEYIGSMSELKTLDLSSNMLTGVIPDTFRNLDAFNFMFLNNNSLTGPVPQFIINSKENLDLSDNNFTQPPTLSCNQLDVNLISSYPSVTDNSVQWCLREGLPCPEDAKQSSLFINCGGSRLKIGKDTYTDDLNSRGQSTFSSVSERWGYSSSGVWLGKEDAGYLATDRFNLINGSTPEYYKTARLSPQSLKYYGLCLRRGSYKLQLHFAEIMFSNDQTFNSLGRRIFDIYVQGNLLERDFNIAERAGGVGKPFIRQIDGVQVNGSTLEIHLQWTGKGTNVIPTRGVYGPLISAITITPNFKVDTGKPLSNGAVAGIVIAACAVFGLLVLVILRLTGYLGGKEVDENEELRGLDLQTGSFTLKQIKRATNNFDPENKIGEGGFGPVYKGVLADGMTIAVKQLSSKSKQGNREFVTEIGMISALQHPNLVKLYGCCIEGKELLLVYEYLENNSLARALFGTEKQRLHLDWSTRNKICIGIAKGLAYLHEESRLKIVHRDIKATNVLLDLSLNAKISDFGLAKLNDDENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVCLEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGSLLELVDPDLGTSFSKKEAMRMLNIALLCTNPSPTLRPPMSSVVSMLEGKIKVQPPLVKREADPSGSAAMRFKALELLSQDSESQVSTYARNREQDISSSSMDGPWVDSSFSEPGKDVSLQQQEEGRSSSSSRKLLDDLTDVKIE", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGFCLALAWTLLVGAWTPLGAQNPISWEVQRFDGWYNNLMEHRWGSKGSRLQRLVPASYADGVYQPLGEPHLPNPRDLSNTISRGPAGLASLRNRTVLGVFFGYHVLSDLVSVETPGCPAEFLNIRIPPGDPMFDPDQRGDVVLPFQRSRWDPETGRSPSNPRDPANQVTGWLDGSAIYGSSHSWSDALRSFSRGQLASGPDPAFPRDSQNPLLMWAAPDPATGQNGPRGLYAFGAERGNREPFLQALGLLWFRYHNLWAQRLARQHPDWEDEELFQHARKRVIATYQNIAVYEWLPSFLQKTLPEYTGYRPFLDPSISSEFVAASEQFLSTMVPPGVYMRNASCHFQGVINRNSSVSRALRVCNSYWSREHPSLQSAEDVDALLLGMASQIAEREDHVLVEDVRDFWPGPLKFSRTDHLASCLQRGRDLGLPSYTKARAALGLSPITRWQDINPALSRSNDTVLEATAALYNQDLSWLELLPGGLLESHRDPGPLFSTIVLEQFVRLRDGDRYWFENTRNGLFSKKEIEEIRNTTLQDVLVAVINIDPSALQPNVFVWHKGDPCPQPRQLSTEGLPACAPSVVRDYFEGSGFGFGVTIGTLCCFPLVSLLSAWIVARLRMRNFKRLQGQDRQSIVSEKLVGGMEALEWQGHKEPCRPVLVYLQPGQIRVVDGRLTVLRTIQLQPPQKVNFVLSSNRGRRTLLLKIPKEYDLVLLFNLEEERQALVENLRGALKESGLSIQEWELREQELMRAAVTREQRRHLLETFFRHLFSQVLDINQADAGTLPLDSSQKVREALTCELSRAEFAESLGLKPQDMFVESMFSLADKDGNGYLSFREFLDILVVFMKGSPEEKSRLMFRMYDFDGNGLISKDEFIRMLRSFIEISNNCLSKAQLAEVVESMFRESGFQDKEELTWEDFHFMLRDHNSELRFTQLCVKGVEVPEVIKDLCRRASYISQDMICPSPRVSARCSRSDIETELTPQRLQCPMDTDPPQEIRRRFGKKVTSFQPLLFTEAHREKFQRSCLHQTVQQFKRFIENYRRHIGCVAVFYAIAGGLFLERAYYYAFAAHHTGITDTTRVGIILSRGTAASISFMFSYILLTMCRNLITFLRETFLNRYVPFDAAVDFHRLIASTAIVLTVLHSVGHVVNVYLFSISPLSVLSCLFPGLFHDDGSELPQKYYWWFFQTVPGLTGVVLLLILAIMYVFASHHFRRRSFRGFWLTHHLYILLYVLLIIHGSFALIQLPRFHIFFLVPAIIYGGDKLVSLSRKKVEISVVKAELLPSGVTHLRFQRPQGFEYKSGQWVRIACLALGTTEYHPFTLTSAPHEDTLSLHIRAAGPWTTRLREIYSAPTGDRCARYPKLYLDGPFGEGHQEWHKFEVSVLVGGGIGVTPFASILKDLVFKSSVSCQVFCKKIYFIWVTRTQRQFEWLADIIREVEENDHQDLVSVHIYITQLAEKFDLRTTMLYICERHFQKVLNRSLFTGLRSITHFGRPPFEPFFNSLQEVHPQVRKIGVFSCGPPGMTKNVEKACQLINRQDRTHFSHHYENF", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MWPLVAALLLGSACCGSAQLLFNKTKSVEFTFCNDTVVIPCFVTNMEAQNTTEVYVKWKFKGRDIYTFDGALNKSTVPTDFSSAKIEVSQLLKGDASLKMDKSDAVSHTGNYTCEVTELTREGETIIELKYRVVSWFSPNENILIVIFPIFAILLFWGQFGIKTLKYRSGGMDEKTIALLVAGLVITVIVIVGAILFVPGEYSLKNATGLGLIVTSTGILILLHYYVFSTAIGLTSFVIAILVIQVIAYILAVVGLSLCIAACIPMHGPLLISGLSILALAQLLGLVYMKFVASNQKTIQPPRKAVEEPLNAFKESKGMMNDE", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPGPLRLLCFFALGLLGSAGPSGAAPPLCAAPCSCDGDRRVDCSGKGLTAVPEGLSAFTQALDISMNNITQLPEDAFKNFPFLEELQLAGNDLSFIHPKALSGLKELKVLTLQNNQLKTVPSEAIRGLSALQSLRLDANHITSVPEDSFEGLVQLRHLWLDDNILTEVPVRPLSNLPTLQALTLALNNISSIPDFAFTNLSSLVVLHLHNNKIKSLSQHCFDGLDNLETLDLNYNNLDEFPQAIKALPSLKELGFHSNSISVIPDGAFAGNPLLRTIHLYDNPLSFVGNSAFHNLSDLHSLVIRGASLVQWFPNLAGTVHLESLTLTGTKISSIPDDLCQNQKMLRTLDLSYNDIRDLPSFNGCRALEEISLQRNQISLIKETTFQGLTSLRILDLSRNLIREIHSGAFAKLGTITNLDVSFNELTSFPTEGLNGLNQLKLVGNFQLKDALAARDFANLRSLSVPYAYQCCAFWGCDSYANLNTEDNSPQDHSVTKEKGATDAANATSTAESEEHSQIIIHCTPSTGAFKPCEYLLGSWMIRLTVWFIFLVALLFNLLVILTVFASCSSLPASKLFIGLISVSNLLMGIYTGILTFLDAVSWGRFAEFGIWWETGSGCKVAGSLAVFSSESAVFLLTLAAVERSVFAKDVMKNGKSSHLRQFQVAALVALLGAAIAGCFPLFHGGQYSASPLCLPFPTGETPSLGFTVTLVLLNSLAFLLMAIIYTKLYCNLEKEDPSENSQSSMIKHVAWLIFTNCIFFCPVAFFSFAPLITAISISPEIMKSVTLIFFPLPACLNPVLYVFFNPKFKDDWKLLKRRVTRKHGSVSVSISSQGGCGEQDFYYDCGMYSHLQGNLTVCDCCESFLLTKPVSCKHLIKSHSCPVLTVASCQRPEAYWSDCGTQSAHSDYADEEDSFVSDSSDQVQACGRACFYQSRGFPLVRYAYNLPRVRD", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAQRREPDPPPPQRRILRTQTVGSLGEAMLDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENETTLAGRQKSDAREMQSFYQHYYKKYIQALLNAADKADRAQLTKAYQTAAVLFEVLKAVNQTEDVEVADEILETHNKVEEKTQIYVPYNILPLDPDSQNQAIMRLPEIQAAVAALRNTRGLPWTAGHKKKLDEDILDWLQSMFGFQKDNVLNQREHLILLLANVHIRQFPKPDQQPKLDDRALTIVMKKLFRNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGSVSPMTGEHVKPAYGGEDEAFLQKVVTPIYQTISKEAKRSRGGKSKHSVWRNYDDLNEYFWSIRCFRLGWPMRADADFFCQTAEELRLERSEIKSNSGDRWMGKVNFVEIRSFWHIFRSFDRLWSFYILCLQAMIVIAWNGSGELSAIFQGDVFLKVLSVFITAAILKLAQAVLDIALSWKARHSMSLYVKLRYVMKVGAAAVWVVVMAVTYAYSWKNASGFSQTIKNWFGGHSHNSPSLFIVAILIYLSPNMLSALLFLFPFIRRYLERSDYKIMMLMMWWSQPRLYIGRGMHESALSLFKYTMFWIVLLISKLAFSYYAEIKPLVGPTKDIMRIHISVYSWHEFFPHAKNNLGVVIALWSPVILVYFMDTQIWYAIVSTLVGGLNGAFRRLGEIRTLGMLRSRFQSIPGAFNDCLVPQDNSDDTKKKRFRATFSRKFDQLPSSKDKEAARFAQMWNKIISSFREEDLISDREMELLLVPYWSDPDLDLIRWPPFLLASKIPIALDMAKDSNGKDRELKKRLAVDSYMTCAVRECYASFKNLINYLVVGEREGQVINDIFSKIDEHIEKETLITELNLSALPDLYGQFVRLIEYLLENREEDKDQIVIVLLNMLELVTRDIMEEEVPSLLETAHNGSYVKYDVMTPLHQQRKYFSQLRFPVYSQTEAWKEKIKRLHLLLTVKESAMDVPSNLEARRRLTFFSNSLFMDMPPAPKIRNMLSFSVLTPYFSEDVLFSIFGLEQQNEDGVSILFYLQKIFPDEWTNFLERVKCGNEEELRAREDLEEELRLWASYRGQTLTKTVRGMMYYRKALELQAFLDMAKDEELLKGYKALELTSEEASKSGGSLWAQCQALADMKFTFVVSCQQYSIHKRSGDQRAKDILRLMTTYPSIRVAYIDEVEQTHKESYKGTEEKIYYSALVKAAPQTKPMDSSESVQTLDQLIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLEKHGGVRCPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRVLASPLKVRFHYGHPDIFDRLFHLTRGGICKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDLYRLGHRFDFFRMLSCYFTTIGFYFSTMLTVLTVYVFLYGRLYLVLSGLEEGLSSQRAFRNNKPLEAALASQSFVQIGFLMALPMMMEIGLERGFHNALIEFVLMQLQLASVFFTFQLGTKTHYYGRTLFHGGAEYRGTGRGFVVFHAKFAENYRFYSRSHFVKGIELMILLLVYQIFGQSYRGVVTYILITVSIWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWIYNRGGIGVPPEKSWESWWEKELEHLRHSGVRGITLEIFLALRFFIFQYGLVYHLSTFKGKNQSFWVYGASWFVILFILLIVKGLGVGRRRFSTNFQLLFRIIKGLVFLTFVAILITFLALPLITIKDLFICMLAFMPTGWGMLLIAQACKPLIQQLGIWSSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKDRSSKNKE", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAGAARGLLWAALSLCLLPEPLRAAHIKKAEAAAAGGGGGVGGELRYLHAAELGQALRDLVAEAPPGLARLFSIGRSVEGRPLWVLRLTAGLPELPEARQDGEKKKKEEEEEEEEEEGEEGGGGALPGRPQVKLVGNMHGDEPLARPLLLRLAQELVRGWAGGDERLGRLLNTTDLYLLPSLNPDGFERAREGDCGGGGGGGGEGGGEPGGRENSRGRDLNRSFPDQFGSAQPDLEPVPEVRALIAWMRRNKFLLSGNLHGGSVVASYPYDDSPTHRPTGVYSKSADDEVFKYLAKAYASHHPIMRTGKPNCPGEEGETFQDGITNGAQWYDVEGGMQDYNYVWANCFEITLELSCCKYPPTSELQQEWENNRESLLTFIEKVHIGVKGFVRDAITGAGLENATIVVAGIAHNITAGKFGDYHRLLVPGTYNVTAVVMGYAPVTKENIEVKEADATVVDFSLQPTVVAPDPNLTQFTATPAPPSTLTPSVAQVEPPATTSLHQAVQPVDFRHHHFSDMEIFLRRYANEYPSITRLYSVGKSVELRELYVMEISDNPGIHEAGEPEFKYIGNMHGNEVVGRELLLNLIEYLCKNFGTDPEVTDLVQSTRIHIMPSMNPDGYEKSQEGDRGGTVGRNNSNNYDLNRNFPDQFFQVTDPPQPETLAVMSWLKTYPFVLSANLHGGSLVVNYPFDDDEQGIAIYSKSPDDAVFQQLALSYSKENKKMYQGSPCKDLYPTEYFPHGITNGAQWYNVPGGMQDWNYLNTNCFEVTIELGCVKYPKAEELPKYWEQNRRSLLQFIKQVHRGIWGFVLDATDGRGILNATISVADINHPVTTYKDGDYWRLLVQGTYKVTASARGYDPVTKTVEVDSKGGVQVNFTLSRTDAKVEEGKVPVLNTPDTSDPNEKEFETLIKDLSAENGLERLLLASSGKVSPYRYRPYKDLSEFLRGLYLNYPHITNLTSLGQSVEFRQIWSLEISNKPNHSEPEEPKIRFVAGIHGNAPVGTELLLALAEFLCMNYKKNSAVTKLIDRTRIVIVPSLNPDGREIAQERGCTSKLGHANAHGRDLDTDFTSNYSWYSGTREPETKAIIENLILKQDFSLSVALDGGSLLVTYPFDKPAQTVENKETLKHLASVYANNHPLMHLGQPGCPNKSDENIPGGVIRGSEWHSHLGSMKDFSVTFGHCPEITVYTSCCYFPSAGQLPGLWADHRKSLLSMLVEVHKGVHGFVQDKSGKAISKATIVLNEGLRVYTKEGGYFHVLLAPGLHNINAIADGYQQKHMKVLVRHDAPSSVFIVFDMENRIFGLPRELVVTVAGASMSALVLTACIIWCVCSIKSNRHKDGFPTLRQHHDDYEDEIRMMSTGSKKSLLSHEFQDETDTEEETLYSSKH", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTRQSLWDVSDTDVEDGEIRINVGGFKRRLRSHTLLRFPETRLGRLLLCHSREAILELCDDYDDVQREFYFDRNPELFPYVLHFYHTGKLHVMAELCVFSFSQEIEYWGINEFFIDSCCSYSYHGRKVEPEQEKWDEQSDQESTTSSFDEILAFYNDASKFDGQPLGNFRRQLWLALDNPGYSVLSRVFSVLSILVVLGSIITMCLNSLPDFQIPDSQGNPGEDPRFEIVEHFGIAWFTFELVARFAVAPDFLKFFKNALNLIDLMSIVPFYITLVVNLVVESSPTLANLGRVAQVLRLMRIFRILKLARHSTGLRSLGATLKYSYKEVGLLLLYLSVGISIFSVVAYTIEKEENEGLATIPACWWWATVSMTTVGYGDVVPGTTAGKLTASACILAGILVVVLPITLIFNKFSHFYRRQKQLESAMRSCDFGDGMKEVPSVNLRDYYAHKVKSLMASLTNMSRSSPSELSLDDSLH", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSTGPDVKATVGDISSDGNLNVAQEECSRKGFCSVRHGLALILQLCNFSIYTQQMNLSIAIPAMVNNTAPPSQPNASTERPSTDSQGYWNETLKEFKAMAPAYDWSPEIQGIILSSLNYGSFLAPIPSGYVAGIFGAKYVVGAGLFISSFLTLFIPLAANAGVALLIVLRIVQGIAQVMVLTGQYSIWVKWAPPLERSQLTTIAGSGSMLGSFIVLLAGGLLCQTIGWPYVFYIFGGIGCACCPLWFPLIYDDPVNHPFISAGEKRYIVCSLAQQDCSPGWSLPIRAMIKSLPLWAILVSYFCEYWLFYTIMAYTPTYISSVLQANLRDSGILSALPFVVGCICIILGGLLADFLLSRKILRLITIRKLFTAIGVLFPSVILVSLPWVRSSHSMTMTFLVLSSAISSFCESGALVNFLDIAPRYTGFLKGLLQVFAHIAGAISPTAAGFFISQDSEFGWRNVFLLSAAVNISGLVFYLIFGRADVQDWAKEQTFTHL", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MQRSPIEKANAFSKLFFRWPRPILKKGYRQKLELSDIYQIPSSDSADELSEMLEREWDRELATSKKNPKLVNALRRCFFWRFLFYGILLYFVEFTKAVQPLCLGRIIASYNAKNTYEREIAYYLALGLCLLFVVRTLFLHPAVFGLQHLGMQMRIALFSLIYKKILKMSSRVLDKIDTGQLVSLLSNNLNKFDEGVAVAHFVWIAPVQVVLLMGLIWNELTEFVFCGLGFLIMLALFQAWLGKKMMQYRDKRAGKINERLAITSEIIDNIQSVKVYCWEDAMEKIIDDIRQVELKLTRKVAYCRYFSSSAFFFSGFFVVFLSVVPYAFIHTIKLRRIFTTISYNIVLRMTVTRQFPSAIQTWYDSLGAIRKIQDFLHKDEHKTVEYNLTTKEVEMVNVTASWDEGIGELFEKVKQNDSERKMANGDDGLFFSNFSLHVTPVLKNISFKLEKGELLAIAGSTGSGKSSLLMMIMGELEPSDGKIKHSGRISYSPQVPWIMPGTIKDNIIFGLSYDEYRYTSVVNACQLEEDITVFPNKDKTVLGDGGITLSGGQRARISLARALYKDADLYLLDSPFSHLDVTTEKDIFESCLCKLMVNKTRILVTSKLEHLKKADKILLLHEGHCYFYGTFSELQGEKPDFSSQLLGSVHFDSFSAERRNSILTETFRRCSVSSGDGAGLGSYSETRKASFKQPPPEFNEKRKSSLIVNPITSNKKFSLVQTAMSYPQTNGMEDATSEPGERHFSLIPENELGEPTKPRSNIFKSELPFQAHRRQSVLALMTHSSTSPNKIHARRSAVRKMSMLSQTNFASSEIDIYSRRLSEDGSFEISEEINEEDLKECFADEEEIQNVTTTWSTYLRYVTTNRNLVFVLILCLVIFLAEVAASLAGLWIISGLAINTGSQTNDTSTDLSHLSVFSKFITNGSHYYIFYIYVGLADSFLALGVIRGLPLVHTLVTVSKDLHKQMLHSVLQGPMTAFNKMKAGRILNRFIKDTAIIDDMLPLTVFDFVQLILIVVGAICVVSVLQPYTLLAAIPVAVIFIMLRAYFLRTSQQLKQLESEARSPIFSHLITSLRGLWTVRAFGRQSYFETLFHKALNLHTANWFLYLSTLRWFQMRIDIVFVLFFIAVTFIAIATHDVGEGQVGIILTLAMNITSTLQWAVNSSIDVDGLMRSVSRVFKYIDIPPEGSETKNRHNANNPSDVLVIENKHLTKEWPSGGQMMVNNLTAKYTSDGRAVLQDLSFSVNAGQRVGLLGRTGAGKSTLLSALLRLLSTEGEIQIDGISWNSVSLQKWRKAFGVIPQKVFVFSGTFRKNLDPYEQWSDEEIWKVTEEVGLKSMIEQFPDKLNFVLVDGGYILSNGHKQLMCLARSILSKAKILLLDEPTAHLDPVTFQIIRKTLKHTFSNCTVILSEHRVEALLECQQFLVIEGCSVKQFDALQKLLTEASLFKQVFGHLDRAKLFTAHRRNSSKRKTRPKISALQEEAEEDLQETRL", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRGLPNFYHSYTFFFFFLLILFPAYSISANTLSASESLTISSNNTIVSPGNVFELGFFKPGLDSRWYLGIWYKAISKRTYVWVANRDTPLSSSIGTLKISDSNLVVLDQSDTPVWSTNLTGGDVRSPLVAELLDNGNFVLRDSKNSAPDGVLWQSFDFPTDTLLPEMKLGWDAKTGFNRFIRSWKSPDDPSSGDFSFKLETEGFPEIFLWNRESRMYRSGPWNGIRFSGVPEMQPFEYMVFNFTTSKEEVTYSFRITKSDVYSRLSISSSGLLQRFTWIETAQNWNQFWYAPKDQCDEYKECGVYGYCDSNTSPVCNCIKGFKPRNPQVWGLRDGSDGCVRKTLLSCGGGDGFVRLKKMKLPDTTTASVDRGIGVKECEQKCLRDCNCTAFANTDIRGSGSGCVTWTGELFDIRNYAKGGQDLYVRLAATDLEDKRNRSAKIIGSSIGVSVLLLLSFIIFFLWKRKQKRSILIETPIVDHQLRSRDLLMNEVVISSRRHISRENNTDDLELPLMEFEEVAMATNNFSNANKLGQGGFGIVYKGKLLDGQEMAVKRLSKTSVQGTDEFKNEVKLIARLQHINLVRLLACCVDAGEKMLIYEYLENLSLDSHLFDKSRNSKLNWQMRFDIINGIARGLLYLHQDSRFRIIHRDLKASNILLDKYMTPKISDFGMARIFGRDETEANTRKVVGTYGYMSPEYAMDGIFSMKSDVFSFGVLLLEIISSKRNKGFYNSDRDLNLLGCVWRNWKEGKGLEIIDPIITDSSSTFRQHEILRCIQIGLLCVQERAEDRPTMSLVILMLGSESTTIPQPKAPGYCLERSLLDTDSSSSKQRDDESWTVNQITVSVLDAR", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLQSQPIRRKLVVVGDGACGKTSLLSVFTLGYFPTEYVPTVFENYVSDCRVDGKSVQLALWDTAGQEEYERLRPMSYAKAHIILVGFAIDSPDSLENVSTKWIEEINTLCPNVPFILVGMKADLRSDPVAIEEMRRRNQNFVKSQQAELVAQRIGARKYMECSSLTGDGVDDVFEAATRAALTVRDSENDKSSTKCCIIS", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEDIPTMVKVDRGESQILSCRGRRCGLKVLGYVTGDMKEFANWLKDKPVVLQFMDWILRGISQVVFVSNPISGILILAGLLVQNPWWALCGCVGTVVSTLTALLLSQDRSAIAAGLQGYNATLVGILMAVFSDKGDYFWWLIFPVSAMSMTCPVFSSALSSLFSKWDLPVFTLPFNMALSLYLSATGHYNTFFPSKLFMPVSSVPNITWSELSALELLKSLPVGVGQIYGCDNPWTGAIFLCAILLSSPLMCLHAAIGSLLGVIAGLSLAAPFKDIYSGLWGFNSSLACIAIGGMFMALTWQTHLLALACALFTAYFGACMTHLMAAVHLPACTWSFCLATLLFLLLTTENPNIYRMPLSKVTYSEENRIFYLQNKKRVVDSPL", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAGAFDFDLEKNPPVVQSTADNSSDGAVPGETFTYGDSTYAKIQRLAAELNIEQRGIERVPAAEQTDTSVFNIGSMWLAANMVVSSFAIGVLGKSVYSLGFVDAILTVLFFNLLGIMTVCFFSCFGPFGLRQMVFSRLWFGWYVTKGFAVLNILACLGWSAANAIVGAQMLHAVNSDVPGFAAILIISICTLLVTFAGYKVVHLYEYWSWIPTFIVFMIILGTFAHSGDFQNIPMGVGTSEMGSVLSFGSAVYGFATGWTSYAADYTVYQPANRSKRKIFLSTWLGLIVPLLFVEMLGVAVMTATDIKGSKYDVGYATSGNGGLIAAVLQPLGGFGDFCLVILALSIVANNCPNFYSVALTVQVLSRYAQRVPRFIWTLFGTGVSIAIAIPGYSHFETVLENFMNFIAYWLAIYSAIAIMDHFVFKRGFSGYVVENFDKREKLPVGIAATIAFGFGVAGMITGMSQPWYVGPIARHAAGGDVGFELGFAFAAFSYLCLRPFEIKFFGR", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKKEVCSVAFFKAVFAEFLATLIFVFFGLGSALKWPSALPTILQISIAFGLAIGTLAQALGPVSGGHINPAITLALLIGNQISLLRAIFYVAAQLVGAIAGAGILYWLAPGNARGNLAVNALSNNTTPGKAVVVELILTFQLALCIFSSTDSRRTSPVGSPALSIGLSVTLGHLVGIYFTGCSMNPARSFGPAVVMNRFSPSHWVFWVGPIVGAVLAAILYFYLLFPSSLSLHDRVAVVKGTYEPEEDWEDHREERKKTIELTAH", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPSETAVPPPHPIPPDGGWGWVVVGAAFISIGFSYAFPKAVTVFFKDIQQIFQASYSEIAWISSIMLAVMYAGGPISSVLVNNYGSRPVVIIGGLLCCTGMILASFSNSVLELYLTIGFIGGLGLAFNLQPALTIIGKYFYRRRPMANGLAMAGSPVFLSSLAPFNQYLFNSYGWKGSFLILGGIFLHSCVAGCLMRPVQTSPRKSKSKSKVGSRQDGSMKKASKVSTAEKINRFLDFSLFKHRGFLIYLSGNVIMFLGFFAPIIFLAPYAKDKGVDEYNAALLLSVMAFVDMFARPTGGLIANSKLIRPRIQYFFSFAIVFTGICHLLCPLADTYPALVVYSIFFGYGFGSVSSVLFETLMDLVGPARFSSAVGLATIVECCPVLLGPPLAGKLVDKTKDYKYMYIASGTIVVISGIYLFIGNAINYRLLAKERKREKARKKKSATHPSRESEALSRSKQDDVSVKVSNPHNSPSDRERESNI", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGCKNLLGLGQQMLRRKVVDCSREESRLSRCLNTYDLVALGVGSTLGAGVYVLAGAVARENAGPAIVISFLIAALASVLAGLCYGEFGARVPKTGSAYLYSYVTVGELWAFITGWNLILSYIIGTSSVARAWSATFDELIGKPIGEFSRQHMALNAPGVLAQTPDIFAVIIIIILTGLLTLGVKESAMVNKIFTCINVLVLCFIVVSGFVKGSIKNWQLTEKNFSCNNNDTNVKYGEGGFMPFGFSGVLSGAATCFYAFVGFDCIATTGEEVKNPQKAIPVGIVASLLICFIAYFGVSAALTLMMPYFCLDIDSPLPGAFKHQGWEEAKYAVAIGSLCALSTSLLGSMFPMPRVIYAMAEDGLLFKFLAKINNRTKTPVIATVTSGAIAAVMAFLFELKDLVDLMSIGTLLAYSLVAACVLVLRYQPEQPNLVYQMARTTEELDRVDQNELVSASESQTGFLPVAEKFSLKSILSPKNVEPSKFSGLIVNISAGLLAALIITVCIVAVLGREALAEGTLWAVFVMTGSVLLCMLVTGIIWRQPESKTKLSFKVPFVPVLPVLSIFVNIYLMMQLDQGTWVRFAVWMLIGFTIYFGYGIWHSEEASLAAGQAKTPDSNLDQCK", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATWIVGKLIIASLILGIQAQQARTKSQDIFEDDNDNGTTTLESLARLTSPIHIPIEQPQTSDSKILAHLFTSGYDFRVRPPTDNGGPVVVSVNMLLRTISKIDVVNMEYSAQLTLRESWIDKRLSYGVKGDGQPDFVILTVGHQIWMPDTFFPNEKQAYKHTIDKPNVLIRIHNDGTVLYSVRISLVLSCPMYLQYYPMDVQQCSIDLASYAYTTKDIEYLWKEHSPLQLKVGLSSSLPSFQLTNTSTTYCTSVTNTGIYSCLRTTIQLKREFSFYLLQLYIPSCMLVIVSWVSFWFDRTAIPARVTLGVTTLLTMTAQSAGINSQLPPVSYIKAIDVWIGACMTFIFCALLEFALVNHIANKQGVERKARTEREKAEIPLLQNLHNDVPTKVFNQEEKVRTVPLNRRQMNSFLNLLETKTEWNDISKRVDLISRALFPVLFFVFNILYWSRFGQQNVLF", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPWSSRGALLRDLVLGVLGTAAFLLDLGTDLWAAVQYALGGRYLWAALVLALLGLASVALQLFSWLWLRADPAGLHGSQPPRRCLALLHLLQLGYLYRCVQELRQGLLVWQQEEPSEFDLAYADFLALDISMLRLFETFLETAPQLTLVLAIMLQSGRAEYYQWVGICTSFLGISWALLDYHRALRTCLPSKPLLGLGSSVIYFLWNLLLLWPRVLAVALFSALFPSYVALHFLGLWLVLLLWVWLQGTDFMPDPSSEWLYRVTVATILYFSWFNVAEGRTRGRAIIHFAFLLSDSILLVATWVTHSSWLPSGIPLQLWLPVGCGCFFLGLALRLVYYHWLHPSCCWKPDPDQVDGARSLLSPEGYQLPQNRRMTHLAQKFFPKAKDEAASPVKG", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MATPGPRDIPLLPGSPRRLSPQAGSRGGQGPKHGQQCLKMPGPRAPGLQGGSNRDPGQPCGGESTRSSSVINNYLDANEPVSLEARLSRMHFHDSQRKVDYVLAYHYRKRGVHLAQGFPGHSLAIVSNGETGKEPHAGGPGDIELGPLDALEEERKEQREEFEHNLMEAGLELEKDLENKSQGSIFVRIHAPWQVLAREAEFLKIKVPTKKEMYEIKAGGSIAKKFSAALQKLSSHLQPRVPEHSNNKMKNLSYPFSREKMYLYNIQEKDTFFDNATRSRIVHEILKRTACSRANNTMGINSLIANNIYEAAYPLHDGEYDSPEDDMNDRKLLYQEWARYGVFYKFQPIDLIRKYFGEKIGLYFAWLGLYTSFLIPSSVIGVIVFLYGCATIEEDIPSREMCDQQNAFTMCPLCDKSCDYWNLSSACGTAQASHLFDNPATVFFSIFMALWATMFLENWKRLQMRLGYFWDLTGIEEEEERAQEHSRPEYETKVREKMLKESNQSAVQKLETNTTECGDEDDEDKLTWKDRFPGYLMNFASILFMIALTFSIVFGVIVYRITTAAALSLNKATRSNVRVTVTATAVIINLVVILILDEIYGAVAKWLTKIEVPKTEQTFEERLILKAFLLKFVNAYSPIFYVAFFKGRFVGRPGSYVYVFDGYRMEECAPGGCLMELCIQLSIIMLGKQLIQNNIFEIGVPKLKKLFRKLKDETEAGETDSAHSKHPEQWDLDYSLEPYTGLTPEYMEMIIQFGFVTLFVASFPLAPVFALLNNVIEVRLDAKKFVTELRRPDAVRTKDIGIWFDILSGIGKFSVISNAFVIAITSDFIPRLVYQYSYSHNGTLHGFVNHTLSFFNVSQLKEGTQPENSQFDQEVQFCRFKDYREPPWAPNPYEFSKQYWFILSARLAFVIIFQNLVMFLSVLVDWMIPDIPTDISDQIKKEKSLLVDFFLKEEHEKLKLMDEPALRSPGGGDRSRSRAASSAPSGQSQLGSMMSSGSQHTNV", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAVKLGTLLLALALGLAQPASARRKLLVFLLDGFRSDYISDEALESLPGFKEIVSRGVKVDYLTPDFPSLSYPNYYTLMTGRHCEVHQMIGNYMWDPTTNKSFDIGVNKDSLMPLWWNGSEPLWVTLTKAKRKVYMYYWPGCEVEILGVRPTYCLEYKNVPTDINFANAVSDALDSFKSGRADLAAIYHERIDVEGHHYGPASPQRKDALKAVDTVLKYMTKWIQERGLQDRLNVIIFSDHGMTDIFWMDKVIELNKYISLNDLQQVKDRGPVVSLWPAPGKHSEIYNKLSTVEHMTVYEKEAIPSRFYYKKGKFVSPLTLVADEGWFITENREMLPFWMNSTGRREGWQRGWHGYDNELMDMRGIFLAFGPDFKSNFRAAPIRSVDVYNVMCNVVGITPLPNNGSWSRVMCMLKGRASTAPPVWPSHCALALILLFLLA", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSNKVHVGSLEMEEGLSKTKWMVLEPSEKIKKIPKRLWNVGKEDPRRVIHALKVGLSLTLVSLLYLMEPLFKGIGSNAIWAVMTVVVVLEFSAGATLCKGLNRGLGTLIAGSLAFFIEFVANDSGKVLRAIFIGTAVFIIGAAATYIRFIPYIKKNYDYGVVIFLLTFNLITVSSYRVDSVINIAHDRFYTIAVGCGICLFMSLLVFPIWSGEDLHKTTVGKLQGLSRSIEACVDEYFEEKEKEKTDSKDRIYEGYQAVLDSKSTDETLALYANWEPRHTLRCHRFPCQQYVKVGAVLRQFGYTVVALHGCLQTEIQTPRSVRALFKDPCVRLAGEVCKALTELADSISNHRHCSPEILSDHLHVALQDLNSAIKSQPKLFLGSNLHRHNNKHQNGSISNNKHHQRNSSNSGKDLNGDVSLQNTETGTRKITETGSRQGQNGAVSLSSFRTDTSALMEYRRSFKNSNSEMSAAGERRMLRPQLSKIAVMTSLEFSEALPFAAFASLLVEMVARLDNVIEEVEELGRIASFKEYDNKRDQTADDVRCENPANVTISVGAAE", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MWLSPSLLLLILPGYSIAAKITGPTTVNGSEQGSLTVQCAYGSGWETYLKWRCQGADWNYCNILVKTNGSEQEVKKNRVSIRDNQKNHVFTVTMENLKRDDADSYWCGTERPGIDLGVKVQVTINPGTQTAVSEWTTTTASLAFTAAATQKTSSPLTRSPLKSTHFLFLFLLELPLLLSMLGTVLWVNRPQRRS", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLLLLLSIIVLHVAVLVLLFVSTIVSQWIVGNGHATDLWQNCSTSSSGNVHHCFSSSPNEWLQSVQATMILSIIFSILSLFLFFCQLFTLTKGGRFYITGIFQILAGLCVMSAAAIYTVRHPEWHLNSDYSYGFAYILAWVAFPLALLSGVIYVILRKRE", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPPMQARTLSVYNVMVPLVCLLLFFSTPTHGLSDSEAILKFKESLVVGQENALASWNAKSPPCTWSGVLCNGGSVWRLQMENLELSGSIDIEALSGLTSLRTLSFMNNKFEGPFPDFKKLAALKSLYLSNNQFGGDIPGDAFEGMGWLKKVHLAQNKFTGQIPSSVAKLPKLLELRLDGNQFTGEIPEFEHQLHLLNLSNNALTGPIPESLSMTDPKVFEGNKGLYGKPLETECDSPYIEHPPQSEARPKSSSRGPLVITAIVAALTILIILGVIFLLNRSYKNKKPRLAVETGPSSLQKKTGIREADQSRRDRKKADHRKGSGTTKRMGAAAGVENTKLSFLREDREKFDLQDLLKASAEILGSGCFGASYKAVLSSGQMMVVKRFKQMNNAGRDEFQEHMKRLGRLMHHNLLSIVAYYYRKEEKLLVCDFAERGSLAINLHSNQSLGKPSLDWPTRLKIVKGVAKGLFYLHQDLPSLMAPHGHLKSSNVLLTKTFEPLLTDYGLIPLINQEKAQMHMAAYRSPEYLQHRRITKKTDVWGLGILILEILTGKFPANFSQSSEEDLASWVNSGFHGVWAPSLFDKGMGKTSHCEGQILKLLTIGLNCCEPDVEKRLDIGQAVEKIEELKEREGDDDDFYSTYVSETDGRSSKGESCESISFA", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASSVTGDAETAISADSSTKRRGGGWITFPFMIATLLGLTIAAWGWLLNLIVYLIEEFNVKSIAAAQIANIVSGCICMVPAVAAIASDSFFGTIPVISVSAFISLMGVALLTLTASLDTLRPRPCETASILCQSPSKTQLGVLYTAITLASIGTGGTRFTLATAGANQYEKTKDQGSFFNWFFFTTYLAGAISATAIVYTEDNISWTLGFGLSVAANFFSFLVFVSGKRFYKHDKPLGSPFTSLLCVIFAALRKRKAVVSTNEKDYHNESITMPTKSFRFFNRAALKQEDEVKPDGTIRNPWRLCSVQQVEDFKAVIRIIPLALATIFLSTPIAMQLSLTVLQGLVMDRRLGPSFKIPAGSLQVITLLSTCLFIIVNDRVLYPFYQKLTGKHLTPLQRVGIGHAFNILSMAVTAIVEAKRLKIVQKGHFLGSSSVADMSVLWLFPPLVIVGIGEAFHFPGNVALCYQEFPESMRSTATSITSVVIGICFYTSTALIDLIQRTTAWLPDDINHGRVDNVYWILVIGGVLNLGYFLVCSWLYRYRNLKDDDHKQAANVSH", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MWRPSISNCVWSTLLLAIFVQQTLAQRTPTISYITQEQIKDIGGTVEFDCSVQYAKEYNVLFLKTDSDPVFLSTGSTLVIKDSRFSLRYDPNSSTYKLQIKDIQETDAGTYTCQVVISTVHKVSAEVKLSVRRPPVISDNSTQSVVASEGSEVQMECYASGYPTPTITWRRENNAILPTDSATYVGNTLRIKSVKKEDRGTYYCVADNGVSKGDRRNINVEVEFAPVITVPRPRLGQALQYDMDLECHIEAYPPPAIVWTKDDIQLANNQHYSISHFATADEYTDSTLRVITVEKRQYGDYVCKATNRFGEAEARVNLFETIIPVCPPACGQAYIAGAEDVSATSFALVGILAALLFAR", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MIASQFLSALTLVLLIKESGAWSYNTSTEAMTYDEASAYCQQRYTHLVAIQNKEEIEYLNSILSYSPSYYWIGIRKVNNVWVWVGTQKPLTEEAKNWAPGEPNNRQKDEDCVEIYIKREKDVGMWNDERCSKKKLALCYTAACTNTSCSGHGECVETINNYTCKCDPGFSGLKCEQIVNCTALESPEHGSLVCSHPLGNFSYNSSCSISCDRGYLPSSMETMQCMSSGEWSAPIPACNVVECDAVTNPANGFVECFQNPGSFPWNTTCTFDCEEGFELMGAQSLQCTSSGNWDNEKPTCKAVTCRAVRQPQNGSVRCSHSPAGEFTFKSSCNFTCEEGFMLQGPAQVECTTQGQWTQQIPVCEAFQCTALSNPERGYMNCLPSASGSFRYGSSCEFSCEQGFVLKGSKRLQCGPTGEWDNEKPTCEAVRCDAVHQPPKGLVRCAHSPIGEFTYKSSCAFSCEEGFELHGSTQLECTSQGQWTEEVPSCQVVKCSSLAVPGKINMSCSGEPVFGTVCKFACPEGWTLNGSAARTCGATGHWSGLLPTCEAPTESNIPLVAGLSAAGLSLLTLAPFLLWLRKCLRKAKKFVPASSCQSLESDGSYQKPSYIL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAALQEKKSCSQRMEEFQRYCWNPDTGQMLGRTLSRWVWISLYYVAFYVVMSGIFALCIYVLMRTIDPYTPDYQDQLKSPGVTLRPDVYGEKGLDISYNVSDSTTWAGLAHTLHRFLAGYSPAAQEGSINCTSEKYFFQESFLAPNHTKFSCKFTADMLQNCSGRPDPTFGFAEGKPCFIIKMNRIVKFLPGNSTAPRVDCAFLDQPRDGPPLQVEYFPANGTYSLHYFPYYGKKAQPHYSNPLVAAKLLNVPRNRDVVIVCKILAEHVSFDNPHDPYEGKVEFKLKIQK", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNHMGMNHMEMHHHMGMNHTDDNITMPPHHHPTTSASHSHGGGDSMMMMPMTFYFDFKNVNLLFSGLVINTPGEMAGAFVAVFLLAMFYEGLKIAREGLLRKSQVSIRYNSMPVPGPNGTILMETHKTVGQQMLSFPHLLQTVLHIIQVVISYFLMLIFMTYNGYLCIAVAAGAGTGYFLFSWKKAVVVDITEHCH", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVSCLCFRPSRKTKLKDKSHKRSIRNQTSSSSAQPAGTAKEVDSSSSQTVVQDSSRYRCQIFSYRELAIATNSFRNESLIGRGGFGTVYKGRLSTGQNIAVKMLDQSGIQGDKEFLVEVLMLSLLHHRNLVHLFGYCAEGDQRLVVYEYMPLGSVEDHLYDLSEGQEALDWKTRMKIALGAAKGLAFLHNEAQPPVIYRDLKTSNILLDHDYKPKLSDFGLAKFGPSDDMSHVSTRVMGTHGYCAPEYANTGKLTLKSDIYSFGVVLLELISGRKALMPSSECVGNQSRYLVHWARPLFLNGRIRQIVDPRLARKGGFSNILLYRGIEVAFLCLAEEANARPSISQVVECLKYIIDHTIRKERRTRRRLLGGNKDGAGTSRSPDETMMRMLEEEEEYVTSEEAIERRRVIVDDARTWAGMNRRGATPPTPTP", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEISLVPLENGSAMTLRGGGEAGASCVQTPRGECGCPPTSGLNNQSKETLLRGRTTLEDANQGGRPLPPMAQELPQPRRLSAEDEEGEGDPGLGTVEEDQAPQDAGSLHHQRVLINISGLRFETQLGTLAQFPNTLLGDPAKRLHYFDPLRNEYFFDRNRPSFDGILYYYQSGGRLRRPVNVSLDVFADEIRFYQLGDEAMERFREDEGFIKEEEKPLPRNEFQRQVWLIFEYPESSGSARAIAIVSVLVILISIITFCLETLPEFRDERELLRHPPVPPQPPAPAPGINGSVSGALSSGPTVAPLLPRTLADPFFIVETTCVIWFTFELLVRFFACPSKAEFSRNIMNIIDVVAIFPYFITLGTELAEQQPGGGGQNGQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGKTLQASMRELGLLIFFLFIGVILFSSAVYFAEADNHGSHFSSIPDAFWWAVVTMTTVGYGDMRPITVGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETDHEEQAALKEEQGNQRRESGLDTGGQRKVSCSKASFCKTGGSLESSDSIRRGSCPLEKCHLKAKSNVDLRRSLYALCLDTSRETDL", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRDNNNNNTREEERSSSSKQQQPQAPMSLKIIDSCLRLSVVPLSVATIWLTVTNHESNPDYGNLEYNSIMGLKYMVGVSAISAIYALLSTVSSWVTCLVSKAWLFFIPDQVLAYVMTTSVAGATEIVYLLNKGDKIVTWSEMCSSYPHYCSKLTIALGLHVFVLFFFLFLSVISAYRAFSPFDPPCDSQTNNDA", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLYCLILLLCLSSTYLPSLSLNQDATILRQAKLGLSDPAQSLSSWSDNNDVTPCKWLGVSCDATSNVVSVDLSSFMLVGPFPSILCHLPSLHSLSLYNNSINGSLSADDFDTCHNLISLDLSENLLVGSIPKSLPFNLPNLKFLEISGNNLSDTIPSSFGEFRKLESLNLAGNFLSGTIPASLGNVTTLKELKLAYNLFSPSQIPSQLGNLTELQVLWLAGCNLVGPIPPSLSRLTSLVNLDLTFNQLTGSIPSWITQLKTVEQIELFNNSFSGELPESMGNMTTLKRFDASMNKLTGKIPDNLNLLNLESLNLFENMLEGPLPESITRSKTLSELKLFNNRLTGVLPSQLGANSPLQYVDLSYNRFSGEIPANVCGEGKLEYLILIDNSFSGEISNNLGKCKSLTRVRLSNNKLSGQIPHGFWGLPRLSLLELSDNSFTGSIPKTIIGAKNLSNLRISKNRFSGSIPNEIGSLNGIIEISGAENDFSGEIPESLVKLKQLSRLDLSKNQLSGEIPRELRGWKNLNELNLANNHLSGEIPKEVGILPVLNYLDLSSNQFSGEIPLELQNLKLNVLNLSYNHLSGKIPPLYANKIYAHDFIGNPGLCVDLDGLCRKITRSKNIGYVWILLTIFLLAGLVFVVGIVMFIAKCRKLRALKSSTLAASKWRSFHKLHFSEHEIADCLDEKNVIGFGSSGKVYKVELRGGEVVAVKKLNKSVKGGDDEYSSDSLNRDVFAAEVETLGTIRHKSIVRLWCCCSSGDCKLLVYEYMPNGSLADVLHGDRKGGVVLGWPERLRIALDAAEGLSYLHHDCVPPIVHRDVKSSNILLDSDYGAKVADFGIAKVGQMSGSKTPEAMSGIAGSCGYIAPEYVYTLRVNEKSDIYSFGVVLLELVTGKQPTDSELGDKDMAKWVCTALDKCGLEPVIDPKLDLKFKEEISKVIHIGLLCTSPLPLNRPSMRKVVIMLQEVSGAVPCSSPNTSKRSKTGGKLSPYYTEDLNSV", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MMQIPFTRIFKVIFVLSTIVAVTDCCSSRILLLREHTLKIVQHQHSHMHEHAHELQQQIQETAVELLNRLELQRKQLEASAQEEADQLHPDTDPNPDSGGQLPNADDSIAADPEQDGIILGSSTDTWLASESSTPITDSETVTTPETVTHTGEPPPDPSSSSTPDSTTPSPNDKETEIQMLPCSEAYNTSFCLNGGHCFQHPMVNNTVFHSCLCVNDYDGERCAYKSWNGDYIYSPPTAQRKVRMAHIVFSFPVLLMLSSLYVLFAAVFMLRNVPDYRRKQQQLHLHKQRFFVRC", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVQFLGKQGTAGELIHMVTLDKTGKKSFGICIVRGEVKDSPNTKTTGIFIKGIVPDSPAHLCGRLKVGDRILSLNGKDVRNSTEQAVIDLIKEADFKIELEIQTFDKSDEQQAKSDPRSNGYMQAKNKFNQEQTTNNNASGGQGMGQGQGQGQGMAGMNRQQSMQKRNTTFTASMRQKHSNYADEDDEDTRDMTGRIRTEAGYEIDRASAGNCKLNKQEKDRDKEQEDEFGYTMAKINKRYNMMKDLRRIEVQRDASKPLGLALAGHKDRQKMACFVAGVDPNGALGSVDIKPGDEIVEVNGNVLKNRCHLNASAVFKNVDGDKLVMITSRRKPNDEGMCVKPIKKFPTASDETKFIFDQFPKARTVQVRKEGFLGIMVIYGKHAEVGSGIFISDLREGSNAELAGVKVGDMLLAVNQDVTLESNYDDATGLLKRAEGVVTMILLTLKSEEAIKAEKAAEEKKKEEAKKEEEKPQEPATAEIKPNKKILIELKVEKKPMGVIVCGGKNNHVTTGCVITHVYPEGQVAADKRLKIFDHICDINGTPIHVGSMTTLKVHQLFHTTYEKAVTLTVFRADPPELEKFNVDLMKKAGKELGLSLSPNEIGCTIADLIQGQYPEIDSKLQRGDIITKFNGDALEGLPFQVCYALFKGANGKVSMEVTRPKPTLRTEAPKA", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLSSVMAPLWACILVAAGILATDTHHPQDSALYHLSKQLLQKYHKEVRPVYNWTKATTVYLDLFVHAILDVDAENQILKTSVWYQEVWNDEFLSWNSSMFDEIREISLPLSAIWAPDIIINEFVDIERYPDLPYVYVNSSGTIENYKPIQVVSACSLETYAFPFDVQNCSLTFKSILHTVEDVDLAFLRSPEDIQHDKKAFLNDSEWELLSVSSTYSILQSSAGGFAQIQFNVVMRRHPLVYVVSLLIPSIFLMLVDLGSFYLPPNCRARIVFKTSVLVGYTVFRVNMSNQVPRSVGSTPLIGHFFTICMAFLVLSLAKSIVLVKFLHDEQRGGQEQPFLCLRGDTDADRPRVEPRAQRAVVTESSLYGEHLAQPGTLKEVWSQLQSISNYLQTQDQTDQQEAEWLVLLSRFDRLLFQSYLFMLGIYTITLCSLWALWGGV", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSLNRQLLFTYYFIVSLILFSDFVSSATLPKEEVDALQSVATALKKSNWNFSVDPCDETLSEGGWRNPNAAKGFEDAVTCNCSSVICHVTNIVLKAQDLQGSLPTDLSGLPFLQELDLTRNYLNGSIPPEWGASSLLNISLLGNRISGSIPKELGNLTTLSGLVLEYNQLSGKIPPELGNLPNLKRLLLSSNNLSGEIPSTFAKLTTLTDLRISDNQFTGAIPDFIQNWKGLEKLVIQASGLVGPIPSAIGLLGTLTDLRITDLSGPESPFPPLRNMTSMKYLILRNCNLTGDLPAYLGQNRKLKNLDLSFNKLSGPIPATYSGLSDVDFIYFTSNMLNGQVPSWMVDQGDTIDITYNNFSKDKTEECQQKSVNTFSSTSPLVANNSSNVSCLSKYTCPKTFYGLHINCGGNEITSNETKYDADTWDTPGYYDSKNGWVSSNTGNFLDDDRTNNGKSKWSNSSELKITNSSIDFRLYTQARLSAISLTYQALCLGKGNYTVNLHFAEIMFNEKNMYSNLGRRYFDIYVQGKREVKDFNIVDEAKGVGKAVVKKFPVMVTNGKLEIRLQWAGKGTQAIPVRGVYGPLISAVSVDPDFIPPKEPGTGTGGGSSVGTVVGSVIASTVFLVLLIGGILWWRGCLRPKSQMEKDFKNLDFQISSFSLRQIKVATDNFDPANKIGEGGFGPVHKGIMTDGTVIAVKQLSAKSKQGNREFLNEIAMISALQHPHLVKLYGCCVEGDQLLLVYEYLENNSLARALFGPQETQIPLNWPMRQKICVGIARGLAYLHEESRLKIVHRDIKATNVLLDKELNPKISDFGLAKLDEEENTHISTRVAGTYGYMAPEYAMRGHLTDKADVYSFGVVALEIVHGKSNTSSRSKADTFYLLDWVHVLREQNTLLEVVDPRLGTDYNKQEALMMIQIGMLCTSPAPGDRPSMSTVVSMLEGHSTVNVEKLLEASVNNEKDEESVRAMKRHYATIGEEEITNTTTTDGPFTSSSTSTANANDLYPVKLDSAYWNTRT", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MESGSTAASEEARSLRECELYVQKHNIQALLKDSIVQLCTARPERPMAFLREYFERLEKEEAKQIQNLQKAGTRTDSREDEISPPPPNPVVKGRRRRGAISAEVYTEEDAASYVRKVIPKDYKTMAALAKAIEKNVLFSHLDDNERSDIFDAMFSVSFIAGETVIQQGDEGDNFYVIDQGETDVYVNNEWATSVGEGGSFGELALIYGTPRAATVKAKTNVKLWGIDRDSYRRILMGSTLRKRKMYEEFLSKVSILESLDKWERLTVADALEPVQFEDGQKIVVQGEPGDEFFIILEGSAAVLQRRSENEEFVEVGRLGPSDYFGEIALLMNRPRAATVVARGPLKCVKLDRPRFERVLGPCSDILKRNIQQYNSFVSLSV", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAGAYDPSLPEVPEWLNKGDNAWQLTAATLVGLQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLLCWVLLCYKMAFGEELLPFWGKGGPAFDQGYLKGQAKIPNSNVAAPYFPMATLVYFQFTFAAITTILVAGSVLGRMNIKAWMAFVPLWLIFSYTVGAYSIWGGGFLYQWGVIDYSGGYVIHLSSGVAGFVAAYWVGPRPKADRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANLTSSIAVLNTNLSAATSLLVWTTLDVIFFGKPSVIGAIQGMVTGLAGVTPGAGLIQTWAAIIIGVVSGTAPWASMMIIHKKSALLQKVDDTLAVFYTHAVAGLLGGIMTGLFAHPDLCVLVLPLPATRGAFYGGNGGKQLLKQLAGAAFIAVWNVVSTTIILLAIRVFIPLRMAEEELGIGDDAAHGEEAYALWGDGEKFDATRHVQQFERDQEAAHPSYVHGARGVTIVL", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSFLPGMTPVTLSNFSWALEDRMLEGNSTTTPTRQLMPLVVVLSSVSLVTVALNLLVLYAVRSERKLHTVGNLYIVSLSVADLIVGAVVMPMSILYLHRSAWILGRPLCLFWLSMDYVASTASIFSVFILCIDRYRSVQQPLRYLRYRTKTRASATILGAWLLSFLWVIPILGWHHFMAPTSEPREKKCETDFYDVTWFKVMTAIINFYLPTLLMLWFYIRIYKAVRRHCQHRQLINSSLPSFSEMKLKLENAKVDTRRMGKESPWEDPKRCSKDASGVHTPMPSSQHLVDMPCAAVLSEDEGGEVGTRQMPMLAVGDGRCCEALNHMHSQLELSGQSRATHSISARPEEWTVVDGQSFPITDSDTSTEAAPMGGQPRSGSNSGLDYIKFTWRRLRSHSRQYTSGLHLNRERKAAKQLGCIMAAFILCWIPYFVFFMVIAFCKSCSNEPVHMFTIWLGYLNSTLNPLIYPLCNENFRKTFKRILRIPP", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVQSDTSKSPPIAAVAQESQMELLESAAPAGALGAQSYGKGARRKNRFKGSDDSTSSDTTSNSFVRQGSADSYTSRPSDSDVSLEEDREAVRREAERQAQAQLEKAKTKPVAFAVRTNVRYSAAQEDDVPVPGMAISFEAKDFLHVKEKFNNDWWIGRLVKEGCEIGFIPSPVKLENMRLQHEQRAKQGKFYSSKSGGNSSSSLGDIVPSSRKSTPPSSAIDIDATGLDAEENDIPANHRSPKPSANSVTSPHSKEKRMPFFKKTEHTPPYDVVPSMRPVVLVGPSLKGYEVTDMMQKALFDFLKHRFEGRISITRVTADISLAKRSVLNNPSKHAIIERSNTRSSLAEVQSEIERIFELARTLQLVVLDADTINHPAQLSKTSLAPIIVYVKISSPKVLQRLIKSRGKSQAKHLNVQMVAADKLAQCPPQESFDVILDENQLEDACEHLADYLEAYWKATHPPSSNLPNPLLSRTLATSTLPLSPTLASNSQGSQGDQRTDRSAPRSASQAEEEPCLEPVKKSQHRSSSATHQNHRSGTGRGLSRQETFDSETQESRDSAYVEPKEDYSHEHVDRYVPHREHNHREESHSSNGHRHREPRHRTRDMGRDQDHNECSKQRSRHKSKDRYCDKEGEVISKRRSEAGEWNRDVYIRQ", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MMARARLAAALIPATAILSCLRTESWDPCVQVVPNISYQCMELNLYKIPDNIPISTKMLDLSFNYLRHLGSHNFSSFPELQVLDLSRCEIKIIEDDTFQGLNHLSTLILTGNPIQSLAWGAFSGLSSLQKLVAVETNLVSLNDFPIGHLKNLKELNVAHNFIHSFKLPEYFSNLPNLEHLDLSNNKIQNIYYEDVKVLHQMPLLNLSLDLSLNPLDFIEPGTFKEIKLNGLTLRSNFNSSHVMKTCIQGLAGLKTNRLVLGEFKNERKLQRFDRSFLEGLCNLTIEQFRIAYLDKFSGDDTDLFNCLANVSVISLLSISLGSLQALLKDFRWQHLEIINCDFDKFPALKLSSLKKFVFTDNKDISTFTEFQLPSLQYLDLKRNHLSFKGCCSHTDFGTTNLKHLDLSFNDVITLGSNFMGLEQLEHLDFQHSTLKQINAFSAFLSLRNLRYLDISYTNIRIVFHGIFTGLVSLQTLKMAGNSFQNNLLPDIFTELTNLTVLDLSKCQLEQVAQTAFHSLSSLQVLNMSHNKLLSLDTFLYEPLHSLRILDCSFNRIMASKEQELQNLPRSLTWLNLTQNAFACVCEHQSFLQWVKDQRQLLVGAEQMMCAEPLDMEDMPVLSFRNATCQLSKTIISVSVVTVLLVSVVGVLVYKFYFHLMLLAGCKKYGRGESIYDAFVIYSSQDEDWVRNELVKNLEEGVPPFQLCLHYRDFIPGVAIAANIIQEGFHKSRKVIVVVSQHFIQSRWCIFEYEIAQTWQFLSSRAGIIFIVLQKLEKSLLRQQVELYRLLSRNTYLEWEDSVLGRHVFWRRLRKALLAGKPQSPEGTADAETNPQEATTST", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAALAAAAKKVWSARRLLVLLFTPLALLPVVFALPPKEGRCLFVILLMAVYWCTEALPLSVTALLPIVLFPFMGILPSNKVCPQYFLDTNFLFLSGLIMASAIEEWNLHRRIALKILMLVGVQPARLILGMMVTTSFLSMWLSNTASTAMMLPIANAILKSLFGQKEVRKDPSQESEENTAAVRRNGLHTVPTEMQFLASTEAKDHPGETEVPLDLPADSRKEDEYRRNIWKGFLISIPYSASIGGTATLTGTAPNLILLGQLKSFFPQCDVVNFGSWFIFAFPLMLLFLLAGWLWISFLYGGLSFRGWRKNKSEIRTNAEDRARAVIREEYQNLGPIKFAEQAVFILFCMFAILLFTRDPKFIPGWASLFNPGFLSDAVTGVAIVTILFFFPSQRPSLKWWFDFKAPNTETEPLLTWKKAQETVPWNIILLLGGGFAMAKGCEESGLSVWIGGQLHPLENVPPALAVLLITVVIAFFTEFASNTATIIIFLPVLAELAIRLRVHPLYLMIPGTVGCSFAFMLPVSTPPNSIAFASGHLLVKDMVRTGLLMNLMGVLLLSLAMNTWAQTIFQLGTFPDWADMYSVNVTALPPTLANDTFRTL", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKLWIHLFYSSLLACISLHSQTPVLSSRGSCDSLCNCEEKDGTMLINCEAKGIKMVSEISVPPSRPFQLSLLNNGLTMLHTNDFSGLTNAISIHLGFNNIADIEIGAFNGLGLLKQLHINHNSLEILKEDTFHGLENLEFLQADNNFITVIEPSAFSKLNRLKVLILNDNAIESLPPNIFRFVPLTHLDLRGNQLQTLPYVGFLEHIGRILDLQLEDNKWACNCDLLQLKTWLENMPPQSIIGDVVCNSPPFFKGSILSRLKKESICPTPPVYEEHEDPSGSLHLAATSSINDSRMSTKTTSILKLPTKAPGLIPYITKPSTQLPGPYCPIPCNCKVLSPSGLLIHCQERNIESLSDLRPPPQNPRKLILAGNIIHSLMKSDLVEYFTLEMLHLGNNRIEVLEEGSFMNLTRLQKLYLNGNHLTKLSKGMFLGLHNLEYLYLEYNAIKEILPGTFNPMPKLKVLYLNNNLLQVLPPHIFSGVPLTKVNLKTNQFTHLPVSNILDDLDLLTQIDLEDNPWDCSCDLVGLQQWIQKLSKNTVTDDILCTSPGHLDKKELKALNSEILCPGLVNNPSMPTQTSYLMVTTPATTTNTADTILRSLTDAVPLSVLILGLLIMFITIVFCAAGIVVLVLHRRRRYKKKQVDEQMRDNSPVHLQYSMYGHKTTHHTTERPSASLYEQHMVSPMVHVYRSPSFGPKHLEEEEERNEKEGSDAKHLQRSLLEQENHSPLTGSNMKYKTTNQSTEFLSFQDASSLYRNILEKERELQQLGITEYLRKNIAQLQPDMEAHYPGAHEELKLMETLMYSRPRKVLVEQTKNEYFELKANLHAEPDYLEVLEQQT", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGRKKFEAFGFVCLISLLLLFNSLWLASSNMEGDALHSLRANLVDPNNVLQSWDPTLVNPCTWFHVTCNNENSVIRVDLGNADLSGQLVPQLGQLKNLQYLELYSNNITGPVPSDLGNLTNLVSLDLYLNSFTGPIPDSLGKLFKLRFLRLNNNSLTGPIPMSLTNIMTLQVLDLSNNRLSGSVPDNGSFSLFTPISFANNLDLCGPVTSRPCPGSPPFSPPPPFIPPPIVPTPGGYSATGAIAGGVAAGAALLFAAPALAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQLPLAWSIRQQIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLARLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQSNYTEAEVEQLIQVALLCTQSSPMERPKMSEVVRMLEGDGLAEKWDEWQKVEVLRQEVELSSHPTSDWILDSTDNLHAMELSGPR", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGVPTSDWIYWCRLCARDDVVYKVRERDDDLVRIISKCFDVEMTLEEPELGSMLCEECYSVIGQLITFSDSVSKVQAIFELLRHSEPQDSQDLDALRLEYGLPPACKQDLEFLDIDDTEDRCSLVEELTISDHSTSPSPDFEAQTVRTRANLKQCNSDPKVLASPTASIPEVETKRSRRQQFAAKRNSKVYTATESDDEEAILDEDEAVSPPPLKRKRGRPKGSGKQKNVDDSDNVTSREPDDNAKSKQDDKTSELSMSPHGSQSSNFVDYPCKICNETFMSFMALRRHKHDMHGGPKKYVCDHCGKGLKTFTSLVEHQLVHTEEKPCICPVCNAGFKNKARLRVHSQTHGEPKFECNVCGKKLQTRAILNKHKYVHTDERRFKCEVCGSGCKNSTALKIHLLGHTGLRPYVCKYCGKAFASNTNCRSHKWKKHPELASKEDETESSRVPVPTLEELRAITREMAKAKQD", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRGLLRASSLLLCGVILIQLLAAQIHAQSKKPKSPWPTLTGDPPLVIARGGFSGLFPDSSYDAYNFAILTSVPDAVLWCDVQLTKDALGICFPDLTMRNSSSIEAVYPTRQKSYPVNGVPTSGWFTIDFSLKDLKDVNLIRGILSRSEKFDGNSNPIMTVQSVSTQMKPSFFWLNVQHDAFYAQHNLSMSSFLVAASKTVLIDFISSPEVNFFKKIAGRFGRNGPSLVFRFLGQDEFEPTTNRTYGSILSNLTFVKTFASGILVPKSYILPLDDQQYLLPHTSLVQDAHKAGLEVFVSGFANDIDIAHDYSFDPVSEYLSFVDNGNFSVDGVLSDFPITASASLDCFSHVGRNATKQVDFLVITKDGASGDYPGCTDLAYKKAIKDGADVIDCSVQLSSDGTPFCLSSIDLGNSTTVSLTAFRNRSTTVPELGSLGAIYTFSLTWAEIQTLTPAISNPYRVTSLFRNPKQKNAGKLFSLSDFLSLAKNSTSLSGVLISVENAAYLREEQGLDVVKAVLDTLTQTGYSNSTATKVMIQSTNSSVLVDFKKQSQYETVYKVEENIRDILDSAIEDIKKFADAVVIQKLSVFPVAQSFITTQTNVVEKLQKSQLPVYVELFQNEFLSQPYDFFADATVEINSYITGAGINGTITEFPFTAARYKRNLCLGRKETIPYMAPAQPGALLTLVSPTAFPPAEAPNPVFTDADVTEPPLPPVTAKAPTSSPGTPSTNAQAPSGQTRITLSLLLSVFAMVLASLLLL", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLDPTYPAFPIFAFLGIVCCLVPLPWHLQSWNSGTCFLMIWTAVACLNMFVNSIIWKDHAQNVAPVWCEISIRITLGASVGIPASSLCIVRRLYSIAKKFRAVMVDALICVLFPILYIILQIVVQGHRFNILENIGCFPAIINTPLTYPLTFMWPVLIGVISFIYSTLALIQFNRHRLQFTQFLHSNSTLSVSRYLRLMALAMTEMMCTTPMGVFVIILNAKATPVSPYVSWAVTHYGYGRIDQVPAIIWRSNRLLVASYELTRWSSPAIALIFFFYFGFAQEARRNYAAAWGWVRRAVGLPERVPSLPTTKKPFSSSDKGSGFAEKFAAKAKGLSSFNVKDFTSEFTSKAHDFTFKAKQYTLPRPMPQTPSSSGFSSSDSTRFGSSVDGKELPSPTTKEFSSPIPIHLSGMQTLASFDSNKDLPSPPAYDVEAQYGPYNIDNRVSYHIADAGVRASYPMGVAYSSDSEHRRIVPQHSTVPQHNTADEPASPALPDTPSSCSSSATFSTLQSRDFIVLPSTTDVTRGTGSLPTRRSPAGPPRLPSLSQLFGISSMTRERDVEAQVEDVATGTASPTTTAPAPASTTIAPASATMAPATTTTAPTTIANIQRGEPDVPASPRTHRASV", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGRLGYWTLLVLPALLVWHGPAQNAAAEKGTPALNIAVLLGHSHDVTERELRNLWGPEQATGLPLDVNVVALLMNRTDPKSLITHVCDLMSGARIHGLVFGDDTDQEAVAQMLDFISSQTFIPILGIHGGASMIMADKDPTSTFFQFGASIQQQATVMLKIMQDYDWHVFSLVTTIFPGYRDFISFIKTTVDNSFVGWDMQNVITLDTSFEDAKTQVQLKKIHSSVILLYCSKDEAVLILSEARSLGLTGYDFFWIVPSLVSGNTELIPKEFPSGLISVSYDDWDYSLEARVRDGLGILTTAASSMLEKFSYIPEAKASCYGQTEKPETPLHTLHQFMVNVTWDGKDLSFTEEGYQVHPRLVVIVLNKDREWEKVGKWENQTLSLRHAVWPRYKSFSDCEPDDNHLSIVTLEEAPFVIVEDIDPLTETCVRNTVPCRKFVKINNSTNEGMNVKKCCKGFCIDILKKLSRTVKFTYDLYLVTNGKHGKKVNNVWNGMIGEVVYQRAVMAVGSLTINEERSEVVDFSVPFVETGISVMVSRSNGTVSPSAFLEPFSASVWVMMFVMLLIVSAIAVFVFEYFSPVGYNRNLAKGKAPHGPSFTIGKAIWLLWGLVFNNSVPVQNPKGTTSKIMVSVWAFFAVIFLASYTANLAAFMIQEEFVDQVTGLSDKKFQRPHDYSPPFRFGTVPNGSTERNIRNNYPYMHQYMTKFNQRGVEDALVSLKTGKLDAFIYDAAVLNYKAGRDEGCKLVTIGSGYIFATTGYGIALQKGSPWKRQIDLALLQFVGDGEMEELETLWLTGICHNEKNEVMSSQLDIDNMAGVFYMLAAAMALSLITFIWEHLFYWKLRFCFTGVCSDRPGLLFSISRGIYSCIHGVHIEEKKKSPDFNLTGSQSNMLKLLRSAKNISNMSNMNSSRMDSPKRAADFIQRGSLIVDMVSDKGNLIYSDNRSFQGKDSIFGENMNELQTFVANRHKDSLSNYVFQGQHPLTLNESNPNTVEVAVSTESKGNSRPRQLWKKSMESLRQDSLNQNPVSQRDEKTAENRTHSLKSPRYLPEEVAHSDISETSSRATCHREPDNNKNHKTKDNFKRSMASKYPKDCSEVERTYVKTKASSPRDKIYTIDGEKEPSFHLDPPQFIENIVLPENVDFPDTYQDHNENFRKGDSTLPMNRNPLHNEDGLPNNDQYKLYAKHFTLKDKGSPHSEGSDRYRQNSTHCRSCLSNLPTYSGHFTMRSPFKCDACLRMGNLYDIDEDQMLQETGNPATREEAYQQDWSQNNALQFQKNKLKINRQHSYDNILDKPREIDLSRPSRSISLKDRERLLEGNLYGSLFSVPSSKLLGNKSSLFPQGLEDSKRSKSLLPDHTSDNPFLHTYGDDQRLVIGRCPSDPYKHSLPSQAVNDSYLRSSLRSTASYCSRDSRGHSDVYISEHVMPYAANKNNMYSTPRVLNSCSNRRVYKKMPSIESDV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDRRNGGDPLAPPRPPKLLPRVHRPRAPEPTLSGVDHNAGATASALASGASSAAPVAIHNNNSQQQLSISAAASNNNTISIIPASPDFDDYQIHHLTFLPQRPSSLSRNSSTASSTTATGISVSGSGSVSGSSSSFTRRRPPAPVPLNNSISNNNNNSINNNFLSHFQSAEPASNALGQPPASPVTLAQPRPESERLTNEYVDTPLQHATRSQHPAGQQDNGQTTTHHLLLLPQRNQHLHLQQHQQHLQQQQQQQQQQQQQQHLQHQQNQQHARLATTTQATSVGSDHTDGLLHSHLQNSTTKPPASKQPAPPRLGMGLGLGLGLGLNQPIITKQPTPATQKERMHALEELLQPGGAGGNGGPLVMAGDPSLLNPIVCPRCGRCRCEQCQSPRPLPQTWVCNKTCLCSAESVIDYASCLCCAKALFYHCARDNDLDCDDGNGTPCVDNPCSCGPYKRTQRWGWLGALSIFLPCLWFYWPMRGCMKLCEKCYGRFAGRGCRCQGIGGGGAGSGGGVGSIGSTSSMLPIVPLGVNGSGLGGGVSLSGGVTDGGLNQANGKAMDHGCSAARSILRKGDLTPEKRLLDSSPDY", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKLKISLIAPILLLFSFFFAVESKCRTSCPLALASYYLENGTTLSVINQNLNSSIAPYDQINFDPILRYNSNIKDKDRIQMGSRVLVPFPCECQPGDFLGHNFSYSVRQEDTYERVAISNYANLTTMESLQARNPFPATNIPLSATLNVLVNCSCGDESVSKDFGLFVTYPLRPEDSLSSIARSSGVSADILQRYNPGVNFNSGNGIVYVPGRDPNGAFPPFKSSKQDGVGAGVIAGIVIGVIVALLLILFIVYYAYRKNKSKGDSFSSSIPLSTKADHASSTSLQSGGLGGAGVSPGIAAISVDKSVEFSLEELAKATDNFNLSFKIGQGGFGAVYYAELRGEKAAIKKMDMEASKQFLAELKVLTRVHHVNLVRLIGYCVEGSLFLVYEYVENGNLGQHLHGSGREPLPWTKRVQIALDSARGLEYIHEHTVPVYVHRDIKSANILIDQKFRAKVADFGLTKLTEVGGSATRGAMGTFGYMAPETVYGEVSAKVDVYAFGVVLYELISAKGAVVKMTEAVGEFRGLVGVFEESFKETDKEEALRKIIDPRLGDSYPFDSVYKMAELGKACTQENAQLRPSMRYIVVALSTLFSSTGNWDVGNFQNEDLVSLMSGR", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTDSKYFTTNKKGEIFELKAELNNEKKEKRKEAVKKVIAAMTVGKDVSSLFPDVVNCMQTDNLELKKLVYLYLMNYAKSQPDMAIMAVNSFVKDCEDPNPLIRALAVRTMGCIRVDKITEYLCEPLRKCLKDEDPYVRKTAAVCVAKLHDINAQMVEDQGFLDSLRDLIADSNPMVVANAVAALSEISESHPNSNLLDLNPQNINKLLTALNECTEWGQIFILDCLSNYNPKDDREAQSICERVTPRLSHANSAVVLSAVKVLMKFLELLPKDSDYYNMLLKKLAPPLVTLLSGEPEVQYVALRNINLIVQKRPEILKQEIKVFFVKYNDPIYVKLEKLDIMIRLASQANIAQVLAELKEYATEVDVDFVRKAVRAIGRCAIKVEQSAERCVSTLLDLIQTKVNYVVQEAIVVIRDIFRKYPNKYESIIATLCENLDSLDEPDARAAMIWIVGEYAERIDNADELLESFLEGFHDESTQVQLTLLTAIVKLFLKKPSETQELVQQVLSLATQDSDNPDLRDRGYIYWRLLSTDPVTAKEVVLSEKPLISEETDLIEPTLLDELICHIGSLASVYHKPPNAFVEGSHGIHRKHLPIHHGSTDAGDSPVGTTTATNLEQPQVIPSQGDLLGDLLNLDLGPPVNVPQVSSMQMGAVDLLGGGLDSLVGQSFIPSSVPATFAPSPTPAVVSSGLNDLFELSTGIGMAPGGYVAPKAVWLPAVKAKGLEISGTFTHRQGHIYMEMNFTNKALQHMTDFAIQFNKNSFGVIPSTPLAIHTPLMPNQSIDVSLPLNTLGPVMKMEPLNNLQVAVKNNIDVFYFSCLIPLNVLFVEDGKMERQVFLATWKDIPNENELQFQIKECHLNADTVSSKLQNNNVYTIAKRNVEGQDMLYQSLKLTNGIWILAELRIQPGNPNYTLSLKCRAPEVSQYIYQVYDSILKN", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKSSRTVTLYFVLIVICSSEATWSRPAEPIVHPLILQEHELAGEELLRPKRAVAVGGPVAEEYTVDVEISFENVSFLESIRAHLNSLRFPVQGNGTDILSMAMTTVCTPTGNDLLCFCEKGYQWPEERCLSSLTCQEHDSALPGRYCNCLKGLPPQGPFCQLPETYITLKIKVRLNIGFQEDLENTSSALYRSYKTDLERAFRAGYRTLPGFRSVTVTQFTKGSVVVDYIVEVASAPLPGSIHKANEQVIQNLNQTYKMDYNSFQGTPSNETKFTVTPEFIFEGDNVTLECESEFVSSNTSWFYGEKRSDIQNSDKFSIHTSIINNISLVTRLTIFNFTQHDAGLYGCNVTLDIFEYGTVRKLDVTPIRILAKEERKVVCDNNPISLNCCSENIANWSRIEWKQEGKINIEGTPETDLESSCSTYTLKADGTQCPSGSSGTTVIYTCEFVSVYGAKGSKNIAVTFTSVANLTITPDPISVSEGQSFSITCLSDVSSFDEVYWNTSAGIKIHPRFYTMRRYRDGAESVLTVKTSTREWNGTYHCIFRYKNSYSIATKDVTVHPLPLESDIMMDPLEASGLCTSSHQFKCCIEENDGEEYIVTFHVDSSSFPAEREVIGKQACYTYSLPGKLPSRCPKDIDVFCHFTNAANSSVRSPSMKLTLVPGKNITCQDPIIGIGEPGKVIQKLCQFAGVSRSPGQTIGGTVTYKCVGSQWKEETRACISAPINGLLQLAKALIKSPSQDQKLPKYLRDLSVSTGKEEQDIRSSPGSLGAIISILDLLSTVPTQVNSEMMRDILATINVILDKSTLNSWEKLLQQQSNQSSQFLQSVERFSKALELGDSTPPFLFHPNVQMKSMVIKRGHAQMYQQKFVFTDSDLWGDVAIDECQLGSLQPDSSIVTVAFPTLKAILAQDGQRKTPSNSLVMTTTVSHNIVKPFRISMTFKNNHRSGGKPQCVFWNFSLANNTGGWDSSGCTVEDDGRDNRDRVFCKCNHLTSFSILMSPDSPDPGSLLKILLDIISYIGLGFSIVSLAACLVVEAMVWKSVTKNRTSYMRHICIVNIALCLLIADIWFIVAGAIHDGHYPLNETACVAATFFIHFFYLSVFFWMLTLGLMLFYRLIFILHDASKSTQKAIAFSLGYGCPLIISSITVGVTQPQEVYMRKNACWLNWEDTRALLAFAIPALIIVVVNVSITVVVITKILRPSVGDKPGKQEKSSLFQISKSIGVLTPLLGLTWGFGLATVIQGSNAVFHIIFTLLNAFQGLFILLFGCLWDQKVQEALLHKFSLSRWSSQHSKSTSLGSSTPVFSMSSPISRRFNNLFGKTGTYNVSTPETTSSSVENSSSAYSLLN", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTTSMQPSKYTGLVADLMPNIRAMKYSGLFMHNFTGGSAFMKKVYSSVHLVFLLMQFTFILVNMALNAEEVNELSGNTITTLFFTHCITKFIYLAVNQKNFYRTLNIWNQVNTHPLFAESDARYHSIALAKMRKLFFLVMLTTVASATAWTTITFFGDSVKMVVDHETNSSIPVEIPRLPIKSFYPWNASHGMFYMISFAFQIYYVLFSMIHSNLCDVMFCSWLIFACEQLQHLKGIMKPLMELSASLDTYRPNSAALFRSLSANSKSELIHNEEKDPGTDMDMSGIYSSKADWGAQFRAPSTLQSFGGNGGGGNGLVNGANPNGLTKKQEMMVRSAIKYWVERHKHVVRLVAAIGDTYGAALLLHMLTSTIKLTLLAYQATKINGVNVYAFTVVGYLGYALAQVFHFCIFGNRLIEESSSVMEAAYSCHWYDGSEEAKTFVQIVCQQCQKAMSISGAKFFTVSLDLFASVLGAVVTYFMVLVQLK", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MIDIPGTPGTLTGLVLRISQCVFAAGSISYMVTSGGFFSFTAFCYLIAAMGLQVIWSFGLAILDTFALVRKKTLLSPVLVSLFVVGDWVTSTLSLAGASSSAGITVLYFGDLGSCSFEAECWKYQLSVALAFLCWITIAVSSLTTLWLLASA", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MMHFKSGLELTELQNMTVPEDDNISNDSNDFTEVENGQINSKFISDRESRRSLTNSHLEKKKCDEYIPGTTSLGMSVFNLSNAIMGSGILGLAFALANTGILLFLVLLTSVTLLSIYSINLLLICSKETGCMVYEKLGEQVFGTTGKFVIFGATSLQNTGAMLSYLFIVKNELPSAIKFLMGKEETFSAWYVDGRVLVVIVTFGIILPLCLLKNLGYLGYTSGFSLSCMVFFLIVVIYKKFQIPCIVPELNSTISANSTNADTCTPKYVTFNSKTVYALPTIAFAFVCHPSVLPIYSELKDRSQKKMQMVSNISFFAMFVMYFLTAIFGYLTFYDNVQSDLLHKYQSKDDILILTVRLAVIVAVILTVPVLFFTVRSSLFELAKKTKFNLCRHTVVTCILLVVINLLVIFIPSMKDIFGVVGVTSANMLIFILPSSLYLKITDQDGDKGTQRIWAALFLGLGVLFSLVSIPLVIYDWACSSSSDEGH", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRLLLLLVGLSTLLNHSYTQNCKTPCLPNAKCEVLDEVAACFCSTGYTGNGITICEDVDECNETSVCGDHAVCENTNGGFSCFCVEGYQTSTGKTQFTPNDGSYCQDVDECNETSVCGDHAVCENTNGGFSCFCVEGYQTSTGKTQFTPNDGSYCQEIVNSNCHLEHDCIAANINKTLKRIGPITEQLTLLHEIYKNSEAELSLVDIVTYIEILTESSSLQGYIKNTTSPKDAYFGSALTEFGKTVNNFVEKNTHEMWDQLPTNRRRLHLTKLMHAAEHVTLQISQNIQKNTQFDMNSTDLALKVFVFDSVHMKHTHPHMNVDGGYVKISPRRKSAYDPNGNVIVAFLCYRSIGPLLSSSDDFLLGAQSDNSKGKEKVISSVISASISSNPPTLYELEKITFTLSHVKLSDKHQTQCAFWNYSVDDMNNGSWSSEGCELTYSNDTHTSCRCSHLTHFAILMSPSTSIEVKDYNILTRITQLGIIISLICLAICIFTFWFFSEIQSTRTTIHKNLCCSLFLAQLVFLVGININTNKLVCSIIAGLLHYFFLAAFAWMCIEGIYLYLIVVGLIYNKGFLHKNFYIFGYLSPAVVVGFSASLGYRYYGTTKVCWLSTENNFIWSFIGPACLIILVNLLAFGVIIYKVFRHTAGLKPEVSCYENIRSCARGALALLFLLGTTWTFGVLHVVHASVVTAYLFTVSNAFQGMFIFLFLCVLSRKIQEEYYRLFKNVPCCFECLR", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAGPRYPVSVQGAALVQIKRLQTFAFSVRWSDGSDTFVRRSWDEFRQLKKTLKETFPVEAGLLRRSDRVLPKLLDAPLLGRVGRTSRGLARLQLLETYSRRLLATAERVARSPTITGFFAPQPLDLEPALPPGSRVILPTPEEQPLSRAAGRLSIHSLEAQSLRCLQPFCTQDTRDRPFQAQAQESLDVLLRHPSGWWLVENEDRQTAWFPAPYLEEAAPGQGREGGPSLGSSGPQFCASRAYESSRADELSVPAGARVRVLETSDRGWWLCRYGDRAGLLPAVLLRPEGLGALLSGTGFRGGDDPAGEARGFPEPSQATAPPPTVPTRPSPGAIQSRCCTVTRRALERRPRRQGRPRGCVDSVPHPTTEQ", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDLPPQLSFGLYVAAFALGFPLNVLAIRGATAHARLRLTPSLVYALNLGCSDLLLTVSLPLKAVEALASGAWPLPASLCPVFAVAHFFPLYAGGGFLAALSAGRYLGAAFPLGYQAFRRPCYSWGVCAAIWALVLCHLGLVFGLEAPGGWLDHSNTSLGINTPVNGSPVCLEAWDPASAGPARFSLSLLLFFLPLAITAFCYVGCLRALARSGLTHRRKLRAAWVAGGALLTLLLCVGPYNASNVASFLYPNLGGSWRKLGLITGAWSVVLNPLVTGYLGRGPGLKTVCAARTQGGKSQK", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPANYDFTDKRILVTGASQGIGKEICLSLAKAGAQVIAFARNEANLLSLVKETTSLRYTIIPIVGDVSANEEVLFKLIVPHFPIHGLVNNAGIATNHAIGQITQQSIDRTFAVNVRGPILIAQLVARNFVDRQIKGSIVNISSQAAIRPLDNHTVYCASKAALDMVTRCLANELGSQNIRVNSVNPTVVMTDMGRDNWSDPDKKKKMLDRMPIKRFAEVDEVVNAVLFLLSDNASMTTGSTLPVDGGFSNN", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MALQSQASEEAKGPWQEADQEQQEPVGSPEPESEPEPEPEPEPVPVPPPEPQPEPQPLPDPAPLPELEFESERVHEPEPTPTVETRGTARGFQPPEGGFGWVVVFAATWCNGSIFGIHNSVGILYSMLLEEEKEKNRQVEFQAAWVGALAMGMIFFCSPIVSIFTDRLGCRITATAGAAVAFIGLHTSSFTSSLSLRYFTYGILFGCGCSFAFQPSLVILGHYFQRRLGLANGVVSAGSSIFSMSFPFLIRMLGDKIKLAQTFQVLSTFMFVLMLLSLTYRPLLPSSQDTPSKRGVRTLHQRFLAQLRKYFNMRVFRQRTYRIWAFGIAAAALGYFVPYVHLMKYVEEEFSEIKETWVLLVCIGATSGLGRLVSGHISDSIPGLKKIYLQVLSFLLLGLMSMMIPLCRDFGGLIVVCLFLGLCDGFFITIMAPIAFELVGPMQASQAIGYLLGMMALPMIAGPPIAGLLRNCFGDYHVAFYFAGVPPIIGAVILFFVPLMHQRMFKKEQRDSSKDKMLAPDPDPNGELLPGSPNPEEPI", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAIQKILFASLLICSLIQSIHGAEKVRLFKELDKGALDVTTKPSREGPGVVLDAGKDTLNITWTLSSIGSKREAEFKIIKVKLCYAPPSQVDRPWRKTHDELFKDKTCPHKIIAKPYDKTLQSTTWTLERDIPTGTYFVRAYAVDAIGHEVAYGQSTDDAKKTNLFSVQAISGRHASLDIASICFSVFSVVALVVFFVNEKRKAKIEQSK", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MANSWDQLGEIASVAQLTGIDALKLIGMIVNAANTARMHKKNCRQFAHHLKLIRNLLEQIKNSEMNQRSEILEPLQGLDDALRRSYILVKSCQEKSYLYLLAMGWNIVNQFEKAQNEIDLFLKIVPLINMADNARIRERLEAIERDQREYTLDEEDRKVQDVILKQESTREAATSVLKKTLSRSYPNMGFCEALKTEEEKLQLELQRSRARYDADQCEVIQRLIDVTQTAATVEPNLEKVLTKKEELTSSKKRDDLYDTDSSSIRADSRSTSYVSSGHELLSGRSLQHRGNWHADLLDCCSEPCLCLKTLFFPCGTLAKISTVATSRQISSTEVCKNLIVYSLILSCCCYTCCIRKKLRKTLNITGGCIDDFLSHLMCCCCALVQELREVEIHRASYGTEKSNKEMSPPTPQFMEE", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLSFTTKNSFRLLLLILSCISTIRAQFFVQSSSSNSSAVSTARSSVSRVSSSSSILSSSMVSSSSADSSSLTSSTSSRSLVSHTSSSTSIASISFTSFSFSSDSSTSSSSSASSDSSSSSSFSISSTSATSESSTSSTQTSTSSSSSLSSTPSSSSSPSTITSAPSTSSTPSTTAYNQGSTITSIINGKTILSNHYTTVTYTPSATADSSNKSKSSGLSKKNRNIVIGCVVGIGVPLILVILALIYMFCIQSSRTDFIDSDGKVVTAYRANKFTKWWYMLLGKKVSDEYHSDSPLGGSASSAGGLDLDEADDVMEQSSLFDVRIRDSDSVLPNANTADHNNTNSGGEPINSSVASNDIIEEKFYDEQGNELSPRNY", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSEGRCSVAHMSSVVAPAKEANAMGPKAVELVLVKEQNGVQLTNSTLLNPPQSPTEAQDRETWSKKADFLLSVIGFAVDLANVWRFPYLCYKNGGGAFLVPYLFFMVVAGVPLFYMELALGQFNREGAAGVWKICPILRGVGYTAILISLYIGFFYNVIIAWALHYLLSSFTTELPWTHCNHSWNSPRCSDARAPNASSGPNGTSRTTPAAEYFERGVLHLHESQGIDDLGPPRWQLTSCLVLVIVLLYFSLWKGVKTSGKVVWITATMPYVVLFALLLRGITLPGAVDAIRAYLSVDFHRLCEASVWIDAAIQICFSLGVGLGVLIAFSSYNKFTNNCYRDAIITTSVNSLTSFSSGFVVFSFLGYMAQKHSVPIGDVAKDGPGLIFIIYPEALATLPLSSVWAVVFFVMLLTLGIDSAMGGMESVITGLADEFQLLHRHRELFTLLVVLATFLLSLFCVTNGGIYVFTLLDHFAAGTSILFGVLMEVIGVAWFYGVWQFSDDIKQMTGRRPSLYWRLCWKFVSPCFLLFVVVVSIATFRPPHYGAYVFPEWATALGWAIAASSMSVVPIYAAYKLCSLPGSSREKLAYAITPETEHGRVDSGGGAPVHAPPLARGVGRWRKRKSCWVPSRGPGRGGPPTPSPRLAGHTRAFPWTGAPPVPRELTPPSTCRCVPPLVCAHPAVESTGLCSVY", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLLPKPLKYAAIGGGVFVFGILIGWVIFPVILKSQIKKEMALSKKTDLRQMWEKVPFALDFKVYIFNYTNVDEIQKGAKPIVKEIGPYYFEEWKEKVEVEDHEENDTITYKRLDVFHFRPDLSGPGLTGEEVIIMPHLFILAMVATINREKPSMLNVVEKSINGIFDNPKDVFLRVKAMDIMFRGIIINCDRTEFAPKAACTKMKKDAVTGVIYEPNNQFRFSLFGTRNNTVNPDVVTVKRGIKNIMDVGQVVALNGKPQIDIWRDHCNEFQGTDGTVFPPFLTYKDRLQSFSFDLCRSFKAWFQKKTSYKGIKTNRYIANVGDFANDPELQCFCDTPDECLPKGIMDIRKCLKVPMYVSLPHFLETDTSVTNQVKGLTPDPNEHGIIADFEPLSGTLMDAKQRMQYNIKLLRTDKIAIFKDLPDSIVPCFWVHEGILLNKTFVKMLKHQLFIPKRIVGVIRWWMVSFGLIAVLAGVMYHFKDNIMGWAAKGESTTAKVNPEDGSNEQRGVSVIGQDREPPKVTM", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLGQCTLLPVLAGLLSLESALSQLCTKDNVSTCQDCIRSGPSCAWCQKLNFTGRGEPDSVRCDTPEQLLLKGCTSEYLVDPKSLAESQEDKERDQRQLSPRNVTVFLRPGQAATFKVDFQRTQDNSVDLYFLMGLSGSAQGHLSNVQTLGSDLLKALNEISRSGRIGFGSIVNMTFQHILKLTADSSQFQRELRKQLVSGKLATPKGQLDAVVQVAICLGEIGWRNGTRFLVLVTDNDFHLAKDKTLGTRQNTSDGRCHLDDGMYRSRGEPDYQSVVQLASKLAENNIQPIFVVPSRMVKTYEKLTTFIPKLTIGELSDDSSNVAQLIRNAYSKLSSIVVLNHSTIPSILKVTYDSYCSNGTSNPGKPSGDCSGVQINDQVTFQVNITASECFREQFFFIQALGFMDSVTVRVLPLCECQCQEQSQHHSLCGGKGAMECGICRCNSGYAGKNCECQTQGPSSQDLEGSCRKDNSSIMCSGLGDCICGQCECHTSDIPNKEIYGQYCECNNVNCERYDGQVCGGPERGHCSCGRCFCRYGFVGSACQCRMSTSGCLNNRMVECSGHGRCYCNRCLCDPGYQPPLCEKRPGYFHRCSEYYSCARCLKDNSAIKCRECWNLLFSNTPFSNKTCMTERDSEGCWTTYTLYQPDQSDINSIYIKESLVCAEISNTTILLGVIVGVLLAVIFLLVYCMVYLKGTQKAAKLPRKGGAQSTLAQQPHFQEPHHVEPVWNQERQGTQ", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNHLYKNPFRIYEISFHFCASLLLCFLLFSAQAVAGGGGGGHSWDGIVVTQANYQALQAIKHELIDFTGVLKSWNNSASSQVCSGWAGIKCLRGQVVAIQLPWKGLGGTISEKIGQLGSLRKLSLHNNVIAGSVPRSLGYLKSLRGVYLFNNRLSGSIPVSLGNCPLLQNLDLSSNQLTGAIPPSLTESTRLYRLNLSFNSLSGPLPVSVARSYTLTFLDLQHNNLSGSIPDFFVNGSHPLKTLNLDHNRFSGAVPVSLCKHSLLEEVSISHNQLSGSIPRECGGLPHLQSLDFSYNSINGTIPDSFSNLSSLVSLNLESNHLKGPIPDAIDRLHNLTELNLKRNKINGPIPETIGNISGIKKLDLSENNFTGPIPLSLVHLAKLSSFNVSYNTLSGPVPPVLSKKFNSSSFLGNIQLCGYSSSNPCPAPDHHHPLTLSPTSSQEPRKHHHRKLSVKDVILIAIGALLAILLLLCCILLCCLIKKRAALKQKDGKDKTSEKTVSAGVAGTASAGGEMGGKLVHFDGPFVFTADDLLCATAEIMGKSTYGTAYKATLEDGNEVAVKRLREKTTKGVKEFEGEVTALGKIRHQNLLALRAYYLGPKGEKLLVFDYMSKGSLSAFLHARGPETLIPWETRMKIAKGISRGLAHLHSNENMIHENLTASNILLDEQTNAHIADYGLSRLMTAAAATNVIATAGTLGYRAPEFSKIKNASAKTDVYSLGIIILELLTGKSPGEPTNGMDLPQWVASIVKEEWTNEVFDLELMRETQSVGDELLNTLKLALHCVDPSPAARPEANQVVEQLEEIRPETEVETETTPFGSGGEGGKDLGSNEE", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAGMSLQHPWAFAFGLLGNIISFMTYLAPLPTFYRIYKSKSTQGFQSVPYVVALFSAMLWIYYALLKSDECLLITINSAGCVIETIYIAVYLVYAPKKAKMFTAKLLLLVNVGVFGLILLLTLLLSAGDRRIVVLGWVCVGFSVSVFVAPLSIIRLVVRTKSVEFMPFSLSFSLTISAVVWFLYGLLIKDKYVALPNVLGFSFGVIQMGLYAMYRNSTPKAVLTKEVEAATATGDDDHSAAGVKEHVVNIAKLSAAVDVVKTREVHPVDVESPPAEAPPEEDDKAAAATAAAVAGAGEKKVAA", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSPTGHISKSKTPTPHDNDNNSISDERETWSGKVDFLLSVIGFAVDLANVWRFPYLCYKNGGGAFLVPYGIMLVVGGIPLFYMELALGQHNRKGAITCWGRLVPLFKGIGYAVVLIAFYVDFYYNVIIAWSLRFFFASFTNSLPWTSCNNIWNTPNCRPFESQNASRVPVIGNYSDLYAMGNQSLLYNETYMNGSSLDTSAVGHVEGFQSAASEYFNRYILELNRSEGIHDLGAIKWDMALCLLIVYLICYFSLWKGISTSGKVVWFTALFPYAVLLILLIRGLTLPGSFLGIQYYLTPNFSAIYKAEVWVDAATQVFFSLGPGFGVLLAYASYNKYHNNVYKDALLTSFINSATSFIAGFVIFSVLGYMAHTLGVRIEDVATEGPGLVFVVYPAAIATMPASTFWALIFFMMLLTLGLDSSFGGSEAIITALSDEFPKIKRNRELFVAGLFSLYFVVGLASCTQGGFYFFHLLDRYAAGYSILVAVFFEAIAVSWIYGTNRFSEDIRDMIGFPPGRYWQVCWRFVAPIFLLFITVYGLIGYEPLTYADYVYPSWANALGWCIAGSSVVMIPAVAIFKLLSTPGSLRQRFTILTTPWRDQQSMAMVLNGVTTEVTVVRLTDTETAKEPVDV", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDCSAPKEMNKLPANSPEAAAAQGHPDGPCAPRTSPEQELPAAAAPPPPRVPRSASTGAQTFQSADARACEAERPGVGSCKLSSPRAQAASAALRDLREAQGAQASPPPGSSGPGNALHCKIPFLRGPEGDANVSVGKGTLERNNTPVVGWVNMSQSTVVLATDGITSVLPGSVATVATQEDEQGDENKARGNWSSKLDFILSMVGYAVGLGNVWRFPYLAFQNGGGAFLIPYLMMLALAGLPIFFLEVSLGQFASQGPVSVWKAIPALQGCGIAMLIISVLIAIYYNVIICYTLFYLFASFVSVLPWGSCNNPWNTPECKDKTKLLLDSCVISDHPKIQIKNSTFCMTAYPNVTMVNFTSQANKTFVSGSEEYFKYFVLKISAGIEYPGEIRWPLALCLFLAWVIVYASLAKGIKTSGKVVYFTATFPYVVLVILLIRGVTLPGAGAGIWYFITPKWEKLTDATVWKDAATQIFFSLSAAWGGLITLSSYNKFHNNCYRDTLIVTCTNSATSIFAGFVIFSVIGFMANERKVNIENVADQGPGIAFVVYPEALTRLPLSPFWAIIFFLMLLTLGLDTMFATIETIVTSISDEFPKYLRTHKPVFTLGCCICFFIMGFPMITQGGIYMFQLVDTYAASYALVIIAIFELVGISYVYGLQRFCEDIEMMIGFQPNIFWKVCWAFVTPTILTFILCFSFYQWEPMTYGSYRYPNWSMVLGWLMLACSVIWIPIMFVIKMHLAPGRFIERLKLVCSPQPDWGPFLAQHRGERYKNMIDPLGTSSLGLKLPVKDLELGTQC", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAARVLAPPGPDSFKPFTPESLANIERRIAESKLKKPPKADGSHREDDEDSKPKPNSDLEAGKSLPFIYGDIPQGLVAVPLEDFDPYYLTQKTFVVLNRGKTLFRFSATPALYILSPFNLIRRIAIKILIHSVFSMIIMCTILTNCVFMTFSNPPEWSKNVEYTFTGIYTFESLVKIIARGFCIDGFTFLRDPWNWLDFSVIMMAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCVVWPINFNESYLENGTRGFDWEEYINNKTNFYMVPGMLEPLLCGNSSDAGQCPEGFQCMKAGRNPNYGYTSFDTFSWAFLALFRLMTQDYWENLYQLTLRAAGKTYMIFFVLVIFVGSFYLVNLILAVVAMAYEEQNQATLEEAEQKEAEFKAMLEQLKKQQEEAQAAAMATSAGTVSEDAIEEEGEDGVGSPRSSSELSKLSSKSAKERRNRRKKRKQKELSEGEEKGDPEKVFKSESEDGMRRKAFRLPDNRIGRKFSIMNQSLLSIPGSPFLSRHNSKSSIFSFRGPGRFRDPGSENEFADDEHSTVEESEGRRDSLFIPIRARERRSSYSGYSGYSQCSRSSRIFPSLRRSVKRNSTVDCNGVVSLIGPGSHIGRLLPEATTEVEIKKKGPGSLLVSMEQLASYGRKDRINSIMSVVTNTLVEELEESQRKCPPCWYKFANTFLIWECHPYWIKLKEIVNLIVMDPFVDLAITICIVLNTLFMAMEHHPMTPQFEHVLAVGNLVFTGIFTAEMFLKLIAMDPYYYFQEGWNIFDGFIVSLSLMELGLADVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKISQECKLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQAMCLIVFMMVMVIGNLVVLNLFLALLLSSFSADNLAATDDDGEMNNLQISVIRIKKGVAWAKVKVHAFMQAHFKQREADEVKPLDELYEKKANCIANHTGVDIHRNGDFQKNGNGTTSGIGSSVEKYIIDEDHMSFINNPNLTVRVPIAVGESDFENLNTEDVSSESDPEGSKDKLDDTSSSEGSTIDIKPEVEEVPVEQPEEYLDPDACFTEGCVQRFKCCQVNIEEGLGKSWWILRKTCFLIVEHNWFETFIIFMILLSSGALAFEDIYIEQRKTIRTILEYADKVFTYIFILEMLLKWTAYGFVKFFTNAWCWLDFLIVAVSLVSLIANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVVVNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKYHYCFNETSEIRFEIDEVNNKTDCEKLMEGNNTEIRWKNVKINFDNVGAGYLALLQVATFKGWMDIMYAAVDSRKPDEQPDYEGNIYMYIYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPLNKIQGIVFDFVTQQAFDIVIMMLICLNMVTMMVETDTQSKQMENILYWINLVFVIFFTCECVLKMFALRHYYFTIGWNIFDFVVVILSIVGMFLADIIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIFSIFGMSNFAYVKHEAGIDDMFNFETFGNSMICLFQITTSAGWDGLLLPILNRPPDCSLDKEHPGSGFKGDCGNPSVGIFFFVSYIIISFLIVVNMYIAIILENFSVATEESADPLSEDDFETFYEIWEKFDPDATQFIEYCKLADFADALEHPLRVPKPNTIELIAMDLPMVSGDRIHCLDILFAFTKRVLGDSGELDILRQQMEERFVASNPSKVSYEPITTTLRRKQEEVSAVVLQRAYRGHLARRGFICRKITSNKLENGGTHREKKESTPSTASLPSYDSVTKPDKEKQQRAEEGRRERAKRQKEVRESKC", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MERLLAQLCGSSAAWPLPLWEGDTTGHCFTQLVLSALPHALLAVLSACYLGTPRSPDYILPCSPGWRLRLAASFLLSVFPLLDLLPVALPPGAGPGPIGLEVLAGCVAAVAWISHSLALWVLAHSPHGHSRGPLALALVALLPAPALVLTVLWHCQRGTLLPPLLPGPMARLCLLILQLAALLAYALGWAAPGGPREPWAQEPLLPEDQEPEVAEDGESWLSRFSYAWLAPLLARGACGELRQPQDICRLPHRLQPTYLARVFQAHWQEGARLWRALYGAFGRCYLALGLLKLVGTMLGFSGPLLLSLLVGFLEEGQEPLSHGLLYALGLAGGAVLGAVLQNQYGYEVYKVTLQARGAVLNILYCKALQLGPSRPPTGEALNLLGTDSERLLNFAGSFHEAWGLPLQLAITLYLLYQQVGVAFVGGLILALLLVPVNKVIATRIMASNQEMLQHKDARVKLVTELLSGIRVIKFCGWEQALGARVEACRARELGRLRVIKYLDAACVYLWAALPVVISIVIFITYVLMGHQLTATKVFTALALVRMLILPLNNFPWVINGLLEAKVSLDRIQLFLDLPNHNPQAYYSPDPPAEPSTVLELHGALFSWDPVGTSLETFISHLEVKKGMLVGIVGKVGCGKSSLLAAIAGELHRLRGHVAVRGLSKGFGLATQEPWIQFATIRDNILFGKTFDAQLYKEVLEACALNDDLSILPAGDQTEVGEKGVTLSGGQRARIALARAVYQEKELYLLDDPLAAVDADVANHLLHRCILGMLSYTTRLLCTHRTEYLERADAVLLMEAGRLIRAGPPSEILPLVQAVPKAWAENGQESDSATAQSVQNPEKTKEGLEEEQSTSGRLLQEESKKEGAVALHVYQAYWKAVGQGLALAILFSLLLMQATRNAADWWLSHWISQLKAENSSQEAQPSTSPASMGLFSPQLLLFSPGNLYIPVFPLPKAAPNGSSDIRFYLTVYATIAGVNSLCTLLRAVLFAAGTLQAAATLHRRLLHRVLMAPVTFFNATPTGRILNRFSSDVACADDSLPFILNILLANAAGLLGLLAVLGSGLPWLLLLLPPLSIMYYHVQRHYRASSRELRRLGSLTLSPLYSHLADTLAGLSVLRATGATYRFEEENLRLLELNQRCQFATSATMQWLDIRLQLMGAAVVSAIAGIALVQHQQGLANPGLVGLSLSYALSLTGLLSGLVSSFTQTEAMLVSVERLEEYTCDLPQEPQGQPLQLGTGWLTQGGVEFQDVVLAYRPGLPNALDGVTFCVQPGEKLGIVGRTGSGKSSLLLVLFRLLEPSSGRVLLDGVDTSQLELAQLRSQLAIIPQEPFLFSGTVRENLDPQGLHKDRALWQALKQCHLSEVITSMGGLDGELGEGGRSLSLGQRQLLCLARALLTDAKILCIDEATASVDQKTDQLLQQTICKRFANKTVLTIAHRLNTILNSDRVLVLQAGRVVELDSPATLRNQPHSLFQQLLQSSQQGVPASLGGP", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MINAKKLMKMAKKWQQRAALHRKRISFQRSSVFTSSSSTVEKGCFVVYTADKIRFAFPISYLSNSVVQELLKISEEDFGLPTEGPITLPFDSAFLEYLVKLIQRRMDEDTEKALLLSISSARCSFQPQEQQCSTTQQLLVF", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MENPPNETEAKQIQTNEGKKTKGGIITMPFIIANEAFEKVASYGLLPNMIMYLIRDYRFGVAKGTNVLFMWSAASNFTPLLGAFLSDSYLGRFLTISIASLSSFLGMVLLWLTAMLPQVKPSPCDPTAAGSHCGSSTASQLALLYSAFALISIGSGGIRPCSLAFGADQLDNKENPKNERVLESFFGWYYASSAVAVLIAFTGIVYIQEHLGWKIGFGVPAVLMLIAALLFILASPLYVTRGVTKSLFTGLAQAIVAAYKKRKLSLPDHHDSFDCYYHMKDSEIKAPSQKLRFLNKACLISNREEEIGSDGFALNPWRLCTTDKVEELKALIKVIPIWSTGIMMSINTSQSSFQLLQATSMDRRLSRHGSSFQVPAGSFGMFTIIALALWVILYDRAVIPLASKIRGRPFRLSVKLRMGLGLFMSFLAMAISAMVESFRRKKAISQGYANNSNAVVDISAMWLVPQYVLHGLAEALTAIGQTEFFYTEFPKSMSSIAASLFGLGMAVASLLASVVLNAVNELTSRNGKESWVSDNINKGHYNYYYWVLAIMSFINVIYYVICSWSYGPLVDQVRNGRVNGVREEEELIDIVGKGFEKEDLSPVVKTN", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKALMLLTLSVLLCWVSADIRCHSCYKVPVLGCVDRQSCRLEPGQQCLTTHAYLGKMWVFSNLRCGTPEEPCQEAFNQTNRKLGLTYNTTCCNKDNCNSAGPRPTPALGLVFLTSLAGLGLWLLH", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MYGRDPWGGPLEINTADSATDDDRSRNLNDLDRAALSRPLDETQQSWLLGPTEQKKKKYVDLGCIIVSRKIFVWTVGTLVAAALLAGFITLIVKTVPRHHPKTPPPDNYTIALHKALKFFNAQKSGKLPKHNNVSWRGNSGLQDGKGETGSFYKDLVGGYYDAGDAIKFNFPMAYAMTMLSWSVIEYSAKYEAAGELTHVKELIKWGTDYFLKTFNSTADSIDDLVSQVGSGNTDDGNTDPNDHYCWMRPEDMDYKRPVTTCNGGCSDLAAEMAAALASASIVFKDNKEYSKKLVHGAKVVYQFGRTRRGRYSAGTAESSKFYNSSMYWDEFIWGGAWMYYATGNVTYLNLITQPTMAKHAGAFWGGPYYGVFSWDNKLAGAQLLLSRLRLFLSPGYPYEEILRTFHNQTSIVMCSYLPIFNKFNRTNGGLIELNHGAPQPLQYSVNAAFLATLYSDYLDAADTPGWYCGPNFYSTSVLRDFARSQIDYILGKNPRKMSYVVGFGTKYPRHVHHRGASIPKNKVKYNCKGGWKWRDSKKPNPNTIEGAMVAGPDKRDGYRDVRMNYNYTEPTLAGNAGLVAALVALSGEEEATGKIDKNTIFSAVPPLFPTPPPPPAPWKP", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSNATNNTLGSLLPQLEAAANSNSLYGGMVPNLRFNITMIVIWGILLTIHVVQLLMRQYWFSIAFICTGILEVLGFIGRTWSHSNVADMDAFLLNMICLTIAPVFTMGGIYYQLAKLIEVYGHRFSLLPSPMAYSFIFICSDIVSLVVQAVGGGLCGVAVTDGTSTTTGNHVFIAGLAIQVASMAIFLMLWFHFLFRIYISVRWEHINSRPISLSLLKISQTEVDYLYREKFHFLRLEPKRWVFHYFNLAITVAVLTIFTRCCYRLAELVVGWDGYLITHEWYFIILDALMMAIATVTLTIFHPGFAFKGRSTSIPITPGHVDPETLPHTDDVEDILDTSDSKQFDIEKEEFQASMKYPISTFKQFMSKIANLFSSKKKAKL", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEVEEEVSRWEGYADWRNRAAVKGRHGGMLAASFVLVVEILENLAYLANASNLVLYLREYMHMSPSKSANDVTNFMGTAFLLALLGGFLSDAFFSTFQIFLISASIEFLGLIILTIQARTPSLMPPSCDSPTCEEVSGSKAAMLFVGLYLVALGVGGIKGSLASHGAEQFDESTPKGRKQRSTFFNYFVFCLACGALVAVTFVVWLEDNKGWEWGFGVSTIAIFVSILIFLSGSRFYRNKIPCGSPLTTILKVLLAASVKCCSSGSSSNAVASMSVSPSNHCVSKGKKEVESQGELEKPRQEEALPPRAQLTNSLKVLNGAADEKPVHRLLECTVQQVEDVKIVLKMLPIFACTIMLNCCLAQLSTFSVQQAASMNTKIGSLKIPPASLPIFPVVFIMILAPIYDHLIIPFARKATKTETGVTHLQRIGVGLVLSILAMAVAALVEIKRKGVAKDSGLLDSKETLPVTFLWIALQYLFLGSADLFTLAGLLEYFFTEAPSSMRSLATSLSWASLAMGYYLSSVIVSIVNSITGSSGNTPWLRGKSINRYKLDYFYWLMCVLSAANFLHYLFWAMRYKYRSTGSRS", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSDHSPISNKENHLLPSDSSRSSSSDMHSTGTTGTTGVEPVDFTGEGAKYTTATEGNGGADLAIQRTTTMNSAAESEVNITRRLTKILTGSVNEPDRVEVDYTNCAPMGGDRPYPPSLPSRDLYEVTFDGPNDPLHPFNWPMKKKVLLCLVLCLDSIAIAMCSSIFASAVPQICEIYHVIEVVAILGITLFVLGFAASPVIYAPLSELYGRKGVLVLSAFGFALFQFAVATAENLQTIFICRFFGGFIGAAPMAVVPAAFADMFDTNVRGKAIALFSLGVFVGPILSPVMGSYIAQRTTWRWLEYVVGCFASAVFVAIVLFFEETHHPTILVNKAKQMRKQSNNWGIHAAHEDVELSIKDIVQKTVTRPIIMLFVEPLLLFVTIYNSFVYGILYLLLEAYPLVFVEGYGFTENGELPYIALIIGMMVCAAFIWYMDNDYLKRCRAKGKLVPEARLYAMVIAGTVFPIGILWFCWTGYYPHKIHWMVPTVGGAFIGFGLMGIFLPCLNYIIESYLLLAASAVAANTFMRSAFGACFPLFAGYMFRGMGIGWAGLLLGLFAAAMIPVPLLFLKYGESIRKKSKYAYAA", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKPEKLFSGLGTSDGEYGVVNSENISIDAMQDNRGECHRRSIEMHANDNLGLVSQRDCTNRPKITPQECLSETEQICHHGENRTKAGLDVDDAETGGDHTNESRVDECCAEKVNDTETGLDVDSCCGDAQTGGDHTNESCVDGCCVRDSSVMVEEVTGSCEAVSSKEQLLTSFEVVPSKSEGLQSIHDIRETTRCNTNSNQHTGKGRLCIESSDSTLKKRSCKVSRQKIEVSSKPECCNISCVERIASRSCEKRTFKGSTNVGISGSSSTDSLSEKFFSEQYSRMYNRYSSILKNLGCICNYLRTLGKESCCLPKVRFCSGEGASKKTKYSYRNSSGCLTKKKTHGDKERLSNDNGHADFVCSKSCCTKMKDCAVTSTISGHSSSEISRIVSMEPIENHLNLEAGSTGTEHIVLSVSGMSCTGCESKLKKSFGALKCVHGLKTSLILSQAEFNLDLAQGSVKDVIKHLSKTTEFKYEQISNHGSTIDVVVPYAAKDFINEEWPQGVTELKIVERNIIRIYFDPKVIGARDLVNEGWSVPVSIAPFSCHPTIEVGRKHLVRVGCTTALSIILTIPILVMAWAPQLREKISTISASMVLATIIQFVIAGPFYLNALKSLIFSRLIEMDLLIVLSTSAAYIFSIVSFGYFVVGRPLSTEQFFETSSLLVTLIMVGRFVSELARHRAVKSISVRSLQASSAILVDKTGKETEINIRLLQYGDIFKVLPDSRIPTDGTVISGSSEVDEALITGESMPVPKKCQSIVVAGSVNGTGTLFVKLSKLPGNNTISTIATMVDEAKLTKPKIQNIADKIASYFVPTIIGITVVTFCVWIAVGIRVEKQSRSDAVIQAIIYAITVLIVSCPCVIGLAVPIVFVIASGVAAKRGVIFKSAESIEVAHNTSHVVFDKTGTLTEGKLTVVHETVRGDRHNSQSLLLGLTEGIKHPVSMAIASYLKEKGVSAQNVSNTKAVTGKRVEGTSYSGLKLQGGNCRWLGHNNDPDVRKALEQGYSVFCFSVNGSVTAVYALEDSLRADAVSTINLLRQRGISLHILSGDDDGAVRSMAARLGIESSNIRSHATPAEKSEYIKDIVEGRNCDSSSQSKRPVVVFCGDGTNDAIGLTQATIGVHINEGSEVAKLAADVVMLKPKLNNILTMITVSQKAMFRVKLNFLWSFTYNLFAILLAAGAFVDFHIPPEYAGLGELVSILPVIFVAILLRYAKI", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVRFFGLNKKKNEEKENTDLPADNEQNAAETSSSNVSGNEERIDPNSHDTNPENANNDDASTTFGSSIQSSSIFSRGRMTYGTGASSSMATSEMRSHSSGHSGSKNSKNLQGFKDVGKPLRAVSFLSPVKEEESQDTQNTLDVSSSTSSTLATSENARENSFTSRRSITLEYIHKSLSELEENLVDIMDDIHQDVISISKAVIEAIEYFKEFLPTTRDRIPYRISLEKSSSLRKINKIVLHFLDNLLVSDAFSNSRSILLRRFYFFLKKLNLITDDDLISESGVLPCLSVFCIGSHCNLPSMDKLGMILDELTKMDSSIISDQEGAFIAPILRGITPKSSILTIMFGLPNLQHEHYEMIKVLYSLFPDVHMYCVKDYIKKAASAVGSIPSHTAATIDTIAPTKFQFSPPYAVSENPLELPISMSLSTETSAKITGTLGGYLFPQTGSDKKFSQFASCSFAITCAHVVLSEKQDYPNVMVPSNVLQTSYKKVLTKESDRYPDGSVEKTAFLEEVQRIDQNLNWQKSNKFGQVVWGERAIVDHRLSDFAIIKVNSSFKCQNTLGNGLKSFPDPTLRFQNLHVKRKIFKMKPGMKVFKIGASTGYTSGELNSTKLVYWADGKLQSSEFVVASPTPLFASAGDSGAWILTKLEDRLGLGLVGMLHSYDGEQRQFGLFTPIGDILERLHAVTKIQWDIDPQLDG", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRELVNIPLVHILTLVAFSGTEKLPKAPVITTPLETVDALVEEVATFMCAVESYPQPEISWTRNKILIKLFDTRYSIRENGQLLTILSVEDSDDGIYCCTANNGVGGAVESCGALQVKMKPKITRPPINVKIIEGLKAVLPCTTMGNPKPSVSWIKGDSPLRENSRIAVLESGSLRIHNVQKEDAGQYRCVAKNSLGTAYSKVVKLEVEVFARILRAPESHNVTFGSFVTLHCTATGIPVPTITWIENGNAVSSGSIQESVKDRVIDSRLQLFITKPGLYTCIATNKHGEKFSTAKAAATISIAEWSKPQKDNKGYCAQYRGEVCNAVLAKDALVFLNTSYADPEEAQELLVHTAWNELKVVSPVCRPAAEALLCNHIFQECSPGVVPTPIPICREYCLAVKELFCAKEWLVMEEKTHRGLYRSEMHLLSVPECSKLPSMHWDPTACARLPHLDYNKENLKTFPPMTSSKPSVDIPNLPSSSSSSFSVSPTYSMTVIISIMSSFAIFVLLTITTLYCCRRRKQWKNKKRESAAVTLTTLPSELLLDRLHPNPMYQRMPLLLNPKLLSLEYPRNNIEYVRDIGEGAFGRVFQARAPGLLPYEPFTMVAVKMLKEEASADMQADFQREAALMAEFDNPNIVKLLGVCAVGKPMCLLFEYMAYGDLNEFLRSMSPHTVCSLSHSDLSMRAQVSSPGPPPLSCAEQLCIARQVAAGMAYLSERKFVHRDLATRNCLVGENMVVKIADFGLSRNIYSADYYKANENDAIPIRWMPPESIFYNRYTTESDVWAYGVVLWEIFSYGLQPYYGMAHEEVIYYVRDGNILSCPENCPVELYNLMRLCWSKLPADRPSFTSIHRILERMCERAEGTVSV", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAKRTFSTLEAFLIFLLVIMTVITVALLTLLFVTSGTIENHKDSGNHWFSTTLGSTTTQPPPITQTPNFPSFRNFSGYYIGVGRADCTGQVSDINLMGYGKNGQNARGLLTRLFSRAFILADPDGSNRMAFVSVELCMISQRLRLEVLKRLESKYGSLYRRDNVILSAIHTHSGPAGFFQYTLYILASEGFSNRTFQYIVSGIMKSIDIAHTNLKPGKIFINKGNVANVQINRSPSSYLLNPQSERARYSSNTDKEMLVLKLVDLNGEDLGLISWFAIHPVSMNNSNHFVNSDNMGYAAYLFEQEKNKGYLPGQGPFVAGFASSNLGDVSPNILGPHCVNTGESCDNDKSTCPNGGPSMCMASGPGQDMFESTHIIGRIIYQKAKELYASASQEVTGPVLAAHQWVNMTDVSVQLNATHTVKTCKPALGYSFAAGTIDGVSGLNITQGTTEGDPFWDTLRDQLLGKPSEEIVECQKPKPILLHSGELTIPHPWQPDIVDVQIVTVGSLAIAAIPGELTTMSGRRFREAIKKEFALYGMKDMTVVIAGLSNVYTHYITTYEEYQAQRYEAASTIYGPHTLSAYIQLFRDLAKAIATDTVANMSSGPEPPFFKNLIASLIPNIADRAPIGKHFGDVLQPAKPEYRVGEVVEVIFVGANPKNSAENQTHQTFLTVEKYEDSVADWQIMYNDASWETRFYWHKGILGLSNATIYWHIPDTAYPGIYRIRYFGHNRKQELLKPAVILAFEGISSPFEVVTT", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MILIPRMLLVLFLLLPILSSAKAQVNPAICRYPLGMSGGQIPDEDITASSQWSESTAAKYGRLDSEEGDGAWCPEIPVEPDDLKEFLQIDLHTLHFITLVGTQGRHAGGHGIEFAPMYKINYSRDGTRWISWRNRHGKQVLDGNSNPYDIFLKDLEPPIVARFVRFIPVTDHSMNVCMRVELYGCVWLDGLVSYNAPAGQQFVLPGGSIIYLNDSVYDGAVGYSMTEGLGQLTDGVSGLDDFTQTHEYHVWPGYDYVGWRNESATNGYIEIMFEFDRIRNFTTMKVHCNNMFAKGVKIFKEVQCYFRSEASEWEPNAISFPLVLDDVNPSARFVTVPLHHRMASAIKCQYHFADTWMMFSEITFQSDAAMYNNSEALPTSPMAPTTYDPMLKVDDSNTRILIGCLVAIIFILLAIIVIILWRQFWQKMLEKASRRMLDDEMTVSLSLPSDSSMFNNNRSSSPSEQGSNSTYDRIFPLRPDYQEPSRLIRKLPEFAPGEEESGCSGVVKPVQPSGPEGVPHYAEADIVNLQGVTGGNTYSVPAVTMDLLSGKDVAVEEFPRKLLTFKEKLGEGQFGEVHLCEVEGMEKFKDKDFALDVSANQPVLVAVKMLRADANKNARNDFLKEIKIMSRLKDPNIIHLLAVCITDDPLCMITEYMENGDLNQFLSRHEPPNSSSSDVRTVSYTNLKFMATQIASGMKYLSSLNFVHRDLATRNCLVGKNYTIKIADFGMSRNLYSGDYYRIQGRAVLPIRWMSWESILLGKFTTASDVWAFGVTLWETFTFCQEQPYSQLSDEQVIENTGEFFRDQGRQTYLPQPAICPDSVYKLMLSCWRRDTKNRPSFQEIHLLLLQQGDE", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKILMRADTHVSFSVPADGLKANFTFSQVLAALSVSLCSLVVGFVSAYTSPALVSMTDRTITSFEVTKDAGSWVGGIMPLAALAGGITGGPLIEYLGRRSTILATAVPFIVSSLLIACAVNVIMILCGRFLTGFCVGIASLSLPVYLGETLQPEVRGTLGLLPTALGNIGILVCYVAGSFMNWSMLAFLGAALPVPFLILMIIIPETPRWFVNRGQEERARKALKWLRGKEADVEPELKELMQSQADADRQATQNTCLELFKRNNLKPLSISLGLMFFQQFSGINAVIFYTVQIFKDAGSTIDSNLSTIIVGVVNFFATFMGIILIDRLGRKILLYVSDIAMIVTLSILGGFFYCKAHGPDVSHLGWLPLTCFVIYILGFSLGFGPIPWLMMGEILPAKIRGPAASVVTAFNWFCTFVVTKTFQDLTVAMGAHGAFWLFGAICIVGLFFVIIFVPETRGKSLEEIERKMMGRVPMSSVVNIKPFPFNM", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPEAKLNNNVNDVTSYSSASSSTENAADLHNYNGFDEHTEARIQKLARTLTAQSMQNSTQSAPNKSDAQSIFSSGVEGVNPIFSDPEAPGYDPKLDPNSENFSSAAWVKNMAHLSAADPDFYKPYSLGCAWKNLSASGASADVAYQSTVVNIPYKILKSGLRKFQRSKETNTFQILKPMDGCLNPGELLVVLGRPGSGCTTLLKSISSNTHGFDLGADTKISYSGYSGDDIKKHFRGEVVYNAEADVHLPHLTVFETLVTVARLKTPQNRIKGVDRESYANHLAEVAMATYGLSHTRNTKVGNDIVRGVSGGERKRVSIAEVSICGSKFQCWDNATRGLDSATALEFIRALKTQADISNTSATVAIYQCSQDAYDLFNKVCVLDDGYQIYYGPADKAKKYFEDMGYVCPSRQTTADFLTSVTSPSERTLNKDMLKKGIHIPQTPKEMNDYWVKSPNYKELMKEVDQRLLNDDEASREAIKEAHIAKQSKRARPSSPYTVSYMMQVKYLLIRNMWRLRNNIGFTLFMILGNCSMALILGSMFFKIMKKGDTSTFYFRGSAMFFAILFNAFSSLLEIFSLYEARPITEKHRTYSLYHPSADAFASVLSEIPSKLIIAVCFNIIFYFLVDFRRNGGVFFFYLLINIVAVFSMSHLFRCVGSLTKTLSEAMVPASMLLLALSMYTGFAIPKKKILRWSKWIWYINPLAYLFESLLINEFHGIKFPCAEYVPRGPAYANISSTESVCTVVGAVPGQDYVLGDDFIRGTYQYYHKDKWRGFGIGMAYVVFFFFVYLFLCEYNEGAKQKGEILVFPRSIVKRMKKRGVLTEKNANDPENVGERSDLSSDRKMLQESSEEESDTYGEIGLSKSEAIFHWRNLCYEVQIKAETRRILNNVDGWVKPGTLTALMGASGAGKTTLLDCLAERVTMGVITGDILVNGIPRDKSFPRSIGYCQQQDLHLKTATVRESLRFSAYLRQPAEVSIEEKNRYVEEVIKILEMEKYADAVVGVAGEGLNVEQRKRLTIGVELTAKPKLLVFLDEPTSGLDSQTAWSICQLMKKLANHGQAILCTIHQPSAILMQEFDRLLFMQRGGKTVYFGDLGEGCKTMIDYFESHGAHKCPADANPAEWMLEVVGAAPGSHANQDYYEVWRNSEEYRAVQSELDWMERELPKKGSITAAEDKHEFSQSIIYQTKLVSIRLFQQYWRSPDYLWSKFILTIFNQLFIGFTFFKAGTSLQGLQNQMLAVFMFTVIFNPILQQYLPSFVQQRDLYEARERPSRTFSWISFIFAQIFVEVPWNILAGTIAYFIYYYPIGFYSNASAAGQLHERGALFWLFSCAFYVYVGSMGLLVISFNQVAESAANLASLLFTMSLSFCGVMTTPSAMPRFWIFMYRVSPLTYFIQALLAVGVANVDVKCADYELLEFTPPSGMTCGQYMEPYLQLAKTGYLTDENATDTCSFCQISTTNDYLANVNSFYSERWRNYGIFICYIAFNYIAGVFFYWLARVPKKNGKLSKK", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAPGEKIKAKIKKNLPVRGPQAPTIKDLMHWYCMNTNTHGCRRIVVSRGRLRRLLWIAFTLTAVALIIWQCALLVFSFYTVSVSIKVHFQKLDFPAVTICNINPYKYSAVSDLLTDLDSETKQALLSLYGVKESRKRREAGSMPSTLEGTPPRFFKLIPLLVFNENEKGKARDFFTGRKRKISGKIIHKASNVMHVHESKKLVGFQLCSNDTSDCATYTFSSGINAIQEWYKLHYMNIMAQVPLEKKINMSYSAEELLVTCFFDGMSCDARNFTLFHHPMYGNCYTFNNKENATILSTSMGGSEYGLQVILYINEDEYNPFLVSSTGAKVLIHQQNEYPFIEDVGMEIETAMSTSIGMHLTESFKLSEPYSQCTEDGSDVPVTNIYNAAYSLQICLYSCFQTKMVEKCGCAQYSQPLPPAANYCNYQQHPNWMYCYYQLYQAFVREELGCQSVCKQSCSFKEWTLTTSLAQWPSEASEKWLLNVLTWDQSQQINKKLNKTDLAKLLIFYKDLNQRSIMESPANSIEMLLSNFGGQLGLWMSCSVVCVIEIIEVFFIDFFSIIARRQWHKAKDWWARRQTPPSTETPSSRQGQDNPALDTDDDLPTFTSAMRLPPAPGSTVPGTPPPRYNTLRLDRAFSSQLTDTQLTNEL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLMLLVRGTHYENLRSKVVLPTPLGGRSTETFVSEFPGPDTGIRWRRSDEALRVNVGGVRRQLSARALARFPGTRLGRLQAAASEEQARRLCDDYDEAAREFYFDRHPGFFLSLLHFYRTGHLHVLDELCVFAFGQEADYWGLGENALAACCRARYLERRLTQPHAWDEDSDTPSSVDPCPDEISDVQRELARYGAARCGRLRRRLWLTMENPGYSLPSKLFSCVSISVVLASIAAMCIHSLPEYQAREAAAAVAAVAAGRSPEGVRDDPVLRRLEYFCIAWFSFEVSSRLLLAPSTRNFFCHPLNLIDIVSVLPFYLTLLAGVALGDQGGKEFGHLGKVVQVFRLMRIFRVLKLARHSTGLRSLGATLKHSYREVGILLLYLAVGVSVFSGVAYTAEKEEDVGFNTIPACWWWGTVSMTTVGYGDVVPVTVAGKLAASGCILGGILVVALPITIIFNKFSHFYRRQKALEAAVRNSNHQEFEDLLSSIDGVSEASLETSRETSQEGQSADLESQAPSEPPHPQMY", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MADVPGAQRAVPGDGPEPRDPLDCWACAVLVTAQNLLVAAFNLLLLVLVLGTILLPAVTMLGFGFLCHSQFLRSQAPPCTAHLRDPGFTALLVTGFLLLVPLLVLALASYRRLCLRLRLADCLVPYSRALYRRRRAPQPRQIRASPGSQAVPTSGKVWV", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSKSKCSVGLMSSVVAPAKEPNAVGPKEVELILVKEQNGVQLTSSTLTNPRQSPVEAQDRETWGKKIDFLLSVIGFAVDLANVWRFPYLCYKNGGGAFLVPYLLFMVIAGMPLFYMELALGQFNREGAAGVWKICPILKGVGFTVILISLYVGFFYNVIIAWALHYLFSSFTTELPWIHCNNSWNSPNCSDAHPGDSSGDSSGLNDTFGTTPAAEYFERGVLHLHQSHGIDDLGPPRWQLTACLVLVIVLLYFSLWKGVKTSGKVVWITATMPYVVLTALLLRGVTLPGAIDGIRAYLSVDFYRLCEASVWIDAATQVCFSLGVGFGVLIAFSSYNKFTNNCYRDAIVTTSINSLTSFSSGFVVFSFLGYMAQKHSVPIGDVAKDGPGLIFIIYPEAIATLPLSSAWAVVFFIMLLTLGIDSAMGGMESVITGLIDEFQLLHRHRELFTLFIVLATFLLSLFCVTNGGIYVFTLLDHFAAGTSILFGVLIEAIGVAWFYGVGQFSDDIQQMTGQRPSLYWRLCWKLVSPCFLLFVVVVSIVTFRPPHYGAYIFPDWANALGWVIATSSMAMVPIYAAYKFCSLPGSFREKLAYAIAPEKDRELVDRGEVRQFTLRHWLKV", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRGQGRKESLSESRDLDGSYDQLTGHPPGPSKKALKQRFLKLLPCCGPQALPSVSETLAAPASLRPHRPRPLDPDSVEDEFELSTVCHRPEGLEQLQEQTKFTRRELQVLYRGFKNECPSGIVNEENFKQIYSQFFPQGDSSNYATFLFNAFDTNHDGSVSFEDFVAGLSVILRGTIDDRLSWAFNLYDLNKDGCITKEEMLDIMKSIYDMMGKYTYPALREEAPREHVESFFQKMDRNKDGVVTIEEFIESCQQDENIMRSMQLFDNVI", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNFYLLLASSILCALIVFWKYRRFQRNTGEMSSNSTALALVRPSSSGLINSNTDNNLAVYDLSRDILNNFPHSIARQKRILVNLSMVENKLVELEHTLLSKGFRGASPHRKST", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MELSCPGSRCPVQEQRARWERKRACTARELLETERRYQEQLGLVATYFLGILKAKGTLRPPERQALFGSWELIYGASQELLPYLEGGCWGQGLEGFCRHLELYNQFAANSERSQTTLQEQLKKNKGFRRFVRLQEGRPEFGGLQLQDLLPLPLQRLQQYENLVVALAENTGPNSPDHQQLTRAARLISETAQRVHTIGQKQKNDQHLRRVQALLSGRQAKGLTSGRWFLRQGWLLVVPPHGEPRPRMFFLFTDVLLMAKPRPPLHLLRSGTFACKALYPMAQCHLSRVFGHSGGPCGGLLSLSFPHEKLLLMSTDQEELSRWYHSLTWAISSQKN", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKDNFSFAATSRNITSSRPFDNLNATGTNESAFNCSHKPSDKHLEAIPVLYYMIFVIGFAVNIVVVSLFCCQKGPKKVSSIYIFNLALADLLLLATLPLWATYYSYRYDWLFGPVMCKVFGSFLTLNMFASIFFITCMSVDRYQSVIYPFLSQRRNPWQASYVVPLVWCMACLSSLPTFYFRDVRTIEYLGVNACIMAFPPEKYAQWSAGIALMKNILGFIIPLIFIATCYFGIRKHLLKTNSYGKNRITRDQVLKMAAAVVLAFIICWLPFHVLTFLDALTWMGIINSCEVIAVIDLALPFAILLGFTNSCVNPFLYCFVGNRFQQKLRSVFRVPITWLQGKRETMSCRKGSSLREMDTFVS", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDRSLRNVLVVSFGFLLLFTAYGGLQSLQSSLYSEEGLGVTALSTLYGGMLLSSMFLPPLLIERLGCKGTIILSMCGYVAFSVGNFFASWYTLIPTSILLGLGAAPLWSAQCTYLTITGNTHAEKAGKRGKDMVNQYFGIFFLIFQSSGVWGNLISSLVFGQTPSQETLPEEQLTSCGASDCLMATTTTNSTQRPSQQLVYTLLGIYTGSGVLAVLMIAAFLQPIRDVQRESEGEKKSVPFWSTLLSTFKLYRDKRLCLLILLPLYSGLQQGFLSSEYTRSYVTCTLGIQFVGYVMICFSATDALCSVLYGKVSQYTGRAVLYVLGAVTHVSCMIALLLWRPRADHLAVFFVFSGLWGVADAVWQTQNNALYGVLFEKSKEAAFANYRLWEALGFVIAFGYSMFLCVHVKLYILLGVLSLTMVAYGLVECVESKNPIRPHAPGQVNQAEDEEIQTKM", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRMCTPIRGLLMALAVMFGTAMAFAPIPRITWEHREVHLVQFHEPDIYNYSALLLSEDKDTLYIGAREAVFAVNALNISEKQHEVYWKVSEDKKAKCAEKGKSKQTECLNYIRVLQPLSATSLYVCGTNAFQPACDHLNLTSFKFLGKNEDGKGRCPFDPAHSYTSVMVDGELYSGTSYNFLGSEPIISRNSSHSPLRTEYAIPWLNEPSFVFADVIRKSPDSPDGEDDRVYFFFTEVSVEYEFVFRVLIPRIARVCKGDQGGLRTLQKKWTSFLKARLICSRPDSGLVFNVLRDVFVLRSPGLKVPVFYALFTPQLNNVGLSAVCAYNLSTAEEVFSHGKYMQSTTVEQSHTKWVRYNGPVPKPRPGACIDSEARAANYTSSLNLPDKTLQFVKDHPLMDDSVTPIDNRPRLIKKDVNYTQIVVDRTQALDGTVYDVMFVSTDRGALHKAISLEHAVHIIEETQLFQDFEPVQTLLLSSKKGNRFVYAGSNSGVVQAPLAFCGKHGTCEDCVLARDPYCAWSPPTATCVALHQTESPSRGLIQEMSGDASVCPDKSKGSYRQHFFKHGGTAELKCSQKSNLARVFWKFQNGVLKAESPKYGLMGRKNLLIFNLSEGDSGVYQCLSEERVKNKTVFQVVAKHVLEVKVVPKPVVAPTLSVVQTEGSRIATKVLVASTQGSSPPTPAVQATSSGAITLPPKPAPTGTSCEPKIVINTVPQLHSEKTMYLKSSDNRLLMSLFLFFFVLFLCLFFYNCYKGYLPRQCLKFRSALLIGKKKPKSDFCDREQSLKETLVEPGSFSQQNGEHPKPALDTGYETEQDTITSKVPTDREDSQRIDDLSARDKPFDVKCELKFADSDADGD", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKLIDRRMRLTELLLRCSISVFALLALILVVTDTEVKLIFTIKKTAKYTDMKAVVFLVVANGIAAVYSLLQSVRCVVGTMKGKVLFSKPLAWAFFSGDQAMAYLNVAAIAATAESGVIAREGEEDLQWMRVCTMYGKFCNQMAIGVSSALLASIAMVFVSCISAFSLFRLYGATKDRRTTPW", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPLLPVALISSMLYFQMVIMAGTVMLAYYFEYTDTFTVNVQGFFCHDSAYRKPYPGPEDSSAVPPVLLYSLAAGVPVLVIIVGETAVFCLQLATRDFENQEKTILTGDCCYINPLVRRTVRFLGIYAFGLFATDIFVNAGQVVTGNLAPHFLALCKPNYTALGCQQYTQFISGEEACTGNPDLIMRARKTFPSKEAALSVYAATYLTMYITSTIKAKGTRLAKPVLCLGLMCLAFLTGLNRVAEYRNHWSDVIAGFLVGISIAVFLVVCVVNNFKGRQPENGHIHRDNVARMPMTNIPRVESPLEKVTSLQNHVTAFAEVT", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MALADSARGLPNGGGGGGGSGSSSSSAEPPLFPDIVELNVGGQVYVTRRCTVVSVPDSLLWRMFTQQQPQELARDSKGRFFLDRDGFFFRYILDYLRDLQLVLPDYFPERSRLQREAEYFELPELVRRLGAPQQPGPGPPPPHSRRGVHKEGSLGDELLPLGYAEPEPQEGASAGAPSPTLELASRSPSGGAAGPLLTPSQSLDGSRRSGYITIGYRGSYTIGRDAQADAKFRRVARITVCGKTSLAKEVFGDTLNESRDPDRPPERYTSRYYLKFNFLEQAFDKLSESGFHMVACSSTGTCAFASSTDQSEDKIWTSYTEYVFCRE", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGYFSSSVVLLVAISSAFEFGPVAGRDLAIRDNSIWDQKEPAVRDRSFQFVPSVGIQNSKSLNKTCCLNGGTCILGSFCACPPSFYGRNCEHDVRKEHCGSILHGTWLPKKCSLCRCWHGQLHCLPQTFLPGCDGHVMDQDLKASRTPCQTPSVTTTFMLAGACLFLDMKV", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MADSSEGPRAGPGEVAELPGDESGTPGDGRPNLRMKFQGAFRKGVPNPIDLLESTLYESSVVPGPKKAPMDSLFDYGTYRHHSSDNKRWRKKIIEKQPQSPKAPAPQPPPILKVFNRPILFDIVSRGSTADLDGLLPFLLTHKKRLTDEEFREPSTGKTCLPKALLNLSNGRNDTIPVLLDIAERTGNMREFINSPFRDIYYRGQTALHIAIERRCKHYVELLVAQGADVHAQARGRFFQPKDEGGYFYFGELPLSLAACTNQPHIVNYLTENPHKKADMRRQDSRGNTVLHALVAIADNTRENTKFVTKMYDLLLLKCARLFPDSNLEAVLNNDGLSPLMMAAKTGKIGIFQHIIRREVTDEDTRHLSRKFKDWAYGPVYSSLYDLSSLDTCGEEASVLEILVYNSKIENRHEMLAVEPINELLRDKWRKFGAVSFYINVVSYLCAMVIFTLTAYYQPLEGTPPYPYRTTVDYLRLAGEVITLFTGVLFFFTNIKDLFMKKCPGVNSLFIDGSFQLLYFIYSVLVIVSAALYLAGIEAYLAVMVFALVLGWMNALYFTRGLKLTGTYSIMIQKILFKDLFRFLLVYLLFMIGYASALVSLLNPCANMKVCNEDQTNCTVPTYPSCRDSETFSTFLLDLFKLTIGMGDLEMLSSTKYPVVFIILLVTYIILTFVLLLNMLIALMGETVGQVSKESKHIWKLQWATTILDIERSFPVFLRKAFRSGEMVTVGKSSDGTPDRRWCFRVDEVNWSHWNQNLGIINEDPGKNETYQYYGFSHTVGRLRRDRWSSVVPRVVELNKNSNPDEVVVPLDSMGNPRCDGHQQGYPRKWRTDDAPL", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGYSESRSESVSSKGKTSYGHELETVPLPEKKIYTTWPDMIRHWPKTTLCIVSNEFCERFSYYGMRTVLTFYLLNVLKFTDSQSTIFFNGFTVLCYTTPLLGSIVADGYIGKFWTIFSVSILYAIGQVVLALASTKNFQSSVHPWMDLSGLLIIAFGTGGIKPCVSAFGGDQFELGQERMLSLFFSMFYFSINAGSMISTFISPIFRSQPCLGQDSCYPMAFGIPAILMIVATLVFMGGSFWYKKNPPKDNVFGEVSRLMFRAVGNKMKSGSTPKEHWLLHYLTTHDCALDAKCLELQAEKRNKNLCQKKKFIDDVRSLLRVLVMFLPVPMFWALYDQQGSVWLIQAIQMDCRLSDTLLLLPDQMQTLNAVLILLFIPLFQVIIYPVAAKCVRLTPLRKMVTGGLLASLAFLITGFVQLQVNTTLPTLPEEGEASISFWNQFETDCTITVMSGIHKRVLPHDKYLHEDKKNKSGIYNLFTTKSPAKGNGDWTLTYDLSYDGACGDTSKLEKTVKVTAKSKKIIYVGVGSFGYYQNTANTDKPTDGTGEFSMGIVTVFNSSYGGNFAMCRQNTSDFDVNHPCNPRHPADFYFWETDYNSHTDDRDQNATITGSLSSQPAVTYKQKSVKPGYWQLYYLLNTPKDVDRQTYNKTATLVAPTNYGFHRVKQGGVFIYALTGTYENPKIHELQIVQSNSVSILWQIPQIVVITAAEILFSITGYEFAYSQSAPSMKALVQALWLLTTAAGDSIIVVITILNLFENMAVEFFVYAAAMFVVIAIFALLSIFYYTYNYYTTDEEDGEIGVDDEEEIEDHNPRYSIDNKGFHPDEKDTFDMHF", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKGEPKTYSMSDLSYYGEKAQQQNEKQQKQYVVRRNSTQSTSKQNVSVVLEDNASESNELPKGFILYASLIALALSLFLAALDIMIVSTIIEEVAKQFGSYSEIGWLFTGYSLPNALLALIWGRIATPIGFKETMLFAIVIFEIGSLISALANSMSMLIGGRVIAGVGGCGIQSLSFVIGSTLVEESQRGILIAVLSCSFAIASVVGPFLGGVFTSSVTWRWCFYVNLPIGGLAFFLFLFFYNPGLSTFQETMDNIRKFPSQFIEIVRNVAYHLLKIKGFSKLNGWRKPFMELIFMYDIIEFVFCSAGFTCILLAFTFGGNRYAWNSASIIILFIIGIVLVVLAGIYDFLVFPKFNIVKATPHYQPLMSWTNIKKPGIFTVNIALFLTCAGYISQFTYIVQYFQLIYNDSAWRAAVHLVACIISTVVTAILCGAITDKTRQIKPIIVISSIFGVVGAGILTLLNNNANNSAHIGLLILPGVAFGGLAQSSMLASQIQLDKKSPTFRSDFVSITTFNTFCKNLGQALGGVISNTVFSAAAIKKLTKANIQLPDGTTVDNLVIYRQTNFDGSHSKLGNIISESLTDVFYMALGFYALSLIFAVFASNKKVTASLR", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MHAALAGPLLAALLATARARPQPPDGGQCRPPGSQRDLNSFLWTIRRHPPAYLFGTIHVPYTRVWDFIPDNSKAAFQASTHVYFELDLTDPYTISALASCQLLPHGENLQDVLPRELYWRLKRHLDYVKLMIPSWMTPAQRGKGLYADYLFNAIAGNWERKRPVWVMLMVNSLTETDVRSRGVPVLDLYLAQQAEKMKKSTGAVERVEEQCHPLNGLNFSQVLFALNQTLLQHESVRAGSLQAPYTTEDLIKHYNCGDLNAVIFNHDTSQLPNFINTTLPPHEQVTAQEIDSYFRQELIYKRNERMGKRVMALLQENQDKICFFAFGAGHFLGNNTVIDVLRQAGLEVDHTPAGQAIHGPAAVGSPAPPPEITSPASPAPATPAAAVPEATSATPTTPPEEEDPVLSPHLLLPDSLSQLEEFGRQKWRKRLNKHQRPRQFNDLWVRIEDSTTISPPPLPLQPTPSSETTKPFVKSSHQLQQQDAVGPTSSSAPTLGLLHAITASIVAPFLLHSLGPS", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEETEKKIATQEGRLFSKMKVFLLSLTCACLTKSLSGVYMNSMLTQIERQFDISTSVAGLINGSFEIGNLFFIVFVSYFGTKLHRPVVIGIGCVIMGLGCLLMSLPHFFMGRYEYETTISPTGNLSSNSFLCMENRTQTLKPTQDPAECVKEMKSLMWICVMVGNIIRGIGETPIVPLGISYIEDFAKSENSPLYIGILEMGKVAGPIFGLLLGSYCAQIYVDIGSVNTDDLTITPSDTRWVGAWWIGFLVCAGVNILTSIPFFFLPKALPKKGQQENVAVTKDGKVEKYGGQAREENLGITKDFLTFMKRLFCNPIYMLFILTSVLQVNGFINKFTFLPKYLEQQYGKSTAEAIFLIGVYSLPPICLGYLIGGFIMKKFKITVKKAAYLAFCLSVFEYLLFLCHFMLTCDNAAVAGLTTSYKGVQHQLHVESKVLADCNTRCSCSTNTWDPVCGDNGVAYMSACLAGCKKFVGTGTNMVFQDCSCIQSLGNSSAVLGLCKKGPECANRLQYFLILTIIISFIYSLTAIPGYMVFLRCVKSEEKSLGVGLHTFCIRVFAGIPAPVYFGALIDRTCLHWGTLKCGQRGACRMYDINSFRHIYLGLPIALRGSSYLPAFFILILMRKFQFPGDIDSSATDHTEMMLGEKESEHTDVHGSPQVENDGELKTKL", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASLYQRFTGKINTSRSFPAPPEASHLLGGQGPEEDAGSKPLGPQAQAVAPRERGGAGGRPRFQYQARSDCDEEDELVGSNPPQRNWKGIAIALLVILVICSLIVTSVILLTPAEDTSLSQKKKVTVEDLFSEDFKIHDPEAKWISDKEFIYRERKGSVILRNVETNNSTVLIEGKKIESLRAIRYEISPDKEYALFSYNVEPVYQHSHTGYYVLSKIPHGDPQSLDPPEVSNAKLQYAGWGPKGQQLIFIFENNIYYCAHVGKQAIRVVSTGKEGVIYNGLSDWLYEEEILKSHIAHWWSPDGTRLAYATINDSRVPLMELPTYTGSVYPTVKPYHYPKAGSENPSISLHVIGLNGPTHDLEMMPPDDPRMREYYITMVKWATSTKVAVTWLNRAQNVSILTLCDATTGVCTKKHEDESEAWLHRQNEEPVFSKDGRKFFFVRAIPQGGRGKFYHITVSSSQPNSSNDNIQSITSGDWDVTEILTYDEKRNKLYFLSTEDLPRRRHLYSANTVDDFNRQCLSCDLVENCTYVSASFSHNMDFFLLKCEGPGVPTVTVHNTTDKRRMFDLEANEQVQKAIYDRQMPKIEYRKIEVEDYSLPMQILKPATFTDTAHYPLLLVVDGTPGSQSVSERFEVTWETVLVSSHGAVVVKCDGRGSGFQGTKLLHEVRRRLGFLEEKDQMEAVRTMLKEQYIDKTRVAVFGKDYGGYLSTYILPAKGENQGQTFTCGSALSPITDFKLYASAFSERYLGLHGLDNRAYEMTKLAHRVSALEDQQFLIIHATADEKIHFQHTAELITQLIKGKANYSLQIYPDESHYFHSVALKQHLYRSIIGFFVECFRIQDKLPTATAKEDEEED", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGDSTGEPGSSMHGVTGREQSFAFSVQSPIVHTDKTAKFDLPVDTEHKATVFKLFSFAKPHMRTFHLSWISFSTCFVSTFAAAPLVPIIRENLNLTKQDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLSAPTVFSMSFVSDAAGFITVRFMIGFCLATFVSCQYWMSTMFNSQIIGLVNGTAAGWGNMGGGITQLLMPIVYEIIRRCGSTAFTAWRIAFFVPGWLHIIMGILVLNLGQDLPDGNRATLEKAGEVAKDKFGKILWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFHLKLHTAGLIAACFGMANFFARPAGGYASDFAAKYFGMRGRLWTLWIIQTAGGLFCVWLGRANTLVTAVVAMVLFSMGAQAACGATFAIVPFVSRRALGIISGLTGAGGNFGSGLTQLLFFSTSHFTTEQGLTWMGVMIVACTLPVTLVHFPQWGSMFLPPSTDPVKGTEAHYYGSEWNEQEKQKNMHQGSLRFAENAKSEGGRRVRSAATPPENTPNNV", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTAWILLPVSLSAFSITGIWTVYAMAVMNRHVCPVENWSYNDSCSPDPAEQGGPKTCCTLDDVPLISKCGTYPPESCLFSLIGNMGAFMVALICLLRYGQLLEQNRHSWINTTALITGCTNAAGLVVVGNFQVDHAKSLHYIGAGVAFPAGLLFVCLHCVLFYHGATTPLDMAMAYLRSVLAVIAFVTLVLSGVFFLHESSELQHGAALCEWVFVLDILIFYGTFSYEFGAVSSDTLVAALQPAPGRACKSSGSSSTSTHLNCAPESIAMI", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSFIPIVCGMKSFDSSYDTVPGHQNLYCPNCHNYSVGPIKRKEFFTIWFIPLVPVFWGKQLHCPICNWRQDFKNDEQLNKVIQEQQNLRQKQPN", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEGTSFHQASNSMRRNSSVWKKDSGREIFSRSSREEDDEEALRWAALEKLPTFDRLRKGILTASHAGGPINEIDIQKLGFQDTKKLLERLIKVGDDEHEKLLWKLKKRIDRVGIDLPTIEVRFDHLKVEAEVHVGGRALPTFVNFISNFADKFLNTLHLVPNRKKKFTILNDVSGIVKPGRMALLLGPPSSGKTTLLLALAGKLDQELKQTGRVTYNGHGMNEFVPQRTAAYIGQNDVHIGEMTVRETFAYAARFQGVGSRYDMLTELARREKEANIKPDPDIDIFMKAMSTAGEKTNVMTDYILKILGLEVCADTMVGDDMLRGISGGQKKRVTTGEMLVGPSRALFMDEISTGLDSSTTYQIVNSLRNYVHIFNGTALISLLQPAPETFNLFDDIILIAEGEIIYEGPRDHVVEFFETMGFKCPPRKGVADFLQEVTSKKDQMQYWARRDEPYRFIRVREFAEAFQSFHVGRRIGDELALPFDKTKSHPAALTTKKYGVGIKELVKTSFSREYLLMKRNSFVYYFKFGQLLVMAFLTMTLFFRTEMQKKTEVDGSLYTGALFFILMMLMFNGMSELSMTIAKLPVFYKQRDLLFYPAWVYSLPPWLLKIPISFMEAALTTFITYYVIGFDPNVGRLFKQYILLVLMNQMASALFKMVAALGRNMIVANTFGAFAMLVFFALGGVVLSRDDIKKWWIWGYWISPIMYGQNAILANEFFGHSWSRAVENSSETLGVTFLKSRGFLPHAYWYWIGTGALLGFVVLFNFGFTLALTFLNSLGKPQAVIAEEPASDETELQSARSEGVVEAGANKKRGMVLPFEPHSITFDNVVYSVDMPQEMIEQGTQEDRLVLLKGVNGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGNITISGYPKNQQTFARISGYCEQTDIHSPHVTVYESLVYSAWLRLPKEVDKNKRKIFIEEVMELVELTPLRQALVGLPGESGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLLKRGGEEIYVGPLGHESTHLINYFESIQGINKITEGYNPATWMLEVSTTSQEAALGVDFAQVYKNSELYKRNKELIKELSQPAPGSKDLYFPTQYSQSFLTQCMASLWKQHWSYWRNPPYTAVRFLFTIGIALMFGTMFWDLGGKTKTRQDLSNAMGSMYTAVLFLGLQNAASVQPVVNVERTVFYREQAAGMYSAMPYAFAQVFIEIPYVLVQAIVYGLIVYAMIGFEWTAVKFFWYLFFMYGSFLTFTFYGMMAVAMTPNHHIASVVSSAFYGIWNLFSGFLIPRPSMPVWWEWYYWLCPVAWTLYGLIASQFGDITEPMADSNMSVKQFIREFYGYREGFLGVVAAMNVIFPLLFAVIFAIGIKSFNFQKR", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAGQEEYSAMRDQYMRTGEGFLLVFAVNSAKSFEDIGTYREQIKRVKDAEEVPMVLVGNKCDLASWNVNNEQAREVAKQYGIPYIETSAKTRMGVDDAFYTLVREIRKDKDNKGRRGRKMNKPNRRFKCKML", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "METNSSLPTNISGGTPAVSAGYLFLDIITYLVFAVTFVLGVLGNGLVIWVAGFRMTHTVTTISYLNLAVADFCFTSTLPFFMVRKAMGGHWPFGWFLCKFVFTIVDINLFGSVFLIALIALDRCVCVLHPVWTQNHRTVSLAKKVIIGPWVMALLLTLPVIIRVTTVPGKTGTVACTFNFSPWTNDPKERINVAVAMLTVRGIIRFIIGFSAPMSIVAVSYGLIATKIHKQGLIKSSRPLRVLSFVAAAFFLCWSPYQVVALIATVRIRELLQGMYKEIGIAVDVTSALAFFNSCLNPMLYVFMGQDFRERLIHALPASLERALTEDSTQTSDTATNSTLPSAEVELQAK", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAPPLGRLVLTHLLVALFGMGSWIAVNGIWVELPVVVKELPEGWSLPSYLSVLVALGNLGLLLVTLWRRLAPGKSERIPIQVVQGLSIVGTGLLAPLWSNMALVAGQLHSVAFLTLAFVLALSCCASNVTFLPFLSHLPPPFLRSFFLGQGLSALLPCVLALAQGVGRLECLHVPANGTTGPPIKVSPINFPERFSAGTFFWVLTALLGTSAAAFQGLLLLLPSPPPEATMGTGLRVETPGTEEEEEEEEASPLQEPPGQVASIVSSPDPKAHRLFSSRSACLLGLLAITNALTNGVLPAVQSFSCLPYGRLAYHLAVVLGSSANPLACFLAMAVLCRSLAGLYGLCLLGMFFGTYLMTLAVLSPCPPLVGTSAGVVLVVLSWVLCAGVFSYIKVATSSMLHSGGRPALLAAGVAIQVGSLLGAIAMFPPTSVYPVFRSGEDCVDQCGP", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTDVSSPAGGAASPVEMSTTSSSSAATTSASSSKPLTNGANKTAISTAAGGVTPGAVPGPGSGAIPASSSSGNQVKLEHHHRQSNNNRPAVTNRSSETKLMTPTGSSSSPSQSPSQTQASIQTQTSQQDRLAKASTTASQQDVDEVARLFEEKPEAFEKWLTERAPPEALSRLQEFIENRKPHKRPSVTSDLFQQWMAASPTVQQKSPRSLSNSSASSLPECRRHLMDLDEGELFMELIRDVANELDIDVLCHKILVNVGLLTHADRGSLFLAKGTPTNKYLVAKLFDVTQKTALKDAVTRASAEEIIIPFGIGIAGMVAQTKQMINIKEAYKDARFNCEIDLKTGYKTNAILCMPICNYEGDIIGVAQIINKTNGCMEFDEHDVEIFRRYLTFCGIGIQNAQLFEMSVQEYRRNQILLNLARSIFEEQNNLECLVTKIMTEARELLKCERCSVFLVDLDCCEASHLEKIIEKPNQPATRAIKSADSFEEKKMRNRFTVLFELGGEYQAANVSRPSVSELSSSTLAQIAQFVATTGQTVNICDVIEWVRDHNQIRAEDEIDSTQAILCMPIMNAQKKVIGVAQLINKANGVPFTDSDASIFEAFAIFCGLGIHNTQMYENACKLMAKQKVALECLSYHATASQDQTEKLTQDVIAEAESYNLYSFTFTDFELVDDDTCRAVLRMFMQCNLVSQFQIPYDVLCRWVLSVRKNYRPVKYHNWRHALNVAQTMFAMLKTGKMERFMTDLEILGLLVACLCHDLDHRGTNNAFQTKTESPLAILYTTSTMEHHHFDQCVMILNSEGNNIFQALSPEDYRSVMKTVESAILSTDLAMYFKKRNAFLELVENGEFDWQGEEKKDLLCGMMMTACDVSAIAKPWEVQHKVAKLVADEFFDQGDLEKLQLNTQPVAMMDRERKDELPKMQVGFIDVICLPLYRVLCDTFPWITPLYEGTLENRRNWQDLAEKVEMGLTWIDHDTIDKPVEEFAACADEEIKDIEFTVTTLNCNQQSQHGSEDSHTPEHQRSGSRLSMKKTGALGKAVRSKLSKTLYNSMDGSKPKTSLKLLESHVSEDMDDKSPTSPSQPQASGSMGRMSASSSTSSAGGQMVDKSKKRSKLCALL", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGCLLILLLTLICFSLRLCLATDVITFSSEFRDSETVVSNHSTFRFGFFSPVNSTGRYAGIWFNNIPVQTVVWVANSNSPINDSSGMVSISKEGNLVVMDGRGQVHWSTNVLVPVAANTFYARLLNTGNLVLLGTTNTGDEILWESFEHPQNIYLPTMSLATDTKTGRSLKLRSWKSPFDPSPGRYSAGLIPLPFPELVVWKDDLLMWRSGPWNGQYFIGLPNMDYRINLFELTLSSDNRGSVSMSYAGNTLLYHFLLDSEGSVFQRDWNVAIQEWKTWLKVPSTKCDTYATCGQFASCRFNPGSTPPCMCIRGFKPQSYAEWNNGNWTQGCVRKAPLQCESRDNNDGSRKSDGFVRVQKMKVPHNPQRSGANEQDCPESCLKNCSCTAYSFDRGIGCLLWSGNLMDMQEFSGTGVVFYIRLADSEFKKRTNRSIVITVTLLVGAFLFAGTVVLALWKIAKHREKNRNTRLLNERMEALSSNDVGAILVNQYKLKELPLFEFQVLAVATNNFSITNKLGQGGFGAVYKGRLQEGLDIAVKRLSRTSGQGVEEFVNEVVVISKLQHRNLVRLLGFCIEGEERMLVYEFMPENCLDAYLFDPVKQRLLDWKTRFNIIDGICRGLMYLHRDSRLKIIHRDLKASNILLDENLNPKISDFGLARIFQGNEDEVSTVRVVGTYGYMAPEYAMGGLFSEKSDVFSLGVILLEIVSGRRNSSFYNDGQNPNLSAYAWKLWNTGEDIALVDPVIFEECFENEIRRCVHVGLLCVQDHANDRPSVATVIWMLSSENSNLPEPKQPAFIPRRGTSEVESSGQSDPRASINNVSLTKITGR", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGMKHSSRCLLLRRKMAENAAESTEVNSPPSQPPQPVVPAKPVQCVHHVSTQPSCPGRGKMSKLLNPEEMTSRDYYFDSYAHFGIHEEMLKDEVRTLTYRNSMYHNKHVFKDKVVLDVGSGTGILSMFAAKAGAKKVFGIECSSISDYSEKIIKANHLDNIITIFKGKVEEVELPVEKVDIIISEWMGYCLFYESMLNTVIFARDKWLKPGGLMFPDRAALYVVAIEDRQYKDFKIHWWENVYGFDMTCIRDVAMKEPLVDIVDPKQVVTNACLIKEVDIYTVKTEELSFTSAFCLQIQRNDYVHALVTYFNIEFTKCHKKMGFSTAPDAPYTHWKQTVFYLEDYLTVRRGEEIYGTISMKPNAKNVRDLDFTVDLDFKGQLCETSVSNDYKMR", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNNSTYINSSSENVIALESPYKTIEVVFIVLVAGSLSLVTIIGNILVMVSIKVNRHLQTVNNYFLFSLACADLIIGIFSMNLYTLYTVIGYWPLGPVVCDLWLALDYVVSNASVMNLLIISFDRYFCVTKPLTYPVKRTTKMAGMMIAAAWVLSFILWAPAILFWQFIVGGRTVPDKDCYIQFFSNPAVTFGTAIAAFYLPVIIMTVLYWQISRASKSRIKKGKKEAAQNQDPVSPSLVQGKIVKPNNNNIPTSSDGLEHNKVQNGKTTGESVMENCVQGEEKDSSNDSTSVSVVPSNTKEDEAAKDASQISASQDHLKVENSKLTCIRIVTKSQKGDCCAPTNTTVEIVGTNGDEKQNSVARKIVKMTKQPAKKKPPPSREKKVTRTILAILLAFIITWTPYNVMVLINSFCASCIPGTVWTIGYWLCYINSTINPACYALCNATFKKTFKHLLMCHYKNIGATR", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNRPKVQRRVGKYEVGKTLGQGTFAKVRCAVNTETGERVALKILDKEKVLKHKMAEQIRREICTMKLINHPNVVRLYEVLASKTKIYIVLEFGTGGELFDKIVHDGRLKEENARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDAQGNLKVSDFGLSALSRQVRGDGLLHTACGTPNYAAPEVLNDQGYDGATADLWSCGVILFVLLAGYLPFEDSNLMTLYKKIIAGEYHCPPWLSPGAKNLIVRILDPNPMTRITIPEVLGDAWFKKNYKPAVFEEKEEANLDDVDAVFKDSEEHHVTEKKEEQPTSMNAFELISMSRALDLGNLFEEEEGFKRETRFAAKGAANDLVQKIEEASKPLGFDIQKKNYKMRLENVTAGRKGNLRVATEIFQVSPSLHMIEVRKTKGDTLEFHKFYKKLSTSLNDVVWKSGESSGLSK", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVMSQGTYTFLTCFAGFWLIWGLIVLLCCFCSFLRRRLKRRQEERLREQNLRALELEPLELEGSLAGSPPGLAPPPPPHRSRLEAPVHAHSHVHVHPLLHHGPAQPHAHPHPHHHALPHPPPSHLSVPPRPWSYPRQAESDMSKPPCYEEAVLMAEPPPPYSEVLTDTRGLYRKIVTPFLSRRDSAEKQEQPPPSYKPLFLDRGYTSALHLPSAPRPAAPCPALCLQADRSRRVFPSWTDSELSSREPLEHGAWRLPVSIPLFGRTTAV", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKDSEHHHHGHNGFSKFLHKLGFGKSKGKSHKSNTSSIHERENTAAKGPASNVRPARPNVSTSSTSNEVRKSVPVGNPTVHTKTGSSSSPASKMRNTVNLQHIQAANQKTRNAEGERKVAQRRVQSDKAEANDAAMSSSAPTVDVSEGNSAAEPKITPDDSDTPRLNVDMNDKINVDEAAAKSDSKLNVDQINSTTESEKRVEKVNPNIANNPLKSPDAVAYSSETVSDEKQPTEHPVSANVPAKSEKAVCDENTKISLTNTEHYKFHSLRGDVEVVVGDLERDGRDTSLGDASVNEAAKETDVDSSRFISDEKAVTEDAMKTEHASNAPLTDERHFQFHSSEGDIEGIVGTMQRQRQSVSSYDTIVPSQFYKQKVVQNSPPSLLSTDNKIPESGSDHPSSQDNSSKASLVENSQTQSSTPRKPLPTTTSPKVNPEPHSESISDTRPSTPRKVPPSTVPKMNPKLQGGNSVTAPSTPSKVLPAMSPKVAPKFQGGRSSTAPSTPNKVLPAASAKAAPKLQEKAASFDIPNKSTIISTKSTVASPIKANENSPALKSKASFEFPKELDPDGTWNAPIPYPDANCPMAPTYRNLTSEQEEMYEEVLKYCLELKEIPVASNSSKKTDLIELERLWLTRECILRYLRATKWHVSNAKKRIVDTLVWRRHFGVNNMDPDEIQEENATGKQVLLGYDKDGRPCLYLYPARQNTKTSPLQIRHLVFSLECAIDLMPPGVETLALLINFKSSSNRSNPSVGQGKEVLNILQTHYCERLGRALVINIPWAVWGFFKLISPFIDPITREKLKFNEPLDRYVPKDQLDSNFGGSLHFEYHHEKYWPQLVELCKSRRLGILEKWRKMGSKIGTSEWDLKGGEEYVELMQQYVRPSLTNRSSSPTVTPTVNTDRQPKTLTNSADELSPQKRRVENPKPVVKDEGPVSIVEDEESTPVVTKKEDSIPVAQSTKADAGLDAENDLLPKSGSGVSETPAFSHARDVSTASFSDAVSFITADSIE", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKKTEMGRFNISPDEDSSSYSSNGDFNYSYPTKQAALKSHYVDVDPENQNFLLESNLGKKKYETDFHPGTTSFGMSVFNLSNAIVGSGILGLSYAMANTGIALFIILLTFVSIFSLYSVHLLLKTANEGGSLLYEQLGHKAYGLAGKLAASGSITMQNIGAMSSYLFIVKYELPLVIKALMNIEDTNGLWYLNGDYLVLLVSFVLILPLSLLRNLGYLGYTSGLSLLCMIFFLIVVICKKFQIPCPVEVALMANETVNGTFTQVALAALASNSTAADTCRPRYFIFNSQTVYAVPILTFSFVCHPAVLPIYEELKSRSRRRMMNVSKISFFAMFLMYLLAALFGYLTFYEHVESELLHTYSAIVGTDILLLVVRLAVLVAVTLTVPVVIFPIRSSVTHLLCPTKEFSWFRHSVITVTILAFTNLLVIFVPTIRDIFGFIGASAAAMLIFILPSAFYIKLVKKEPMRSVQKIGALCFLLSGVVVMIGSMGLIVLDWVHDASAGGH", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGCKVLLNIGQQMLRRKVVDCSREETRLSRCLNTFDLVALGVGSTLGAGVYVLAGAVARENAGPAIVISFLIAALASVLAGLCYGEFGARVPKTGSAYLYSYVTVGELWAFITGWNLILSYIIGTSSVARAWSATFDELIGRPIGEFSRTHMTLNAPGVLAENPDIFAVIIILILTGLLTLGVKESAMVNKIFTCINVLVLGFIMVSGFVKGSVKNWQLTEEDFGNTSGRLCLNNDTKEGKPGVGGFMPFGFSGVLSGAATCFYAFVGFDCIATTGEEVKNPQKAIPVGIVASLLICFIAYFGVSAALTLMMPYFCLDNNSPLPDAFKHVGWEGAKYAVAVGSLCALSASLLGSMFPMPRVIYAMAEDGLLFKFLANVNDRTKTPIIATLASGAVAAVMAFLFDLKDLVDLMSIGTLLAYSLVAACVLVLRYQPEQPNLVYQMASTSDELDPADQNELASTNDSQLGFLPEAEMFSLKTILSPKNMEPSKISGLIVNISTSLIAVLIITFCIVTVLGREALTKGALWAVFLLAGSALLCAVVTGVIWRQPESKTKLSFKVPFLPVLPILSIFVNVYLMMQLDQGTWVRFAVWMLIGFIIYFGYGLWHSEEASLDADQARTPDGNLDQCK", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MWIKLLFFFTTLLVSTSGLGDDGITALLDPNSTEFSTVLPSNNSEKFSYMLASVKNMNMTASEFEEFIKVLKHRQSKDHSGEHVGNEHDESHGISVVSWHWDYVKNELVLTLFFIVIGLFKLVYHHTFVTRKILPESCCLIFIGIAIGFFFVGDATHASIKFLEFKSKVFFFYLLPPIILESAYSLKDRAFIENIGTILLYAVVGTILNIVLLAAALLILIWVGIMGKYNLSVMDILTFASLVAAVDPVAVLAVFQEVGVNKMLYFMVFGESLFNDAVTIVCYNLAIEFQTLPDFTWYHGFLGLLSFLCVSIGGLIIGLICGAISSFVTKFTTDVRVVEPVVLFGMAYLAYLGSEMFHFSGIIALIACGLFQTHYACCNISYKSFTSVMYITKVCSTLCESLIFIILGVMLVNEREWFWTDWHPVFSAVSVVLCVVVRFGVTFFLTYFVNQFTGGVRHISFQEQFIMSYGGLRGAVSFSLVFMISANPDVKNTMLGATYAVILFTNIIQGSTIKLFVKWLNIRLAKKEDHFRLFIEFNNGMVQHLSQGIEDLCGDKSLSLINRMSELSKKYVRPLLEKNYTANKAKKEGKLVELNRAVAMREALNNSPSQSSFQRQHTIDEMAESGALPHDLLDEEHQGHHHHGQVHPDNEDADQRANELIKDVSSIRQLMHNPFEDCYLDRNLTHEEEKEQARLKMKKTRAFKFSSVRKTIGFFGKKKSVRRHATQQGILHSAIATIGVQSVDRPSTSTRVSVEDEEQGLTMKEMEEEHPLMTITESEETSF", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNWHMIISGLIVVVIKVVGMTFFLLYFPQVFGKSNDGFVPTESYGTTSVQNVSQIFGRNDESTMPTRSYGTVCPRNWDFHQGKCFFFSFSESPWKDSMDYCATQGSTLAIVNTPEKLKYLQDIAGIENYFIGLVRQPGEKKWRWINNSVFNGNVTNQDQNFDCVTIGLTKTYDAASCEVSYRWICEMNAK", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAARLLAPPGPDSFKPFTPESLANIERRIAESKLKKPPKADGSHREDDEDSKPKPNSDLEAGKSLPFIYGDIPQGLVAVPLEDFDPYYLTQKTFVVLNRGKTLFRFSATPALYILSPFNLIRRIAIKILIHSVFSMIIMCTILTNCVFMTFSNPPDWSKNVEYTFTGIYTFESLVKIIARGFCIDGFTFLRDPWNWLDFSVIMMAYITEFVNLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCVVWPINFNESYLENGTKGFDWEEYINNKTNFYTVPGMLEPLLCGNSSDAGQCPEGYQCMKAGRNPNYGYTSFDTFSWAFLALFRLMTQDYWENLYQLTLRAAGKTYMIFFVLVIFVGSFYLVNLILAVVAMAYEEQNQATLEEAEQKEAEFKAMLEQLKKQQEEAQAAAMATSAGTVSEDAIEEEGEEGGGSPRSSSEISKLSSKSAKERRNRRKKRKQKELSEGEEKGDPEKVFKSESEDGMRRKAFRLPDNRIGRKFSIMNQSLLSIPGSPFLSRHNSKSSIFSFRGPGRFRDPGSENEFADDEHSTVEESEGRRDSLFIPIRARERRSSYSGYSGYSQGSRSSRIFPSLRRSVKRNSTVDCNGVVSLIGGPGSHIGGRLLPEATTEVEIKKKGPGSLLVSMDQLASYGRKDRINSIMSVVTNTLVEELEESQRKCPPCWYKFANTFLIWECHPYWIKLKEIVNLIVMDPFVDLAITICIVLNTLFMAMEHHPMTPQFEHVLAVGNLVFTGIFTAEMFLKLIAMDPYYYFQEGWNIFDGFIVSLSLMELSLADVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKINQDCELPRWHMHDFFHSFLIVFRVLCGEWIETMWDCMEVAGQAMCLIVFMMVMVIGNLVVLNLFLALLLSSFSADNLAATDDDGEMNNLQISVIRIKKGVAWTKLKVHAFMQAHFKQREADEVKPLDELYEKKANCIANHTGADIHRNGDFQKNGNGTTSGIGSSVEKYIIDEDHMSFINNPNLTVRVPIAVGESDFENLNTEDVSSESDPEGSKDKLDDTSSSEGSTIDIKPEVEEVPVEQPEEYLDPDACFTEGCVQRFKCCQVNIEEGLGKSWWILRKTCFLIVEHNWFETFIIFMILLSSGALAFEDIYIEQRKTIRTILEYADKVFTYIFILEMLLKWTAYGFVKFFTNAWCWLDFLIVAVSLVSLIANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVVVNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKYHYCFNETSEIRFEIEDVNNKTECEKLMEGNNTEIRWKNVKINFDNVGAGYLALLQVATFKGWMDIMYAAVDSRKPDEQPKYEDNIYMYIYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPLNKIQGIVFDFVTQQAFDIVIMMLICLNMVTMMVETDTQSKQMENILYWINLVFVIFFTCECVLKMFALRHYYFTIGWNIFDFVVVILSIVGMFLADIIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIFSIFGMSNFAYVKHEAGIDDMFNFETFGNSMICLFQITTSAGWDGLLLPILNRPPDCSLDKEHPGSGFKGDCGNPSVGIFFFVSYIIISFLIVVNMYIAIILENFSVATEESADPLSEDDFETFYEIWEKFDPDATQFIEYCKLADFADALEHPLRVPKPNTIELIAMDLPMVSGDRIHCLDILFAFTKRVLGDSGELDILRQQMEERFVASNPSKVSYEPITTTLRRKQEEVSAVVLQRAYRGHLARRGFICKKTTSNKLENGGTHREKKESTPSTASLPSYDSVTKPEKEKQQRAEEGRRERAKRQKEVRESKC", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEAPEEPAPVRGGPEATLEVRGSRCLRLSAFREELRALLVLAGPAFLVQLMVFLISFISSVFCGHLGKLELDAVTLAIAVINVTGVSVGFGLSSACDTLISQTYGSQNLKHVGVILQRSALVLLLCCFPCWALFLNTQHILLLFRQDPDVSRLTQTYVTIFIPALPATFLYMLQVKYLLNQGIVLPQIVTGVAANLVNALANYLFLHQLHLGVIGSALANLISQYTLALLLFLYILGKKLHQATWGGWSLECLQDWASFLRLAIPSMLMLCMEWWAYEVGSFLSGILGMVELGAQSIVYELAIIVYMVPAGFSVAASVRVGNALGAGDMEQARKSSTVSLLITVLFAVAFSVLLLSCKDHVGYIFTTDRDIINLVAQVVPIYAVSHLFEALACTSGGVLRGSGNQKVGAIVNTIGYYVVGLPIGIALMFATTLGVMGLWSGIIICTVFQAVCFLGFIIQLNWKKACQQAQVHANLKVNNVPRSGNSALPQDPLHPGCPENLEGILTNDVGKTGEPQSDQQMRQEEPLPEHPQDGAKLSRKQLVLRRGLLLLGVFLILLVGILVRFYVRIQ", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGAAAAEAPLRLPAAPPLAFCCYTSVLLLFAFSLPGSRASNQPPGGGGGSGGDCPGGKGKSINCSELNVRESDVRVCDESSCKYGGVCKEDGDGLKCACQFQCHTNYIPVCGSNGDTYQNECFLRRAACKHQKEITVIARGPCYSDNGSGSGEGEEEGSGAEVHRKHSKCGPCKYKAECDEDAENVGCVCNIDCSGYSFNPVCASDGSSYNNPCFVREASCIKQEQIDIRHLGHCTDTDDTSLLGKKDDGLQYRPDVKDASDQREDVYIGNHMPCPENLNGYCIHGKCEFIYSTQKASCRCESGYTGQHCEKTDFSILYVVPSRQKLTHVLIAAIIGAVQIAIIVAIVMCITRKCPKNNRGRRQKQNLGHFTSDTSSRMV", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEPSKQEVPKLMETPPNISNDSSATEKGEATRQQQLPNNRYALTVDEVIEQHIGALGFAQILHALLVSIAWIFDAQTTLISIFSDAQPAARLLATGAIVEGASLCGLASGEWEWIGPKSDTVVSEWNLICQHKFLVAVPSTLFFIGSLFGSGVYGYLADSWFGRKKTLLLSCVLTFVTAFAISFSPNVWVYAFLRFANGFFRSGIGSCCIVLATEIVGKKWRGQVGQYGFFFFTLGFLSLPLMAYLERKSWRNLYRIISFLPLGYAVCLLPFAYESPRWLLVKGRNKEAMVVLKKLARLNGKQLPADLSLVDPIPERDDQTSSSEKFWKTKWAVKRIIMVMMAGFGSGFVYYGIQLNAENLNFNLYLTVAVNALMEFPAVFIGSFLLGVMNRRPLFSNSSYLAGFACLLCAVLSIHRVIRAISVAKWLQLAVEAVGFMASSTAYDVLYVYCVELFPTNVRNTAVSLLRQAFMLGASAAPLLVALGRESAMMSFIVFGVASVLSGIVSLWLRETRNAPLYETLAQQGKAEEIENETIMIT", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGNDSVSYEYGDYSDLSDRPVDCLDGACLAIDPLRVAPLPLYAAIFLVGVPGNAMVAWVAGKVARRRVGATWLLHLAVADLLCCLSLPILAVPIARGGHWPYGAVGCRALPSIILLTMYASVLLLAALSADLCFLALGPAWWSTVQRACGVQVACGAAWTLALLLTVPSAIYRRLHQEHFPARLQCVVDYGGSSSTENAVTAIRFLFGFLGPLVAVASCHSALLCWAARRCRPLGTAIVVGFFVCWAPYHLLGLVLTVAAPNSALLARALRAEPLIVGLALAHSCLNPMLFLYFGRAQLRRSLPAACHWALRESQGQDESVDSKKSTSHDLVSEMEV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MESPIQIFRGEPGPTCAPSACLLPNSSSWFPNWAESDSNGSVGSEDQQLEPAHISPAIPVIITAVYSVVFVVGLVGNSLVMFVIIRYTKMKTATNIYIFNLALADALVTTTMPFQSAVYLMNSWPFGDVLCKIVISIDYYNMFTSIFTLTMMSVDRYIAVCHPVKALDFRTPLKAKIINICIWLLASSVGISAIVLGGTKVREDVDVIECSLQFPDDEYSWWDLFMKICVFVFAFVIPVLIIIVCYTLMILRLKSVRLLSGSREKDRNLRRITKLVLVVVAVFIICWTPIHIFILVEALGSTSHSTAVLSSYYFCIALGYTNSSLNPVLYAFLDENFKRCFRDFCFPIKMRMERQSTNRVRNTVQDPASMRDVGGMNKPV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAIPRLFFLFYYIGFALFPFVSSETFQNSEQEILLAFKSDLFDPSNNLQDWKRPENATTFSELVHCHWTGVHCDANGYVAKLLLSNMNLSGNVSDQIQSFPSLQALDLSNNAFESSLPKSLSNLTSLKVIDVSVNSFFGTFPYGLGMATGLTHVNASSNNFSGFLPEDLGNATTLEVLDFRGGYFEGSVPSSFKNLKNLKFLGLSGNNFGGKVPKVIGELSSLETIILGYNGFMGEIPEEFGKLTRLQYLDLAVGNLTGQIPSSLGQLKQLTTVYLYQNRLTGKLPRELGGMTSLVFLDLSDNQITGEIPMEVGELKNLQLLNLMRNQLTGIIPSKIAELPNLEVLELWQNSLMGSLPVHLGKNSPLKWLDVSSNKLSGDIPSGLCYSRNLTKLILFNNSFSGQIPEEIFSCPTLVRVRIQKNHISGSIPAGSGDLPMLQHLELAKNNLTGKIPDDIALSTSLSFIDISFNHLSSLSSSIFSSPNLQTFIASHNNFAGKIPNQIQDRPSLSVLDLSFNHFSGGIPERIASFEKLVSLNLKSNQLVGEIPKALAGMHMLAVLDLSNNSLTGNIPADLGASPTLEMLNVSFNKLDGPIPSNMLFAAIDPKDLVGNNGLCGGVLPPCSKSLALSAKGRNPGRIHVNHAVFGFIVGTSVIVAMGMMFLAGRWIYTRWDLYSNFAREYIFCKKPREEWPWRLVAFQRLCFTAGDILSHIKESNIIGMGAIGIVYKAEVMRRPLLTVAVKKLWRSPSPQNDIEDHHQEEDEEDDILREVNLLGGLRHRNIVKILGYVHNEREVMMVYEYMPNGNLGTALHSKDEKFLLRDWLSRYNVAVGVVQGLNYLHNDCYPPIIHRDIKSNNILLDSNLEARIADFGLAKMMLHKNETVSMVAGSYGYIAPEYGYTLKIDEKSDIYSLGVVLLELVTGKMPIDPSFEDSIDVVEWIRRKVKKNESLEEVIDASIAGDCKHVIEEMLLALRIALLCTAKLPKDRPSIRDVITMLAEAKPRRKSVCQVAGDLPIFRNSPVVGLI", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGSSCSRSHSLSEAETTKNAKSADIDRRILQETKAEQHIHKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYEGAKELSQVESDSSKYVISPDNQEIGEKLSDIDGRLDYPLLNKELVLDVKRLWQDPAIQETYLRGSILQLPDCAQYFMENLDRLAEAGYVPTKEDVLYARVRTNGVVQIQFSPVGENKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFILFLNKFDIFEKKIQKVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAWNTNLRGRLPITCLILQVTMVVLFGVFVRYDIQADAHWWLEKKRKNISSDVENEFYYRYPSFEDVHAMVFVGFGFLMTYLQRYGFSAVGFNFLLAAFGIQWALLMQGWFHFFEEGHILLSVENLIQADFCVASTCVAFGAVLGKISPMQLLIMTFFQVTLFTVNEFILLNLIEAKDAGGSMTIHTFGAYFGLTVTWILYRKNLEQSKQRQSSVYHSDLFAMIGTLFLWIYWPSFNSASSFHGDTQHRAALNTYLSLAASVLTTVAVSSVIHKKGKLDMVHIQNATLAGGVGVGTAAEMMLTPYGALIVGFFCGILSTLGFAYLSPFLESRLRIQDTCGIHNLHGIPGIIGGIVGAVTAAYSSPDVYGEPGIVHSFGFGGYKADWTKRMQGRSQIFGLLLSLAMALVGGIIVGFILKLPFWGQASDENCFEDAIYWEVPEEVNTVYIPEDLAHKHSTSLVPAIPLVLSTPSASIVPPVPPTPPASLATVTSSSLVH", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MQEAARRASLRKEHTPTNEKFGDLSKQDSLGERASSKLTLDDELYDILYAFGETDAFINKGDKQRETDEDGNPLTRQALLERIRQKKEVIGKLRCQAWSMTRKRRTLKLAQKYLEQHESKVSRSHLYMEEMRKRARLMKRSFSNFKTYLIPWESKIKRIESHFGSVVSSYFTFLRWIVFVNIMITLIALVFVVLPETLADSVANEGRFNRTKTRKQIPANERVHADELAVVWHYDGYLRYSPLFYGYYSDDPFLGNKIKYALPLAYFMVTLTIFAYSFFAILRKMAANARMSKLSGSKAEQYIFNWKLFTGWDYTIGNSETASNTVMAVVIKLRESIADIKKDAHGKFRLLQFSLRVFANIIICAMLGFSIYCIIFAVQKSQVQDDGNLFTKNQVPSVVSTITHVFPMIFDLIGKMENYHPRTALRAHLGRVLILYTVNYITLIFALFEKMTALRDRVNSTSTSSSHRTKRQQGGWNPNMQRPPPYASRAEVRQMSDFLAANTRRFQTVSQRTTRSVTTPFTVAPQFGPFNVNNPNAVFHNGTHSTSFESQILGPKALPIFTPPPRKYPGFTPGNVGQQFGGPDFPRNQVYTKSTPLPRVRTKPPWVYTTTHPPLVQNRAMTTTMSKSAKKGNSKNLDDDILLSNETIQMSEAALRRNHDGHNNDICWETIIGQEIVKLVTMDLIFTILSILVIDLFRGLWIKYCSSWWCWDIETTFPEYGEFKVAENVLHIINNQGMIWLGLFFAPLLPAINNIKLIILMYIRGWAVMTCNVPAREIFRASRSSNFYLGILLIWLLLCTLPVGFVIASMSPSRSCGPFARYQHFYTVVTREIEKRVDQTVLSYIRHIASPGVVIPIILFLILIIYFLFSLVRGLREANTDLQAQLVHERTEEKKKIFELAGGKKNKFEKDRDKKRSNDYIPLIEQRRREPWRQYHEMEADHALASDSSEESDINEDEDDERQPLTAYPLRAIETPPETLQVTAFHPSLGSLIENREMEDEESASGDQLPMIHKSVSFQGPSHMQMRQSISTESCSQISRSAIQVATPEEIRALLRPYLEAKYGIPYQHGIKSFPIDVHTPPNNTPSRRSSKYNSFVSLYEHTRDDHKNFVASTIKETDEDPGKSDKKQTSSKDVAPDFMPWPSADEARALREKMKSKTPLMLTKTTVEEKPKGGKSSESEFRPPVPIHRKYNIQTTEEENEEEETDSAPESSKKRFRISVSPTKTIAPASASRAQHKIVSQASSSSSIPHGRQPDPNKKASLVLPPLRAPRVQFDEDDSPRQID", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKSFNTEGHNHSTAESGDAYTVSDPTKNVDEDGREKRTGTWLTASAHIITAVIGSGVLSLAWAIAQLGWIAGTSILLIFSFITYFTSTMLADCYRAPDPVTGKRNYTYMDVVRSYLGGRKVQLCGVAQYGNLIGVTVGYTITASISLVAVGKSNCFHDKGHTADCTISNYPYMAVFGIIQVILSQIPNFHKLSFLSIMAAVMSFTYATIGIGLAIATVAGGKVGKTSMTGTAVGVDVTAAQKIWRSFQAVGDIAFAYAYATVLIEIQDTLRSSPAENKAMKRASLVGVSTTTFFYILCGCIGYAAFGNNAPGDFLTDFGFFEPFWLIDFANACIAVHLIGAYQVFAQPIFQFVEKKCNRNYPDNKFITSEYSVNVPFLGKFNISLFRLVWRTAYVVITTVVAMIFPFFNAILGLIGAASFWPLTVYFPVEMHIAQTKIKKYSARWIALKTMCYVCLIVSLLAAAGSIAGLISSVKTYKPFRTMHE", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MENRNSSTSSRPFSVNNPFRNATVDSSINQYKNDSQFQEWAKNQSRTNSFDMPQLNTRTSSQLSFPNIPEDEPQRNADQQGAFYSGLESFSSGSLSPPSRPLSSKNPFLDDVSSATDFRRSPPPVSRNKNHPTAKEEKEQLRQRYLEESDVSTVGNTRENTDLPPSYEEITSTNGSRRAYPKEKVSRPSSHREHSNSGTYISRRSSSHHHREASSSSTPSKKGKRKSKVIVPKNVDTIDKLDVTGLFGGSFHHDGPFDAVTPHRNKNNKAAPVLAFPVDGPNSTIGGASTKKSALDEVFGRDDTDDSDIYQYSSQTLRRGGDTQDAIKANVGNVQQMDAKNKTELVHGPVTAGLGSSTFLDGAPASSAAIRNDIKAHSYHNRNGGLQRNKSLSQRLGLGGSGDSNAPMTGVRRNLSLSRDNYDVGHSNEGVRRSKTVNSPNRTHKSNYTTDFDGQDDHNEDEEDVYLGVRYNEPNMKKKSTGSKLLSRVKSLKVGRKSQ", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAVLFLLLFLCGTPQAADNMQAIYVALGEAVELPCPSPPTLHGDEHLSWFCSPAAGSFTTLVAQVQVGRPAPDPGKPGRESRLRLLGNYSLWLEGSKEEDAGRYWCAVLGQHHNYQNWRVYDVLVLKGSQLSARAADGSPCNVLLCSVVPSRRMDSVTWQEGKGPVRGRVQSFWGSEAALLLVCPGEGLSEPRSRRPRIIRCLMTHNKGVSFSLAASIDASPALCAPSTGWDMPWILMLLLTMGQGVVILALSIVLWRQRVRGAPGRDASIPQFKPEIQVYENIHLARLGPPAHKPR", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTITRILTCLLICLFIRIPAAGTKTTQLIFKRFTEETCQNDPCLNGGTCTPGKLSCTCATGWMGRYCHRKCRNIYKSCDRWAVEDKCHTILTQTNFFDVNCAISCKMCSPDPNYVAPEIPLAPALEPMQFFLGKWHSRASKGLRFPTDLYDTEYEEIIDIAPANVPMFGPPSLNFTSTSWFGDDTRVVHGFITLKPNSFPPEVAILSTSNEGLNMIELGTLKHHVLTLNVSYMQVHPTMDSKVLPLGATRRLRRVGSLLEMTVAKLFSENKVSQFKKMFKKIADYAF", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAKAAVTKRHHFMIQKLLILLSYGYTNGLDDAHSLRCNLTIKDPTPADPLWYEAKCLVDEILILHLSNINKTMTSGDPGETANATEVGECLTQPLKDLCQKLRNKVSNTKVDTHKTNGYPHLQVTMIYLQSQGQIPSATWEFNISDSYFFTFYTENMSWRSANDESGVIMNKWKDDGEFVKRLKFLIPECRQEVDEFLKQPKEKPRSTSRSPSITQLTSTSPLPPPSHSTSKKGFISVGLIFISLLFAFAFAM", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MATLKDIGVSAGINILTAFIFFIIFAFLRLQPFNDRVYFSKWYLRGLRSSPASGGGFAGRFVNLELRSYLKFLHWMPEALKMPERELIDHAGLDSVVYLRIYWLGLKIFAPIAMLAWAVLVPVNWTNNELELAKHFKNVTSSDIDKLTISNIPEGSNRFWAHIIMAYAFTIWTCYMLMKEYETVANMRLQFLASEGRRPDQFTVLVRNVPPDPDETVSELVEHFFLVNHPDNYLTHQVVCNANKLADLVSKKTKLQNWLDYYQLKYTRNNSQIRPITKLGCLGLCGQKVDAIEHYIAEVDKTSKEIAEERENVVNDQKSVMPASFVSFKTRWAAAVCAQTTQTRNPTEWLTEWAAEPRDIYWPNLAIPYVSLTVRRLVMNVAFFFLTFFFIIPIAFVQSLATIEGIEKVAPFLKVIIEKDFIKSLIQGLLAGIALKLFLIFLPAILMTMSKFEGFTSVSFLERRSASRYYIFNLVNVFLGSVIAGAAFEQLNSFLNQSPNQIPKTIGMAIPMKATFFITYIMVDGWAGVAGEILMLKPLIIYHLKNAFLVKTEKDREEAMNPGSIGFNTGEPQIQLYFLLGLVYAPVTPMLLPFILVFFALAYVVYRHQIINVYNQEYESAAAFWPDVHGRVITALIISQLLLMGLLGTKHAASAAPFLIALPVITIGFHRFCKGRFEPAFVRYPLQEAMMKDTLERAREPNLNLKGYLQDAYIHPVFKGGDNDDDGDMIGKLENEVIIVPTKRQSRRNTPAPSRISGESSPSLAVINGKEV", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKRSLRRVLRPEERKEVQGVVYRGVGKDMDCSKESFKVDIEGDMCRLEAFIKNRRKLSKYEDENLCLLHHAAAEGQVELMQLIINGSSCEALNVMDDYGNTPLHWAAEKNQVESVKFLLSQGANPNLRNRNMMAPLHIAVQGMYNEVIKVLTEHKATNINLEGENGNTALMSTCAKDNSEALQILLEKGAKLCKSNKWGDYPVHQAAFSGAKRCMELILAYGEKTGYSREAHINFVNHKKASPLHLAVQSGDLDMIKMCLDSGAHIDMMENAKCMALHFAATQGATDIVKLMISSYTGSSDIVNAVDGNQETLLHRASLFDHHDLADYLISVGADINSTDSEGRSPLILATASASWNIVNLLLSKGAKVDIKDHLGRNFLHLTVQQPYGLRNLRPEFLQMQHIKELVMDEDNDGCTPLHYACRQGAPVSVNNLLRFNVSVHSKSKDKKSPLHFAASYGRINTCQRLLQDISDTRLLNEGDLHGMTPLHLAAKNGHDKVVQLLLKKGALFLSDHNGWTALHHASMGGYTQTMKVILDTNLKCTDRLDEEGNTALHFAAREGHAKAVAMLLSYNADILLNKKQASFLHIALHNKRKEVVLTTIRSKRWDECLQVFTHDSPSNRCPIMEMVEYLPECMKVLLDFCMIPSTEDKSCQDYHIEYNFKYLQCPLSMTKKVTPTQDVIYEPLTILNVMVQHNRIELLNHPVCREYLLMKWCAYGFRAHMMNLGSYCLGLIPMTLLVVKIQPGMAFNSTGIINETISTHEERINTLNSFPLKICMILVFLSSIFGYCKEVVQIFQQKRNYFLDYNNALEWVIYTTSMIFVLPLFLDIPAYMQWQCGAIAIFFYWMNFLLYLQRFENCGIFIVMLEVIFKTLLRSTGVFIFLLLAFGLSFYVLLNFQDAFSTPLLSLIQTFSMMLGDINYRDAFLEPLFRNELAYPVLTFGQLIAFTMFVPIVLMNLLIGLAVGDIAEVQKHASLKRIAMQVELHTNLEKKLPFWYLRKVDQRSTIVYPNRPRHGRMLRFFHYFLSMQETRQEAPNIDTCLEMEILKQKYRLKDLTSLLEKQHELIKLIIQKMEIISETEDEDNHCSFQDRFKKERLEQMHSKWNFVLNAVKTKTHCSISHPDI", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAFTFAAFCYMLSLVLCAALIFFAIWHIIAFDELRTDFKSPIDQCNPVHARERLRNIERICFLLRKLVLPEYSIHSLFCVMFLCAQEWLTLGLNVPLLFYHFWRYFHCPADSSELAYDPPVVMNADTLSYCQKEAWCKLAFYLLSFFYYLYCMIYTLVSS", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MADLNGAYYGPSIPPPKKVSHSHGRRGGGCGCLGDCLGCCGCCILSVIFNILITIAVLLGIAALIIWLIFRPNAIKFHVTDAKLTEFTLDPTNNLRYNLDLNFTIRNPNRRIGVYYDEIEVRGYYGDQRFGMSNNISKFYQGHKNTTVVGTKLVGQQLVLLDGGERKDLNEDVNSQIYRIDAKLRLKIRFKFGLIKSWRFKPKIKCDLKVPLTSNSTSGFVFQPTKCDVDF", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLSSEDFGSSGKKETSPDSISIRSFSAGNNFQSSSSEKTYSKQKSGSDKLIHRFADSFKRAEGSTTRTKQINENTSDLEDGVESITSDSKLKKSMKSRHVVMMSLGTGIGTGLLVANAKGLHYGGPAALIIGYILVSFVTYFMIQAAGEMAVTYPTLPANFNAYSSIFISKSFGFATVWLYCFQWLTVLPLELITASMTIQFWNDKINPDIYILIFYVFLVFIHFFGVKAYGETEFIFNCCKILMIAGFIILSIVINCGGAGNDGYIGATYWHNPGAFAGDTSIGRFKNVCYILVTAYFSFGGMELFALSVQEQSNPRKSTPVAAKRSIYRIVVIYLLTMILIGFNVPYNDDQLMGAGGSATHASPYVLAASIHGVKIVPHIINAVILISVVSVANSSLYAGPRLICSLAQQGYAPKFLDYVDREGRPLRALIVCCVFGVIAFVAASSKEEIVFTWLAAIAGLSELFTWTSIMLSHLRFRQAMKVQGRSLDELGYKATTGIWGSIYGVFFNILVFVAQFWVALAPLGNGGKCDAESFFQNYLAFPIWLAFYFGYMVYNRDFTLLNPLDKIDLDFHRRIYDPELMRQEDEENKEKLRNMSLMRKAYHFWC", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEEKHQEETGELTLVLALATLIAAFGSSFQYGYNVAAVNSPSEFMQQFYNDTYYDRNEENIESFTLTLLWSLTVSMFPFGGFIGSLMVGTLVNKLGRKGALLFNNIFSILPAILMGCSQIAQSFELIIISRLLVGICAGISSNVVPMYLGELAPKNLRGALGVVPQLFITVGILVAQLFGLRSLLANEDGWPVLLGLTGVPAGLQLLLLPFFPESPRYLLIQKKDEAAAERALQTLRGWKDVHLEMEEIRKEDEAEKAAGFISVWKLFTMQSLRWQLISMIVLMAGQQLSGVNAIYYYADQIYLSAGVKSDDVQYVTAGTGAVNVFMTILTIFVVELWGRRFLLLVGFSTCLIACLVLTAALALQNTISWMPYISIVCVIVYVIGHALGPSPIPALLITEIFLQSSRPAAYMIGGSVHWLSNFTVGLIFPFIQMGLGPYSFIIFATICFLTTIYIFMVVPETKGRTFIEINQIFTMKNKVSDVYPKKEEELGALPHAILEQ", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKDYDDVILRPEASELSKTEFCNPAFDPEAGPSCPPPALQRDVGSRLQAPWHAQRLRGLQPDCHFSWFCILLLSGLLLLLLGLLVAVILAQLQATSLPRTTKNPLLTRGLTPMGVIPSTTPNTTTTTTTTTPARTGQQEAAMSPTHQTTCGGLLPGPSGFFSSPNYPDLYPPLSHCVWHIQVAAGQTIQLKIQALSIESMLTCLFDRLEIISEPTGPLLRVCGKTPPATLNTNTSHLRVSFVSDNDVEGSGFQAWYQAVAPGHWSCAHNEFHCDLLLCLKRDSVCDGITECADGSDEANCSAKTLGCGGNLTGLYGVFSTPNYPQHYPHQQLCTWYIEVPVGYGIRLEFHNFSLEAQAECKFDYVEVYEASNLGTFSFLGRFCGAEPPLNVVSSMHQLAVIFKTDLGISSGGFLATYQAINTTESGCPWAEFCQSGGYRDLQWMCDLWKDCANDSNDNCSSHLSPQPDLTCEPVQVEMCLGLSYNTTAFPNIWVGLATQTEVTDILRGYKSLTSLPCYQTFQRFLCGLLVPRCTSLGTILPPCRSVCQAAEQQCQSSLALLGTPWPFNCNRLPVAASLEACSQP", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTSQLKRTLTKRYGVLELWEIIVIALFAAFIVILVLSVWLSFRKKSKRSNATTLPVTQSPRFTEEIKEISVDHGSSNNNGTSYQTLDEKFVEDIENGDKFSGSLEKKPLVGSHLPPSTPSTTAPSPLLGLPEVSHIGWGHWFTLRDLQLATNHFSKESIIGDGGYGVVYHGTLTNKTPVAVKKLLNNPGQADKDFRVEVEAIGHVRHKNLVRLLGYCVEGTHRMLVYEYMNNGNLEQWLHGDMIHKGHLTWEARIKVLVGTAKALAYLHEAIEPKVVHRDIKSSNILMDDNFDAKLSDFGLAKLLGADSNYVSTRVMGTFGYVAPEYANSGLLNEKSDVYSYGVVLLEAITGRYPVDYARPKEEVHMVEWLKLMVQQKQFEEVVDKELEIKPTTSELKRALLTALRCVDPDADKRPKMSQVARMLESDEYPVMPREERRRRRNQNAETHRESTDTNKDNDITTDAKI", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVTFSHVSSLSHWFLLLLLLNLFLPVIFAMPESYSFNCPDGEYQSNDVCCKTCPSGTFVKAPCKIPHTQGQCEKCHPGTFTGKDNGLHDCELCSTCDKDQNMVADCSATSDRKCECQIGLYYYDPKFPESCRPCTKCPQGIPVLQECNSTANTVCSSSVSNPRNWLFLLMLIVFCI", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGFIFSKSMNENMKNQQEFMVTHARLQLERHLTMQNEMRERQMAMQIAWSREFLKYFGTFFGIATISLATGALKRKKPAFLVPIVPLSFIFTYQYDLGYGTLLQRMKSEAEDILETEKTKLELPKGLITFESLEKARREQSKLFSDK", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEKARPQWGHPLQFVFACISYAVGLGNVWRFPYLCQMYGGGSFLVPYIIMLIVEGMPLLYLELAVGQRMRQGSIGAWRTISPYLSGVGVASVVVSFFLSMYYNVINAWGFWYLFHSFQDPLPWSVCPLNSNHTGYDEECEKASSTQYFWYRKTLNISPSIQENGGVQWEPALCLTLAWLMVYLCILRGTESTGKVVYFTASMPYCVLIIYLVRGLTLHGATNGLMYMFTPKMEQLANPKAWINAATQIFFSLGLGFGSLIAFASYNEPSNNCQKHAIIVSIINSSTSIFASIVTFSIYGFKATFNYENCLNKVILLLTNSFDLEDGFLTVSNLEEVKNYLASTYPNKYSEVFPHIRNCSLESELDTAVQGTGLAFIVYTEAIKNMEVSQLWSVLYFFMLLMLGIGSMLGNTAAILTPLTDSKVISSYLPKEAISGLVCLINCAVGMVFTMEAGNYWFDIFNDYAATLSLLLIVLVETIAVCYVYGLKRFESDLRAMTGRTLSWYWKVMWAFVSPLLIVGLFIFYLSDYILTGTLQYQAWDATQGQLVTKDYPPHALAVIGLLVASSTMCIPLVALGTFIRNRLKRGGSAPVA", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASLYQRFTGKINTSRSFPAPPEASHLLGGQGPEEDGGAGAKPLGPRAQAAAPRERGGGGGGAGGRPRFQYQARSDGDEEDELVGSNPPQRNWKGIAIALLVILVICSLIVTSVILLTPAEDNSLSQKKKVTVEDLFSEDFKIHDPEAKWISDTEFIYREQKGTVRLWNVETNTSTVLIEGKKIESLRAIRYEISPDREYALFSYNVEPIYQHSYTGYYVLSKIPHGDPQSLDPPEVSNAKLQYAGWGPKGQQLIFIFENNIYYCAHVGKQAIRVVSTGKEGVIYNGLSDWLYEEEILKTHIAHWWSPDGTRLAYAAINDSRVPIMELPTYTGSIYPTVKPYHYPKAGSENPSISLHVIGLNGPTHDLEMMPPDDPRMREYYITMVKWATSTKVAVTWLNRAQNVSILTLCDATTGVCTKKHEDESEAWLHRQNEEPVFSKDGRKFFFIRAIPQGGRGKFYHITVSSSQPNSSNDNIQSITSGDWDVTKILAYDEKGNKIYFLSTEDLPRRRQLYSANTVGNFNRQCLSCDLVENCTYFSASFSHSMDFFLLKCEGPGVPMVTVHNTTDKKKMFDLETNEHVKKAINDRQMPKVEYRDIEIDDYNLPMQILKPATFTDTTHYPLLLVVDGTPGSQSVAEKFEVSWETVMVSSHGAVVVKCDGRGSGFQGTKLLHEVRRRLGLLEEKDQMEAVRTMLKEQYIDRTRVAVFGKDYGGYLSTYILPAKGENQGQTFTCGSALSPITDFKLYASAFSERYLGLHGLDNRAYEMTKVAHRVSALEEQQFLIIHPTADEKIHFQHTAELITQLIRGKANYSLQIYPDESHYFTSSSLKQHLYRSIINFFVECFRIQDKLLTVTAKEDEEED", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSDHPLKEMSDNNRSPPLPEPLSSRYKLYESELSSPTWPSSSQDTHPALPLLEMPEEKDLRSSDEDSHIVKIEKPNERSKRRESELPRRASAGRGAFSLFQAVSYLTGDMKECKNWLKDKPLVLQFLDWVLRGAAQVMFVNNPLSGLIIFIGLLIQNPWWTIAGALGTVVSTLAALALSQDRSAIASGLHGYNGMLVGLLVAVFSEKLDYYWWLLFPVTFASMACPVISSALSTVFAKWDLPVFTLPFNIALTLYLAATGHYNLFFPTTLVKPASSAPNITWSEIEMPLLLQTIPVGVGQVYGCDNPWTGGVILVALFISSPLICLHAAIGSIVGLLAALTVATPFETIYTGLWSYNCVLSCVAIGGMFYVLTWQTHLLALVCALFCAYTGAALSNMMAVVGVPPGTWAFCLSTLTFLLLTSNNPGIHKLPLSKVTYPEANRIYFLTAKRSDEQKPPNGGGGEQSHGGGQRKAEEGSETVFPRRKSVFHIEWSSIRRRSKVFGKSEHQERQTKEPLPYLYRKPTVELLDLNTMEESSEIKVETNTTRTTWIQSSMIAGGKRVSKALSYITGEMKECGEGLKDKSPVFQFLDWVLRGTSQVMFVNNPLSGILIVLGLFVQNPWWAISGCLGTIMSTLTALILSQDKSAIAAGLHGYNGVLVGLLMAVFSDKGNYYWWLLLPVIVMSMTCPILSSALSTVFSKWDLPVFTLPFNIAVTLYLAATGHYNLFFPTKLLQPAVTTPNITWSDVQVPLLLRAIPVGIGQVYGCDNPWTGGIFLVALFVSSPLICLHAAIGSTIGMLAALSIATPFDSIYFGLCGFNSTLACIAIGGMFYVITWQTHLLAIACALFAAYLGAALANMLSVFGLPPCTWPFCLSALTFLLLTTNNPGIYKLPLSKVTYPEANRIYFLSQEKNRRASMITKYQAYDVS", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MMLGGGGGCGAGGTWLGFLVFLAVSLRNHSTCEDIDAEDRLMVDLFRGYNSLVQPVRNRSELPMIVKIGMQLVLLINVDEKEQVMHTNVWLTMKWDDFQLKWDPRDYANITQIRVAPEKVWLPDIVLFNNADGNYEVSFMCNVLILSTGTVLWVPPAIYKSSCIIDVEFFPFDDQLCSLTFGSWTYNRDEIKLDFLTSDRVDFSEYSTSSIWDMMDGPAVLTSDRSRIEFQIRIRRKTLFYTVVLILPTVLMAFLNVTVFYLPTASGEKMGLTMNVLLSIVVFLLLVSKILPPTSSSIPLVAKYLLLTFVLNIITIMVTTIICNIYFRSPITHRLPPWVRKVFLDILPLLMCMQRPHRKNVIQRSHRRLLETGPSVEENPMRSGEHHPLCRHTHNQDSCRRVRIQSDELDDELSPEAQRAIDAIEFITENRRDEEITKQFRDDWKFIASVVDRFLLYGFFGATVGGTIGIIFTAPSVFETFDENATLVKLKQLYDMGLANDTVLGIF", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEAREPGRPTPTYHLVPNTSQSQVEEDVSSPPQRSSETMQLKKEISLLNGVSLVVGNMIGSGIFVSPKGVLVHTASYGMSLIVWAIGGLFSVVGALCYAELGTTITKSGASYAYILEAFGGFIAFIRLWVSLLVVEPTGQAIIAITFANYIIQPSFPSCDPPYLACRLLAAACICLLTFVNCAYVKWGTRVQDTFTYAKVVALIAIIVMGLVKLCQGHSEHFQDAFEGSSWDMGNLSLALYSALFSYSGWDTLNFVTEEIKNPERNLPLAIGISMPIVTLIYILTNVAYYTVLNISDVLSSDAVAVTFADQTFGMFSWTIPIAVALSCFGGLNASIFASSRLFFVGSREGHLPDLLSMIHIERFTPIPALLFNCTMALIYLIVEDVFQLINYFSFSYWFFVGLSVVGQLYLRWKEPKRPRPLKLSVFFPIVFCICSVFLVIVPLFTDTINSLIGIGIALSGVPFYFMGVYLPESRRPLFIRNVLAAITRGTQQLCFCVLTELDVAEEKKDERKTD", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MALPSLGQDSWSLLRVFFFQLFLLPSLPPASGTGGQGPMPRVKYHAGDGHRALSFFQQKGLRDFDTLLLSDDGNTLYVGAREAVLALNIQNPGIPRLKNMIPWPASERKKTECAFKKKSNETQCFNFIRVLVSYNATHLYACGTFAFSPACTFIELQDSLLLPILIDKVMDGKGQSPFDPVHKHTAVLVDGMLYSGTMNNFLGSEPILMRTLGSQPVLKTDIFLRWLHADASFVAAIPSTQVVYFFFEETASEFDFFEELYISRVAQVCKNDVGGEKLLQKKWTTFLKAQLLCAQPGQLPFNIIRHAVLLPADSPSVSRIYAVFTSQWQVGGTRSSAVCAFSLTDIERVFKGKYKELNKETSRWTTYRGSEVSPRPGSCSMGPSSDKALTFMKDHFLMDEHVVGTPLLVKSGVEYTRLAVESARGLDGSSHVVMYLGTSTGSLHKAVVPQDSSAYLVEEIQLSPDSEPVRNLQLAPAQGAVFAGFSGGIWRVPRANCSVYESCVDCVLARDPHCAWDPESRLCSLLSGSTKPWKQDMERGNPEWVCTRGPMARSPRRQSPPQLIKEVLTVPNSILELPCPHLSALASYHWSHGRAKISEASATVYNGSLLLLPQDGVGGLYQCVATENGYSYPVVSYWVDSQDQPLALDPELAGVPRERVQVPLTRVGGGASMAAQRSYWPHFLIVTVLLAIVLLGVLTLLLASPLGALRARGKVQGCGMLPPREKAPLSRDQHLQPSKDHRTSASDVDADNNHLGAEVA", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVFGEFFHRPGQDEELVNLNVGGFKQSVDQSTLLRFPHTRLGKLLTCHSEEAILELCDDYSVADKEYYFDRNPSLFRYVLNFYYTGKLHVMEELCVFSFCQEIEYWGINELFIDSCCSNRYQERKEENHEKDWDQKSHDVSTDSSFEESSLFEKELEKFDTLRFGQLRKKIWIRMENPAYCLSAKLIAISSLSVVLASIVAMCVHSMSEFQNEDGEVDDPVLEGVEIACIAWFTGELAVRLAAAPCQKKFWKNPLNIIDFVSIIPFYATLAVDTKEEESEDIENMGKVVQILRLMRIFRILKLARHSVGLRSLGATLRHSYHEVGLLLLFLSVGISIFSVLIYSVEKDDHTSSLTSIPICWWWATISMTTVGYGDTHPVTLAGKLIASTCIICGILVVALPITIIFNKFSKYYQKQKDIDVDQCSEDAPEKCHELPYFNIRDIYAQRMHTFITSLSSVGIVVSDPDSTDASSIEDNEDICNTTSLENCTAK", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEHSEGAPGDPAGTVVPQELLEEMLWFFRVEDASPWNHSILALAAVVVIISMVLLGRSIQASRKEKMQPPEKETPEVLHLDEAKDHNSLNNLRETLLSEKPNLAQVELELKERDVLSVFLPDVPETES", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDFLLLGLCLHWLLRRPSGVVLCLLGACFQMLPAAPSGCPGQCRCEGRLLYCEALNLTEAPHNLSGLLGLSLRYNSLSELRAGQFTGLMQLTWLYLDHNHICSVQGDAFQKLRRVKELTLSSNQITELANTTFRPMPNLRSVDLSYNKLQALAPDLFHGLRKLTTLHMRANAIQFVPVRIFQDCRSLKFLDIGYNQLKSLARNSFAGLFKLTELHLEHNDLIKVNFAHFPRLISLNSLCLRRNKVAIVVSSLDWVWNLEKMDLSGNEIEYMEPHVFETVPYLQSLQLDSNRLTYIEPRILNSWKSLTSITLAGNLWDCGRNVCALASWLSNFQGRYDANLQCASPEYAQGEDVLDAVYAFHLCEDGAEPTSGHLLSAAVTNRSDLAPPESSATTLVDGGEGLHDSTLEPITVAIPGGEHAENAVQIHKVVTGTMALIFSFLIVVLVLYVSWKCFPASLRQLRQCFVTQRRKQKQKQTMHQMAAMSAQEYYVDYKPNHIEGALVIINEYGSCTCHQQPARECEV", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRERSQDSQAGLTLYVGLFGHLGMLHRTKYSRFRNESITSLDEGSPGGSVGNKGSSPPPYPALAPHLPTEDATVSSQESPTALCTLIPRMASMKLANPITFLGLKTFCLGTKQVSRLKLQENQDQTPSRPASPESNLNRTGPAPAPDPDQVGRRPTSLRPDTCPLPGPGEPSPRSKQDGPPLQHLLGNGLNYCVRYMGCIEVLQSMRSLDFGMRTQVTREAISRLCEAVPGAHGAIKKRKAPVKFLTTVLGKSNLQFSGMNIKLTVSTSSLTLMNLDNQQIIANHQMQSISFASGGDPDTTDYVAYVAKDPVNQRACHILECRSGMAQDVISTIGQAFELRFKQYLKNPSLNTWEREEVLVDGAPEDRDHDYYNSIPGKQPPEGGISDVRIQAQATDQMAYCPIRCEKLCYLPGNSTCSGVYKNCMGRSRPIGIPHERAGQGDTPSLRHFWRVDLFDDPCYVNTQALQSMHSYAGNQSSALPQGSPWHLGKAPETVQPGATAKPGSALALPHIRQQLWDEECFHGKLSRGAAEKLLVKDGDFLVRESVTSPGQFVLSGLQGGQAKHLLLVDPEGKVRTKDHVFDNVGHLIKYHMDNNLPIISSGSEVRLKQPIRKYDNTGLLPPKK", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MENERVEREQSQFQEDEFIDSRKPPPWRKQITVRAIVASLLIGIVYSVICLKLNLTTGLVPNLNISSALLAFVFLKSWTKVLQKAGIATTPFTRQENTIAQTCAVACYSISLAGGFASYLLGLNRRTYEETGVNTEGNNPRGIKEPGVGWMTSFLFVTSFIGLVVLVPLRKVMIIDYKLTYPSGTATAVLINGFHTSKGDKTAKKQIRGFIKSFGLSFFWAFFGWFYSGGEKCGFSQFPTFGLQALDKTFYFDFSMTYVGAGMICSHLVNLSLLFGAILSWGIMWPLIARLKGEWFPATLKDNSMQGLNGYKVFICIALILGDGLYNFVKILFFTGRSFHSRLSKTNSISTLVEVPEDSTKESDNLKRENEVFVRESIPLWMACVGYLFFSLVSIIAIPLMFPQLKWYFVLVAYLLAPSLSFCNAYGAGLTDMNMAYNYGKAALFVMAALAGKNDGVVAGMVACGLIKSIVSVSADLMHDFKTGHLTQTSPRSMLVAQAIGTAIGCVVAPLTFFLFYKAFDVGNQNGEYKAPYAMIYRNMAIIGVQGPSALPKHCLELCYGFFAFAVAANLARDLLPDKPGKWIPLPMAMAVPFLVGGSFAIDMCIGSLVVYVWKKVNRKKADVMVPAVASGLICGDGLWILPSSLLALAKVRPPICMNFTAAH", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDKLPPSMRKRLYSLPQQVGAKAWIMDEEEDAEEEGAGGRQDPSRRSIRLRPLPSPSPSAAAGGTESRSSALGAADSEGPARGAGKSSTNGDCRRFRGSLASLGSRGGGSGGTGSGSSHGHLHDSAEERRLIAEGDASPGEDRTPPGLAAEPERPGASAQPAASPPPPQQPPQPASASCEQPSVDTAIKVEGGAAAGDQILPEAEVRLGQAGFMQRQFGAMLQPGVNKFSLRMFGSQKAVEREQERVKSAGFWIIHPYSDFRFYWDLTMLLLMVGNLIIIPVGITFFKDENTTPWIVFNVVSDTFFLIDLVLNFRTGIVVEDNTEIILDPQRIKMKYLKSWFMVDFISSIPVDYIFLIVETRIDSEVYKTARALRIVRFTKILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVVRIVNLIGMMLLLCHWDGCLQFLVPMLQDFPDDCWVSINNMVNNSWGKQYSYALFKAMSHMLCIGYGRQAPVGMSDVWLTMLSMIVGATCYAMFIGHATALIQSLDSSRRQYQEKYKQVEQYMSFHKLPPDTRQRIHDYYEHRYQGKMFDEESILGELSEPLREEIINFNCRKLVASMPLFANADPNFVTSMLTKLRFEVFQPGDYIIREGTIGKKMYFIQHGVVSVLTKGNKETKLADGSYFGEICLLTRGRRTASVRADTYCRLYSLSVDNFNEVLEEYPMMRRAFETVALDRLDRIGKKNSILLHKVQHDLNSGVFNYQENEIIQQIVQHDREMAHCAHRVQAAASATPTPTPVIWTPLIQAPLQAAAATTSVAIALTHHPRLPAAIFRPPPGSGLGNLGAGQTPRHLKRLQSLIPSALGSASPASSPSQVDTPSSSSFHIQQLAGFSAPAGLSPLLPSSSSSPPPGACGSPSAPTPSAGVAATTIAGFGHFHKALGGSLSSSDSPLLTPLQPGARSPQAAQPSPAPPGARGGLGLPEHFLPPPPSSRSPSSSPGQLGQPPGELSLGLATGPLSTPETPPRQPEPPSLVAGASGGASPVGFTPRGGLSPPGHSPGPPRTFPSAPPRASGSHGSLLLPPASSPPPPQVPQRRGTPPLTPGRLTQDLKLISASQPALPQDGAQTLRRASPHSSGESMAAFPLFPRAGGGSGGSGSSGGLGPPGRPYGAIPGQHVTLPRKTSSGSLPPPLSLFGARATSSGGPPLTAGPQREPGARPEPVRSKLPSNL", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNITNCTTDASMVVRPKTVTEKMLICMTLVIITTLTMLLNSAVIMAICTTKKLHQPANYLICSLAVTDLLVAVLVMPLSIMYIVMDSWRLGYFICEVWLSVDMTCCTCSILHLCVIALDRYWAITNAIEYARKRTAKRAGLMILTVWTISIFISMPPLFWRSHRQLSPPPSQCTIQHDHVIYTIYSTFGAFYIPLTLILILYYRIYHAAKSLYQKRGSSRHLSNRSTDSQNSFASCKLTQTFCVSDFSTSDPTTEFEKIHASIRIPPFDNDLDHPGERQQISSTRERKAARILGLILGAFILSWLPFFIKELIVGLSIYTVSSEVGDFLTWLGYVNSLINPLLYTSFNEDFKLAFKKLIRCREHT", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGCTLSAEERAALERSKAIEKNLKEDGISAAKDVKLLLLGAGESGKSTIVKQMKIIHEDGFSGEDVKQYKPVVYSNTIQSLAAIVRAMDTLGVEYGDKERKTDSKMVCDVVSRMEDTEPFSAELLSAMMRLWGDSGIQECFNRSREYQLNDSAKYYLDSLDRIGAGDYQPTEQDILRTRVKTTGIVETHFTFKNLHFRLFDVGGQRSERKKWIHCFEDVTAIIFCVALSGYDQVLHEDETTNRMHESLMLFDSICNNKFFIDTSIILFLNKKDLFGEKIKKSPLTICFPEYPGSNTYEDAAAYIQTQFESKNRSPNKEIYCHMTCATDTNNIQVVFDAVTDIIIANNLRGCGLY", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEQPSRLCSPPASGSLTSSQTNHSTFPNPNCSAPDLEPYQDSIALPWKVLLATFLGLITLGTTLSNAFVIATVSRTRKLHTPANYLIASLAVTDLLVSILVMPISTMYTVTGRWTLGQVVCDFWLSSDITCCTASILHLCVIALDRYWAITDAVEYSAKRTPKRAAGMIIMVWVFSVSISMPPLFWRQAKAEEVADCSVNTDHILYTVYSTVGAFYFPTLLLIALYGRIYVEARSRILKQTPNRTGKRLTRAQLITDSPGSSSSGTSINSRAPEGPSESGSPVYVNQVKVKVSDALLEKKKLMAARERKATRTLGIILGAFIVCWLPFFIISLALPICDDACWFHLAIFDFFNWLGYLNSLINPIIYTKSNDDFKQAFQKLMRFRRTS", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MIYGRSLFHIIASLIILHSSGATKKGTEKQITPETQKSVQCGTWTKHAEGGVFTSPNYPSKYPPDRECVYIIEAAPRQCIELYFDEKYSIEPSWECKFDHIEVRDGPFGFSPIIGRFCGQQNPPVIKSSGRFLWIKFFADGELESMGFSARYNFTPDPDFKDLGVLKPLPACEFEMGGPEGIVESIQILKEGKASASEAVDCKWYIRAPPRSKIYLRFLDYEMQNSNECKRNFVAVYDGSSSVEDLKAKFCSTVANDVMLRTGLGVIRMWADEGSRNSRFQMLFTSFQEPPCEGNTFFCHSNMCINNTLVCNGLQNCVYPWDENHCKEKRKTSLLDQLTNTSGTVIGVTSCIVIILIIVSVIVQIKQPRKKYVQRKSDFDQTVFQEVFEPPHYELCTLRGTGATADFADVAEDFENYHKLRRSSSKCIHDHHCGSQLSSAKGSRSNLSTRDASILAEIPTQPVKPLIPPVNRRNILVMKHNYSQDAADACDIDEIEEVPTTSHRLSRHEKSVQRFCLIGSLSKHESEYNTTRV", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEAGSSNSSGQLSGRVVDTRGKHRIQAELKRLEQEARFLEEELEQLEKMDNASASCKEFLDSVDSKPDPLLPETTGPVNATWDQWFEGPKEAKRCGCSIL", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKMASFLAFLLLNFRVCLLLLQLLMPHSAQFSVLGPSGPILAMVGEDADLPCHLFPTMSAETMELKWVSSSLRQVVNVYADGKEVEDRQSAPYRGRTSILRDGITAGKAALRIHNVTASDSGKYLCYFQDGDFYEKALVELKVAALGSDLHVDVKGYKDGGIHLECRSTGWYPQPQIQWSNNKGENIPTVEAPVVADGVGLYAVAASVIMRGSSGEGVSCTIRSSLLGLEKTASISIADPFFRSAQRWIAALAGTLPVLLLLLGGAGYFLWQQQEEKKTQFRKKKREQELREMAWSTMKQEQSTRVKLLEELRWRSIQYASRGERHSAYNEWKKALFKPADVILDPKTANPILLVSEDQRSVQRAKEPQDLPDNPERFNWHYCVLGCESFISGRHYWEVEVGDRKEWHIGVCSKNVQRKGWVKMTPENGFWTMGLTDGNKYRTLTEPRTNLKLPKPPKKVGVFLDYETGDISFYNAVDGSHIHTFLDVSFSEALYPVFRILTLEPTALTICPA", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDKEKSDPSCKSSDLKISNISIQVVSVPGKLPGRRPPRKPIGKPRPRKQPKKRAPFWNVQNKIILFTVFLFILAVTAWTLLWLYISKTESKDAFYFVGMFRITNIEFLPEYRQKESREFLSMAKTVQQVVNLVYTTSAFSKFYKQSVVADVSSNNKGGLLVHFWIVFVMPHAKGHIFCEECVAAILKDSIQTSIINRTSVGSLQGLAVDMDSVVLNAGLRSDYSSAVGSDNGCSRYLYADHLTLRYPLEISATSGQLMCHFKLVAIVGYLIRLSIESIQLEADNCITDSLTVYDSLLPIRSAILYRICEPTRTLMSFVSTNNLMLVILKSPYVRRLAGIRAYFEVIPEQKCESTILVKEINSFEGKISSPYYPSYYPPKCKCTWTFQTSLSTLGIALKFYNYSITKKSAKGCEHGWWEINEHMYCGSYMDHETIFRVPSPLVHIQLQCSSRLSDKPLLVEYGGYNISQPCPAGSFRCSSGLCVPQAQRCDGVNDCFDESDELFCVTVKPACNSSSFRQHGPLVCDGFRDCEDGQDEQNCTRSIPCTSRTFKCGNDICFRKQNAQCDGIVDCPDGSDEEGCGCSRSSSFLHRIVGGSDSQEGTWPWQVSLHFVGSAYCGASVISREWLLSAAHCFHGNRLSDPTPWTAHLGMYVQGNAKFISPVRRIVVHEYYNSQTFDYDIALLQLSIAWPETLKQLIQPICIPPAGQKVRSGEKCWVTGWGRRHEADSKGSPVLQQAEVELIDQTVCVSTYGIITSRMLCAGVMSGKSDACKGDSGGPLSCRRKSDGKWILTGIVSWGHGCGRPNFPGVYTRVSSFVPWIHKYVPSLL", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSPSKPTSPFVDDIEHESGSASNGLSSMSPFDDSFQFEKPSSAHGNIEVAKTGGSVLKRQSKPMKDISTPDLSKVTFDGIDDYSNDNDINDDDELNGKKTEIHEHENEVDDDLHSFQATPMPNTGGFEDVELDNNEGSNNDSQADHKLKRVRFGTRRNKSGRIDINRSKTLKWAKKNFHNAIDEFSTKEDSLENSALQNRSDELRTVYYNLPLPEDMLDEDGLPLAVYPRNKIRTTKYTPLTFFPKNILFQFHNFANIYFLILLILGAFQIFGVTNPGFASVPLIVIVIITAIKDGIEDSRRTVLDLEVNNTRTHILSGVKNENVAVDNVSLWRRFKKANTRALIKIFEYFSENLTAAGREKKLQKKREELRRKRNSRSFGPRGSLDSIGSYRMSADFGRPSLDYENLNQTMSQANRYNDGENLVDRTLQPNPECRFAKDYWKNVKVGDIVRVHNNDEIPADMILLSTSDVDGACYVETKNLDGETNLKVRQSLKCSKIIKSSRDITRTKFWVESEGPHANLYSYQGNFKWQDTQNGNIRNEPVNINNLLLRGCTLRNTKWAMGMVIFTGDDTKIMINAGVTPTKKSRISRELNFSVILNFVLLFILCFTAGIVNGVYYKQKPRSRDYFEFGTIGGSASTNGFVSFWVAVILYQSLVPISLYISVEIIKTAQAIFIYTDVLLYNAKLDYPCTPKSWNISDDLGQIEYIFSDKTGTLTQNVMEFKKCTINGVSYGRAYTEALAGLRKRQGVDVESEGRREKEEIAKDRETMIDELRSMSDNTQFCPEDLTFVSKEIVEDLKGSSGDHQQKCCEHFLLALALCHSVLVEPNKDDPKKLDIKAQSPDESALVSTARQLGYSFVGSSKSGLIVEIQGVQKEFQVLNVLEFNSSRKRMSCIIKIPGSTPKDEPKALLICKGADSVIYSRLDRTQNDATLLEKTALHLEEYATEGLRTLCLAQRELTWSEYERWVKTYDVAAASVTNREEELDKVTDVIERELILLGGTAIEDRLQDGVPDSIALLAEAGIKLWVLTGDKVETAINIGFSCNVLNNDMELLVVKASGEDVEEFGSDPIQVVNNLVTKYLREKFGMSGSEEELKEAKREHGLPQGNFAVIIDGDALKVALNGEEMRRKFLLLCKNCKAVLCCRVSPAQKAAVVKLVKKTLDVMTLAIGDGSNDVAMIQSADVGVGIAGEEGRQAVMCSDYAIGQFRYVTRLVLVHGKWCYKRLAEMIPQFFYKNVIFTLSLFWYGIYNNFDGSYLFEYTYLTFYNLAFTSVPVILLAVLDQDVSDTVSMLVPQLYRVGILRKEWNQTKFLWYMLDGVYQSVICFFFPYLAYHKNMVVTENGLGLDHRYFVGVFVTAIAVTSCNFYVFMEQYRWDWFCGLFICLSLAVFYGWTGIWTSSSSSNEFYKGAARVFAQPAYWAVLFVGVLFCLLPRFTIDCIRKIFYPKDIEIVREMWLRGDFDLYPQGYDPTDPSRPRINEIRPLTDFKEPISLDTHFDGVSHSQETIVTEEIPMSILNGEQGSRKGYRVSTTLERRDQLSPVTTTNNLPRRSMASARGNKLRTSLDRTREEMLANHQLDTRYSVERARASLDLPGINHAETLLSQRSRDR", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAISCAVGMEMQEPKMNGTLSTGAAAGYRQEREGFLPTTHGPAPGRKPVQFLDFEGKTSFGMSVFNLSNAIMGSGILGLAYAMAHTGVIFFLALLLCIALLSSYSIHLLLTCASVVGIRAYEQLGQRAFGPAGKVVVAIIICLHNVGAMSSYLFIIKSELPLVIGTFLHMDPEGDWFLKGNLLIILVSLLIILPLALMKHLGYLGYTSSLSLTCMLFFLISVIYKKFQLGCVVSHNDTVVESEPAPLQAFNSSCEAKLFTVDSQMSYTVPIMAFAFVCHPEVLPIYTELCCPTQRRMQAVANMSIGAMFIMYGLTATFGYLTFYSTVKAEMLEMYTQEDLLILCVRLAVLLAVTLTVPVVLFPIRRALQQLLFPSKAFSWPRHVAIALILLILVNILVICVPTIRDIFGFIGSTSAPSLIFILPSVFYLRIVPADMEPLFSWPKIQALCFGVLGVLFMAISLGFMFANWATGQSRMSGH", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGRGAGSTALGLFQILPVFLCIFPPVTSPCKILKCNSEFWAATSGSHHLGAEETPEFCTALRAYAHCTRRTARTCRGDLAYHSAVHGIDDLMVQHNCSKDGPTSQPRLRTLPPGDSQERSDSPEICHYEKSFHKHSAAPNYTHCGLFGDPHLRTFTDTFQTCKVQGAWPLIDNNYLNVQVTNTPVLPGSSATATSKLTIIFKSFQECVEQKVYQAEMDELPAAFADGSKNGGDKHGANSLKITEKVSGQHIEIQAKYIGTTIVVRQVGRYLTFAVRMPEEVVNAVEDRDSQGLYLCLRGCPLNQQIDFQTFRLAQAAEGRARRKGPSLPAPPEAFTYESATAKCREKLPVEDLYFQSCVFDLLTTGDVNFMLAAYYAFEDVKMLHSNKDKLHLYERTRALAPGNAAPSEHPWALPALWVALLSLSQCWLGLL", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVDSVGFAEAWRAQFPDSEPPRMELRSVGDIEQELERCKASIRRLEQEVNQERFRMIYLQTLLAKEKKSYDRQRWGFRRAAQPPDGAAEPRASAPRPPPAPADGADPAPVEESEARPDGEGSPSKGRSASARRPAAAASADRDDRGPPTSVAALRSNFEKIRKGPAQPGSADAEKPFYVNVEFHHERGLVKVNDKEVSDRISSLGSQAMQMERKKSQQSAGQGLGEAPRPHYRGRSSESSCGLDGDYEDAELNPRFLKDNLINANGGNRPPWPPLEYQPYQSIYVGGMMVEGEGKSPLLRSQSTSEQEKRLTWPRRSYSPRSFEDSGGGYTPDCSSNENLTSSEEDFSSGQSSRVSPSPTTYRMFRDKSRSPSQNSQQSFDSSSPPTPQCQKRHRQCQVVVSEATIVGVRKTGQIWPSDGDSTFQGEADSSFGTPPGYGCAADQAEEQRRHQDGLPYIDDSPSSSPHLSSKGRGSLASGALDPTKVSELDLEKGLEMRKWVLSGILASEETYLSHLEALLLPMKPLKAAATTSQPVLTSQQIETIFFKVPELYEIHKEFYDGLFPRVQQWSHQQRVGDLFQKLASQLGVYRAFVDNYGVAMETAEKCCQANAQFAEISENLRARSNKDVKDSTTKNSLETLLYKPVDRVTRSTLVLHDLLKHTPSSHPDHSLLQDALRISQNFLSSINEEITPRRQSMTVKKGEHRQLLKDSFMVELVEGARKLRHIFLFTDLLLCTKLKKQSGGKTQQYDCKWYIPLTDLSFQMVDELEALPNIPLVPDEELDALKIKISQIKSDIQREKRANKGSKVMERLRKKLSEQESLLLLMSPSMAFRVHSRNGKSYTFLISSDYERAEWRESIREQQKKCFKSFSLTSVELQMLTNSCVKLQTVHHIPLTINKEDDESPGLYGFLHVIVHSATGFKQSSNLYCTLEVDSFGYFVNKAKTRVYRDTTEPNWNEEFEIELEGSQTLRILCYEKCYNKMKMTKEDGESADKLMGKGQVQLDPQTLQDRDWQRTVIDMNGIEVKLSVKFTSREFSLKRMPSRKQTGVFGVKIAVVTKRERSKVPYIVRQCVEEIERRGMEEVGIYRVSGVATDIQALKAAFDVNNKDVSVMMSEMDVNAIAGTLKLYFRELPEPLFTDEFYPNFAEGIALSDPVAKESCMLNLLLSLPEANLLTFLFLLDHLKRVAEKETVNKMSLHNLATVFGPTLLRPSEKESKLPANPSQPITMTDSWSLEVMSQVQVLLYFLQLEAIPAPDSKRQSILFSTEV", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDSPSGPRVLSSLTQDPSFTTSPALQGIWNGTQNVSVRAQLLSVSPTTSAHQAAAWVPFPTVDVPDHAHYTLGTVILLVGLTGMLGNLTVIYTFCRNRGLRTPANMFIINLAVSDFLMSVTQAPVFFASSLYKKWLFGETGCEFYAFCGAVFGITSMITLTAIAMDRYLVITRPLATIGRGSKRRTALVLLGVWLYALAWSLPPFFGWSAYVPEGLLTSCSWDYMTFTPQVRAYTMLLFCFVFFLPLLIIIFCYIFIFRAIRETGRACEGCGESPLRQRRQWQRLQSEWKMAKVALIVILLFVLSWAPYSTVALVAFAGYSHILTPYMSSVPAVIAKASAIHNPIIYAITHPKYRVAIAQHLPCLGVLLGVSGQRSHPSLSYRSTHRSTLSSQSSDLSWISGRKRQESLGSESEVGWTDTETTAAWGAAQQASGQSFCSQNLEDGELKASSSPQVQRSKTPKVPGPSTCRPMKGQGARPSSLRGDQKGRLAVCTGLSECPHPHTSQFPLAFLEDDVTLRHL", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEGKEEDVRVGANKFPERQPIGTSAQSDKDYKEPPPAPFFEPGELSSWSFWRAGIAEFIATFLFLYITVLTVMGVKRSPNMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALYYIVMQCLGAICGAGVVKGFQPKQYQALGGGANTVAHGYTKGSGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHSWDDHWVFWVGPFIGAALAALYHVVVIRAIPFKSRS", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAFLMHLLVCVFGMGSWVTINGLWVELPLLVMELPEGWYLPSYLTVVIQLANIGPLLVTLLHHFRPSCLSEVPIIFTLLGVGTVTCIIFAFLWNMTSWVLDGHHSIAFLVLTFFLALVDCTSSVTFLPFMSRLPTYYLTTFFVGEGLSGLLPALVALAQGSGLTTCVNVTEISDSVPSPVPTRETDIAQGVPRALVSALPGMEAPLSHLESRYLPAHFSPLVFFLLLSIMMACCLVAFFVLQRQPRCWEASVEDLLNDQVTLHSIRPREENDLGPAGTVDSSQGQGYLEEKAAPCCPAHLAFIYTLVAFVNALTNGMLPSVQTYSCLSYGPVAYHLAATLSIVANPLASLVSMFLPNRSLLFLGVLSVLGTCFGGYNMAMAVMSPCPLLQGHWGGEVLIVASWVLFSGCLSYVKVMLGVVLRDLSRSALLWCGAAVQLGSLLGALLMFPLVNVLRLFSSADFCNLHCPA", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAKESTTIDVGEPSTVTKSSSHVVKDAKKKGFVAVASRGGAKRGLAIFDFLLRLAAIAVTIGAASVMYTAEETLPFFTQFLQFQAGYDDLPAFQYFVIAVAVVASYLVLSLPFSIVSIVRPHAVAPRLILLICDTLVVTLNTSAAAAAASITYLAHNGNQSTNWLPICQQFGDFCQNVSTAVVADSIAILFFIVLIIISAIALKRH", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKSSPAELISEAKSSTQNSKMKRAVSVLDFILRLIAVVATLASAIAMGTTDESLPFFTQFIRFRAEYDDLPTLRLFVVASAFASGYLILSLPLSILHITRSSARRTRVILIILDMVMLTSLTAASSAAAAIVYLAHKGNAKANWFAFCQQYDSFCERISGSLIGSFIAIPLFIMLILFSALVLSKR", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKRLPLLVVFSTLLNCSYTQNCTKTPCLPNAKCEIRNGIEACYCNMGFSGNGVTICEDDNECGNLTQSCGENANCTNTEGSYYCMCVPGFRSSSNQDRFITNDGTVCIENVNANCHLDNVCIAANINKTLTKIRSIKEPVALLQEVYRNSVTDLSPTDIITYIEILAESSSLLGYKNNTISAKDTLSNSTLTEFVKTVNNFVQRDTFVVWDKLSVNHRRTHLTKLMHTVEQATLRISQSFQKTTEFDTNSTDIALKVFFFDSYNMKHIHPHMNMDGDYINIFPKRKAAYDSNGNVAVAFVYYKSIGPLLSSSDNFLLKPQNYDNSEEEERVISSVISVSMSSNPPTLYELEKITFTLSHRKVTDRYRSLCAFWNYSPDTMNGSWSSEGCELTYSNETHTSCRCNHLTHFAILMSSGPSIGIKDYNILTRITQLGIIISLICLAICIFTFWFFSEIQSTRTTIHKNLCCSLFLAELVFLVGINTNTNKLFCSIIAGLLHYFFLAAFAWMCIEGIHLYLIVVGVIYNKGFLHKNFYIFGYLSPAVVVGFSAALGYRYYGTTKVCWLSTENNFIWSFIGPACLIILVNLLAFGVIIYKVFRHTAGLKPEVSCFENIRSCARGALALLFLLGTTWIFGVLHVVHASVVTAYLFTVSNAFQGMFIFLFLCVLSRKIQEEYYRLFKNVPCCFGCLR", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVRGVEQGGPAMDESSSSSSPSPVSAPAGQAAMTAGGIATVAAVLIVFAALTLAFVLLQCYCDERRRAVTTTSTSGRGRRPRPRRRSGSGGDGGTGGGVDPEVLRSLPVTVYSRSTAAAAAKEEEEEDDDGVECAVCLAELEDGEEARFLPRCGHGFHAECVDMWLGSHSTCPLCRLTVVVPPPPLPPVPPEPPASYTVSLPASVLLGLSDHGAGAVTMTAEGRSTLVIEIPESAASTTPRDAAARSSPSLARLRSLRRLWSFGRQGAAGSTSSCSCATGGDNDDGDVEHGVSVTVAIRAVEAATPARPPEAEAGARTAAAHVRN", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKRNTSNVDTGGVPAPLNSTPSTRLIQNGYGDSKYETERMEFPFPEDPRYHPRDSVKGAWEKVKEDHHHRVATYNWVDWLAFFIPCVRWLRTYRRSYLLNDIVAGISVGFMVVPQGLSYANLAGLPSVYGLYGAFLPCIVYSLVGSSRQLAVGPVAVTSLLLGTKLKDILPEAAGISNPNIPGSPELDAVQEKYNRLAIQLAFLVACLYTGVGIFRLGFVTNFLSHAVIGGFTSGAAITIGLSQVKYILGISIPRQDRLQDQAKTYVDNMHNMKWQEFIMGTTFLFLLVLFKEVGKRSKRFKWLRPIGPLTVCIIGLCAVYVGNVQNKGIKIIGAIKAGLPAPTVSWWFPMPEISQLFPTAIVVMLVDLLESTSIARALARKNKYELHANQEIVGLGLANFAGAIFNCYTTTGSFSRSAVNNESGAKTGLACFITAWVVGFVLIFLTPVFAHLPYCTLGAIIVSSIVGLLEYEQAIYLWKVNKLDWLVWMASFLGVLFISVEIGLGIAIGLAILIVIYESAFPNTALVGRIPGTTIWRNIKQYPNAQLAPGLLVFRIDAPIYFANIQWIKERLEGFASAHRVWSQEHGVPLEYVILDFSPVTHIDATGLHTLETIVETLAGHGTQVVLANPSQEIIALMRRGGLFDMIGRDYVFITVNEAVTFCSRQMAERGYAVKEDNTSSYPHFGSRRTPGALPAPSSQLDSSPPTSVTESTSGTPAAGTYSSIGGAVPAVAGHTAAGNGGSHSPSAQPGVQLTTTGSQRQQ", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDPMELNNVSIEPDGDSCSGDSIQDSYTGMENSDKDAMNSQFANEDAESQKFLTNGFLGKKKLADYADEHHPGMTSFGMSSFNLSNAIMGSGILGLSYAMANTGIILFIIMLLTVAILSLYSVHLLLKTAKEGGSLIYEKLGEKAFGWPGKIGAFISITMQNIGAMSSYLFIIKYELPEVIRAFMGLEENTGEWYLNGNYLVLFVSVGIILPLSLLKNLGYLGYTSGFSLSCMVFFVSVVIYKKFQIPCPLPALDHNNGNLTFNNTLPIHMISLPNDSESSGVNFMMDYAHHNPAGLDEKQVAGPLHSNGVEYEAQGAEKCQPKYFVFNSRTAYAIPILAFAFVCHPEVLPIYSELKDRSRRKMQTVSNISISGMLVMYLLAALFGYLSFYGDVEDELLHAYSKVYTFDTALLMVRLAVLVAVTLTVPIVLFPIRTSVITLLFPRKPFSWLKHFGIAAIIIALNNILVILVPTIKYIFGFIGASSATMLIFILPAAFYLKLVKKEPLRSPQKIGALVFLVTGIIFMMGSMALIILDWIYNPPNPNHH", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSGAITCSAADLATLLGPNATAAADYICGQLGTVNNKFTDAAFAIDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGYAFAFGGSSEGFIGRHNFALRDFPTPTADYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSPDGWASPFRSADDRLFSTGAIDFAGSGVVHMVGGIAGLWGALIEGPRRGRFEKGGRAIALRGHSASLVVLGTFLLWFGWYGFNPGSFTKILVPYNSGSNYGQWSGIGRTAVNTTLSGCTAALTTLFGKRLLSGHWNVTDVCNGLLGGFAAITAGCSVVEPWAAIVCGFMASVVLIGCNKLAELVQYDDPLEAAQLHGGCGAWGLIFVGLFAKEKYLNEVYGATPGRPYGLFMGGGGKLLGAQLVQILVIVGWVSATMGTLFFILKRLNLLRISEQHEMQGMDMTRHGGFAYIYHDNDDESHRVDPGSPFPRSATPPRV", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSSPNIWSTGSSVYSTPVFSQKMTVWILLLLSLYPGFTSQKSDDDYEDYASNKTWVLTPKVPEGDVTVILNNLLEGYDNKLRPDIGVKPTLIHTDMYVNSIGPVNAINMEYTIDIFFAQTWYDRRLKFNSTIKVLRLNSNMVGKIWIPDTFFRNSKKADAHWITTPNRMLRIWNDGRVLYTLRLTIDAECQLQLHNFPMDEHSCPLEFSSYGYPREEIVYQWKRSSVEVGDTRSWRLYQFSFVGLRNTTEVVKTTSGDYVVMSVYFDLSRRMGYFTIQTYIPCTLIVVLSWVSFWINKDAVPARTSLGITTVLTMTTLSTIARKSLPKVSYVTAMDLFVSVCFIFVFSALVEYGTLHYFVSNRKPSKDKDKKKKNPAPTIDIRPRSATIQMNNATHLQERDEEYGYECLDGKDCASFFCCFEDCRTGAWRHGRIHIRIAKMDSYARIFFPTAFCLFNLVYWVSYLYL", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSEKSRSDTSATASLSDSSKSPSSYSTPGTTTQKIIFPDGKLTKCLAFSAFVITLASFQFGYHIGCVNAPGGLITEWIIGSHKDLFDKELSRENADLAWSVAVSVFAVGGMIGGLSSGWLADKVGRRGALFYNNLLALAAAALMGLAKSVGAYPMVILGRLIIGLNCGFSSALVPMFLTEISPNNLRGMLGSLHQLLVTIAILVSQIFGLPHLLGTGDRWPLIFAFTVVPAVLQLALLMLCPESPKYTMAVRGQRNEAESALKKLRDTEDVSTEIEAMQEEATAAGVQEKPKMGDMFKGALLWPMSIAIMMMLAQQLSGINVAMFYSTVIFRGAGLTGNEPFYATIGMGAVNVIMTLISVWLVDHPKFGRRSLLLAGLTGMFVSTLLLVGALTIQNSGGDKWASYSAIGFVLLFVISFATGPGAIPWFFVSEIFDSSARGNANSIAVMVNWAANLLVGLTFLPINNLMQQYSFFIFSGFLAFFIFYTWKFVPETKGKSIEQIQAEFEKRK", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKKMSRNVLLQMEEEEDDDDGDIVLENLGQTIVPDLGSLESQHDFRTPEFEEFNGKPDSLFFNDGQRRIDFVLVYEDESRKETNKKGTNEKQRRKRQAYESNLICHGLQLEATRSVLDDKLVFVKVHAPWEVLCTYAEIMHIKLPLKPNDLKNRSSAFGTLNWFTKVLSVDESIIKPEQEFFTAPFEKNRMNDFYIVDRDAFFNPATRSRIVYFILSRVKYQVINNVSKFGINRLVNSGIYKAAFPLHDCKFRRQSEDPSCPNERYLLYREWAHPRSIYKKQPLDLIRKYYGEKIGIYFAWLGYYTQMLLLAAVVGVACFLYGYLNQDNCTWSKEVCHPDIGGKIIMCPQCDRLCPFWKLNITCESSKKLCIFDSFGTLVFAVFMGVWVTLFLEFWKRRQAELEYEWDTVELQQEEQARPEYEARCTHVVINEITQEEERIPFTAWGKCIRITLCASAVFFWILLIIASVIGIIVYRLSVFIVFSAKLPKNINGTDPIQKYLTPQTATSITASIISFIIIMILNTIYEKVAIMITNFELPRTQTDYENSLTMKMFLFQFVNYYSSCFYIAFFKGKFVGYPGDPVYWLGKYRNEECDPGGCLLELTTQLTIIMGGKAIWNNIQEVLLPWIMNLIGRFHRVSGSEKITPRWEQDYHLQPMGKLGLFYEYLEMIIQFGFVTLFVASFPLAPLLALVNNILEIRVDAWKLTTQFRRLVPEKAQDIGAWQPIMQGIAILAVVTNAMIIAFTSDMIPRLVYYWSFSVPPYGDHTSYTMEGYINNTLSIFKVADFKNKSKGNPYSDLGNHTTCRYRDFRYPPGHPQEYKHNIYYWHVIAAKLAFIIVMEHVIYSVKFFISYAIPDVSKRTKSKIQREKYLTQKLLHENHLKDMTKNMGVIAERMIEAVDNNLRPKSE", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSPNTWSTGSTVYSPVFSQKMTLWILLLLSLYPGFTSQKSDDDYEDYASNKTWVLTPKVPEGDVTVILNNLLEGYDNKLRPDIGVKPTLIHTDMYVNSIGPVNAINMEYTIDIFFAQTWYDRRLKFNSTIKVLRLNSNMVGKIWIPDTFFRNSKKADAHWITTPNRMLRIWNDGRVLYTLRLTIDAECQLQLHNFPMDEHSCPLEFSSYGYPREEIVYQWKRSSVEVGDTRSWRLYQFSFVGLRNTTEVVKTTSGDYVVMSVYFDLSRRMGYFTIQTYIPCTLIVVLSWVSFWINKDAVPARTSLGITTVLTMTTLSTIARKSLPKVSYVTAMDLFVSVCFIFVFSALVEYGTLHYFVSNRKPSKDKDKKKKNPAPTIDIRPRSATIQMNNATHLQERDEEYGYECLDGKDCASFFCCFEDCRTGAWRHGRIHIRIAKMDSYARIFFPTAFCLFNLVYWVSYLYL", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLSTSRSRFIRNTNESGEEVTTFFDYDYGAPCHKFDVKQIGAQLLPPLYSLVFIFGFVGNMLVVLILINCKKLKCLTDIYLLNLAISDLLFLITLPLWAHSAANEWVFGNAMCKLFTGLYHIGYFGGIFFIILLTIDRYLAIVHAVFALKARTVTFGVVTSVITWLVAVFASVPGIIFTKCQKEDSVYVCGPYFPRGWNNFHTIMRNILGLVLPLLIMVICYSGILKTLLRCRNEKKRHRAVRVIFTIMIVYFLFWTPYNIVILLNTFQEFFGLSNCESTSQLDQATQVTETLGMTHCCINPIIYAFVGEKFRSLFHIALGCRIAPLQKPVCGGPGVRPGKNVKVTTQGLLDGRGKGKSIGRAPEASLQDKEGA", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEGGIIHGGADESAFKECFSLTWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKSVDRNTWLQEMIVSMAVAGAIVGAAIGGWANDKLGRRSAILMADFLFLLGAIIMAAAPNPSLLVVGRVFVGLGVGMASMTAPLYISEASPAKIRGALVSTNGFLITGGQFLSYLINLAFTDVTGTWRWMLGIAGIPALLQFVLMFTLPESPRWLYRKGREEEAKAILRRIYSAEDVEQEIRALKDSVETEILEEGSSEKINMIKLCKAKTVRRGLIAGVGLQVFQQFVGINTVMYYSPTIVQLAGFASNRTALLLSLVTAGLNAFGSIISIYFIDRIGRKKLLIISLFGVIISLGILTGVFYEAATHAPAISSLETQRFNNISCPDYKSAMNTNAWDCMTCLKASSPSCGYCSSPIGKEHPGACWISDDSVKDLCHNENRLWYTRGCPSNFGWFALLGLGLYIIFFSPGMGTVPWIVNSEIYPLRFRGICGGIAATANWISNLIVAQSFLSLTEAIGTSWTFLIFGVISVIALLFVMVCVPETKGMPMEEIEKMLERRSMEFKFWKKKSKLVEKQNQSA", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPACCSCSDVFQYETNKVTRIQSMNYGTIKWFFHVIIFSYVCFALVSDKLYQRKEPVISSVHTKVKGIAEVKEEIVENGVKKLVHSVFDTADYTFPLQGNSFFVMTNFLKTEGQEQRLCPEYPTRRTLCSSDRGCKKGWMDPQSKGIQTGRCVVYEGNQKTCEVSAWCPIEAVEEAPRPALLNSAENFTVLIKNNIDFPGHNYTTRNILPGLNITCTFHKTQNPQCPIFRLGDIFRETGDNFSDVAIQGGIMGIEIYWDCNLDRWFHHCRPKYSFRRLDDKTTNVSLYPGYNFRYAKYYKENNVEKRTLIKVFGIRFDILVFGTGGKFDIIQLVVYIGSTLSYFGLAAVFIDFLIDTYSSNCCRSHIYPWCKCCQPCVVNEYYYRKKCESIVEPKPTLKYVSFVDESHIRMVNQQLLGRSLQDVKGQEVPRPAMDFTDLSRLPLALHDTPPIPGQPEEIQLLRKEATPRSRDSPVWCQCGSCLPSQLPESHRCLEELCCRKKPGACITTSELFRKLVLSRHVLQFLLLYQEPLLALDVDSTNSRLRHCAYRCYATWRFGSQDMADFAILPSCCRWRIRKEFPKSEGQYSGFKSPY", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDQGAPAKPSEQKVPSLRTRWEILLLTLCLLHGSSMTPPHRRSHSRWLQAGSPQFRTHLYNVEAHTAPTPLCCWKNSLSGTNALRGPRLVTGNTGGAVTIHCHYAPSSVNRHQRKYWCRLGSPLWICHTVVSTNQYTHPDYRGRAALTDIPQSGLFVVRLLRLSLGDVGLYRCGIGDRNDMLFFSVNLTVSAGPSNTTYAAAPASGEPTTASPGAASSAGNGWTSGITQILEGSGSEWDRTVPTTGTSKTTSSANGRQTLRTARTMVPGTGSREEGSIRAAVPTPEGPSPKSRSMSSTTQGVWLWSTRNSVTPSVTTSEGRRQGTTPETDGPRDETDVRVSPEAPRKTTGTTRPSALISEHVTWETLQDKTEVSKQQMLHSLEELSPAPSAQTLNATCLEVASEEGRSIDGSLENTTEESSPPTPSQLSVAGPVWVSVKGPSMKSALMEGESHTRILTPVSTVLALLLIAALILLKRSLGRQRTSQKKERVPRITLIQMTHFLPDKLPDEGKNFQQSNLLPPQASLTVLENDPRP", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MALIRDRKSHHSEMSKCHNYDLKPAKWDTSQEQQKQRLALTTSQPGENGIIRGRYPIEKLKISPMFVVRVLAIALAIRFTLNTLMWLAIFKETFQPVLCNKEVPVSSREGYCGPCPNNWICHRNNCYQFFNEEKTWNQSQASCLSQNSSLLKIYSKEEQDFLKLVKSYHWMGLVQIPANGSWQWEDGSSLSYNQLTLVEIPKGSCAVYGSSFKAYTEDCANLNTYICMKRAV", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAMDEYLWMVILGFIIAFILAFSVGANDVANSFGTAVGSGVVTLRQACILASIFETTGSVLLGAKVGETIRKGIIDVNLYNETVETLMAGEVSAMVGSAVWQLIASFLRLPISGTHCIVGSTIGFSLVAIGTKGVQWMELVKIVASWFISPLLSGFMSGLLFVLIRIFILKKEDPVPNGLRALPVFYAATIAINVFSIMYTGAPVLGLVLPMWAIALISFGVALLFAFFVWLFVCPWMRRKITGKLQKEGALSRVSDESLSKVQEAESPVFKELPGAKANDDSTIPLTGAAGETLGTSEGTSAGSHPRAAYGRALSMTHGSVKSPISNGTFGFDGHTRSDGHVYHTVHKDSGLYKDLLHKIHIDRGPEEKPAQESNYRLLRRNNSYTCYTAAICGLPVHATFRAADSSAPEDSEKLVGDTVSYSKKRLRYDSYSSYCNAVAEAEIEAEEGGVEMKLASELADPDQPREDPAEEEKEEKDAPEVHLLFHFLQVLTACFGSFAHGGNDVSNAIGPLVALWLIYKQGGVTQEAATPVWLLFYGGVGICTGLWVWGRRVIQTMGKDLTPITPSSGFTIELASAFTVVIASNIGLPVSTTHCKVGSVVAVGWIRSRKAVDWRLFRNIFVAWFVTVPVAGLFSAAVMALLMYGILPYV", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLLWSLLVIFDAVTEQADSLTLVAPSSVFEGDSIVLKCQGEQNWKIQKMAYHKDNKELSVFKKFSDFLIQSAVLSDSGNYFCSTKGQLFLWDKTSNIVKIKVQELFQRPVLTASSFQPIEGGPVSLKCETRLSPQRLDVQLQFCFFRENQVLGSGWSSSPELQISAVWSEDTGSYWCKAETVTHRIRKQSLQSQIHVQRIPISNVSLEIRAPGGQVTEGQKLILLCSVAGGTGNVTFSWYREATGTSMGKKTQRSLSAELEIPAVKESDAGKYYCRADNGHVPIQSKVVNIPVRIPVSRPVLTLRSPGAQAAVGDLLELHCEALRGSPPILYQFYHEDVTLGNSSAPSGGGASFNLSLTAEHSGNYSCEANNGLGAQCSEAVPVSISGPDGYRRDLMTAGVLWGLFGVLGFTGVALLLYALFHKISGESSATNEPRGASRPNPQEFTYSSPTPDMEELQPVYVNVGSVDVDVVYSQVWSMQQPESSANIRTLLENKDSQVIYSSVKKS", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MMSRTVRLVLLALACTVDLSQASGFTENGLSLLSYQLCSYPVTRSVQKLQAVQTSHTAYVYCGGWIPWKKCPKTVYRTQYLAMDVPESRNVTDCCAGFEQLGLYCVLSLNRSREFASRPGVCPTAEAEPLSPSCSLDTDCSGLQKCCSWPGGRHCVSPTPTGTEKSMVSWYNVTVLVKVGFEDLQREDPGLRNHTRLLYSLVTSALQPLNPAVHYLTSTGGKDTFTTVSWLLMGFPRLMTVANVSVMLDDMVNRVYEVVSIQVQDVNECLHSELQACSVREQCRNLEGSYQCVSSQRLNHTDEDCPPIRDFVALNVTSSSFHVSWSLNSTQNYNFHIQVYKGKEILRSAWTRGHTMAVSDLEAGVLYRVRTSYLGCGANVSATLVVKTDAQVFQVTIRIMDRNLTEQILDCSSGEFWNFSRQLFHEVQNSFPQAISDLYRQGRLRMQIVSLQAGSLVVTLRLTLQDPDFSVGVHTLTPMLPVLSVSNVFQVDQQRTFVQDWDECAHSSEHDCHPSARCINLEGSYTCQCLTARDASPSRAGRVCEGDMVIPTGDELSVTTKVTVPAASTGITTFGPETLTESLSSKHPRSTPARSQTWTPVPPSVRDGGSIVRQDRNSTGQGQTHGTHQGTTDAPLHTTRESQELITKDPPFLTATTTGYVVWHSSPTWKTPPNSTRLQNEDPRSSSFPGPPSAPTDVTPESPACVPGPIGKVTVSNVTSTSFSLEWPADIRLSPAFHLTLVSPRGPAMTMETQNNNVTLSGLEWGTLYLVEIVAKVCGKEGARTQLKVRTVAQKLAGNVRITSMQYSESFLNTSSREHREFVELFFRTVRDSLPATLRQHMDAGRIRVDIINITNGSIVVEFNLLMTADLDVREVSAGFLNALQNTSMLEVVRGKTFMQDYNECDMKEDDCAPGTCRNTFGSFTCSCDEGGPDSQVEYSGRSCDGDPSGNMTQTPGSEWSPTPAGTRGVPVPIASSTAQDLPLRLNLMDAVSVSCEIETVIITIQKRFLQQAAIPEASLYLGEPSCNVSRSNSTHVFLVAGWGECGTILQSNMTTTVVTTTLRNNLSPEGVIHHPQFLSPIHCAFQNDVLTSSGYTPQWGVYTVIEDLHGTGNFVTEMQLYIGDSPIPQNYSVSASDEIKIEVGLHRQKSSLKVVLTECWATPSSNAKDPVTFSFINNSCPVPNTYTSVIQNGHSSKAQFKLRIFSFINNSIVYLHCKLRVCMENPRNSCRISCNDFRSLRSSEALHQMTWGPLHRTEGAQACTKPVLGTGYIILLAAAALLVVAGATTLLILRYQRVRQKYNLRIQTDDFSYQVFSQ", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTTPRNSVNGTFPAEPMKGPIAMQSGPKPLFRRMSSLVGPTQSFFMRESKTLGAVQIMNGLFHIALGGLLMIPAGIYAPICVTVWYPLWGGIMYIISGSLLAATEKNSRKCLVKGKMIMNSLSLFAAISGMILSIMDILNIKISHFLKMESLNFIRAHTPYINIYNCEPANPSEKNSPSTQYCYSIQSLFLGILSVMLIFAFFQELVIAGIVENEWKRTCSRPKSNIVLLSAEEKKEQTIEIKEEVVGLTETSSQPKNEEDIEIIPIQEEEEEETETNFPEPPQDQESSPIENDSSP", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTCPNSSCVFEDKMCQGNKTAPANDAQLTPLVVVLSTISLVTVGLNLLVLYAVRSERKLHTVGNLYIVSLSVADLIVGVVVMPMNILYLLMSRWSLGRPLCLFWLSMDYVASTASIFSVFILCIDRYRSVQQPLKYLRYRTKTRASITILAAWFLSFLWIIPILGWRHFQPKTPEPREDKCETDFYNVTWFKVMTAIINFYLPTLLMLWFYAKIYKAVRQHCQHRELINGSFPSFSDMKMKPENLQVGAKKPGKESPWEVLKRKPKDTGGGPVLKPPSQEPKEVTSPGVFSQEKEEKDGELGKFYCFPLDTVQAQPEAEGSGRGYATINQSQNQLEMGEQGLSMPGAKEALEDQILGDSQSFSRTDSDTPAEPAPAKGKSRSESSTGLEYIKFTWKRLRSHSRQYVSGLHMNRERKAAKQLGFIMAAFIICWIPYFIFFMVIAFCESCCNQHVHMFTIWLGYINSTLNPLIYPLCNENFKKTFKKILHIRS", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MALLNRLAKTFSPYYGLNKVEQKLLIKIDWFILSYCCVSYFINYLDRSSINNAYLSGMQEDLKMHGNELQDINVVFTCGYIIGQLPGSYALQRVPARLWFSVMNILWGLMTIFSFAVHSVRALMILRFFMAVAEASTFAGTHYILGAWYKESELCKRAGIFSASGLVGTMFAGYLQTAVHSSLNGKGGLSGWRWLFIIDGILTIPLSLYGLFLFPDVPETTKAPYFTEQEKELSFKRLPARPKKKPLTLKAIKDIVRSWRIYGLCILWIFSGETQAIAVNVLMGQWMKWSNKFSVAQINNYPTVITAVGVVSTLGASVISDKLAGNPRWPFGLFLCVITTVSATILLAWNVPDGAKFFAYFASGCTYAGQAVWFSWANDICRDNDQERGVVVFLMNMCQNIWHIWWAPIMYPNTDTPRFIKGLIGLLVVGGIVFVSSCIVSYMQIRDKRIKRSIQDAKDFDDVFTEHESLELKKIGKNDEESLNTTNAVKEISSPGLVITRQRISMPKETNAQD", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MESTIVMMMMITRSFFCFLGFLCLLCSSVHGLLSPKGVNFEVQALMDIKASLHDPHGVLDNWDRDAVDPCSWTMVTCSSENFVIGLGTPSQNLSGTLSPSITNLTNLRIVLLQNNNIKGKIPAEIGRLTRLETLDLSDNFFHGEIPFSVGYLQSLQYLRLNNNSLSGVFPLSLSNMTQLAFLDLSYNNLSGPVPRFAAKTFSIVGNPLICPTGTEPDCNGTTLIPMSMNLNQTGVPLYAGGSRNHKMAIAVGSSVGTVSLIFIAVGLFLWWRQRHNQNTFFDVKDGNHHEEVSLGNLRRFGFRELQIATNNFSSKNLLGKGGYGNVYKGILGDSTVVAVKRLKDGGALGGEIQFQTEVEMISLAVHRNLLRLYGFCITQTEKLLVYPYMSNGSVASRMKAKPVLDWSIRKRIAIGAARGLVYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRAFEFGKAANQKGVMLDWVKKIHQEKKLELLVDKELLKKKSYDEIELDEMVRVALLCTQYLPGHRPKMSEVVRMLEGDGLAEKWEASQRSDSVSKCSNRINELMSSSDRYSDLTDDSSLLVQAMELSGPR", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNRGVPFRHLLLVLQLALLPAATQGKKVVLGKKGDTVELTCTASQKKSIQFHWKNSNQIKILGNQGSFLTKGPSKLNDRADSRRSLWDQGNFPLIIKNLKIEDSDTYICEVEDQKEEVQLLVFGLTANSDTHLLQGQSLTLTLESPPGSSPSVQCRSPRGKNIQGGKTLSVSQLELQDSGTWTCTVLQNQKKVEFKIDIVVLAFQKASSIVYKKEGEQVEFSFPLAFTVEKLTGSGELWWQAERASSSKSWITFDLKNKEVSVKRVTQDPKLQMGKKLPLHLTLPQALPQYAGSGNLTLALEAKTGKLHQEVNLVVMRATQLQKNLTCEVWGPTSPKLMLSLKLENKEAKVSKREKAVWVLNPEAGMWQCLLSDSGQVLLESNIKVLPTWSTPVQPMALIVLGGVAGLLLFIGLGIFFCVRCRHRRRQAERMSQIKRLLSEKKTCQCPHRFQKTCSPI", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAFNHRKMLLSCLQFKDLRFCFRQYPPPPPPPPPPRELSLLLPTSICVVGSIILFLFLVFFLYLHITQQRRISAASVTPGDTNQQEDEDETEERDFSDFHHVWQIPTVGLHRSAINSITVVGFKKGEGIIDGTECSVCLNEFEEDESLRLLPKCSHAFHLNCIDTWLLSHKNCPLCRAPVLLITEPPHQETETNHQPDSESSNDLRGRQDSSRSRRNHNIFLPRAQSDLANYCGSGRVENVRRSFSIGGSLSLCDGINNATRSGRQFYTSFSANLFSSSRRVRNEQPIPQNQMPSVTGNTS", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTLLPGDNSDYDYSALSCTSDASFHPAFLPQRQAIKGAFYRRAQRLRPQDEPRQGCQPEDRRRRIIINVGGIKYSLPWTTLDEFPLTRLGQLKACTNFDDILNVCDDYDVTCNEFFFDRNPGAFGTILTFLRAGKLRLLREMCALSFQEELLYWGIAEDHLDGCCKRRYLQKIEEFAEMVEREEEDDALDSEGRDSEGPAEGEGRLGRCMRRLRDMVERPHSGLPGKVFACLSVLFVTVTAVNLSVSTLPSLREEEEQGHCSQMCHNVFIVESVCVGWFSLEFLLRLIQAPSKFAFLRSPLTLIDLVAILPYYITLLVDGAAAGRRKPGAGNSYLDKVGLVLRVLRALRILYVMRLARHSLGLQTLGLTARRCTREFGLLLLFLCVAIALFAPLLYVIENEMADSPEFTSIPACYWWAVITMTTVGYGDMVPRSTPGQVVALSSILSGILLMAFPVTSIFHTFSRSYLELKQEQERVMFRRAQFLIKTKSQLSVSQDSDILFGSASSDTRDNN", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MADNTQRQRESISLTPMAHGLENMGAEFLESMEEGRLPHSHSSLPEGEGGLNKAERKAFSRWRSLQPTVQARSFCREHRQLFGWICKGLLSTACLGFLMVACLLDLQRALALLIITCVVLVFLAYDLLKRLLGSKLRRCVKFQGHSCLSLWLKRGLALAAGVGLILWLSLDTAQRPEQLVSFAGICVFLVLLFAGSKHHRAVSWRAVSWGLGLQFVLGLFVIRTEPGFIAFQWLGDQIQVFLSYTEAGSSFVFGEALVKDVFAFQVLPIIIFFSCVMSVLYYLGLMQWVILKIAWLMQVTMGTSATETLSVAGNIFVSQTEAPLLIRPYLADMTLSEVHVVMTGGYATIAGSLLGAYISFGIDAASLIAASVMAAPCALALSKLVYPEVEESKFRSENGVKLTYGDAQNLLEAASAGAAISVKVVANIAANLIAFLAVLAFVNAALSWLGDMVDIQGLSFQLICSYVLRPVAFLMGVAWEDCPVVAELLGIKFFLNEFVAYQELSQYKQRRLAGAEEWLGDKKQWISVRAEILTTYALCGFANFSSIGIMLGGLTSLVPQRRSDFSQIVLRALITGAFVSLLNACVAGILYVPRGVEVDCVSLLNQTVSSSSFEVYLCCRQVFQSTSSEFSQVALDNCCRFYNHTVCT", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPVSWFLLSLALGRNPVVVSLERLMEPQDTARCSLGLSCHLWDGDVLCLPGSLQSAPGPVLVPTRLQTELVLRCPQKTDCALCVRVVVHLAVHGHWAEPEEAGKSDSELQESRNASLQAQVVLSFQAYPIARCALLEVQVPADLVQPGQSVGSAVFDCFEASLGAEVQIWSYTKPRYQKELNLTQQLPVLPWLNVSTDGDNVLLTLDVSEEQDFSFLLYLRPVPDALKSLWYKNLTGPQNITLNHTDLVPCLCIQVWSLEPDSERVEFCPFREDPGAHRNLWHIARLRVLSPGVWQLDAPCCLPGKVTLCWQAPDQSPCQPLVPPVPQKNATVNEPQDFQLVAGHPNLCVQVSTWEKVQLQACLWADSLGPFKDDMLLVEMKTGLNNTSVCALEPSGCTPLPSMASTRAARLGEELLQDFRSHQCMQLWNDDNMGSLWACPMDKYIHRRWVLVWLACLLLAAALFFFLLLKKDRRKAARGSRTALLLHSADGAGYERLVGALASALSQMPLRVAVDLWSRRELSAHGALAWFHHQRRRILQEGGVVILLFSPAAVAQCQQWLQLQTVEPGPHDALAAWLSCVLPDFLQGRATGRYVGVYFDGLLHPDSVPSPFRVAPLFSLPSQLPAFLDALQGGCSTSAGRPADRVERVTQALRSALDSCTSSSEAPGCCEEWDLGPCTTLE", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPVSWFLLSLALGRNPVVVSLERLMEPQDTARCSLGLSCHLWDGDVLCLPGSLQSAPGPVLVPTRLQTELVLRCPQKTDCALCVRVVVHLAVHGHWAEPEEAGKSDSELQESRNASLQAQVVLSFQAYPIARCALLEVQVPADLVQPGQSVGSAVFDCFEASLGAEVQIWSYTKPRYQKELNLTQQLPDGDNVLLTLDVSEEQDFSFLLYLRPVPDALKSLWYKNLTGPQNITLNHTDLVPCLCIQVWSLEPDSERVEFCPFREDPGAHRNLWHIARLRVLSPGVWQLDAPCCLPGKVTLCWQAPDQSPCQPLVPPVPQKNATVNEPQDFQLVAGHPNLCVQVSTWEKVQLQACLWADSLGPFKDDMLLVEMKTGLNNTSVCALEPSGCTPLPSMASTRAARLGEELLQDFRSHQCMQLWNDDNMGSLWACPMDKYIHRRWVLVWLACLLLAAALFFFLLLKKDRRKAARGSRTALLLHSADGAGYERLVGALASALSQMPLRVAVDLWSRRELSAHGALAWFHHQRRRILQEGGVVILLFSPAAVAQCQQWLQLQTVEPGPHDALAAWLSCVLPDFLQGRATGRYVGVYFDGLLHPDSVPSPFRVAPLFSLPSQLPAFLDALQGGCSTSAGRPADRVERVTQALRSALDSCTSSSEAPGCCEEWDLGPCTTLE", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPVSWFLLSLALGRNPVVVSLERLMEPQDTARCSLGLSCHLWDGDVLCLPGSLQSAPGPVLVPTRLQTELVLRCPQKTDCALCVRVVVHLAVHGHWAEPEEAGKSDSELQESRNASLQAQVVLSFQAYPIARCALLEVQVPADLVQPGQSVGSAVFDCFEASLGAEVQIWSYTKPRYQKELNLTQQLPDCRGLEVRDSIQSCWDGDNVLLTLDVSEEQDFSFLLYLRPVPDALKSLWYKNLTGPQNITLNHTDLVPCLCIQVWSLEPDSERVEFCPFREDPGAHRNLWHIARLRVLSPGVWQLDAPCCLPGKVTLCWQAPDQSPCQPLVPPVPQKNATVNEPQDFQLVAGHPNLCVQVSTWEKVQLQACLWADSLGPFKDDMLLVEMKTGLNNTSVCALEPSGCTPLPSMASTRAARLGEELLQDFRSHQCMQLWNDDNMGSLWACPMDKYIHRRWVLVWLACLLLAAALFFFLLLKKDRRKAARGSRTALLLHSADGAGYERLVGALASALSQMPLRVAVDLWSRRELSAHGALAWFHHQRRRILQEGGVVILLFSPAAVAQCQQWLQLQTVEPGPHDALAAWLSCVLPDFLQGRATGRYVGVYFDGLLHPDSVPSPFRVAPLFSLPSQLPAFLDALQGGCSTSAGRPADRVERVTQALRSALDSCTSSSEAPGCCEEWDLGPCTTLE", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAAGFFISKSVGIVGIVLALGAVATIIALSVVYAQEKNKSSGGSGGSDTTSTTTASTTTASTTAAPNNPWNRWRLPTALKPESYEVTLQPFLTPDDNNMYIFKGNSSVVFLCEEATDLILIHSNKLNYTLQGGFHASLHAVNGSTPPTISNTWLETNTQYLVLQLAGPLQQGQHYRLFSIFTGELADDLAGFYRSEYTEGNVTKVVATTQMQAPDARKAFPCFDEPAMKAVFTVTMIHPSDHTAISNMPVHSTYQLQMDGQSWNVTQFDPTPRMSTYLLAFIVSQFDYVENNTGKVQIRIWGRPAAIAEGQGEYALEKTGPILSFFERHYNTAYPLPKSDQVGLPDFNAGAMENWGLVTYRENSLLYDNAYSSIGNKERVVTVIAHELAHQWFGNLVTLRWWNDLWLNEGFASYVEYLGADSAEPTWDIKDLMVLNELYTVMATDALTTSHPLTFREDEINTPAQISEVFDSIAYSKGASVLRMLSDFLTEDVFKEGLQSYLHDFSYNNTVYTDLWDHLQEAVNKNSVPLPDSIGAIMDRWTLQMGFPVVTVNTLTGSVQQSHFLLDSNSTVERPSVFNYTWIVPITWMTPSRTGDRYWLVDVSATNSNFSVGSSTWLLLNLNVSGYFRVNYNQENWDQLLQQLSNNHQAIPVINRAQIIDDAFNLARAHNVNVTLALNTTRFLSGETAYMPWQAALNNLQYFQLMFDRSEVFGAMTKYIQKQVTPLFEYYRTATNNWTAIPSALMDQYNEINAISTACSYGIAECQQLATALYQQWRQNVSNNPIAPNLRSAIYCSAVATGGEEVWDFIWERFLEAPVVSEADKLRTALTCSTETWILQRYLQYTIDPTKIRKQDATSTINSIASNVVGQPLAWDFIRSNWRTLFGQYGGGSFSFSRLISAVTQRFNTEFELKQLEHFKADNQDIGFGSGTRALEQALERTRTNINWVKENKEVVHAWFRAETASS", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGCGLNKLEKRDEKRPGNIYSTLKRPQVETKIDVSYEYRFLEFTTLSAAELPGSSAVRLASLRDLPAQLLELYQQGFSLAALHPFVQPTHEREKTPLEHIFRAILIKKTDRSQKTDLHNEGYILELDCCSSLDHPTDQKLIPEFIKKIQEAASQGLKFVGVIPQYHSSVNSAGSSAPVSTANSTEDARDAKNARGDHASLENEKPGTGDVCSAPAGRNQSPEPSSGPRGEVPLAKQPSSPSGEGDGGELSPQGVSKTLDGPESNPLEVHEEPLSGKMEIFTLFNKPKSHQKCRQYYPVTIPLHVSKNGQTVSGLDANWLEHMSDHFRKGGMLVNAVFYLGIVNDSLHGLTDGVFIFEAVSTEDSKTIQGYDAIVVEQWTVLEGVEVQTDYVPLLNSLAAYGWQLTCVLPTPVVKTTSEGSVSTKQIVFLQRPCLPQKIKKKESKFQWRFSREEMHNRQMRKSKGKLSARDKQQAEENEKNLEDQSSKAGDMGNCVSGQQQEGGVSEEMKGPVQEDKGEQLSPGGLLCGVGVEGEAVQNGPASHSRALVGICTGHSNPGEDARDGDAEEVRELGTVEEN", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDASNNTTSWNILQRGRMGPSWRRCPVSYQIIASLFLGRSFSAGIFGNACVIAAIALERSLQNVANYLIGSLAVTDLMVSVLVLPMAAQNQVLNKWTLGQVTCDIFISLDVLCCTSSILHLCAIALDRYWAITDPIDYVNKRTPRRAAVLISITWIVGFSISIPPMLGWRTPEDRSDPNACRISEDPGYTIYSTFGAFYIPLILMLVLYGKIFKAARFRIRKTVKKAEKKKVADTCLSVSQQSPKEKQRGAQQELEEVGGAQAQRCVNGAIRHGEEGAVLEIIEVHHYVNSKCHLHCKPVPPPEQLPPALKNDRATEAKRKVALARERKTVKTLGIIMGTFILCWLPFFIVALVLPFCETCHMPHLLFDIITWLGYSNSLLNPIIYAYFNKDFQSAFKKIIKCKFCRQ", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQYLNFPRMPNIMMFLEVAILCLWVVADASASSAKFGSTTPASAQQSDVELEPINGTLNYRLYAKKGRDDKPWFDGLDSRHIQCVRRARCYPTSNATNTCFGSKLPYELSSLDLTDFHTEKELNDKLNDYYALKHVPKCWAAIQPFLCAVFKPKCEKINGEDMVYLPSYEMCRITMEPCRILYNTTFFPKFLRCNETLFPTKCTNGARGMKFNGTGQCLSPLVPTDTSASYYPGIEGCGVRCKDPLYTDDEHRQIHKLIGWAGSICLLSNLFVVSTFFIDWKNANKYPAVIVFYINLCFLIACVGWLLQFTSGSREDIVCRKDGTLRHSEPTAGENLSCIVIFVLVYYFLTAGMVWFVFLTYAWHWRAMGHVQDRIDKKGSYFHLVAWSLPLVLTITTMAFSEVDGNSIVGICFVGYINHSMRAGLLLGPLCGVILIGGYFITRGMVMLFGLKHFANDIKSTSASNKIHLIIMRMGVCALLTLVFILVAIACHVTEFRHADEWAQSFRQFIICKISSVFEEKSSCRIENRPSVGVLQLHLLCLFSSGIVMSTWCWTPSSIETWKRYIRKKCGKEVVEEVKMPKHKVIAQTWAKRKDFEDKGRLSITLYNTHTDPVGLNFDVNDLNSSETNDISSTWAAYLPQCVKRRMALTGAATGNSSSHGPRKNSLDSEISVSVRHVSVESRRNSVDSQVSVKIAEMKTKVASRSRGKHGGSSSNRRTQRRRDYIAAATGKSSRRRESSTSVESQVIALKKTTYPNASHKVGVFAHHSSKKQHNYTSSMKRRTANAGLDPSILNEFLQKNGDFIFPFLQNQDMSSSSEEDNSRASQKIQDLNVVVKQQEISEDDHDGIKIEELPNSKQVALENFLKNIKKSNESNSNRHSRNSARSQSKKSQKRHLKNPAADLDFRKDCVKYRSNDSLSCSSEELDVALDVGSLLNSSFSGISMGKPHSRNSKTSCDVGIQANPFELVPSYGEDELQQAMRLLNAASRQRTEAANEDFGGTELQGLLGHSHRHQREPTFMSESDKLKMLLLPSK", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKQEQQPTPGARATQSQPADQELGSNSPPQRNWKGIAIALLVILVVCSLITMSVILLTPDELTNSSETRLSLEELLGKGFGLHNPEPRWINDTVVVYKTNNGHVMKLNTESNASTLLLDNSTFVTFKASRHSLSPDLKYVLLAYDVKQIFHYSFTASYLIYNIHTGEVWELNPPEVEDSVLQYAAWGVQGQQLIYIFENNIYYQPDIKSSSLRLTSSGKEGIIFNGIADWLYEEELLHSHIAHWWSPDGERLAFLMINDSLVPNMIIPRFTGALYPKAKQYPYPKAGQANPSVKLYVVNLYGPTHTLELMPPDIFKSREYYITMVKWVSNTRTVVRWLNRPQNISILTLCESTTGACSRKYEMTSDTWLSKQNEEPVFSRDGSKFFMTVPVKQGGRGEFHHIAMFLVQSKSEQITVRHLTSGNWEVIRILAYDETTQKIYFLSTESSPQGRQLYSASTEGLLNRDCISCNFMKEDCTYFDASFSPMNQHFLLFCEGPKVPVVSLHITDNPSRYFLLENNSVMKETIQKKKLAKRETRILHIDDYELPLQLSFPKDFMEKNQYALLLIMDEEPGGQMVTDKFHVDWDSVLIDTDNVIVARFDGRGSGFQGLKVLQEIHRRIGSVEAKDQVAAVKYLLKQPYIDSKRLSIFGKGYGGYIASMILKSDEKFFKCGAVVAPISDMKLYASAFSERYLGMPSKEESTYQASSVLHNIHGLKEENLLIIHGTADTKVHFQHSAELIKHLIKAGVNYTLQVYPDEGYHISDKSKHHFYSTILRFFSDCLKEEVSVLPQEPEEDE", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGPSWLLWTVAVAVLLLTRAASMEASSFCGHLEYWNSDKRCCSRCLQRFGPPACPDHEFTENCGLNDFGDTVAHPFKKCSPGYCNPNGTELCSQCSSGAAAAPAHVESPGRTHKQCRKKPVPPKDVCPLKPEDAGASSSPGRWSLGQTTKNEVSSRPGFVSASVLPLAVLPLLLVLLLILAVVLLSLFKRKVRSRPGSSSAFGDPSTSLHYWPCPGTLEVLESRNRGKANLLQLSSWELQGLASQPLSLLLDELEVLEELIMLLDPEPGPSGSTAYGTTRHLAARYGLPATWSTFAYSLRPSRSPLRALIEMVVAREPSATLGQFGTYLAQLGRTDALQVLSKLG", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNQTASVSHHIKCQPSKTIKELGSNSPPQRNWKGIAIALLVILVVCSLITMSVILLTPDELTNSSETRLSLEDLFRKDFVLHDPEARWINDTDVVYKSENGHVIKLNIETNATTLLLENTTFVTFKASRHSVSPDLKYVLLAYDVKQIFHYSYTASYVIYNIHTREVWELNPPEVEDSVLQYAAWGVQGQQLIYIFENNIYYQPDIKSSSLRLTSSGKEEIIFNGIADWLYEEELLHSHIAHWWSPDGERLAFLMINDSLVPTMVIPRFTGALYPKGKQYPYPKAGQVNPTIKLYVVNLYGPTHTLELMPPDSFKSREYYITMVKWVSNTKTVVRWLNRAQNISILTVCETTTGACSKKYEMTSDTWLSQQNEEPVFSRDGSKFFMTVPVKQGGRGEFHHVAMFLIQSKSEQITVRHLTSGNWEVIKILAYDETTQKIYFLSTESSPRGRQLYSASTEGLLNRQCISCNFMKEQCTYFDASFSPMNQHFLLFCEGPRVPVVSLHSTDNPAKYFILESNSMLKEAILKKKIGKPEIKILHIDDYELPLQLSLPKDFMDRNQYALLLIMDEEPGGQLVTDKFHIDWDSVLIDMDNVIVARFDGRGSGFQGLKILQEIHRRLGSVEVKDQITAVKFLLKLPYIDSKRLSIFGKGYGGYIASMILKSDEKLFKCGSVVAPITDLKLYASAFSERYLGMPSKEESTYQAASVLHNVHGLKEENILIIHGTADTKVHFQHSAELIKHLIKAGVNYTMQVYPDEGHNVSEKSKYHLYSTILKFFSDCLKEEISVLPQEPEEDE", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAPRALPGSAVLAAAVFVGGAVSSPLVAPDNGSSRTLHSRTETTPSPSNDTGNGHPEYIAYALVPVFFIMGLFGVLICHLLKKKGYRCTTEAEQDIEEEKVEKIELNDSVNENSDTVGQIVHYIMKNEANADVLKAMVADNSLYDPESPVTPSTPGSPPVSPGPLSPGGTPGKHVCGHHLHTVGGVVERDVCHRCRHKRWHFIKPTNKSRESRPRRQGEVTVLSVGRFRVTKVEHKSNQKERRSLMSVSGAETVNGEVPATPVKRERSGTE", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRMNHMEMHHMGMNHTDDNITMPPHQHPTTSASHSHEMMMPMTFYFGFKNVDLLFSSLVINTPGEMAGAFVAVFLLAMFYEGLKIAREGLLRKSQVSIRYNSMPVPGPNGTILMETHKTVGQQMLSFPHLLQTVLHIIQVVISYFLMLIFMTYNGYLCIAVAAGAGTGYFLFSWKKAVVVDITEHCH", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MELNNKEDSPRHTVPFVRQITEDGKAKLEIYRPTTNPIYIYTQILAAIAVSMGSMVVGFASAYTSPALVSMQNTTITSFKVTEQEASWVGGIMPLAGLAGGIAGGPFIEYLGRKNTILATAVPFIVAWLLIAFANSIWMVLAGRALSGFCVGIASLSLPVYLGETVQPEVRGTLGLLPTAFGNIGILICFVAGKYVNWSGLAFIGSILPIPFMVLTLLIPETPRWFVTRGREERARKALQWLRGKKADVEPELKGIVKSHCEAERHASQNAIFDLMKRSNLKPLLIALGLMFFQQLSGINAVIFYTVSIFKDAGSTIDENLCTIIVGVVNFGATFFATVLIDRLGRKILLYISEVAMVITLLTLGTFFYYKNSGNDVSNIGWLPLASFVIYVIGFSSGVGPIPWLMLGEILPGKIRGSAASVATGFNWTCTFIVTKTFADIVAAIGNHGAFWFFGVICLIGLFFVIFFVPETQGKSLEEIERKMMGRVRRMSSVANMKPLSFNM", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRPRSGGRPGATGRRRRRLRRRPRGLRCSRLPPPPPLPLLLGLLLAAAGPGAARAKETAFVEVVLFESSPSGDYTTYTTGLTGRFSRAGATLSAEGEIVQMHPLGLCNNNDEEDLYEYGWVGVVKLEQPELDPKPCLTVLGKAKRAVQRGATAVIFDVSENPEAIDQLNQGSEDPLKRPVVYVKGADAIKLMNIVNKQKVARARIQHRPPRQPTEYFDMGIFLAFFVVVSLVCLILLVKIKLKQRRSQNSMNRLAVQALEKMETRKFNSKSKGRREGSCGALDTLSSSSTSDCAICLEKYIDGEELRVIPCTHRFHRKCVDPWLLQHHTCPHCRHNIIEQKGNPSAVCVETSNLSRGRQQRVTLPVHYPGRVHRTNAIPAYPTRTSMDSHGNPVTLLTMDRHGEQSLYSPQTPAYIRSYPPLHLDHSLAAHRCGLEHRAYSPAHPFRRPKLSGRSFSKAACFSQYETMYQHYYFQGLSYPEQEGQSPPSLAPRGPARAFPPSGSGSLLFPTVVHVAPPSHLESGSTSSFSCYHGHRSVCSGYLADCPGSDSSSSSSSGQCHCSSSDSVVDCTEVSNQGVYGSCSTFRSSLSSDYDPFIYRSRSPCRASEAGGSGSSGRGPALCFEGSPPPEELPAVHSHGAGRGEPWPGPASPSGDQVSTCSLEMNYSSNSSLEHRGPNSSTSEVGLEASPGAAPDLRRTWKGGHELPSCACCCEPQPSPAGPSAGAAGSSTLFLGPHLYEGSGPAGGEPQSGSSQGLYGLHPDHLPRTDGVKYEGLPCCFYEEKQVARGGGGGSGCYTEDYSVSVQYTLTEEPPPGCYPGARDLSQRIPIIPEDVDCDLGLPSDCQGTHSLGSWGGTRGPDTPRPHRGLGATREEERALCCQARALLRPGCPPEEAGAVRANFPSALQDTQESSTTATEAAGPRSHSADSSSPGA", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPSSGRALLDSPLDSGSLTSLDSSVFCSEGEGEPLALGDCFTVNVGGSRFVLSQQALSCFPHTRLGKLAVVVASYRRPGALAAVPSPLELCDDANPVDNEYFFDRSSQAFRYVLHYYRTGRLHVMEQLCALSFLQEIQYWGIDELSIDSCCRDRYFRRKELSETLDFKKDTEDQESQHESEQDFSQGPCPTVRQKLWNILEKPGSSTAARIFGVISIIFVVVSIINMALMSAELSWLDLQLLEILEYVCISWFTGEFVLRFLCVRDRCRFLRKVPNIIDLLAILPFYITLLVESLSGSQTTQELENVGRIVQVLRLLRALRMLKLGRHSTGLRSLGMTITQCYEEVGLLLLFLSVGISIFSTVEYFAEQSIPDTTFTSVPCAWWWATTSMTTVGYGDIRPDTTTGKIVAFMCILSGILVLALPIAIINDRFSACYFTLKLKEAAVRQREALKKLTKNIATDSYISVNLRDVYARSIMEMLRLKGRERASTRSSGGDDFWF", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MQAQGSQSNVGSLRSNCSDNSLPNNHVMMHCDESSGSPHSEHNDYSYEKTNLESTASNSREHRDNQLSRLKSEEYVVPKNQRRGLLPQLAIIPEFKDARDYPPMMKKMIVFLIAFSSMMGPMGTSIIFPAINSITTEFKTSVIMVNVSIGVYLLSLGVFPLWWSSLSELEGRRTTYITSFALLFAFNIGSALAPDINSFIALRMLCGAASASVQSVGAGTVADLYISEDRGKNLSYYYLGPLLAPLLSPIFGSLLVNRWPWRSTQWFMVILSGCNVILLTVLLPETLRKQDSKGAIAQILAERRIQVDNNERGEIQEDYQRGEDETDRIENQVATLSTEKHNYVGEVRDQDSLDLESHSSPNTYDGRAGETQLQRIYTEASRSLYEYQLDDSGIDATTAQVTRIRSTDPKLARSIRENSLRKLQTNLEEQVKKVLSSNGGEIAPKQVSAVRKVWDTFFVYFIKPLKSLHFLEYPPVALAITFSAISFSTVYFVNMTVEYKYSRPPYNFKPLYIGLLYIPNSVTYFFASIYGGRWVDMLLKRYKEKYGILAPEARISWNVVTSVISFPIALLIFGWCLDKKCHWVTPLIGTALFGYAAMMTIGATLSYLVDSLPGKGATGVALNNLIRQILAATAVFVTTPMLNGMGTGWAFTMLAFIVLGASSVLIILKKHGDYWRENYDLQKLYDKID", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAPVGGGGRPVGGPARGRLLLAAPVLLVLLWALGARGQGSPQQGTIVGMRLASCNKSCGTNPDGIIFVSEGSTVNLRLYGYSLGNISSNLISFTEVDDAETLHKSTSCLELTKDLVVQQLVNVSRGNTSGVLVVLTKFLRRSESMKLYALCTRAQPDGPWLKWTDKDSLLFMVEEPGRFLPLWLHILLITVLLVLSGIFSGLNLGLMALDPMELRIVQNCGTEKERRYARKIEPIRRKGNYLLCSLLLGNVLVNTSLTILLDNLIGSGLMAVASSTIGIVIFGEILPQALCSRHGLAVGANTILLTKFFMLLTFPLSFPISKLLDFFLGQEIRTVYNREKLMEMLKVTEPYNDLVKEELNMIQGALELRTKTVEDIMTQLQDCFMIRSDAILDFNTMSEIMESGYTRIPVFEDEQSNIVDILYVKDLAFVDPDDCTPLKTITRFYNHPVHFVFHDTKLDAMLEEFKKGKSHLAIVQKVNNEGEGDPFYEVLGLVTLEDVIEEIIKSEILDESDMYTDNRSRKRVSEKNKRDFSAFKDADNELKVKISPQLLLAAHRFLATEVSQFSPSLISEKILLRLLKYPDVIQELKFDEHNKYYARHYLYTRNKPADYFILILQGKVEVEAGKENMKFETGAFSYYGTMALTSVPSDRSPAHPTPLSRSASLSYPDRTDVSTAATLAGSSNQFGSSVLGQYISDFSVRALVDLQYIKITRQQYQNGLLASRMENSPQFPIDGCTTHMENLAEKSELPVVDETTTLLNERNSLLHKASHENAI", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKNKTNLGLSVFFFFICLVSVTSDLEADRRALIALRDGVHGRPLLWNLTAPPCTWGGVQCESGRVTALRLPGVGLSGPLPIAIGNLTKLETLSFRFNALNGPLPPDFANLTLLRYLYLQGNAFSGEIPSFLFTLPNIIRINLAQNNFLGRIPDNVNSATRLATLYLQDNQLTGPIPEIKIKLQQFNVSSNQLNGSIPDPLSGMPKTAFLGNLLCGKPLDACPVNGTGNGTVTPGGKGKSDKLSAGAIVGIVIGCFVLLLVLFLIVFCLCRKKKKEQVVQSRSIEAAPVPTSSAAVAKESNGPPAVVANGASENGVSKNPAAVSKDLTFFVKSFGEFDLDGLLKASAEVLGKGTFGSSYKASFDHGLVVAVKRLRDVVVPEKEFREKLQVLGSISHANLVTLIAYYFSRDEKLVVFEYMSRGSLSALLHGNKGSGRSPLNWETRANIALGAARAISYLHSRDATTSHGNIKSSNILLSESFEAKVSDYCLAPMISPTSTPNRIDGYRAPEVTDARKISQKADVYSFGVLILELLTGKSPTHQQLHEEGVDLPRWVSSITEQQSPSDVFDPELTRYQSDSNENMIRLLNIGISCTTQYPDSRPTMPEVTRLIEEVSRSPASPGPLSD", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MELGPPLVLLLATVWHGQGAPVIEPSGPELVVEPGETVTLRCVSNGSVEWDGPISPYWTLDPESPGSTLTTRNATFKNTGTYRCTELEDPMAGSTTIHLYVKDPAHSWNLLAQEVTVVEGQEAVLPCLITDPALKDSVSLMREGGRQVLRKTVYFFSPWRGFIIRKAKVLDSNTYVCKTMVNGRESTSTGIWLKVNRVHPEPPQIKLEPSKLVRIRGEAAQIVCSATNAEVGFNVILKRGDTKLEIPLNSDFQDNYYKKVRALSLNAVDFQDAGIYSCVASNDVGTRTATMNFQVVESAYLNLTSEQSLLQEVSVGDSLILTVHADAYPSIQHYNWTYLGPFFEDQRKLEFITQRAIYRYTFKLFLNRVKASEAGQYFLMAQNKAGWNNLTFELTLRYPPEVSVTWMPVNGSDVLFCDVSGYPQPSVTWMECRGHTDRCDEAQALQVWNDTHPEVLSQKPFDKVIIQSQLPIGTLKHNMTYFCKTHNSVGNSSQYFRAVSLGQSKQLPDESLFTPVVVACMSVMSLLVLLLLLLLYKYKQKPKYQVRWKIIERYEGNSYTFIDPTQLPYNEKWEFPRNNLQFGKTLGAGAFGKVVEATAFGLGKEDAVLKVAVKMLKSTAHADEKEALMSELKIMSHLGQHENIVNLLGACTHGGPVLVITEYCCYGDLLNFLRRKAEAMLGPSLSPGQDSEGDSSYKNIHLEKKYVRRDSGFSSQGVDTYVEMRPVSTSSSDSFFKQDLDKEASRPLELWDLLHFSSQVAQGMAFLASKNCIHRDVAARNVLLTSGHVAKIGDFGLARDIMNDSNYVVKGNARLPVKWMAPESIFDCVYTVQSDVWSYGILLWEIFSLGLNPYPGILVNNKFYKLVKDGYQMAQPVFAPKNIYSIMQSCWDLEPTRRPTFQQICFLLQEQARLERRDQDYANLPSSGGSSGSDSGGGSSGGSSSEPEEESSSEHLACCEPGDIAQPLLQPNNYQFC", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAAVHDLEMESMNLNMGREMKEELEEEEKMREDGGGKDRAKSKKVHRIVSKWMLPEKSRGTYLERANCFPPPVFIISISLAELAVFIYYAVWKPQKQWITLDTGILESPFIYSPEKREEAWRFISYMLVHAGVQHILGNLCMQLVLGIPLEMVHKGLRVGLVYLAGVIAGSLASSIFDPLRYLVGASGGVYALMGGYFMNVLVNFQEMIPAFGIFRLLIIILIIVLDMGFALYRRFFVPEDGSPVSFAAHIAGGFAGMSIGYTVFSCFDKALLKDPRFWIAIAAYLACVLFAVFFNIFLSPAN", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLAIGDVILRAFNFVFLVIALGLTGSLAATTITQHNPQINFAVFAAAFGLLTSSFYGVFAYFVAAFAWPVILFVFDFLNFVFTFAAATAIAAGIRAHSCSNQDYLDDNNIAQGSSGRCRKAQASTAFLYFSTFIFIASAIFSAISLSKGGLFGHSSRPAPRTGVPTMSQV", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSSLSTGGGAGGSSGGPGGADAAAAPAAGQATVTATGNMEPAMVPRTANLLACKQWWRVCFLYGDQQKYYRQLYSKAAAQRLADANQEPDNARDREYDTVDCDLIAGQLDAVEDADDGIDLGDHSSTPKGGATTAGRPLFPHSSSPRRSKKLLRSLRAHVRGEKLPKNDTTTANESSEVTQRNARVTVLDDPFLFGIDADHLGDLVVRGKRYSTLDATENMARFYAEQEATAQVLEIIEQEEESPEQEAPKPALPPKQKQQRPVPPLPPPPANRVTQDQGTQPAAPQVPLQPLTAGDLQFLNLSLRQRSLPRSMKPFKDAHDISFTFNELDTSAEPEVATGAAQQESNEPISRTPLTQISYLQKIPTLPRHFSPSGQGLATPPALGSGGMGLPSSSSASALYAAQAAAGILPTSPLPLQRHQQYLPPHHQQHPGAGMGPGPGSGAAAGPPLGPQYSPGCSANPKYSNAQLPPPPHHHHQLSPALSTPSPPSLLHHPAGGTSSASAHAPFLGGPHMDMQRQSHSDDDSGCALEEYTWVPPGLRPDQVRLYFSQIPDDKVPYVNSPGEQYRVRQLLHQLPPHDNEVRYCHSLTDEERKELRLFSTQRKRDALGRGNVRQLMSARPCDGCDDLISTGDIAVFATRLGPNASWHPACFACSVCRELLVDLIYFHRDGRMYCGRHHAETLKPRCSACDEIILADECTEAEGRAWHMNHFACHECDKQLGGQRYIMREGKPYCLHCFDAMFAEYCDYCGEAIGVDQGQMSHDGQHWHATDECFSCNTCRCSLLGRAFLPRRGAIYCSIACSKGEPPTPSDSSGTGMYTTPTPPTQRVRPHPQAPLPARIPSSHASSSPPMSPQQQQQHQATFNQAMYQMQSQQMEAAGGLVDQSKSYAASDSDAGVVKDLEHGGHMGGGDLTDFSGGRASSTSQNLSPLNSPGDFQPHFLPKPMELQRDGVYNFNEMSSNLDAAWSAKPTNSYHLQRQLLENPHTASMPELAGKLVAPPAHMQHLSQLHAVSSHQFQQHEYADILHPPPPPPGEIPELPTPNLSVASTALPPELMGSPTHSAGDRSLNTPMSTQSASHAPPHPVSILSGASSSSPMSGEPAKKKGVRFEGIPDTLPRSRSYSGNGAGTSGGGERERDRDKDKEGGGRHGHGHSSRRRRRRKSSSSSSHHRSGSGHRSHSTTRADTYAPAQPLSSSYQGPPSVLQAANLVHESPSRQQREREREREREESEESDVCSTCSSSSSSSEDYMMMYQLPQRRHYGGVRVSYVPNDALAYDRKRKPSELGGDKDKNCIIS", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MARMGLAGAAGRWWGLALGLTAFFLPGTHTQVVQVNDSMYGFIGTDVVLHCSFANPLPSVKITQVTWQKASNGSKQNMAIYNPTMGVSVLPPYEKRVEFLRPSFIDGTIRLSGLELEDEGMYICEFATFPTGNRESQLNLTVMAKPTNWIEGTRAVLRARKGQDDKVLVATCTSANGKPPSAVSWETRLKGEAEYQEIRNPNGTVTVISRYRLVPSREAHRQSLACIVNYHLDRFRESLTLNVQYEPEVTIEGFDGNWYLQRTDVKLTCKADANPPATEYHWTTLNGSLPKGVEAQNRTLFFRGPITYSLAGTYICEATNPIGTRSGQVEVNITEFPYTPTPEHGRRAGQMPTAIIGGVAGSVLLVLIVVGGIIVALRRRRHTFKGDYSTKKHVYGNGYSKAGIPQHHPPMAQNLQYPDDSDDEKKAGPLGGSSYEEEEEEEGGGGGERKVGGPHPKYDEDAKRPYFTVDEAEARQDGYGDRTLGYQYDPEQLDLAENMVSQNDGSFISKKEWYV", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MINLKLFLELKLCFLITLLCSSHISSVSDTFFINCGSPTNVTVNNRTFVSDNNLVQGFSVGTTDSNSGDESTLFQTARVFSDESSSTYRFPIEEHGWFLIRIYFLPLVSASQDLTTARFSVSAQNFTLIREYKPSTTSVVREYILNVTTDSLLLQFLPRTGSVSFINALEVLRLPETLIPEDAKLIGTQKDLKLSSHAMETVSRVNMGNLSVSRDQDKLWRQWDSDSAYKAHFGTPVMNLKAVNFSAGGITDDIAPVYVYGTATRLNSDLDPNTNANLTWTFKVEPGFDYFVRFHFCNIIVDPFGFERQIRFDIFVNSEKVRTIDMTEVLNGTFGAPFFVDAVMRKAKSREGFLNLSIGLVMDVSSYPVSFINGFEISKLSNDKRSLDAFDAILPDGSSSNKSSNTSVGLIAGLSAALCVALVFGVVVSWWCIRKRRRRNRQMQTVHSRGDDHQIKKNETGESLIFSSSKIGYRYPLALIKEATDDFDESLVIGVGGFGKVYKGVLRDKTEVAVKRGAPQSRQGLAEFKTEVEMLTQFRHRHLVSLIGYCDENSEMIIVYEYMEKGTLKDHLYDLDDKPRLSWRQRLEICVGAARGLHYLHTGSTRAIIHRDVKSANILLDDNFMAKVADFGLSKTGPDLDQTHVSTAVKGSFGYLDPEYLTRQQLTEKSDVYSFGVVMLEVVCGRPVIDPSLPREKVNLIEWAMKLVKKGKLEDIIDPFLVGKVKLEEVKKYCEVTEKCLSQNGIERPAMGDLLWNLEFMLQVQAKDEKAAMVDDKPEASVVGSTMQFSVNGVGDIAGVSMSKVFAQMVREETR", - "output": "membrane" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MARKLSVILILTFALSVTNPLHELKAAAFPQTTEKISPNWESGINVDLAISTRQYHLQQLFYRYGENNSLSVEGFRKLLQNIGIDKIKRIHIHHDHDHHSDHEHHSDHERHSDHEHHSEHEHHSDHDHHSHHNHAASGKNKRKALCPDHDSDSSGKDPRNSQGKGAHRPEHASGRRNVKDSVSASEVTSTVYNTVSEGTHFLETIETPRPGKLFPKDVSSSTPPSVTSKSRVSRLAGRKTNESVSEPRKGFMYSRNTNENPQECFNASKLLTSHGMGIQVPLNATEFNYLCPAIINQIDARSCLIHTSEKKAEIPPKTYSLQIAWVGGFIAISIISFLSLLGVILVPLMNRVFFKFLLSFLVALAVGTLSGDAFLHLLPHSHASHHHSHSHEEPAMEMKRGPLFSHLSSQNIEESAYFDSTWKGLTALGGLYFMFLVEHVLTLIKQFKDKKKKNQKKPENDDDVEIKKQLSKYESQLSTNEEKVDTDDRTEGYLRADSQEPSHFDSQQPAVLEEEEVMIAHAHPQEVYNEYVPRGCKNKCHSHFHDTLGQSDDLIHHHHDYHHILHHHHHQNHHPHSHSQRYSREELKDAGVATLAWMVIMGDGLHNFSDGLAIGAAFTEGLSSGLSTSVAVFCHELPHELGDFAVLLKAGMTVKQAVLYNALSAMLAYLGMATGIFIGHYAENVSMWIFALTAGLFMYVALVDMVPEMLHNDASDHGCSRWGYFFLQNAGMLLGFGIMLLISIFEHKIVFRINF", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASPPACPSEEDESLKGCELYVQLHGIQQVLKDCIVHLCISKPERPMKFLREHFEKLEKEENRQILARQKSNSQSDSHDEEVSPTPPNPVVKARRRRGGVSAEVYTEEDAVSYVRKVIPKDYKTMTALAKAISKNVLFAHLDDNERSDIFDAMFPVTHIAGETVIQQGNEGDNFYVVDQGEVDVYVNGEWVTNISEGGSFGELALIYGTPRAATVKAKTDLKLWGIDRDSYRRILMGSTLRKRKMYEEFLSKVSILESLEKWERLTVADALEPVQFEDGEKIVVQGEPGDDFYIITEGTASVLQRRSPNEEYVEVGRLGPSDYFGEIALLLNRPRAATVVARGPLKCVKLDRPRFERVLGPCSEILKRNIQRYNSFISLTV", - "output": "membrane" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNQTAGASNNVRCPPGKGHKELVGSNPPQRNWKGIAIALLVILVICSLIVTSVILLTPAEDTSLSQKKKVTVEDLFSEDFKIHDPEAKWISNKEFIYRERKGSVILRNVETNNSTVLIEGKKIESLRAIRYEISPDKEYVLFSYNVEPVYQHSHTGYYVLSKIPHGDPQSLDPPEVSNAKLQYAGWGPKGQQLIFIFENNIYYCAHVGKQAIRVVSTGKEGVIYNGLSDWLYEEEILKSHIAHWWSPDGTRLAYATINDSRVPLMELPTYTGSVYPTVKPYHYPKAGSENPSISLHVIGLNGPTHDLEMMPPDDPRMREYYITMVKWATSTKVAVTWLNRAQNVSILTLCDATTGVCTKKHEDESEAWLHRQNEEPVFSKDGRKFFFVRAIPQGGRGKFYHITVSSSQPNSSNDNIQSITSGDWDVTKILSYDEKRNKIYFLSTEDLPRRRHLYSANTVDDFNRQCLSCDLVENCTYVSASFSHNMDFFLLKCEGPGVPTVTVHNTTDKRRMFDLEANEEVQKAINDRQMPKIEYRKIEVEDYSLPMQILKPATFTDTAHYPLLLVVDGTPGSQSVTERFEVTWETVLVSSHGAVVVKCDGRGSGFQGTKLLQEVRRRLGFLEEKDQMEAVRTMLKEQYIDKTRVAVFGKDYGGYLSTYILPAKGENQGQTFTCGSALSPITDFKLYASAFSERYLGLHGLDNRAYEMTKLAHRVSALEDQQFLIIHATADEKIHFQHTAELITQLIKGKANYSLQIYPDESHYFHSVALKQHLSRSIIGFFVECFRVQDKLPTATAKEEEEED", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MATELRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYVADVEVDGRHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWISEVLHFCSSLPILLVACKADLRNDPKIIEELSKTNQHPVTTEEGQAVAQKIGAYKYLECSAKTNEGVREVFESATRAAMLKHKPKVKPSSGTKKKKRCILL", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEVPGANATSANTTSIPGTSTLCSRDYKITQVLFPLLYTVLFFAGLITNSLAMRIFFQIRSKSNFIIFLKNTVISDLLMILTFPFKILSDAKLGAGHLRTLVCQVTSVTFYFTMYISISFLGLITIDRYLKTTRPFKTSSPSNLLGAKILSVAIWAFMFLLSLPNMILTNRRPKDKDITKCSFLKSEFGLVWHEIVNYICQVIFWINFLIVIVCYSLITKELYRSYVRTRGSAKAPKKRVNIKVFIIIAVFFICFVPFHFARIPYTLSQTRAVFDCNAENTLFYVKESTLWLTSLNACLDPFIYFFLCKSFRNSLMSMLRCSTSGANKKKGQEGGDPSEETPM", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRLSWKERVFMVLLGVAAASGLTMLILILVKATNVLLPADTKFGILFDAGSSHTSLFVYQWPANKEKDTGVVSQALACQVEGPGISSYTSDPTQAGESLKSCLQEALALIPQTQHPVTPAFLGATAGMRLLSQKNSSQAQDILAAVSQTLSRAPVDFWGARILAGQDEGAFGWITVNYVLGMLLKYSSGQWILPEDGTLVGALDLGGASTQISFVPQGPILDQSTQVTFRLYGANYSVYTHSYLCFGRDQILRRLLAELVQSSQVARVRHPCYHSGYQATLSLASLYDSPCVHTPDSLNYTQNLTVEGIGNPGNCVAALRGLFNFSSCKGQEDCAFNGVYQPPVHGQFYAFSNFYYTFQFLNLTSRQPLNIVNDTIWKFCQKPWRLVEDSYPGQERWLRDYCASGLYILVLLLEGYKFSEETWPNIQFQKQAGGTDIGWTLGFMLNLTGMIPAEALTQWRAQSYSIWIAGVVFAVLTLVAILGAAAVQLFWTQD", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MESTTSSPQPPPSDALEAFPQKSMEPADIVVLVLYFLFVLAVGLWSTVRTKRDTVKGYFLAGGDMVWWPVGASLFASNVGSGHFIGLAGSGAAVGISVAAYELNGLFSVLMLAWIFLPIYIAGQVTTMPEYLKRRFGGSRIPITLASIYPSTHSLTILQVDMYAGAIFIQQSLHLDLYLAIVGLLAVTALYTVAGGLAAVIYTDALQTVIMLIGAFILMGYSFAAVGGMEGLKDQYFLALASNRSENSSCGLPREDAFHIFRDPLTSDLPWPGILFGMSIPSLWYWCTDQVIVQRSLAAKNLSHAKGGSLMAAYLKVLPLFLMVFPGMVSRILFPDQVACAHPDICQRVCSNPSGCSDIAYPKLVLELLPTGLRGLMMAVMVAALMSSLTSIFNSASTIFTMDLWHHIRPRASERELMIVGRVFVLALVLVSILWIPVVQASQGGQLFIYIQSISSYLQPPVAVVFIMGCFWKRTNEKGAFSGLILGLLLGLVRLILDFVYVQPRCDQPDDRPAVVKDVHYLYFSMILSSTTLITVFTVSWFTETPSKEMVSRLTWFTRHEPVAQKDSVPPENPLSLTISQNGTTEATGISIQLESVQEATTKAHSDGVSPKQSKVLKAILWLCGMEKDKEEPPSKVEPVIVSLEENPLVKTLLDVNCIVCISCAIFLWGYFA", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGVPAVPEASSPRWGTLLLAIFLAASRGLVAAFKVTTPYSLYVCPEGQNATLTCRILGPVSKGHDVTIYKTWYLSSRGEVQMCKEHRPIRNFTLQHLQHHGSHLKANASHDQPQKHGLELASDHHGNFSITLRNVTPRDSGLYCCLVIELKNHHPEQRFYGSMELQVQAGKGSGSTCMASNEQDSDSITAAALATGACIVGILCLPLILLLVYKQRQVASHRRAQELVRMDSNTQGIENPGFETTPPFQGMPEAKTRPPLSYVAQRQPSESGRYLLSDPSTPLSPPGPGDVFFPSLDPVPDSPNSEAI", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MQGSKGVENPAFVPSSPDTPRRASASPSQVEVSAVASRNQNGGSQPRESEDPQKSTEPSPPSSTLPASDEPPGSQLSELEEGPCGWRNFHPQCLQRCNNPKGFLLHYCLLALTQGIVVNGLVNISISTIEKRYEMKSSLTGLISSSYDISFCVLSLFVSFFGERGHKPRWLAFASFMIGLGALVFSLPHFFSGRYELGTIFEDTCLTRNSTRCASSTSLLSNYFYVFVLGQLLLGTGGTPLYTLGTAFIDDSVPTHKSSLYIGIGYSMSILGPAIGYVLGGQLLTMYIDVAMGQSSDLTEDDPRWLGAWWIGFLLAWLFAWSLIMPFSCFPKHLPGTAKIQAGKTSQTHQNNSTSFQHMDENFGKSIKDFPTAVKNLMRNTVFICLVLSTTSEALVTTGFATFLPKFIENQFGLTSSFAATLGGAVLIPGAALGQILGGVLVSKFKMKCKNTMKFALCTSGVALMLSFVFIYAKCENGPFAGVSESYNGTGEMGNLTAPCNANCNCLRSYYYPLCGSDGVQYFSPCFAGCLNSVSNRKPKAYYNCSCIERKVDITSTAXSPDFEARAGKCKTQCSNLPIFLGIFFITVIFTFMAGTPITVSILRCVNHRQRSLALGVQFMLLRLLGTIPGPIIFGVTIDSTCVLWDINECGTKGACWIYDNIRMAHMLVAISVTCKVITIFFNGLAIVLYKPPPPGTEVSFQSQNVVVSTITVEEDLNKIENEG", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEISLVPMENGSAMTLRGGGEAGASCVQSPRGECGCPPTAGLNNQSKETSPRRRATHEDAGQGGRPLPPMPQELPQPRRPSAEDEEGEGDPGLGTVEEDQAPQDSGSLHHQRVLINISGLRFETQLGTLAQFPNTLLGDPVKRLRYFDPLRNEYFFDRNRPSFDGILYYYQSGGRLRRPVNVSLDVFADEIRFYQLGDEAMERFREDEGFIKEEEKPLPRNEFQRQVWLIFEYPESSGSARAIAIVSVLVILISIITFCLETLPEFRDERELLRHPPVPPQPPAPAPGANGSGSGVLSSGPTVAPLLPRTLADPFFIVETTCVIWFTFELLVRFFACPSKAEFSRNIMNIIDIVAIFPYFITLGTELAEQQPGGGGQNGQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGKTLQASMRELGLLIFFLFIGVILFSSAVYFAEADNQGSHFSSIPDAFWWAVVTMTTVGYGDMRPITVGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETDHEEQAALKEEQGIQRRESGLDTGGQRKVSCSKASFCKTGGPLESTDSIRRGSCPLEKCHLKAKSNVDLRRSLYALCLDTSRETDL", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGNCFAKNHGLMKPQQNGNTTRSVEVGVTNQDPPSYTPQARTTQQPEKPGSVNSQPPPWRAAAAAPGLSPKTTTKSNSILENAFEDVKLFYTLGKELGRGQFGVTYLCTENSTGKKYACKSISKKKLVTKADKDDMRREIQIMQHLSGQPNIVEFKGAYEDEKAVNLVMELCAGGELFDRIIAKGHYTERAAASVCRQIVNVVKICHFMGVLHRDLKPENFLLSSKDEKALIKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRRYGKEVDIWSAGIILYILLSGVPPFWAETEKGIFDAILEGHIDFESQPWPSISSSAKDLVRRMLTADPKRRISAADVLQHPWLREGGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENIDTEEIQGLKAMFANIDTDNSGTITYEELKEGLAKLGSKLTEAEVKQLMDAADVDGNGSIDYIEFITATMHRHRLESNENLYKAFQHFDKDSSGYITIDELESALKEYGMGDDATIKEVLSDVDSDNDGRINYEEFCAMMRSGNPQQQQPRLF", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MERRWPLGLGLVLLLCAPLPPGARAKEVTLMDTSKAQGELGWLLDPPKDGWSEQQQILNGTPLYMYQDCPMQGRRDTDHWLRSNWIYRGEEASRVHVELQFTVRDCKSFPGGAGPLGCKETFNLLYMESDQDVGIQLRRPLFQKVTTVAADQSFTIRDLVSGSVKLNVERCSLGRLTRRGLYLAFHNPGACVALVSVRVFYQRCPETLNGLAQFPDTLPGPAGLVEVAGTCLPHARASPRPSGAPRMHCSPDGEWLVPVGRCHCEPGYEEGGSGEACVACPSGSYRMDMDTPHCLTCPQQSTAESEGATICTCESGHYRAPGEGPQVACTGPPSAPRNLSFSASGTQLSLRWEPPADTGGRQDVRYSVRCSQCQGTAQDGGPCQPCGVGVHFSPGARGLTTPAVHVNGLEPYANYTFNVEAQNGVSGLGSSGHASTSVSISMGHAESLSGLSLRLVKKEPRQLELTWAGSRPRSPGANLTYELHVLNQDEERYQMVLEPRVLLTELQPDTTYIVRVRMLTPLGPGPFSPDHEFRTSPPVSRGLTGGEIVAVIFGLLLGAALLLGILVFRSRRAQRQRQQRQRDRATDVDREDKLWLKPYVDLQAYEDPAQGALDFTRELDPAWLMVDTVIGEGEFGEVYRGTLRLPSQDCKTVAIKTLKDTSPGGQWWNFLREATIMGQFSHPHILHLEGVVTKRKPIMIITEFMENGALDAFLREREDQLVPGQLVAMLQGIASGMNYLSNHNYVHRDLAARNILVNQNLCCKVSDFGLTRLLDDFDGTYETQGGKIPIRWTAPEAIAHRIFTTASDVWSFGIVMWEVLSFGDKPYGEMSNQEVMKSIEDGYRLPPPVDCPAPLYELMKNCWAYDRARRPHFQKLQAHLEQLLANPHSLRTIANFDPRMTLRLPSLSGSDGIPYRTVSEWLESIRMKRYILHFHSAGLDTMECVLELTAEDLTQMGITLPGHQKRILCSIQGFKD", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEGSWFHRKRFSFYLLLGFLLQGRGVTFTINCSGFGQHGADPTALNSVFNRKPFRPVTNISVPTQVNISFAMSAILDVNEQLHLLSSFLWLEMVWDNPFISWNPEECEGITKMSMAAKNLWLPDIFIIELMDVDKTPKGLTAYVSNEGRIRYKKPMKVDSICNLDIFYFPFDQQNCTLTFSSFLYTVDSMLLDMEKEVWEITDASRNILQTHGEWELLGLSKATAKLSRGGNLYDQIVFYVAIRRRPSLYVINLLVPSGFLVAIDALSFYLPVKSGNRVPFKITLLLGYNVFLLMMSDLLPTSGTPLIGVYFALCLSLMVGSLLETIFITHLLHVATTQPPPLPRWLHSLLLHCNSPGRCCPTAPQKENKGPGLTPTHLPGVKEPEVSAGQMPGPAEAELTGGSEWTRAQREHEAQKQHSVELWLQFSHAMDAMLFRLYLLFMASSIITVICLWNT", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSEDQKSENSVPSKVNMVNRTDILTTIKSLSWLDLMLPFTIILSIIIAVIISVYVPSSRHTFDAEGHPNLMGVSIPLTVGMIVMMIPPICKVSWESIHKYFYRSYIRKQLALSLFLNWVIGPLLMTALAWMALFDYKEYRQGIIMIGVARCIAMVLIWNQIAGGDNDLCVVLVITNSLLQMVLYAPLQIFYCYVISHDHLNTSNRVLFEEVAKSVGVFLGIPLGIGIIIRLGSLTIAGKSNYEKYILRFISPWAMIGFHYTLFVIFISRGYQFIHEIGSAILCFVPLVLYFFIAWFLTFALMRYLSISRSDTQRECSCDQELLLKRVWGRKSCEASFSITMTQCFTMASNNFELSLAIAISLYGNNSKQAIAATFGPLLEVPILLILAIVARILKPYYIWNNRN", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEGGGKPNSASNSRDDGNSVFPSKAPATGPVAADKRLGTPPGGGAAGKEHGNSVCFKVDGGGGEEPAGSFEDAEGPRRQYGFMQRQFTSMLQPGVNKFSLRMFGSQKAVEKEQERVKTAGFWIIHPYSDFRFYWDLIMLIMMVGNLVIIPVGITFFTEQTTTPWIIFNVASDTVFLLDLIMNFRTGTVNEDSSEIILDPKVIKMNYLKSWFVVDFISSIPVDYIFLIVEKGMDSEVYKTARALRIVRFTKILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVVRIFNLIGMMLLLCHWDGCLQFLVPLLQDFPPDCWVSLNEMVNDSWGKQYSYALFKAMSHMLCIGYGAQAPVSMSDLWITMLSMIVGATCYAMFVGHATALIQSLDSSRRQYQEKYKQVEQYMSFHKLPADMRQKIHDYYEHRYQGKIFDEENILSELNDPLREEIVNFNCRKLVATMPLFANADPNFVTAMLSKLRFEVFQPGDYIIREGAVGKKMYFIQHGVAGVITKSSKEMKLTDGSYFGEICLLTKGRRTASVRADTYCRLYSLSVDNFNEVLEEYPMMRRAFETVAIDRLDRIGKKNSILLQKFQKDLNTGVFNNQENEILKQIVKHDREMVQAIPPINYPQMTALNCTSSTTTPTSRMRTQSPPVYTATSLSHSNLHSPSPSTQTPQPSAILSPCSYTTAVCSPPIQSPLATRTFHYASPTASQLSLMQQPQQQLPQSQVQQTQTQTQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPQTPGSSTPKNEVHKSTQALHNTNLTKEVRPLSASQPSLPHEVSTLISRPHPTVGESLASIPQPVAAVHSTGLQAGSRSTVPQRVTLFRQMSSGAIPPNRGVPPAPPPPAAVQRESPSVLNTDPDAEKPRFASNL", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSGPCVRIPFWVRVFLLLLLYRIAAGCPELFSSGCSCTEDRSKAHPTPGTRRKVSCGGKELTETPEVSLLPNRTVSLNLSNNRIRMLKNGSFAGLSSLEKLDLRNNLISTIMPGAFLGLTALRKLDLSSNRIGCLTPEMFQGLTNLTKLNISGNIFSSLDPNVFMELHSLKLVNFHSEFLSCDCGLRWVPSFFRSGSARLGDETLCAYPRRLQNKPLRLLRESDLSCEGPLELHTLSLLPSQRQVVFKGDRLPFHCTASLVDKITALHWRQNGQPVTSDPTKGIHLEESVQHDCTFITSELILSNVHVEASGEWECVVSTGRGNTSCSVEIVVLENSASFCPEQKVNNNRGEFRWPRTLAGITSYQHCLQLRYPSLTLGGGVEQKKASRNCDRSGRWEEADYSQCLYTNDITRILHTFILMPVNASNAVTLAHQVRSYTLEAAGFTDTVDVLYVAQMMHKFMDYVTELRELSEVLVEMGSNLMQVDDQILARAQREERACSSIVYTLETLAWPQLHSHAQDLSRYSRNIVMEAHLIRPAHFTGISCTVYQRREGAAGSQVHDGADLSLEQQLRFRCTTGTHNTSLNAFHLKNAVALATVSLPATLFPPNAPPDCKLQFVAFRNGRFFPFTSNFTGHSDLARRRGISTPVIYAGLDGCSMWNQSDPIIVSLRHTSPGHDPVAAHWNSQALGHHGSWSLDGCQLIHSDVSISTLRCSVLSNYAVLQEIPDFPGSPSIPVEVLHPVIYTCTAVLLLCLFTIIITYILHHSSIRITRKSWHTLLNTSFHVAMTSAVFAGGITLTGYPIVCQAVGIVLHYSSLSTLLWIGVSARVIYKEALLRTPQQLEGESAVQPTQRPMLRFYLIAGGVPLIICGITAAVNINNYGDNIPYCWLVWQPSFGAFYIPAGLIILVTWIYFLCTVFCLRQRNFQESKDLQCSASDPSNLPESQPALSGSTSLLSTDSGVSPVHAGTTVEDQYSLKVQCLALMATQFVFVGLWCCGAMAVWHVDRERKLFSCLYGGTATGLGIFLVLHHCFKRLDVQAAWLGCCPGYHRSQPMPAYSHPCTVTVGVQSASERGSQLFVACHPPTDPNHYSSSARSSSTQSGTASITVVPSKLTNLLQVSQDNANNASRAPAGTNTNTSTSTENNKPTNNLLPSLLPVQQPQRRKACSRTKGGNTQYHHRGDARSHYRLKALRAGGGGSMGALGPSGTEHSNIYHVHKHASSENGSLRNSHSEGQNGLLTNGRHRGEGLATSPSEGSDGGSSGSRKPFPLLPSVARRAAMQQNAQCRSASKDNLKLAAAAERESKRSSFPLNMSSNVTATASLSTVSAPNGTLKGSVVELDTSGTDQSQGSVGMKSRVWKSETTV", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLQGRREAKKSYALFSSTFFFFFICFLSSSSAELTDKGVNFEVVALIGIKSSLTDPHGVLMNWDDTAVDPCSWNMITCSDGFVIRLEAPSQNLSGTLSSSIGNLTNLQTVLLQNNYITGNIPHEIGKLMKLKTLDLSTNNFTGQIPFTLSYSKNLQYLRVNNNSLTGTIPSSLANMTQLTFLDLSYNNLSGPVPRSLAKTFNVMGNSQICPTGTEKDCNGTQPKPMSITLNSSQNKSSDGGTKNRKIAVVFGVSLTCVCLLIIGFGFLLWWRRRHNKQVLFFDINEQNKEEMCLGNLRRFNFKELQSATSNFSSKNLVGKGGFGNVYKGCLHDGSIIAVKRLKDINNGGGEVQFQTELEMISLAVHRNLLRLYGFCTTSSERLLVYPYMSNGSVASRLKAKPVLDWGTRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYFEAVVGDFGLAKLLDHEESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGLRALEFGKAANQRGAILDWVKKLQQEKKLEQIVDKDLKSNYDRIEVEEMVQVALLCTQYLPIHRPKMSEVVRMLEGDGLVEKWEASSQRAETNRSYSKPNEFSSSERYSDLTDDSSVLVQAMELSGPR", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MIPIQLTVFFMIIYVLESLTIIVQSSLIVAVLGREWLQVRRLMPVDMILISLGISRFCLQWASMLNNFCSYFNLNYVLCNLTITWEFFNILTFWLNSLLTVFYCIKVSSFTHHIFLWLRWRILRLFPWILLGSLMITCVTIIPSAIGNYIQIQLLTMEHLPRNSTVTDKLENFHQYQFQAHTVALVIPFILFLASTIFLMASLTKQIQHHSTGHCNPSMKARFTALRSLAVLFIVFTSYFLTILITIIGTLFDKRCWLWVWEAFVYAFILMHSTSLMLSSPTLKRILKGKC", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRRVILPLVMTVTLCLAQEASEACTKALTDCENDLECQNRLAPLMAACSTNTCQPQCRSAVLNVYQNKLGRILLRSDATCIPGRDELRTCNFLPAESTVHCSLGKLACEGDLQCNSKFGVFMSECEADAARGACTDKCKTLLNQTIETSVGSVFSNCTCTARDDQLCTNLKDNLLGVCLKNTPGVTLSPSDNSITDAPGGNDLADSSVGHGFNILSAISVYLLTVLVF", - "output": "membrane" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEKEDQEKTGKLTLVLALATFLAAFGSSFQYGYNVAAVNSPSEFMQQFYNDTYYDRNKENIESFTLTLLWSLTVSMFPFGGFIGSLMVGFLVNNLGRKGALLFNNIFSILPAILMGCSKIAKSFEIIIASRLLVGICAGISSNVVPMYLGELAPKNLRGALGVVPQLFITVGILVAQLFGLRSVLASEEGWPILLGLTGVPAGLQLLLLPFFPESPRYLLIQKKNESAAEKALQTLRGWKDVDMEMEEIRKEDEAEKAAGFISVWKLFRMQSLRWQLISTIVLMAGQQLSGVNAIYYYADQIYLSAGVKSNDVQYVTAGTGAVNVFMTMVTVFVVELWGRRNLLLIGFSTCLTACIVLTVALALQNTISWMPYVSIVCVIVYVIGHAVGPSPIPALFITEIFLQSSRPSAYMIGGSVHWLSNFIVGLIFPFIQVGLGPYSFIIFAIICLLTTIYIFMVVPETKGRTFVEINQIFAKKNKVSDVYPEKEEKELNDLPPATREQ", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MYLSRFLSIHALWVTVSSVMQPYPLVWGHYDLCKTQIYTEEGKVWDYMACQPESTDMTKYLKVKLDPPDITCGDPPETFCAMGNPYMCNNECDASTPELAHPPELMFDFEGRHPSTFWQSATWKEYPKPLQVNITLSWSKTIELTDNIVITFESGRPDQMILEKSLDYGRTWQPYQYYATDCLDAFHMDPKSVKDLSQHTVLEIICTEEYSTGYTTNSKIIHFEIKDRFAFFAGPRLRNMASLYGQLDTTKKLRDFFTVTDLRIRLLRPAVGEIFVDELHLARYFYAISDIKVRGRCKCNLHATVCVYDNSKLTCECEHNTTGPDCGKCKKNYQGRPWSPGSYLPIPKGTANTCIPSISSIGNCECFGHSNRCSYIDLLNTVICVSCKHNTRGQHCELCRLGYFRNASAQLDDENVCIECYCNPLGSIHDRCNGSGFCECKTGTTGPKCDECLPGNSWHYGCQPNVCDNELLHCQNGGTCHNNVRCLCPAAYTGILCEKLRCEEAGSCGSDSGQGAPPHGSPALLLLTTLLGTASPLVF", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPRCFQLPCSTRMPTTEVPQAADGQGDAGDGEEAAEPEGKFKPPKNTKRKNRDYVRFTPLLLVLAALVSAGVMLWYFLGYKAEVTVSQVYSGSLRVLNRHFSQDLGRRESIAFRSESAKAQKMLQELVASTRLGTYYNSSSVYSFGEGPLTCFFWFILDIPEYQRLTLSPEVVRELLVDELLSNSSTLASYKTEYEVDPEGLVILEASVNDIVVLNSTLGCYRYSYVNPGQVLPLKGPDQQTTSCLWHLQGPEDLMIKVRLEWTRVDCRDRVAMYDAAGPLEKRLITSVYGCSRQEPVMEVLASGSVMAVVWKKGMHSYYDPFLLSVKSVAFQDCQVNLTLEGRLDTQGFLRTPYYPSYYSPSTHCSWHLTVPSLDYGLALWFDAYALRRQKYNRLCTQGQWMIQNRRLCGFRTLQPYAERIPMVASDGVTINFTSQISLTGPGVQVYYSLYNQSDPCPGEFLCSVNGLCVPACDGIKDCPNGLDERNCVCRAMFQCQEDSTCISLPRVCDRQPDCLNGSDEEQCQEGVPCGTFTFQCEDRSCVKKPNPECDGQSDCRDGSDEQHCDCGLQGLSSRIVGGTVSSEGEWPWQASLQIRGRHICGGALIADRWVITAAHCFQEDSMASPKLWTVFLGKMRQNSRWPGEVSFKVSRLFLHPYHEEDSHDYDVALLQLDHPVVYSATVRPVCLPARSHFFEPGQHCWITGWGAQREGGPVSNTLQKVDVQLVPQDLCSEAYRYQVSPRMLCAGYRKGKKDACQGDSGGPLVCREPSGRWFLAGLVSWGLGCGRPNFFGVYTRVTRVINWIQQVLT", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTLHPSPITCEFLFSTALISPKMCLSHLENMPLSHSRTQGAQRSSWKLWLFCSIVMLLFLCSFSWLIFIFLQLETAKEPCMAKFGPLPSKWQMASSEPPCVNKVSDWKLEILQNGLYLIYGQVAPNANYNDVAPFEVRLYKNKDMIQTLTNKSKIQNVGGTYELHVGDTIDLIFNSEHQVLKNNTYWGIILLANPQFIS", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDFLNSSDQNLTSEELLHRMPSKILVSLTLSGLALMTTTINSLVIAAIIVTRKLHHPANYLICSLAVTDFLVAVLVMPFSIVYIVRESWIMGQVLCDIWLSVDIICCTCSILHLSAIALDRYRAITDAVEYARKRTPKQAGIMITIVWIISVFISMPPLFWRHQGTSRDDECIIKHDHIVSTIYSTFGAFYIPLVLILILYYKIYKAAKTLYHKRQASRIAKEELNGQVLLESGEKSIKMVSTTYVPEKSLSDPSTDFDKIHNTVKSPRCKLRHEKSWRRQKISGTRERKAATTLGLILGAFVICWLPFFVKELVVNVCEKCKISEEMANFLAWLGYLNSLINPLIYTIFNEDFKKAFQKLVRCQY", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MALPSLLLLVAALAGGVRPPGARNLTLAVVLPEHNLSYAWAWPRVGPAVALAVEALGRALPVDLRFVSSELEGACSEYLAPLSAVDLKLYHDPDLLLGPGCVYPAASVARFASHWRLPLLTAGAVASGFSAKNDHYRTLVRTGPSAPKLGEFVVTLHGHFNWTARAALLYLDARTDDRPHYFTIEGVFEALQGSNLSVQHQVYAREPGGPEQATHFIRANGRIVYICGPLEMLHEILLQAQRENLTNGDYVFFYLDVFGESLRAGPTRATGRPWQDNRTREQAQALREAFQTVLVITYREPPNPEYQEFQNRLLIRAREDFGVELGPSLMNLIAGCFYDGILLYAEVLNETIQEGGTREDGLRIVEKMQGRRYHGVTGLVVMDKNNDRETDFVLWAMGDLDSGDFQPAAHYSGAEKQIWWTGRPIPWVKGAPPSDNPPCAFDLDDPSCDKTPLSTLAIVALGTGITFIMFGVSSFLIFRKLMLEKELASMLWRIRWEELQFGNSERYHKGAGSRLTLSLRGSSYGSLMTAHGKYQIFANTGHFKGNVVAIKHVNKKRIELTRQVLFELKHMRDVQFNHLTRFIGACIDPPNICIVTEYCPRGSLQDILENDSINLDWMFRYSLINDLVKGMAFLHNSIISSHGSLKSSNCVVDSRFVLKITDYGLASFRSTAEPDDSHALYAKKLWTAPELLSGNPLPTTGMQKADVYSFGIILQEIALRSGPFYLEGLDLSPKEIVQKVRNGQRPYFRPSIDRTQLNEELVLLMERCWAQDPAERPDFGQIKGFIRRFNKEGGTSILDNLLLRMEQYANNLEKLVEERTQAYLEEKRKAEALLYQILPHSVAEQLKRGETVQAEAFDSVTIYFSDIVGFTALSAESTPMQVVTLLNDLYTCFDAIIDNFDVYKVETIGDAYMVVSGLPGRNGQRHAPEIARMALALLDAVSSFRIRHRPHDQLRLRIGVHTGPVCAGVVGLKMPRYCLFGDTVNTASRMESNGQALKIHVSSTTKDALDELGCFQLELRGDVEMKGKGKMRTYWLLGERKGPPGLL", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKSDSIAVDVPAESSSVIKGKAPLLGLARDHTGSGGYKRGLSIFDFLLRLAAIVAALAAAATMGTSDETLPFFTQFLQFEASYDDLPTFQFFVVAIAIVAGYLVLSLPFSVVTIVRPLAVAPRLLLLVLDTAALALDTAAASAAAAIVYLAHNGNTNTNWLPICQQFGDFCQKTSGAVVSAFASVTFLAILVVISGVSLKRP", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEVTCLLLLALIPFHCRGQGVYAPAQAQIVHAGQACVVKEDNISERVYTIRESDTLVLQCLVTGHPRPQVRWTKTAGSASDKFQETSVFNETLRIERIARTQGGRYYCKAENGVGVPAIKSIRVDVQYLDEPVLTVHQTVSDVRGNFYQEKTVFLRCTVSSNPPARFIWKRGSDTLSHSQDNGVDIYEPLYTQGETKVLKLKNLRPQDYASYTCQVSVRNVCGIPDKSITFQLTNTTAPPTLKLSVNETLVVNPGENVTVQCLLTGGDPLPQLHWSHGPGPLPLGALAQGGTLSIPSVQARDSGYYNCTATNNVGNPAKKTVNLLVRSLKNATFQITPDMIKESENIQLGQDLKLSCHVDAVPQEKVNYQWFKNGKPARTSKRLLVTRNDPELPAVTSSLELIDLHFSDYGTYLCVASFPGSPVPDLSVEVNISSETVPPTISVPKGRAVVTVREGSPAELQCEVRGKPRPPVLWSRVDKEAALLPSGLALEETPDGKLRVERVSREMSGTYRCQTARYNGFNVRAREAQVQLTVHFPPEVEPSSQDVRQALGRPVLLRCSLLRGSPQRIASAVWRFKGQLLPPPPVLPAAAAEGPDHAELRLDALTRDSSGNYECSVSNDVGSATCLFQVSAKAYSPEFYFDTPNPTRSHKLSKNYSYVLQWTQREPDAVDPVLNYRLSIRQLNQHNAMVKAIPVRRVEKGQLLEYTLTDLRVPHSYEIHLTPYTTFGAGDMASRVIHYTEPINSPSLSDNTCHFEDEKICGYTQDLTDNFDWTRQNALTQNPKRSPNTGPPTDISGTPEGYYMFIETSRPRELGDRARLVSPLYNASAKFYCVSFFYHMYGKHIGSLNLLVRSRNKGTLDTHAWSLSGNKGNVWQQAHVPINPSGPFQIIFEGVRGSGYLGDIAIDDVTLKKGECPRRQMDPNKVVVMPGSGAPRLSSLQLWGSMTIFLLALQR", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDVLPTGGGRPGLRTELEFRGGGGEARLESQEEETIPAAPPAPRLRGAAERPRRSRDTWDGDEDTEPGEACGGRTSRTASLVSGLLNELYSCTEEEEAAGGGRGAEGRRRRRDSLDSSTEASGSDVVLGGRSGAGDSRVLQELQERPSQRHQMLYLRQKDANELKTILRELKYRIGIQSAKLLRHLKQKDRLLHKVQRNCDIVTACLQAVSQKRRVDTKLKFTLEPSLGQNGFQQWYDALKAVARLSTGIPKEWRRKVWLTLADHYLHSIAIDWDKTMRFTFNERSNPDDDSMGIQIVKDLHRTGCSSYCGQEAEQDRVVLKRVLLAYARWNKTVGYCQGFNILAALILEVMEGNEGDALKIMIYLIDKVLPESYFVNNLRALSVDMAVFRDLLRMKLPELSQHLDTLQRTANKESGGGYEPPLTNVFTMQWFLTLFATCLPNQTVLKIWDSVFFEGSEIILRVSLAIWAKLGEQIECCETADEFYSTMGRLTQEMLENDLLQSHELMQTVYSMAPFPFPQLAELREKYTYNITPFPATVKPTSVSGRHSKARDSDEENDPDDEDAVVNAVGCLGPFSGFLAPELQKYQKQIKEPNEEQSLRSNNIAELSPGAINSCRSEYHAAFNSMMMERMTTDINALKRQYSRIKKKQQQQVHQVYIRADKGPVTSILPSQVNSSPVINHLLLGKKMKMTNRAAKNAVIHIPGHTGGKISPVPYEDLKTKLNSPWRTHIRVHKKNMPRTKSHPGCGDTVGLIDEQNEASKTNGLGAAEAFPSGCTATAGREGSSPEGSTRRTIEGQSPEPVFGDADVDVSAVQAKLGALELNQRDAAAETELRVHPPCQRHCPEPPSAPEENKATSKAPQGSNSKTPIFSPFPSVKPLRKSATARNLGLYGPTERTPTVHFPQMSRSFSKPGGGNSGTKKR", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDCRKMVRFSYSVIWIMAISKAFELGLVAGLGHQEFARPSRGDLAFRDDSIWPQEEPAIRPRSSQRVLPMGIQHSKELNRTCCLNGGTCMLESFCACPPSFYGRNCEHDVRKENCGSVPHDTWLPKKCSLCKCWHGQLRCFPQAFLPGCDGLVMDEHLVASRTPELPPSARTTTFMLAGICLSIQSYY", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPQRGHPSQEGLWALPSLPMAHGPKPETEGLLDLSFLTEEEQEAIAGVLQRDARLRQLEEGRVSKLRASVADPGQLKILTGDWFQEARSQRHHNAHFGSDLVRASMRRKKSTRGDQAPGHDREAEAAVKEKEEGPEPRLTIDEAPQERLRETEGPDFPSPSVPLKASDPEEASQAQEDPGQGDQQVCAEEADPELEPASGGEQEPRPQQAQTKAASQILENGEEAPGPDPSLDRMLSSSSSVSSLNSSTLSGSQMSLSGDAEAVQVRGSVHFALHYEPGAAELRVHVIQCQGLAAARRRRSDPYVKSYLLPDKQSKRKTAVKKRNLNPVFNETLRYSVPQAELQGRVLSLSVWHRESLGRNIFLGEVEVPLDTWDWGSEPTWLPLQPRVPPSPDDLPSRGLLALSLKYVPAGSEGAGLPPSGELHFWVKEARDLLPLRAGSLDTYVQCFVLPDDSQASRQRTRVVRRSLSPVFNHTMVYDGFGPADLRQACAELSLWDHGALANRQLGGTRLSLGTGSSYGLQVPWMDSTPEEKQLWQALLEQPCEWVDGLLPLRTNLAPRT", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTMETQMSQNVCPRNLWLLQPLTVLLLLASADSQAAAPPKAVLKLEPPWINVLQEDSVTLTCQGARSPESDSIQWFHNGNLIPTHTQPSYRFKANNNDSGEYTCQTGQTSLSDPVHLTVLSEWLVLQTPHLEFQEGETIMLRCHSWKDKPLVKVTFFQNGKSQKFSHLDPTFSIPQANHSHSGDYHCTGNIGYTLFSSKPVTITVQVPSMGSSSPMGIIVAVVIATAVAAIVAAVVALIYCRKKRISANSTDPVKAAQFEPPGRQMIAIRKRQLEETNNDYETADGGYMTLNPRAPTDDDKNIYLTLPPNDHVNSNN", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MMVKVTKLVASRPIVVFCVLAFLVVVFECIWISNWRTTTENLVKEVASFTEDLRTSLVSEIENIGKFTYAKTNLSTIGLARVIDSYITNNDTGFTEIQTQIAPLLFVAYSTILQVSQVSYISRDGLMFSYIAESNTSVAVFANSSSNSSRGDYTWYTQTVDQLTGRLNGNSTKSQSLDVTHTDWFQAAQSNNYTTAFVGTSLGGEDNETLIQSVVSLYSKKGLVSLGFPVKTLTEVLNSLNLHGEELYMWTKDGTVLVREGSLNDSFFISNGSICFGRESNSLWSQCIPENCSSSGYEVEIKRLRYQAFCSVIEVSGVPLRYTLMFPNKGGATRIKHQAEKAKYQLIVVMIFLGFGWPVWFVWFMMQATRREMHMRATLINQMEATQQAERKSMNKSQAFANASHDIRGALAGMKGLIDICRDGVKPGSDVDTTLNQVNVCAKDLVALLNSVLDMSKIESGKMQLVEEDFNLSKLLEDVIDFYHPVAMKKGVDVVLDPHDGSVFKFSNVRGDSGRLKQILNNLVSNAVKFTVDGHIAVRAWAQRPGSNSSVVLASYPKGVSKFVKSMFCKNKEESSTYETEISNSIRNNANTMEFVFEVDDTGKGIPMEMRKSVFENYVQVRETAQGHQGTGLGLGIVQSLVRLMGGEIRITDKAMGEKGTCFQFNVLLTTLESPPVSDMKVRQEIEAGGDYVSTPNLGLTINTSLGGSMNIRNLSPRFNNCLSSSPKQEGSRVVLLLKNEERRRVTEKYIKNLGIKVTVVEKWEHLSYALERLFGFSPQSSMGRAECSLSCPSSRELPFIGMDGIDSRSQLPKRRSISFSAVVLLVIDAKTGPFFELCDIVKQFRRGLPHGISCKVVWLNESSTRVSERGDISCSRPLHGSRLMEVLKMLPEFGGTVLKEPPTELQRESLLRHSFVAERSPKHKVQEEGPSSMFNKKLGKRIMASTDSESETRVKSVRTGRKPIGNPEDEQETSKPSDDEFLRGKRVLVVDDNFISRKVATGKLKKMGVSEVEQCDSGKEALRLVTEGLTQREEQGSVDKLPFDYIFMDCQMPEMDGYEATREIRKVEKSYGVRTPIIAVSGHDPGSEEARETIQAGMDAFLDKSLNQLANVIREIESKRH", - "output": "membrane" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKSGQAEIMETSKGIQKSGLMSRRIAILEFILRIVAFFNTIGSAILMGTTHETLPFFTQFIRFQAEYNDLPALTFFVVANAVVSGYLILSLTLAFVHIVKRKTQNTRILLIILDVAMLGLLTSGASSAAAIVYLAHNGNNKTNWFAICQQFNSFCERISGSLIGSFIAIVLLILLILLSAIALSRRH", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRTNTTATVLLAAAVALLLATAARGDGGDGGCGKEDAAAGRDRARARGLKIAAFFSILVCGALGCGLPSLGRHVPALRPDGDVFFLVKAFAAGVILATGFIHILPDAFDNLTDDCLPAGGPWKEFPFAGFGAMVGAIGTLVVDTLATGYFTRALSKKDAATAAAVADEEKQSAAATQQHNHHHNHHVVGDGGGGGEEHEGQVHVHTHATHGHAHGSSALVAAVGEDDKETTLRHRVISQVLELGIVVHSVIIGISLGASQNPETIKPLVVALSFHQMFEGMGLGGCIVQAKFKVRSIVTMVLFFCLTTPVGIAVGVGISSVYNESSPTALVVEGILNSVAAGILIYMALVDLLAEDFMNPRVQSKGKLQLGINLAMLAGAGLMSMLAKWA", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSPWSWFLLQTLCLLPTGAASRRGAPGTANCELKPQQSELNSFLWTIKRDPPSYFFGTIHVPYTRVWDFIPDNSKEAFLQSSIVYFELDLTDPYTISALTSCQMLPQGENLQDVLPRDIYCRLKRHLEYVKLMMPLWMTPDQRGKGLYADYLFNAIAGNWERKRPVWVMLMVNSLTEVDIKSRGVPVLDLFLAQEAERLRKQTGAVEKVEEQCHPLNGLNFSQVIFALNQTLLQQESLRAGSLQIPYTTEDLIKHYNCGDLSSVILSHDSSQVPNFINATLPPQERITAQEIDSYLRRELIYKRNERIGKRVKALLEEFPDKGFFFAFGAGHFMGNNTVLDVLRREGYEVEHAPAGRPIHKGKSKKTSTRPTLSTIFAPKVPTLEVPAPEAVSSGHSTLPPLVSRPGSADTPSEAEQRFRKKRRRSQRRPRLRQFSDLWVRLEESDIVPQLQVPVLDRHISTELRLPRRGHSHHSQMVASSACLSLWTPVFWVLVLAFQTETPLL", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTTPTTAPSGCRSDLDSRYHRLCDLAEGWGIALETLAAVGAVATVACMFALVFLICKVQDSNKRKMLPAQFLFLLGVLGVFGLTFAFIIKLDGATGPTRFFLFGVLFAICFSCLLAHAFNLIKLVRGRKPLSWLVILSLAVGFSLVQDVIAIEYLVLTMNRTNVNVFSELPAPRRNEDFVMLLIYVLVLMVLTFFTSFLVFCGSFSGWKRHGFHICFTSFLSIAIWVAWIVLLLIPDIDRKWDDTILSTALVANGWVFLAFYILPEFRQLPRQRSPTDYPVEDAFCKPQLMKQSYGVENRAYSQEEITQGLEMGDTLYAPYSTHFQLQNHQKDFSIPRAQAPASPYNDYEGRKGDS", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGVRAAPSCAAAPAAAGAEQSRRPGLWPPSPPPPLLLLLLLSLGLLHAGDCQQPTQCRIQKCTTDFVALTAHLNSAADGFDSEFCKALRAYAGCTQRTSKACRGNLVYHSAVLGISDLMSQRNCSKDGPTSSTNPEVTHDPCNYHSHGGVREHGGGDQRPPNYLFCGLFGDPHLRTFKDHFQTCKVEGAWPLIDNNYLSVQVTNVPVVPGSSATATNKVTIIFKAQHECTDQKVYQAVTDDLPAAFVDGTTSGGDGDVKSLHIVEKESGRYVEMHARYIGTTVFVRQLGRYLTLAIRMPEDLAMSYEESQDLQLCVNGCPMSECIDDGQGQVSAILGHSLPHTTSVQAWPGYTLETASTQCHEKMPVKDIYFQSCVFDLLTTGDANFTAAAHSALEDVEALHPRKERWHIFPSSCGGCRDLPVGLGLTCLILIMFL", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLKAVLKKSREGVKGSKKEAGGDFGSETPTLSSSGDSPVNSLSTTEDTYRVSLAKGVSMSLPSSPLLPRQSHLTQSRANKKSPGPVRKPKYVESPRVPGDPVMIPFREGSKPAEPIETEAKVDNEPSCSPAAQELLTRLGFLLGEGIPSATHITIEDKNEAMCTALSQGISPCSTLTSSTASPSTDSPCSTLNSCVSKTAANKSPCETISSPSSTLESKDSGIIATITSSSENDDRSGSSLEWNRDGSLRLGVQKGVLHDRRLDNCSPVAEEETTGSAESVLPKAESSAGDGPVPYSQSSGSLIMPRPNSVAATSSTKLEDLSYLDGQRNAPLRTSIRLPWHSTAGARFAPYKPQEILLKPLLFEVPSITTDSVFVGRDWLFQQIEENLRNTELAENRGAVVVGNVGFGKTAIISKLVALSCHGSRMRQVASSSPSSSLKTSDPTHDLPGTPLLSPSSSTSALSAARTPPGPGTVDSQRPREDAVKYLASRVVAYHYCQADNTYTCLVPEFVHSIATLLCRSHQLAAYRDLLIREPQLQSMLNLRSCVQDPVAAFKRGILEPLTNLRNEQKIPEEEYIILIDGLNEAEFHKPDHGDTLSSFITKIIPKFPPWLKLIVTVRADFQEIISTLPFVKLSLDDFPGNQDIHSDLHAYVQHRVHSSQDILSNISLNGKADAALIGKVSSRLVLRNLGSYLYLKLTLDLFQRGHLVIKSASYKVVPVSLSELYLLQCNMKFMTQSAFDRALPILNVALASLHPMTDEQIFQAINAGHIQGEQGWEDFQQKMEALSCFLIKKRDKTRMFCHPSFREWLVWRADGESTAFLCEPRNGHALLAFMFSRQESKLNRQQTVELGHHILKAHIFKGLSKKTGVSSSHPQALWIGYSTEGLSAALASLRNLYTPNVKVSRLLILGGANVNYRTEVLNNAPILCVQSHLGHEEVVTLLLEFGACLDGMSENGMNALCYAAAAGHMKLVCLLTKKGARVDHLDKKGQCALVHSALRGHSDILQYLLNCEWSAGPPQPGTLRKSQALQQALTAAASMGHSAVVQSLLGMAEEHEIEVNGTDTLWGETALTAAAGRGKLEICELLLERGAAVSRANRRGVPPLFCAARQGHWQVVQLLLDRGCDVNPNDKQGRTPLMVAACEGHLSTVEFLLSKGAALSSLDKEGLSALSWACLKGHRAVVQYLVEEGAEIDQTDKNGRTPLDLAAFYGDAETVLYLVEKGAVIEHVDHSGMRPLDRAIGCRNTAVVVTLLRKGAKLGNAAWAMATFKPDILIILLQKLMEEGNVMYKKGKMKEAAQRYQYALRKFPREGPGEDMRPFNELRVSLYLNLSRCRRKTNDFGLAEEFASKALELKPKSYEAFYARARAKRNSRQFLAALADLQEAVKLCPTNQEIKRLLARVEEECKQLQRNQQQKQQAPLPAPPNDSDNDEEAPASSLKDHFPIEEAEEEDTSSQEESISPTPRSQPPPSVPSPYIRNLQEGLQSKGRPASPQSWAGISKSLRETVAQPGLVMQPTKQAQIVKTNQHLGSGQSSMRNSNTKVQVSSQNPPPSPMPGRVSAAPAVSRNQHLEGTGPFSTGTGCGHFGDRLGPSQSLQLQRGESGTAYPLPSKVKAAERLLAHASVAVDMALPSQGGPVSCSDVRHPASLSSSGSSGSPSSSVKMSSSTSSLTSSSSVSDGFKAQGPDCRIRDRGTTQVQGGTAEHRPRNTPFMGIMDKIARFQQQVNPPSRSWHCPVTEGLLTNTATAAGLQTNSEKPALKPGGYCSQAKPCSVPPLGMGVHNGAQVKELEENKCQVPALCQDNRKTKGVPHLYPEGVSKQPLHVSTEAHRSHLTSAKPKRSFIESNV", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MITWHDLYTVLTAVVPLYVAMILAYGSVQWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFVAADTLQKIIMLVLLALWANLTKNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGTYAGSLMVQVVVLQCIIWYTLLLFLFEYRGAKLLIMEQFPETGASIVSFKVESDVVSLDGHDFLETDAEIGNDGKLHVTVRKSNASRRSLMMTPRPSNLTGAEIYSLSSTPRGSNFNHSDFYSVMGFPGGRLSNFGPADLYSVQSSRGPTPRPSNFEENNAVKYGFYNNTNSSVPAAGSYPAPNPEFSTGTGVSTKPNKIPKENQQQLQEKDSKASHDAKELHMFVWSSSASPVSDVFGGGAGDNVATEQSEQGAKEIRMVVSDQPRKSNARGGGDDIGGLDSGEGEREIEKATAGLNKMGSNSTAELEAAGGDGGGNNGTHMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWALVAYRWHVAMPKILQQSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVATFAMAVRFITGPAIMAVAGIAIGLHGDLLRIAIVQAALPQGIVPFVFAKEYNVHPTILSTGVIFGMLIALPITLVYYILLGL", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAQHHLWILLLCLQTWPEAAGKDSEIFTVNGILGESVTFPVNIQEPRQVKIIAWTSKTSVAYVTPGDSETAPVVTVTHRNYYERIHALGPNYNLVISDLRMEDAGDYKADINTQADPYTTTKRYNLQIYRRLGKPKITQSLMASVNSTCNVTLTCSVEKEEKNVTYNWSPLGEEGNVLQIFQTPEDQELTYTCTAQNPVSNNSDSISARQLCADIAMGFRTHHTGLLSVLAMFFLLVLILSSVFLFRLFKRRQGRIFPEGSCLNTFTKNPYAASKKTIYTYIMASRNTQPAESRIYDEILQSKVLPSKEEPVNTVYSEVQFADKMGKASTQDSKPPGTSSYEIVI", - "output": "membrane" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAGVSYAAPWWVSLLHRLPHFDLSWEATSSQFRPEDTDYQQALLLLGAAALACLALDLLFLLFYSFWLCCRRRKSEEHLDADCCCTAWCVIIATLVCSAGIAVGFYGNGETSDGIHRATYSLRHANRTVAGVQDRVWDTAVGLNHTAEPSLQTLERQLAGRPEPLRAVQRLQGLLETLLGYTAAIPFWRNTAVSLEVLAEQVDLYDWYRWLGYLGLLLLDVIICLLVLVGLIRSSKGILVGVCLLGVLALVISWGALGLELAVSVGSSDFCVDPDAYVTKMVEEYSVLSGDILQYYLACSPRAANPFQQKLSGSHKALVEMQDVVAELLRTVPWEQPATKDPLLRVQEVLNGTEVNLQHLTALVDCRSLHLDYVQALTGFCYDGVEGLIYLALFSFVTALMFSSIVCSVPHTWQQKRGPDEDGEEEAAPGPRQAHDSLYRVHMPSLYSCGSSYGSETSIPAAAHTVSNAPVTEYMSQNANFQNPRCENTPLIGRESPPPSYTSSMRAKYLATSQPRPDSSGSH", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRGVFIVIVTCLVFLPDPLRAGVASIGSITPGFGGSQMNYINNDGIFLESNNSAFGFGFVTTQDSVTLFTLSIIHKSSTKLIWSANRASPVSNSDKFVFDDNGNVVMEGTEVWRLDNSGKNASRIELRDSGNLVVVSVDGTSIWESFDHPTDTLITNQAFKEGMKLTSSPSSSNMTYALEIKSGDMVLSVNSLTPQVYWSMANARERIINKDGGVVTSSSLLGNSWRFFDQKQVLLWQFVFSDNKDDNTTWIAVLGNNGVISFSNLGSGASAADSSTKIPSDLCGTPEPCGPYYVCSGSKVCGCVSGLSRARSDCKTGITSPCKKTKDNATLPLQLVSAGDGVDYFALGYAPPFSKKTDLDSCKEFCHNNCSCLGLFFQNSSGNCFLFDYIGSFKTSGNGGSGFVSYIKIASTGSGGGDNGEDDGKHFPYVVIIVVVTVFIIAVLIFVAFRIHKRKKMILEAPQESSEEDNFLENLSGMPIRFAYKDLQSATNNFSVKLGQGGFGSVYEGTLPDGSRLAVKKLEGIGQGKKEFRAEVSIIGSIHHLHLVRLRGFCAEGAHRLLAYEFLSKGSLERWIFRKKDGDVLLDWDTRFNIALGTAKGLAYLHEDCDARIVHCDIKPENILLDDNFNAKVSDFGLAKLMTREQSHVFTTMRGTRGYLAPEWITNYAISEKSDVYSYGMVLLELIGGRKNYDPSETSEKCHFPSFAFKKMEEGKLMDIVDGKMKNVDVTDERVQRAMKTALWCIQEDMQTRPSMSKVVQMLEGVFPVVQPPSSSTMGSRLYSSFFKSISEDGGATTSSGPSDCNSENYLSAVRLSGPR", - "output": "membrane" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSLRHRTVPPQTGRPLAAEAVGIEEEPYNIIPVNNLLADHPSLRFPEVRAAAAALKTVGDLRRPPYVQWRSHYDLLDWLALFFGFQKDNVRNQREHMVLHLANAQMRLSPPPDNIDSLDSAVVRRFRRKLLANYSSWCSYLGKKSNIWISDRNPDSRRELLYVGLYLLIWGEAANLRFMPECICYIFHNMASELNKILEDCLDENTGQPYLPSLSGENAFLTGVVKPIYDTIQAEIDESKNGTVAHCKWRNYDDINEYFWTDRCFSKLKWPLDLGSNFFKSRGKSVGKTGFVERRTFFYLYRSFDRLWVMLALFLQAAIIVAWEEKPDTSSVTRQLWNALKARDVQVRLLTVFLTWSGMRLLQAVLDAASQYPLVSRETKRHFFRMLMKVIAAAVWIVAFTVLYTNIWKQKRQDRQWSNAATTKIYQFLYAVGAFLVPEILALALFIIPWMRNFLEETNWKIFFALTWWFQGKSFVGRGLREGLVDNIKYSTFWIFVLATKFTFSYFLQVKPMIKPSKLLWNLKDVDYEWHQFYGDSNRFSVALLWLPVVLIYLMDIQIWYAIYSSIVGAVVGLFDHLGEIRDMGQLRLRFQFFASAIQFNLMPEEQLLNARGFGNKFKDGIHRLKLRYGFGRPFKKLESNQVEANKFALIWNEIILAFREEDIVSDREVELLELPKNSWDVTVIRWPCFLLCNELLLALSQARELIDAPDKWLWHKICKNEYRRCAVVEAYDSIKHLLLSIIKVDTEEHSIITVFFQIINQSIQSEQFTKTFRVDLLPKIYETLQKLVGLVNDEETDSGRVVNVLQSLYEIATRQFFIEKKTTEQLSNEGLTPRDPASKLLFQNAIRLPDASNEDFYRQVRRLHTILTSRDSMHSVPVNLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYSEEVVYSKEQLRNETEDGISTLYYLQTIYADEWKNFKERMHREGIKTDSELWTTKLRDLRLWASYRGQTLARTVRGMMYYYRALKMLAFLDSASEMDIREGAQELGSVRNLQGELGGQSDGFVSENDRSSLSRASSSVSTLYKGHEYGTALMKFTYVVACQIYGSQKAKKEPQAEEILYLMKQNEALRIAYVDEVPAGRGETDYYSVLVKYDHQLEKEVEIFRVKLPGPVKLGEGKPENQNHAMIFTRGDAVQTIDMNQDSYFEEALKMRNLLQEYNHYHGIRKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLSRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVILTVYAFLWGRVYLALSGVEKSALADSTDTNAALGVILNQQFIIQLGLFTALPMIVEWSLEEGFLLAIWNFIRMQIQLSAVFYTFSMGTRAHYFGRTILHGGAKYRATGRGFVVEHKGFTENYRLYARSHFVKAIELGLILIVYASHSPIAKDSLIYIAMTITSWFLVISWIMAPFVFNPSGFDWLKTVYDFEDFMNWIWYQGRISTKSEQSWEKWWYEEQDHLRNTGKAGLFVEIILVLRFFFFQYGIVYQLKIANGSTSLFVYLFSWIYIFAIFVLFLVIQYARDKYSAKAHIRYRLVQFLLIVLAILVIVALLEFTHFSFIDIFTSLLAFIPTGWGILLIAQTQRKWLKNYTIFWNAVVSVARMYDILFGILIMVPVAFLSWMPGFQSMQTRILFNEAFSRGLRIMQIVTGKKSKGDV", - "output": "membrane" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLPRLLLLICAPLCEPAELFLIASPSHPTEGSPVTLTCKMPFLQSSDAQFQFCFFRDTRALGPGWSSSPKLQIAAMWKEDTGSYWCEAQTMASKVLRSRRSQINVHRVPVADVSLETQPPGGQVMEGDRLVLICSVAMGTGDITFLWYKGAVGLNLQSKTQRSLTAEYEIPSVRESDAEQYYCVAENGYGPSPSGLVSITVRIPVSRPILMLRAPRAQAAVEDVLELHCEALRGSPPILYWFYHEDITLGSRSAPSGGGASFNLSLTEEHSGNYSCEANNGLGAQRSEAVTLNFTVPTGARSNHLTSGVIEGLLSTLGPATVALLFCYGLKRKIGRRSARDPLRSLPSPLPQEFTYLNSPTPGQLQPIYENVNVVSGDEVYSLAYYNQPEQESVAAETLGTHMEDKVSLDIYSRLRKANITDVDYEDAM", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MFRRRTTAPEMEQADPTAVAAAASIGKLLMKKGNQSDNEQRPTYRSASMTNLRKPSAPKRMSSISSISSESRRSDGKGRPGKINSLTQRSSMGKGDSLNSPLTKEPQHKTRSHNRTSSLPNQRGQQSRNSSGLQRQKSKTHQRISYDEAQRTFKDFGGPQARGILTGQHRTENPSGSIPLRTTRKYIPGPNGLVAIEVPVEKPSNANTSKLLRRSNSAHSALNARNGSLLRKKVSQESLHSQPKKTSSLGNTSSTQAKKGQKAVQERNLAKKHPINSNVPLIETQVREETDQELKLDNSNSSESETVVNSENNLEKPSSLNIEKDDLSKLIHENIELESFIEEKGKEKPLNSDQEDVFISEKTVKGDVQWPKNNRQASTLEKTFNYDNEEKREGNKPVAEHPLPEAEVDDKSVEQLNNISSSGSYSAQGSVENSKPENGNKNLENDTTSSPTQDLDEKSRSIEEKDTLEGTESSKKVEKPDNCCEKISGANTSSKKGNVGDTKDEFFDTVEESDQKTSKSNSSKNTDHSNQTEPTPSLAQYLRTSNTYLSRKNQSKQAEQEKFHKPEAPMVPVTKVVTPIKSALKKSSGSSNHDSSMYSDNSPANGAYLSLTTAENTRLNAQMTMSDSVSRRASLKRSSIKRPQSVGQFRSIRSNSPSPPEKINNKRHSAIPLGTPEKGKPKRNSVMASLSKNSQQIQEPASVYESNGPNKPKNQINKNIKRGSQIAQNNKPSTKDMNSILYPKEPPPRKSSFEKTRSNESHLGFKKLSLRNGNFEEALSESYNGQASQNSTNVNRTDTAQEFFKYLGHSSRFADSDSEDESQFFNQGPSKYNTETEGNKTSGNKNSNGGNGAFSLFKSKSKQKENNVVSPGVSSPNHTTTDPAITSKKVDKKFSGLSLRAASEAEPAKNSNPSMTNRLRFSSNPENGESRLPQAQEVSVTKEKKGSFGKKLKKIFGRKK", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSDQESVVSFNSQNTSMVDVEGQQPQQYVPSKTNSRANQLKLTKTETVKSLQDLGVTSAAPVPDINAPQTAKNNIFPEEYTMETPSGLVPVATLQSMGRTASALSRTRTKQLNRTATNSSSTGKEEMEEEETEEREDQSGENELDPEIEFVTFVTGDPENPHNWPSWVRWSYTVLLSILVICVAYGSACISGGLGTVEKKYHVGMEAAILSCSLMVIGFSLGPLIWSPVSDLYGRRVAYFVSMGLYVIFNIPCALAPNLGCLLACRFLCGVWSSSGLCLVGGSIADMFPSETRGKAIAFFAFAPYVGPVVGPLVNGFISVSTGRMDLIFWVNMAFAGVMWIISSAIPETYAPVILKRKAARLRKETGNPKIMTEQEAQGVSMSEMMRACLLRPLYFAVTEPVLVATCFYVCLIYSLLYAFFFAFPVIFGELYGYKDNLVGLMFIPIVIGALWALATTFYCENKYLQIVKQRKPTPEDRLLGAKIGAPFAAIALWILGATAYKHIIWVGPASAGLAFGFGMVLIYYSLNNYIIDCYVQYASSALATKVFLRSAGGAAFPLFTIQMYHKLNLHWGSWLLAFISTAMIALPFAFSYWGKGLRHKLSKKDYSIDSVEM", - "output": "membrane" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGFIFSKSMNENMKNQQEFMVMHARLQLERQLIMQNEMRERQMAMQIAWSREFLKYFGTFFGIATISLAAGAIKRKKPAFLIPIVPLSFIFTYQYDLGYGTLLQRMKSEAEDILETEKTKLELPKGLITFESLEKARREQSKFFSDK", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVYPGPPGLIAGLLLAALSLSCVDGAKALGFVGHGYNLTVSQGHEAKLNCSLQGIEEPEIQWLKDGVPVQSADQMYIPVDEDHWISFLSLKNVERPDAGKYWCEAEHSGRKSVSDAIWIMVEGVPYFTLEPKDLSVTPNSPFNMTCAAVGPPEPLVIIWWVGDSPLGKSESSPSVLQMSGIHERTAFSCEAHNAKGVSSSRTAIVEVKGLPYPPFNVTISKVTGSTATVTWFPGFNSFSLIKSCTIQVQSLHGNREMYSRLISAPPFAVLLDELQPLTNHSVRVQCTNEMGASPFTEWRTFHTKETVPQLLPQNVHMTKTETSLLLDWEEVEPDREGYNILGFKVQWEQENATQGELFVQENQANLTKWNPEKDLTIRICIANAAGCGPWSEFLLAGSKEEAGKQRHPHTRMSWVPMVLGILTALVTVVAMTLIFLRKGRKETRFGNMLGSMLGRGGPVIQFTAARSFNRRGPEMMEATLDSIGISEELKSKLKDVLIQQQQFTLGRTLGKGEFGSVREAQLKMEDDTMQKVAVKMLKAEIFCSSDIEEFLREAAFMKEFDHPNVCKLIGVSLRSRTKGRLPVPMVILPFMKHGDLHTFLLMSRIGEEPITLPVQTLVRFMIDICSGMEYLSSKNFIHRDLATRNCMLNEDMTVCVADFGLSKKIYSGDYYRQGCASKLPVKWLALESLADNVYTVHSDVWAFGVTLWEIATLGQTPYAGVENSEIYSYLIAGNRLKQPLDCLDELYEMMCQCWITEPKRRPSFVDLKQRLEAIWGRLSILSASQDQLYVNLGETCGAAAAVSGLHSAFCSEEDYCAGPSQTCGTSAITSDYRYIVNPGCLREGNEWSSSAQNGEARGLLHEEEEEEEEEMQEEQVVITL", - "output": "membrane" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKALRAVLLILLLSGQPGSGWAQEDGDADPEPENYNYDDDDDEEEEEETNMIPGSRDRAPLQCYFCQVLHSGESCNQTQSCSSSKPFCITLVSHSGTDKGYLTTYSMWCTDTCQPIIKTVGGTQMTQTCCQSTLCNIPPWQNPQVQNPLGGRADSPLESGTRHPQGGKFSHPQVVKAAHPQSDGANLPKSGKANQPQGSGAGYPSGWTKFGNIALLLSFFTCLWASGA", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEGGWPARQSALLCLTVSLLLQGRGDAFTINCSGFDQHGVDPAVFQAVFDRKAFRPFTNYSIPTRVNISFTLSAILGVDAQLQLLTSFLWMDLVWDNPFINWNPKECVGINKLTVLAENLWLPDIFIVESMDVDQTPSGLTAYISSEGRIKYDKPMRVTSICNLDIFYFPFDQQNCTFTFSSFLYTVDSMLLGMDKEVWEITDTSRKVIQTQGEWELLGINKATPKMSMGNNLYDQIMFYVAIRRRPSLYIINLLVPSSFLVAIDALSFYLPAESENRAPFKITLLLGYNVFLLMMNDLLPASGTPLISVYFALCLSLMVVSLLETVFITYLLHVATTQPPPMPRWLHSLLLHCTSPGRCCPTAPQKGNKGLGLTLTHLPGPKEPGELAGKKLGPRETEPDGGSGWTKTQLMELWVQFSHAMDTLLFRLYLLFMASSILTVIVLWNT", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSEPQPDLEPPQHGLYMLFLLVLVFFLMGLVGFMICHVLKKKGYRCRTSRGSEPDDAQLQPPEDDDMNEDTVERIVRCIIQNEANAEALKEMLGDSEGEGTVQLSSVDATSSLQDGAPSHHHTVHLGSAAPCLHCSRSKRPPLVRQGRSKEGKSRPRTGETTVFSVGRFRVTHIEKRYGLHEHRDGSPTDRSWGSGGGQDPGGGQGSGGGQPKAGMPAMERLPPERPQPQVLASPPVQNGGLRDSSLTPRALEGNPRASAEPTLRAGGRGPSPGLPTQEANGQPSKPDTSDHQVSLPQGAGSM", - "output": "membrane" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGSPGMVLGLLVQIWALQEASSLSVQQGPNLLQVRQGSQATLVCQVDQATAWERLRVKWTKDGAILCQPYITNGSLSLGVCGPQGRLSWQAPSHLTLQLDPVSLNHSGAYVCWAAVEIPELEEAEGNITRLFVDPDDPTQNRNRIASFPGFLFVLLGVGSMGVAAIVWGAWFWGRRSCQQRDSGNSPGNAFYSNVLYRPRGAPKKSEDCSGEGKDQRGQSIYSTSFPQPAPRQPHLASRPCPSPRPCPSPRPGHPVSMVRVSPRPSPTQQPRPKGFPKVGEE", - "output": "membrane" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNRKVGPVLEYSRRFSRVLCALRDEIRDPLQYGYQRVNTAEGSLSTSTTATSLDTIVLDTNAEDLASVPPRTLQHHQPQRTFSPILETDDTNPFLEPVEKAKSKSSLKSSRVSFDQEDDRFDEDENSFRKQREHFQKHKSHSTSEHKSQLIKELRHLLATDNRRQFQGKKHVSLDVKSAKVLEQLLKASSSSDDFEGQRKEFQERKHKSLDARHISFKFDKEPSPSSSDEDFEPSTSLLRIDADITKPVIIDLKDLDSSDEEDYISSRKHFQQSKSMSTDSRKSIRFLEMEMGTKEENMRTAVPFVRQITEEGKPKLEVYRPTTNPIYIWTQVLAALSVSLGSMVVGFSSAYTSPALVSMKDRNITSFEVTDQSGSWVGGIMPLAGLAGGILGGPMIEYLGRKNTILATATPFIISWLLIGCATHVAMVLVGRALSGLCVGIASLSLPVYLGETVQPEVRGTLGLLPTAFGNIGILLCFVAGKYLDWSGLAFLGAALPIPFLLLMFLIPETPRWYVSRNREDRARKALQWLRGRKADVEPELKGISKSHQDAERHASSSAMLDLLNKANLKPLLISLGLMFFQQLSGINAVIFYTVQIFQSAGSTIDEKLCTIIVGVVNFIATFIATVLIDRLGRKILLYISDVAMIITLMTLGTFFYMKNNGDDVSEIGWLPLAAFVVFVVGFSLGFGPIPWLMMGEILPGKIRGSAASVATAFNWSCTFVVTKTFADITASIGNHGAFWMFGSICIVGLLFVIVYVPETQGKSLEDIERKMMGRVRRMSSVANIKPLSFNM", - "output": "membrane" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAMRLLKTHLLFLHLYLFFSPCFAYTDMEVLLNLKSSMIGPKGHGLHDWIHSSSPDAHCSFSGVSCDDDARVISLNVSFTPLFGTISPEIGMLTHLVNLTLAANNFTGELPLEMKSLTSLKVLNISNNGNLTGTFPGEILKAMVDLEVLDTYNNNFNGKLPPEMSELKKLKYLSFGGNFFSGEIPESYGDIQSLEYLGLNGAGLSGKSPAFLSRLKNLREMYIGYYNSYTGGVPPEFGGLTKLEILDMASCTLTGEIPTSLSNLKHLHTLFLHINNLTGHIPPELSGLVSLKSLDLSINQLTGEIPQSFINLGNITLINLFRNNLYGQIPEAIGELPKLEVFEVWENNFTLQLPANLGRNGNLIKLDVSDNHLTGLIPKDLCRGEKLEMLILSNNFFFGPIPEELGKCKSLTKIRIVKNLLNGTVPAGLFNLPLVTIIELTDNFFSGELPVTMSGDVLDQIYLSNNWFSGEIPPAIGNFPNLQTLFLDRNRFRGNIPREIFELKHLSRINTSANNITGGIPDSISRCSTLISVDLSRNRINGEIPKGINNVKNLGTLNISGNQLTGSIPTGIGNMTSLTTLDLSFNDLSGRVPLGGQFLVFNETSFAGNTYLCLPHRVSCPTRPGQTSDHNHTALFSPSRIVITVIAAITGLILISVAIRQMNKKKNQKSLAWKLTAFQKLDFKSEDVLECLKEENIIGKGGAGIVYRGSMPNNVDVAIKRLVGRGTGRSDHGFTAEIQTLGRIRHRHIVRLLGYVANKDTNLLLYEYMPNGSLGELLHGSKGGHLQWETRHRVAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSDFEAHVADFGLAKFLVDGAASECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIAGKKPVGEFGEGVDIVRWVRNTEEEITQPSDAAIVVAIVDPRLTGYPLTSVIHVFKIAMMCVEEEAAARPTMREVVHMLTNPPKSVANLIAF", - "output": "membrane" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAEGRGSRERPDVETQKTELGALMGTTLQRGAQWYLIDSRWFKQWKKYVGFDSWDMYNVGEHNLFPGPIDNSGLFSDPESQTLKEHLIDELDYVLVPAEAWNKLLNWYGCVEGQQPIVRKVVEHGLFVKHCKVEVYLLELKLCENSDPTNVLSCHFSKADTIATIEKEMRKLFNIPAERETRLWNKYMSNTYEQLSKLDNTIQDAGLYQGQVLVIEPQNEDGTWPRQSLQSKSSTAPSRNFTTSSKPSASPYCSVSASLIANGDSTNSSGMHSSGVSRGGSGFSASYNCQEPPSPHIQPGLCGLGNLGNTCFMNSALQCLSNTAPLTEYFLKDEYEAEINRDNPLGMKGEIAEAYAELIKQMWSGRDTHVAPRMFKTQVGRFAPQFSGYQQQDSQELLAFILDGLHEDLNRVKKKPYLEPKDANGRPDAVVAKEAWENHRLRNDSVIVDTFHGLFKSTLVCPECAKVSVTFDPFCYLTLPLPLKKDRIMEVFLVPADPQCRPIQYRVTVPLMGAISDLCEALSKLSGIAAENMVVTDVYNHRFHKIFQMDEGLSHITPRDDIFVYEVCNTSMDGSECITLPVYFREKKSRPSSASSGAVLYGQPLLVSVPKHKLTLESLYQAVCDRISRYIKQPLPDEFLSSPLEPGACNGSRSSYEGDEEEEMDHQEEGKEQLSEVEGSGEDDQGDDHSESAQKVKGQPRHKRLFTFSLVNSCGTADINSLATDGKLLKLNSRSTLAIDWDSETRSLYFDEQESEACEKHLSMSQPQKKKKAAVALRECIELFTTMETLGEHDPWYCPTCKKHQQATKKFDLWSLPKILVVHLKRFSYNRYWRDKLDTVVEFPVRALNMSEFVCDRSARPYVYDLIAVSNHYGAMGVGHYTAYAKNRLNGKWYYFDDSSVSLASEDQIVTKAAYVLFYQRRDDECSSTSSLGSFPGSDGGVKLSSSHQGMGDEEAYNMDTN", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSTTLLSPFYDIDFLCKTEKSLANLNLNNMLDKKAVGTPVAAAPSSSFTPGFLRRHSASNLHALAHPVPSPGSCSPKFPGAPNGGGSSCGPAGGGGLASYGQLKEPSGGSGTALVTKESKFRDRSFSENGERSQHLLHLQQQQKGGSGSQINSTRYKTELCRPFEESGTCKYGEKCQFAHGFHELRSLTRHPKYKTELCRTFHTIGFCPYGPRCHFIHNADERRPAPSGGGGASGDLRAFGARDALHLGFAREPRPKLHHSLSFSGFPSGHHQPPGGLESPLLLDSPTSRTPPPPSSSASSCSSSASSCSSASAASTPSGAPTCCATAAAAALLYGPGGAEDLLSPGAPCASCSSSGANNAFAFGPELSSLITPLAIQTHNFAAAAAAAYYRNQQQGLTGPAPPPAQPPAAPAPPSPPFGFQLPRRLSESPVFDAPPSPPDSLSDRDSYLSGSLSSGSLSGSESPSLDPGRRLPIFSRLSISDD", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNGAPSPEDGVFPSPPALPPPPPPSWQEFCESHARAAALDLARRFRLYLASHPQYAEPGAEAAFSGRFAELFLQHFEAEVARASGSLSPPVLAPLSPGVEIPPSHDLSLESCRVGGPLAVLGPSRSSEDLAGPLPSSVSSSTTSSKPKLKKRFSLRSVGRSVRGSVRGILQWRGAVESPSQAGPLETTSGPPVLGGNSNSNSSGGAGTVGRALANDGTSPGERWTHRFERLRLSRGGGTLRDGAGVIQREELLSFMGAEEAAPDPAGVGRGGGAAGLTSGGGGQPQWQKCRLLLRSEGEGGGGSRLEFFVPPKASRPRLSIPCSTITDVRTATALEMPDRENTFVVKVEGPSEYILETTDALHVKAWVSDIQECLSPGPCPAISPRPMTLPLAPGTSFLTKDNTESLELPCLNHSESLPSQDLLLGPSESNDRLSQGAYGGLSDRPSASFSPSSASIAASHFDSMELLPPELPPRIPIEEGPPAGTVHPLSTPYPPLDTPEAATGSFLFQGEAEGGEGDQPLSGYPWFHGMLSRLKAAQLVLEGGTSSHGVFLVRQSETRRGEYVLTFNFQGKAKHLRLSLNEEGQCRVQHLWFQSIFDMLEHFRVHPIPLESGGSSDVVLVSYVPSQRQQERSTSRDPTQPSEPPPWTDPPHPGAEEASGAPEVAAATAAAAKERQEKEKAGGGGVQEELVPMAELVPMAELEEAIAPGTEAQGGAGSSGDLEVSLMVQLQQLPLGGNGEEGGHPRAINNQYSFV", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEYPAPATVQAADGGAAGPYSSSELLEGQEPDGVRFDRERARRLWEAVSGAQPVGREEVEHMIQKNQCLFTNTQCKVCCALLISESQKLAHYQSKKHANKVKRYLAIHGMETLKGETKKLDSDQKSSRSKDKNQCCPICNMTFSSPVVAQSHYLGKTHAKNLKLKQQSTKVEALHQNREMIDPDKFCSLCHATFNDPVMAQQHYVGKKHRKQETKLKLMARYGRLADPAVTDFPAGKGYPCKTCKIVLNSIEQYQAHVSGFKHKNQSPKTVASSLGQIPMQRQPIQKDSTTLED", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGTPKPRILPWLVSQLDLGQLEGVAWVNKSRTRFRIPWKHGLRQDAQQEDFGIFQAWAEATGAYVPGRDKPDLPTWKRNFRSALNRKEGLRLAEDRSKDPHDPHKIYEFVNSGVGDFSQPDTSPDTNGGGSTSDTQEDILDELLGNMVLAPLPDPGPPSLAVAPEPCPQPLRSPSLDNPTPFPNLGPSENPLKRLLVPGEEWEFEVTAFYRGRQVFQQTISCPEGLRLVGSEVGDRTLPGWPVTLPDPGMSLTDRGVMSYVRHVLSCLGGGLALWRAGQWLWAQRLGHCHTYWAVSEELLPNSGHGPDGEVPKDKEGGVFDLGPFIVDLITFTEGSGRSPRYALWFCVGESWPQDQPWTKRLVMVKVVPTCLRALVEMARVGGASSLENTVDLHISNSHPLSLTSDQYKAYLQDLVEGMDFQGPGES", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDEILAKAGSQAVTFAIKSGISIASTYALKTITNFVVQIPKDDARRIDQLKFKLESRMAIVSSAIDLIKLVAARGNTNLQITLRLTKDLKEEIDRFDEKINEMTQKVEGSRSAKTQNEAIKAVENYIKDLLLRIEEITPFINLSLTTSGANLNSALPYQLSPGLLLKASDFVSENNRKYEKAMKSNEKGTGDKEILKVQVGPTFEVTLFSIFYNLTSENNGQSGIVWKEDMKRAKARIYRLNSTGRKYDYFMKIEQDFNDGRYHEDDDKEDTPQELAIDLNHIKKLFFSVSGKLLRLEEQDSPVLVLKIDRSDDKENESSEGDKGLLDDITWYAVSGYEAIEEDEEEDEEEDEEEGKDGEERKEEEEEENKLEDKDSSITLLEYIIRLTSLQSNDQKSILEVSDERLSIYLNDENTNSRKDRISNSTIEETEKKLKNLKL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNHSAPGIPPPPRRVRLKPWLVAQVNSCQYPGLQWVNGEKKLFYIPWRHATRHGPSQDGDNTIFKAWAKETGKYTEGVDEADPAKWKANLRCALNKSRDFQLFYDGPRDMPPQPYKIYEVCSNGPAPTESQPTDDYVLGEEEEEEEEELQRMLPGLSITEPALPGPPNAPYSLPKEDTKWPPALQPPVGLGPPVPDPNLLAPPSGNPAGFRQLLPEVLEPGPLASSQPPTEPLLPDLLISPHMLPLTDLEIKFQYRGRAPRTLTISNPQGCRLFYSQLEATQEQVELFGPVTLEQVRFPSPEDIPSDKQRFYTNQLLDVLDRGLILQLQGQDLYAIRLCQCKVFWSGPCALAHGSCPNPIQREVKTKLFSLEQFLNELILFQKGQTNTPPPFEIFFCFGEEWPDVKPREKKLITVQVVPVAARLLLEMFSGELSWSADSIRLQISNPDLKDHMVEQFKELHHLWQSQQQLQPMVQAPPVAGLDASQGPWPMHPVGMQ", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSDKNSRIAIVSADKCKPKKCRQECKRSCPVVKTGKLCIEVTPTSKIAFISEILCIGCGICVKKCPFDAIQIINLPTNLEAHVTHRYSANSFKLHRLPTPRPGQVLGLVGTNGIGKSTALKILAGKQKPNLGRFDDPPEWQEIIKYFRGSELQNYFTKMLEDDIKAIIKPQYVDNIPRAIKGPVQKVGELLKLRMEKSPEDVKRYIKILQLENVLKRDIEKLSGGELQRFAIGMSCVQEADVYMFDEPSSYLDVKQRLNAAQIIRSLLAPTKYVICVEHDLSVLDYLSDFVCIIYGVPSVYGVVTLPASVREGINIFLDGHIPAENLRFRTEALQFRIADATEDLQNDSASRAFSYPSLKKTQGDFVLNVEEGEFSDSEILVMMGENGTGKTTLIKLLAGALKPDEGQDIPKLNVSMKPQKIAPKFPGTVRQLFFKKIRGQFLNPQFQTDVVKPLRIDDIIDQEVQHLSGGELQRVAIVLALGIPADIYLIDEPSAYLDSEQRIICSKVIRRFILHNKKTAFIVEHDFIMATYLADKVIVFEGIPSKNAHARAPESLLTGCNRFLKNLNVTFRRDPNSFRPRINKLDSQMDKEQKSSGNYFFLDNTGI", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGGPTRRHQEEGSAECLGGPSTRAAPGPGLRDFHFTTAGPSKADRLGDAAQIHRERMRPVQCGDGSGERVFLQSPGSIGTLYIRLDLNSQRSTCCCLLNAGTKGMC", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSHVVVKNDPELDQQLANLDLNSEKQSGGASTASKGRYIPPHLRNREASKGFHDKDSSGWSCSKDKDAYSSFGSRDSRGKPGYFSERGSGSRGRFDDRGRSDYDGIGNRERPGFGRFERSGHSRWCDKSVEDDWSKPLPPSERLEQELFSGGNTGINFEKYDDIPVEATGSNCPPHIENFSDIDMGEIIMGNIELTRYTRPTPVQKHAIPIIKGKRDLMACAQTGSGKTAAFLLPILSQIYTDGPGEALKAVKENGRYGRRKQYPISLVLAPTRELAVQIYEEARKFSYRSRVRPCVVYGGADIGQQIRDLERGCHLLVATPGRLVDMMERGKIGLDFCKYLVLDEADRMLDMGFEPQIRRIVEQDTMPPKGVRHTMMFSATFPKEIQMLARDFLDEYIFLAVGRVGSTSENITQKVVWVEDLDKRSFLLDILGATGSDSLTLVFVETKKGADSLEDFLYHEGYACTSIHGDRSQRDREEALHQFRSGKSPILVATAVAARGLDISNVRHVINFDLPSDIEEYVHRIGRTGRVGNLGLATSFFNEKNMNITKDLLDLLVEAKQEVPSWLENMAYEHHYKGGSRGRSKSNRFSGGFGARDYRQSSGSSSSGFGASRGSSSRSGGGGYGNSRGFGGGGYGGFYNSDGYGGNYNSQGVDWWGN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAVESRVTQEEIKKEPEKPIDREKTCPLLLRVFTTNNGRHHRMDEFSRGNVPSSELQIYTWMDATLKELTSLVKEVYPEARKKGTHFNFAIVFTDVKRPGYRVKEIGSTMSGRKGTDDSMTLQSQKFQIGDYLDIAITPPNRAPPPSGRMRPY", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MYNDEVRTPQALKNRYITNVNGLKCRARRNSHSNSSNSSAASATPTNGGKENGKYSPQMSGNVCTPPPKRLHKVRNPFEGAMADRLHLPLIASPSLFRSRTPQLSSTQFEWNIDEVSQLKPADVEPHETQFHDSPDPEQESKAQLAISAFFKESLIVPSPVDCPLRKQRIILNCSEDNTPISNKSRRMRDCEVQTELTLPPILPKALEDALRPYFQPHLAGRLSGRSKSSGGPDIFNSSMRRKLFDLHNVIVLGEQDTAEPSRSMVGSSPQGKQTMFAGRLSDSASGESSFGCLSPIRNLCGLPPGTPDNGTCSGKRKLLMHELELPSPIAPSEHLSRRLVHSKVEISVTEQHDTLSERTALKFTPDRSSSPMGGGLEHSDCSINQRVRRLRVNSTRQVVIETGDQPLFEETEGEEEEAESEDDEEADAMQLSTVSFNCSSSNSDTPRGHKRHRSAQRKNLSQSFSANLEEEADQTQGGAGSIQPAEPPSVAMPQQGARIPLYRADSGFNETSSTTFAFSQDLPLDVSMACCSTPSTRS", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAAVILESIFLKRSQQKKKTSPLNFKKRLFLLTVHKLSYYEYDFERGRRGSKKGSIDVEKITCVETVIPEKNPPPERQIPRRGEESSEMEQISIIERFPYPFQVVYDEGPLYVFSPTEELRKRWIHQLKNVIRYNSDLVQKYHPCFWIDGQYLCCSQTAKNAMGCQILENRNGSLKPGSSHRKTKKPLPPTPEEDQILKKPLPPEPTAAPISTTELKKVVALYDYMPMNANDLQLRKGEEYFILEESNLPWWRARDKNGQEGYIPSNYITEAEDSIEMYEWYSKHMTRSQAEQLLKQEGKEGGFIVRDSSKAGKYTVSVFAKSTGEPQGVIRHYVVCSTPQSQYYLAEKHLFSTIPELINYHQHNSAGLISRLKYPVSKQNKNAPSTAGLGYGSWEIDPKDLTFLKELGTGQFGVVKYGKWRGQYDVAIKMIREGSMSEDEFIEEAKVMMNLSHEKLVQLYGVCTKQRPIFIITEYMANGCLLNYLREMRHRFQTQQLLEMCKDVCEAMEYLESKQFLHRDLAARNCLVNDQGVVKVSDFGLSRYVLDDEYTSSVGSKFPVRWSPPEVLMYSKFSSKSDIWAFGVLMWEIYSLGKMPYERFTNSETAEHIAQGLRLYRPHLASERVYTIMYSCWHEKADERPSFKILLSNILDVMDEES", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPSLVVSGIMERNGGFGELGCFGGSAKDRGLLEDERALQLALDQLCLLGLGEPPAPTAGEDGGGGGGGAPAQPAAPPQPAPPPPPAAPPAAPTAAPAAQTPQPPTAPKGASDAKLCALYKEAELRLKGSSNTTECVPVPTSEHVAEIVGRQGCKIKALRAKTNTYIKTPVRGEEPVFMVTGRREDVATARREIISAAEHFSMIRASRNKSGAAFGVAPALPGQVTIRVRVPYRVVGLVVGPKGATIKRIQQQTNTYIITPSRDRDPVFEITGAPGNVERAREEIETHIAVRTGKILEYNNENDFLAGSPDAAIDSRYSDAWRVHQPGCKPLSTFRQNSLGCIGECGVDSGFEAPRLGEQGGDFGYGGYLFPGYGVGKQDVYYGVAETSPPLWAGQENATPTSVLFSSASSSSSSSAKARAGPPGAHRSPATSAGPELAGLPRRPPGEPLQGFSKLGGGGLRSPGGGRDCMVCFESEVTAALVPCGHNLFCMECAVRICERTDPECPVCHITATQAIRIFS", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEMDKRIYLELRNRTPSDVKELVLDNCKSIEGKIEGLTDEFEELEFLSTINVGLTSISNLPKLNKLKKLELSENRISGDLEVLAEKCPNLKHLNLSGNKIKDLSTIEPLKKLENLKSLDLFNCEVTNLNAYRENVFKLLPQVMYLDGYDRDNKEAPDSDVEGYVEDDDEEDEDEEEYDEYAQLVEDEEEEDEEEEGEEEDVSGEEEEDEEGYNDGEVDDEEDEEEAGEEEGSQKRKREPDDEGEEDD", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLRRKRERRNAVKENEMVIDTPLEKRRTPGKPRATREPPISVVITGHSKGSEDDLISFVWRKVKVRLMNISYSPASVTAVVKSQDFSRLNGLNGAAFAGDHLAIRRVDGASNVTQDYRKAKTKRSFRSVSAPSLSALATQAQRNVSKTLPQSTNETIEKLRQFLQTRYQPATKFLDLGNLQQDPLLKQMGILAEASTKSKMFPALMKVASLNFPDVISVSLSDNNLQSVTAVTTLAQTWPKLLNLSLANNRITSLSDLDPWSPKTKLPELQELVLVGNPIVTTFANRAMDYQREMVSRFPKLRLLDGNSINSEIIASQSTVPFPVYQSFFDKVETEQIVNSFLAAFFKGWDENRSALVNQLYSPNATFSISLNASNVRTNFSQKTDTKKWGAYKMKSRNLLYSQSQKESKSRLFNGHEEISNAVKSLPATAHDLSDRSQWVFDGWNLVLPSVGAAIKIVVHGQFEEPQNKRLLRSFDRTLLILPGGSTGILIINDLLVIRSFAGSLGWLPGQSSVRTSNNAMSASASKPSDIVQPRPEQAMLDTRQQIVLKIKAETGLNDYYAHMCCEQNNWDYNSALASFLELKSRNVIPAEAFS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGKGDPKKPRGKMSSYAFFVQTCREEHKKKHPDASVNFSEFSKKCSERWKTMSAKEKGKFEDMAKADKARYEREMKTYIPPKGETKKKFKDPNAPKRPPSAFFLFCSEYRPKIKGEHPGLSIGDVAKKLGEMWNNTAADDKQPYEKKAAKLKEKYEKDIAAYRAKGKPDAAKKGVVKAEKSKKKKEEEDDEEDEEDEEEEEEEEDEDEEEDDDDE", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGKGDPKKPRGKMSSYAFFVQTCREEHKKKHPDASVNFSEFSKKCSERWKTMSAKEKGKFEDMAKADKARYEREMKTYIPPKGETKKKFKDPNAPKRPPSAFFLFCSEYRPKIKGEHPGLSIGDVAKKLGEMWNNTAADDKQPYEKKAAKLKEKYEKDIAAYRAKGKPDAAKKGVVKAEKSKKKKEEEDDEEDEEDEEEEEEEEDEDEEEDDDDE", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MQLEQKSSLHCSKCRNFLQKFSQDMQAWNCRELDSPLPSDITLHNLEPARPDSGMSFSTDFDDDFFNLDLHQQERSASFGGVTQYSQQFLREKCSFSPYFHTSLETVDSGRTSLYGSNEQCGQLGGASSNGSTAMLHTPDGSNSHQTSFPSDFRMSESPDDTVSGKKTTTRRNAWGNMSYAELITTAIMASPEKRLTLAQVYEWMVQNVPYFRDKGDSNSSAGWKNSIRHNLSLHSRFMRIQNEGAGKSSWWVINPDAKPGRNPRRTRERSNTIETTTKAQLEKSRRGAKKRIKERALMGSLHSTLNGNSIAGSIQTISHDLYDDDSMQGAFDNVPSSFRPRTQSNLSIPGSSSRVSPAIGSDIYDDLEFPSWVGESVPAIPSDIVDRTDQMRIDATTHIGGVQIKQESKPIKTEPIAPPPSYHELNSVRGSCAQNPLLRNPIVPSTNFKPMPLPGAYGNYQNGGITPINWLSTSNSSPLPGIQSCGIVAAQHTVASSSALPIDLENLTLPDQPLMDTMDVDALIRHELSQAGGQHIHFDL", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MANDSGGPGGPSPSERDRQYCELCGKMENLLRCSRCRSSFYCCKEHQRQDWKKHKLVCQGSEGALGHGVGPHQHSGPAPPAAVPPPRAGAREPRKAAARRDNASGDAAKGKVKAKPPADPAAAASPCRAAAGGQGSAVAAEAEPGKEEPPARSSLFQEKANLYPPSNTPGDALSPGGGLRPNGQTKPLPALKLALEYIVPCMNKHGICVVDDFLGKETGQQIGDEVRALHDTGKFTDGQLVSQKSDSSKDIRGDKITWIEGKEPGCETIGLLMSSMDDLIRHCNGKLGSYKINGRTKAMVACYPGNGTGYVRHVDNPNGDGRCVTCIYYLNKDWDAKVSGGILRIFPEGKAQFADIEPKFDRLLFFWSDRRNPHEVQPAYATRYAITVWYFDADERARAKVKYLTGEKGVRVELNKPSDSVGKDVF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSDSGSYGQSGGEQQSYSTYGNPGSQGYGQASQSYSGYGQTTDSSYGQNYSGYSSYGQSQSGYSQSYGGYENQKQSSYSQQPYNNQGQQQNMESSGSQGGRAPSYDQPDYGQQDSYDQQSGYDQHQGSYDEQSNYDQQHDSYSQNQQSYHSQRENYSHHTQDDRRDVSRYGEDNRGYGGSQGGGRGRGGYDKDGRGPMTGSSGGDRGGFKNFGGHRDYGPRTDADSESDNSDNNTIFVQGLGEGVSTDQVGEFFKQIGIIKTNKKTGKPMINLYTDKDTGKPKGEATVSFDDPPSAKAAIDWFDGKEFHGNIIKVSFATRRPEFMRGGGSGGGRRGRGGYRGRGGFQGRGGDPKSGDWVCPNPSCGNMNFARRNSCNQCNEPRPEDSRPSGGDFRGRGYGGERGYRGRGGRGGDRGGYGGDRSGGGYGGDRSSGGGYSGDRSGGGYGGDRSGGGYGGDRGGGYGGDRGGGYGGDRGGGYGGDRGGYGGDRGGGYGGDRGGYGGDRGGYGGDRGGYGGDRGGYGGDRSRGGYGGDRGGGSGYGGDRSGGYGGDRSGGGYGGDRGGGYGGDRGGYGGKMGGRNDYRNDQRNRPY", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MESNHKSGDGLSGTQKEAALRALVQRTGYSLVQENGQRKYGGPPPGWDTTPPERGCEIFIGKLPRDLFEDELIPLCEKIGKIYEMRMMMDFNGNNRGYAFVTFSNKQEAKNAIKQLNNYEIRNGRLLGVCASVDNCRLFVGGIPKTKKREEILSEMKKVTEGVVDVIVYPSAADKTKNRGFAFVEYESHRAAAMARRRLLPGRIQLWGHPIAVDWAEPEVEVDEDTMSSVKILYVRNLMLSTSEEMIEKEFNSIKPGAVERVKKIRDYAFVHFSNREDAVEAMKALNGKVLDGSPIEVTLAKPVDKDSYVRYTRGTGGRNTMLQEYTYPLSHVYDPTTTYLGAPVFYTPQAYAAIPSLHFPATKGHLSNRALIRTPSVREIYMNVPVGAAGVRGLGGRGYLAYTGLGRGYQVKGDKRQDKLYDLLPGMELTPMNTISLKPQGVKLAPQILEEICQKNNWGQPVYQLHSAIGQDQRQLFLYKVTIPALASQNPAIHPFTPPKLSAYVDEAKRYAAEHTLQTLGIPTEGGDAGTTAPTATSATVFPGYAVPSATAPVSTAQLKQAVTLGQDLAAYTTYEVYPTFAVTTRGDGYGTF", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDRRSMGETESGDAFLDLKKPPASKCPHRYTKEELLDIKELPHSKQRPSCLSEKYDSDGVWDPEKWHASLYPASGRSSPVESLKKELDTDRPSLVRRIVDPRERVKEDDLDVVLSPQRRSFGGGCHVTAAVSSRRSGSPLEKDSDGLRLLGGRRIGSGRIISARTFEKDHRLSDKDLRDLRDRDRERDFKDKRFRREFGDSKRVFGERRRNDSYTEEEPEWFSAGPTSQSETIELTGFDDKILEEDHKGRKRTRRRTASVKEGIVECNGGVAEEDEVEVILAQEPAADQEVPRDAVLPEQSPGDFDFNEFFNLDKVPCLASMIEDVLGEGSVSASRFSRWFSNPSRSGSRSSSLGSTPHEELERLAGLEQAILSPGQNSGNYFAPIPLEDHAENKVDILEMLQKAKVDLKPLLSSLSANKEKLKESSHSGVVLSVEEVEAGLKGLKVDQQVKNSTPFMAEHLEETLSAVTNNRQLKKDGDMTAFNKLVSTMKASGTLPSQPKVSRNLESHLMSPAEIPGQPVPKNILQELLGQPVQRPASSNLLSGLMGSLEPTTSLLGQRAPSPPLSQVFQTRAASADYLRPRIPSPIGFTPGPQQLLGDPFQGMRKPMSPITAQMSQLELQQAALEGLALPHDLAVQAANFYQPGFGKPQVDRTRDGFRNRQQRVTKSPAPVHRGNSSSPAPAASITSMLSPSFTPTSVIRKMYESKEKSKEEPASGKAALGDSKEDTQKASEENLLSSSSVPSADRDSSPTTNSKLSALQRSSCSTPLSQANRYTKEQDYRPKATGRKTPTLASPVPTTPFLRPVHQVPLVPHVPMVRPAHQLHPGLVQRMLAQGVHPQHLPSLLQTGVLPPGMDLSHLQGISGPILGQPFYPLPAASHPLLNPRPGTPLHLAMVQQQLQRSVLHPPGSGSHAAAVSVQTTPQNVPSRSGLPHMHSQLEHRPSQRSSSPVGLAKWFGSDVLQQPLPSMPAKVISVDELEYRQ", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPPVSASKAKRDAKKAEREAKKAAAGKTIRKLGRKKEAAAEESEVDAAAREIKMMKLQQDKDGLSDRVVTGVLSSLETSRDIKLSSVSLLFHGKVLIQDSGLELNYGRRYGLLGENGCGKSTFLKALATREYPIPEHIDIYLLDEPAEPSELSALDYVVTEAQHELKRIEDLVEKTILEDGPESELLEPLYERMDSLDPDTFESRAAIILIGLGFNKKTILKKTKDMSGGWKMRVALAKALFVKPTLLLLDDPTAHLDLEACVWLEEYLKRFDRTLVLVSHSQDFLNGVCTNMIDMRAQKLTAYGGNYDSYHKTRSELETNQMKQYNKQQEEIQHIKKFIASAGTYANLVKQAKSRQKILDKMEADGLVQPVVPDKVFSFRFPQVERLPPPVLAFDDISFHYESNPSENLYEHLNFGVDMDSRIALVGPNGVGKSTLLKIMTGELTPQSGRVSRHTHVKLGVYSQHSQDQLDLTKSALEFVRDKYSNISQDFQFWRGQLGRYGLTGEGQTVQMATLSEGQRSRVVFALLALEQPNVLLLDEPTNGLDIPTIDSLADAINEFNGGVVVVSHDFRLLDKIAQDIFVVENKTATRWDGSILQYKNKLAKNVVL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPKRIVYNISSDFQLKSLLGEGAYGVVCSATHKPTGEIVAIKKIEPFDKPLFALRTLREIKILKHFKHENIITIFNIQRPDSFENFNEVYIIQELMQTDLHRVISTQMLSDDHIQYFIYQTLRAVKVLHGSNVIHRDLKPSNLLINSNCDLKVCDFGLARIIDESAADNSEPTGQQSGMTEYVATRWYRAPEVMLTSAKYSRAMDVWSCGCILAELFLRRPIFPGRDYRHQLLLIFGIIGTPHSDNDLRCIESPRAREYIKSLPMYPAAPLEKMFPRVNPKGIDLLQRMLVFDPAKRITAKEALEHPYLQTYHDPNDEPEGEPIPPSFFEFDHYKEALTTKDLKKLIWNEIFS", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAEAPQVVETDPDFEPLPRQRSCTWPLPRPEFNQSNSTTSSPAPSGGAAANPDAAASLASASAVSTDFMSNLSLLEESEDFARAPGCVAVAAAAAASRGLCGDFQGPEAGCVHPAPPQPPPTGPLSQPPPVPPSAAAAAGPLAGQPRKTSSSRRNAWGNLSYADLITKAIESSAEKRLTLSQIYEWMVKSVPYFKDKGDSNSSAGWKNSIRHNLSLHSKFIRVQNEGTGKSSWWMLNPEGGKSGKSPRRRAASMDNNSKFAKSRGRAAKKKASLQSGQEGPGDSPGSQFSKWPASPGSHSNDDFDNWSTFRPRTSSNASTISGRLSPIMTEQDDLGDGDVHSLVYPPSAAKMASTLPSLSEISNPENMENLLDNLNLLSSPTSLTVSTQSSPGSMMQQTPCYSFAPPNTSLNSPSPNYSKYTYGQSSMSPLPQMPMQTLQDSKSSYGGLNQYNCAPGLLKELLTSDSPPHNDIMSPVDPGVAQPNSRVLGQNVMMGPNSVMPAYGSQASHNKMMNPSSHTHPGHAQQTASVNGRTLPHVVNTMPHTSAMNRLTPVKTPLQVPLSHPMQMSALGSYSSVSSCNGYGRMGVLHQEKLPSDLDGMFIERLDCDMESIIRNDLMDGDTLDFNFDNVLPNQSFPHSVKTTTHSWVSG", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MADETPKVKTEPAAEVKSPLDEVKEIRKEAELTQTGSDEKKTTDPEFITVQDPNGDEPIELPTVDGVVLMTTLQASFPGATGLKYKNPKTGANRAVQVDPSGLKLIAPADGWENKTFFVIVAPQSERVRALSSADATSAKRRKVGSSDDSDSDDGRDGRSGRKRAVERDSQPVDLIVLGVDFKTTDECFQKYFEDIGTVVFCEIKRKSDGNSKGFGFVRMSSVGEQNKVLAIPQHMIDGRRCDVKVPDGRSLQDKQGRPSISRIFVGRLTDKVDEHQLRKVFGDEAKSYIETAVVTDVFIPKPFRGFAFVTLSSAEAAERIVSKGSLTVNGLSVGLSIAQPREENNQSVGPDYGLPAGYRNRRERDRPDRRPIQNEAPLPMPFVRPPQDYSYRQQNSPLERRYWAPGDSRGPGW", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MATPGEGNQPSDDGAPQPLAQLQKLEPRVVRRRLSQARHRATLVGLFNNLRKAVYSQSDITASKWQVLNRTKIHIQEQEESLDKLLKLKASFNLQDGNPNSLEEVKEEYARMYSENDSVFLNSFLQDSPPEWFPSEAVGPDAEEEGEEEGEEEGEEGEEEEEGDEEGEEEEENGEEREVEEYQEEEEEEEEEEKKVDLSHSSSTLLPDLMEFERYLNFYKQTMDLLTMNSIISAHEVTLPIVSAAISHLWQTLSEEKKARLLQVWEQQHSAFADLTEACLELAGVEGSMKDSGVDSQGASCSLESTPEEILFEDAFDVASFLDKSEAQHMSNISAMFATCNSENPEEKFQLYIQIIEFFKSLGCVNTPLNQEPEPPDDDDAMLLKCLETFDDL", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSSESSKKRKPKVIRSDGTPTEGKRNRSDTEQEGKYYSEEAEVDLRDPGRDYELYKYTCQELQRLMAEIQDLKSKGSKDVAIEIEERRIQSCVHFMTLKKLNRLAHIRLKKGRDQTHEAKQKVDAYHLQLQNLLYEVMHLQKEITKCLEFKSKHEEIDLVSLEEFYSEAPPSISKAEITMGDPHQQTLARLDWELEQRKRLAEKYRECLSNKEKILKEIEVKRDYLSSLQPRLNSIMQASLPVQEYLFMPFDQAHKQYETARHLPPPLYVLFVQATAYGQACDKTLSVAIEGSVDEAKALFKPPEDSQDDESDSDAEEEQTTKRRRPTLGVQLDDKRKEMLKRHPLSVLLDLKCKDNSVLHLTFYYLMNLNIMTVKAKVTTAVELITPISAGDLLSPDSVLSCLYPGDHGKKTPNPANQYQFDKVGILTLRDYVLELGHPYLWVQKLGGLHFPKEQPQQTVMPDHSQSASHMETTMKLLKTRVQSRLALHKQFASLEHGIVPVTSDCQDLFPAKVVSRLVKWVIITHEDYMELHFTKDIVEAGLAGDTNLYYLALIERGTAKLQAAVVLNPGYSSIPPVFRLCLNWKGEKTNSNDDNIRAMESEVNVCYKELCGPRPSHQLLTNQLQRLCVLLDVYLETESHDDSFEGPKEFPQEKMCLRLFRGPSRMKPFKYNHPQGFFSHR", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDIENEQTLNVNPTDPDNLSDSLFSGDEENAGTEEIKNEINGNWISASTINEARINAKAKRRLRKNSSRDSGRGDSVSDNGSEAVRSGVAVPTSPKGRLLDRRSRSGKGRGLPKKGGAGGKGVWGTPGQVYDVEEVDVKDPNYDDDQENCVYETVVLPLDETAFEKTLTPIIQEYFEHGDTNEVAEMLRDLNLGEMKSGVPVLAVSLALEGKASHREMTSKLLSDLCGTVMSTNDVEKSFDKLLKDLPELALDTPRAPQLVGQFIARAVGDGILCNTYIDSYKGTVDCVQARAALDKATVLLSMSKGGKRKDSVWGSGGGQQPVNHLVKEIDMLLKEYLLSGDISEAEHCLKELEVPHFHHELVYEAIVMVLESTGESAFKMILDLLKSLWKSSTITIDQMKRGYERIYNEIPDINLDVPHSYSVLERFVEECFQAGIISKQLRDLCPSRGRKRFVSEGDGGRLKPESY", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MYRWLVRILGTIFRFCDRSVPPARALLKRRRSDSTLFSTVDTDEIPAKRPRLDCFIHQVKNSLYNAASLFGFPFQLTTKPMVTSACNGTRNVAPSGEVFSNSSSCELTGSGSWNNMLKLGNKSPNGISDYPKIRVTVTRDQPRRVLPSFGFTLNSEGCNRRPGGRRHSKGNPESSLMWKPQEQAVTEMISEESGKGLRRPHCTVEEGVQKEEREKYRKLLERLKESGHGNSVCPVTSNYHSSQRSQMDTLKTKGWGEEQNHGVKTTQFVPKQYRLVETRGPLCSLRSEKRCSKGKITDTETMVGIRFENESRRGYQLEPDLSEEVSARLRLGSGSNGLLRRKVSIIETKEKNCSGKERDRRTDDLLELTEDMEKEISNALGHGPQDEILSSAFKLRITRGDIQTLKNYHWLNDEVINFYMNLLVERNKKQGYPALHVFSTFFYPKLKSGGYQAVKRWTKGVNLFEQEIILVPIHRKVHWSLVVIDLRKKCLKYLDSMGQKGHRICEILLQYLQDESKTKRNSDLNLLEWTHHSMKPHEIPQQLNGSDCGMFTCKYADYISRDKPITFTQHQMPLFRKKMVWEILHQQLL", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNSIFSIDDFSDPFWETPPIPLNPDSSKPVTADEVSQSQPEWTFEMFLEEISSSAVSSEPLGNNNNAIVGVSSAQSLPSVSGQNDFEDDSRFRDRDSGNLDCAAPMTTKTVIVDSDDYRRVLKNKLETECATVVSLRVGSVKPEDSTSSPETQLQPVQSSPLTQGELGVTSSLPAEVKKTGVSMKQVTSGSSREYSDDEDLDEENETTGSLKPEDVKKSRRMLSNRESARRSRRRKQEQTSDLETQVNDLKGEHSSLLKQLSNMNHKYDEAAVGNRILKADIETLRAKVKMAEETVKRVTGMNPMLLGRSSGHNNNNRMPITGNNRMDSSSIIPAYQPHSNLNHMSNQNIGIPTILPPRLGNNFAAPPSQTSSPLQRIRNGQNHHVTPSANPYGWNTEPQNDSAWPKKCVD", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MARTITFDIPSQYKLVDLIGEGAYGTVCSAIHKPSGIKVAIKKIQPFSKKLFVTRTIREIKLLRYFHEHENIISILDKVRPVSIDKLNAVYLVEELMETDLQKVINNQNSGFSTLSDDHVQYFTYQILRALKSIHSAQVIHRDIKPSNLLLNSNCDLKVCDFGLARCLASSSDSRETLVGFMTEYVATRWYRAPEIMLTFQEYTTAMDIWSCGCILAEMVSGKPLFPGRDYHHQLWLILEVLGTPSFEDFNQIKSKRAKEYIANLPMRPPLPWETVWSKTDLNPDMIDLLDKMLQFNPDKRISAAEALRHPYLAMYHDPSDEPEYPPLNLDDEFWKLDNKIMRPEEEEEVPIEMLKDMLYDELMKTME", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MERPPGLRPGAGGPWEMRERLGTGGFGNVSLYQHRELDLKIAIKSCRLELSSKNRERWCHEIQIMKKLDHANVVKACDVPEELNFLINDVPLLAMEYCSGGDLRKLLNKPENCCGLKESQILSLLSDIGSGIRYLHENKIIHRDLKPENIVLQDVGGKTIHKIIDLGYAKDVDQGSLCTSFVGTLQYLAPELFENKPYTATVDYWSFGTMVFECIAGYRPFLHHLQPFTWHEKIKKKDPKCIFACEEMTGEVRFSSHLPQPNSLCSLIVEPMESWLQLMLNWDPQQRGGPIDLTLKQPRCFALMDHILNLKIVHILNMTSAKIISFLLPCDESLHSLQSRIERETGINTGSQELLSETGISLDPRKPASQCVLDGVRGCDSYMVYLFDKSKTVYEGPFASRSLSDCVNYIVQDSKIQLPIIQLRKVWAEAVHYVSGLKEDYSRLFQGQRAAMLSLLRYNANLTKMKNTLISASQQLKAKLEFFRKSIQLDLERYSEQMTYGISSEKMLKAWKEMEEKAIHYSEVGVIGYLEDQIMSLHTEIMELQKSPYGRRQGDLMESLEQRAIDLYKQLKHRPPDHLYSDSTEMVKIIVHTVQSQDRVLKELFGHLSKLLGCKQKIIDLLPKVEVALSNIKEADNTVMFMQGKRQKEIWHLLKIACTQSSARSLVGSSLEGTVTPPVSAWLPPTLADREHPLTCVVTPQDGETLAQMIEENLNCLGHLSTIIREANEDQSSSLMSLDWSWLAE", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLGAVKMEGHEPSDWSSYYAEPEGYSSVSNMNAGLGMNGMNTYMSMSAAAMGSGSGNMSAGSMNMSSYVGAGMSPSLAGMSPGAGAMAGMGGSAGAAGVAGMGPHLSPSLSPLGGQAAGAMGGLAPYANMNSMSPMYGQAGLSRARDPKTYRRSYTHAKPPYSYISLITMAIQQSPNKMLTLSEIYQWIMDLFPFYRQNQQRWQNSIRHSLSFNDCFLKVPRSPDKPGKGSFWTLHPDSGNMFENGCYLRRQKRFKCEKQLALKEAAGAAGSGKKAAAGAQASQAQLGEAAGPASETPAGTESPHSSASPCQEHKRGGLGELKGTPAAALSPPEPAPSPGQQQQAAAHLLGPPHHPGLPPEAHLKPEHHYAFNHPFSINNLMSSEQQHHHSHHHHQPHKMDLKAYEQVMHYPGYGSPMPGSLAMGPVTNKTGLDASPLAADTSYYQGVYSRPIMNSS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRRCPCRGSLSEAEAGALPAEARMGLEALRGGRRRQPGLQRPGPGAGGPTGRPEGGGPRAWIEGFSLHSEAERTDFGPAPCPDGPQAEPCGDEHEECEAAGLGVASEKPSQNKELDGSNLQTHPKLSSPLAEMEMAGSWTDGFRTDLHRPDLQARPKRASLCTQPGFDESWTELDRSELWQTLPERDKPWVDHLRTHQDMSRLQNHPACPSPEPSAGTSCKELSADGSRTPHDTDGFWIESQTDGSLIGPSTQTACRQPANDGFSAQDTDGTLIQPGTDDGPWVDSVLEKSNGDDPLMEPEPRDLVTNLCSHLECSSLCPVPRLIITSESPEPGAQPLGPQARIEGGTGGFSSASSFDESEDDLVAGGGGTSDPEDRSGSKPWKKLKTVLKYSPFVVSFHKHYYPWVQLSGHAGNFQAGEDGRILKRFCQCEQRSLELLMGDPLRPFVPTYYGMVQRDGQAFNQMEDLLADFEGPSIMDCKMGSRTYLEEELVKARERPKPRKDMYEKMVAVDPGAPTPEEHAQGAVTKPRYMQWRETLSSTSTLGFRIEGIKKADGTCNTNFKKTQALEQVTKVLEDFVNGDVGILRKYVARLEDLRDTLENSPFFKTHEVVGSSLLFVHDHTGLAKVWMIDFGKTVALPDHQMLSHRLPWAEGNREDGYLWGLDNLICLLQGLAQS", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLGAVKMEGHEPSDWSSYYAEPEGYSSVSNMNAGLGMNGMNTYMSMSAAAMGGGSGNMSAGSMNMSSYVGAGMSPSLAGMSPGAGAMAGMSGSAGAAGVAGMGPHLSPSLSPLGGQAAGAMGGLAPYANMNSMSPMYGQAGLSRARDPKTYRRSYTHAKPPYSYISLITMAIQQSPNKMLTLSEIYQWIMDLFPFYRQNQQRWQNSIRHSLSFNDCFLKVPRSPDKPGKGSFWTLHPDSGNMFENGCYLRRQKRFKCEKQLALKEAAGAASSGGKKTAPGSQASQAQLGEAAGSASETPAGTESPHSSASPCQEHKRGGLSELKGAPASALSPPEPAPSPGQQQQAAAHLLGPPHHPGLPPEAHLKPEHHYAFNHPFSINNLMSSEQQHHHSHHHHQPHKMDLKAYEQVMHYPGGYGSPMPGSLAMGPVTNKAGLDASPLAADTSYYQGVYSRPIMNSS", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPTTSRPALDVKGGTSPAKEDANQEMSSVAYSNLAVKDRKAVAILHYPGVASNGTKASGAPTSSSGSPIGSPTTTPPTKPPSFNLHPAPHLLASMHLQKLNSQYQGMAAATPGQPGEAGPLQNWDFGAQAGGAESLSPSAGAQSPAIIDSDPVDEEVLMSLVVELGLDRANELPELWLGQNEFDFTADFPSSC", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MADEGKSYSEHDDERVNFPQRKKKGRGPFRWKYGEGNRRSGRGGSGIRSSRLEEDDGDVAMSDAQDGPRVRYNPYTTRPNRRGDTWHDRDRIHVTVRRDRAPPERGGAGTSQDGTSKNWFKITIPYGRKYDKAWLLSMIQSKCSVPFTPIEFHYENTRAQFFVEDASTASALKAVNYKILDRENRRISIIINSSAPPHTILNELKPEQVEQLKLIMSKRYDGSQQALDLKGLRSDPDLVAQNIDVVLNRRSCMAATLRIIEENIPELLSLNLSNNRLYRLDDMSSIVQKAPNLKILNLSGNELKSERELDKIKGLKLEELWLDGNSLCDTFRDQSTYISAIRERFPKLLRLDGHELPPPIAFDVEAPTTLPPCKGSYFGTENLKSLVLHFLQQYYAIYDSGDRQGLLDAYHDGACCSLSIPFIPQNPARSSLAEYFKDSRNVKKLKDPTLRFRLLKHTRLNVVAFLNELPKTQHDVNSFVVDISAQTSTLLCFSVNGVFKEVDGKSRDSLRAFTRTFIAVPASNSGLCIVNDELFVRNASSEEIQRAFAMPAPTPSSSPVPTLSPEQQEMLQAFSTQSGMNLEWSQKCLQDNNWDYTRSAQAFTHLKAKGEIPEVAFMK", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLETLRERLLSVQQDFTSGLKTLSDKSREAKVKSKPRTVPFLPKYSAGLELLSRYEDTWAALHRRAKDCASAGELVDSEVVMLSAHWEKKKTSLVELQEQLQQLPALIADLESMTANLTHLEASFEEVENNLLHLEDLCGQCELERCKHMQSQQLENYKKNKRKELETFKAELDAEHAQKVLEMEHTQQMKLKERQKFFEEAFQQDMEQYLSTGYLQIAERREPIGSMSSMEVNVDMLEQMDLMDISDQEALDVFLNSGGEENTVLSPALGRVDKLALAEPGQYRCHSPPKVRRENHLPVTYA", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLSAHWEKKKTSLVELQEQLQQLPALIADLESMTANLTHLEASFEEVENNLLHLEDLCGQCELERCKHMQSQQLENYKKNKRKELETFKAELDAEHAQKVLEMEHTQQMKLKERQKFFEEAFQQDMEQYLSTGYLQIAERREPIGSMSSMEVNVDMLEQMDLMDISDQEALDVFLNSGGEENTVLSPALGPESSTCQNEITLQVPNPSELRAKPPSSSSTCTDSATRDISEGGESPVVQSDEEEVQVDTALATSHTDREATPDGGEDSDS", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAASAAAAELQASGGPRHPVCLLVLGMAGSGKTTFVQRLTGHLHAQGTPPYVINLDPAVHEVPFPANIDIRDTVKYKEVMKQYGLGPNGGIVTSLNLFATRFDQVMKFIEKAQNMSKYVLIDTPGQIEVFTWSASGTIITEALASSFPTVVIYVMDTSRSTNPVTFMSNMLYACSILYKTKLPFIVVMNKTDIIDHSFAVEWMQDFEAFQDALNQETTYVSNLTRSMSLVLDEFYSSLRVVGVSAVLGTGLDELFVQVTSAAEEYEREYRPEYERLKKSLANAESQQQREQLERLRKDMGSVALDAGTAKDSLSPVLHPSDLILTRGTLDEEDEEADSDTDDIDHRVTEESHEEPAFQNFMQESMAQYWKRNNK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFRMLSSSFEDDPFFADSFLAHRESMRNMMRSFSEPLGRDLLSISDGRGRTHNRRERDDGEDSLTHADVNPFQTMDRMMANMRSGIQELQRNFGQLSMDPNGHSFCSSSVMTYSKVGDEPPKVFQASTQTRRAPGGVKETRKAMRDSDSGLERMAVGHHIHDRGHVIRKSKNNKTGDEEVNQEFINMNESDAHAFDDEWQNEVLKYKSIGRSGNTGMRSVGHEHPGSRELKRREKIHRNSAIESGRRSNVFVDKLNVKGSPVKITKK", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRIYQCHFCSSPCYPGHGIMFVRNDAKEFRFCRSKCHKAFKQRRNPRKLKWTKAFRKAAGKELAVDSTLTFAQRRNVPVRYNRELVATTLKAMARIEEIRQKRERAFYKNRMRGNKEKDFLRDKKLVESNPELLRIREVEIARKLAKEQERAESVSEQEESEEEEEDMEIDSDEEEEEQLEKQKILLKNRRRNTKKIAF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSLLGDPLQALPPSAAPTGPLLAPPAGATLNRLREPLLRRLSELLDQAPEGRGWRRLAELAGSRGRLRLSCLDLEQCSLKVLEPEGSPSLCLLKLMGEKGCTVTELSDFLQAMEHTEVLQLLSPPGIKITVNPESKAVLAGQFVKLCCRATGHPFVQYQWFKMNKEIPNGNTSELIFNAVHVKDAGFYVCRVNNNFTFEFSQWSQLDVCDIPESFQRSVDGVSESKLQICVEPTSQKLMPGSTLVLQCVAVGSPIPHYQWFKNELPLTHETKKLYMVPYVDLEHQGTYWCHVYNDRDSQDSKKVEIIIGRTDEAVECTEDELNNLGHPDNKEQTTDQPLAKDKVALLIGNMNYREHPKLKAPLVDVYELTNLLRQLDFKVVSLLDLTEYEMRNAVDEFLLLLDKGVYGLLYYAGHGYENFGNSFMVPVDAPNPYRSENCLCVQNILKLMQEKETGLNVFLLDMCRKRNDYDDTIPILDALKVTANIVFGYATCQGAEAFEIQHSGLANGIFMKFLKDRLLEDKKITVLLDEVAEDMGKCHLTKGKQALEIRSSLSEKRALTDPIQGTEYSAESLVRNLQWAKAHELPESMCLKFDCGVQIQLGFAAEFSNVMIIYTSIVYKPPEIIMCDAYVTDFPLDLDIDPKDANKGTPEETGSYLVSKDLPKHCLYTRLSSLQKLKEHLVFTVCLSYQYSGLEDTVEDKQEVNVGKPLIAKLDMHRGLGRKTCFQTCLMSNGPYQSSAATSGGAGHYHSLQDPFHGVYHSHPGNPSNVTPADSCHCSRTPDAFISSFAHHASCHFSRSNVPVETTDEIPFSFSDRLRISEK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEPNRNCPLFGGAFSAILPTGAIDVSDLRPVPDNQEVFCHPVTDQSLIIELLELQAHVQGEAAARYHFEDVGRVQGARAVHVLSVQPLCLENLSLRGCCQDAWSLSGKQQVAKENQQVAKDVTLHQALLRLPQYQTDLLLTFNQPPCHSRSLGPENLSCPPWSLSNFEQLVTSLTLHDPNLFGPQ", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MESKDQGVKNLNMENDHQKKEEKEEKPQDTIRREPAVALTSEAGKNCAPRGGRRRFRVRQPIAHYRWDLMQRVGEPQGRMREENVQRFGGDVRQLMEKLRERQLSHSLRAVSTDPPHHDHHDEFCLMP", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLGTGPAVATASAATSSNVSVLQQFASGLKSRNEETRAKAAKELQHYVTMELREMSQEESTRFYDQLNHHIFELVSSSDANERKGGILAIASLIGVEGGNSTRIGRFANYLRNLLPSSDPVVMEMASKAIGRLAMAGDTFTAEYVEFEVKRALEWLGADRNEGRRHAAVLVLRELAISVPTFFFQQVQPFFDNIFVAVWDPKQAIREGAVAALRACLILTTQREPKEMQKPQWYRHTFEEAEKGFDETLAKEKGMNRDDRIHGALLILNELVRISSMEGERLREEMEEITQQQLVHDKYCKDLMGFGTKPRHITPFTSFQAVQPQQPNALVGLLGYSSPQGLMGFGTSPSPAKSTLVESRCCRDLMEEKFDQVCQWVLKCRSSKNSLIQMTILNLLPRLAAFRPSAFTDTQYLQDTMNHVLSCVKKEKERTAAFQALGLLSVAVRSEFKVYLPRVLDIIRAALPPKDFAHKRQKTVQVDATVFTCISMLARAMGPGIQQDIKELLEPMLAVGLSPALTAVLYDLSRQIPQLKKDIQDGLLKMLSLVLMHKPLRHPGMPKGLAHQLASPGLTTLPEASDVASITLALRTLGSFEFEGHSLTQFVRHCADHFLNSEHKEIRMEAARTCSRLLTPSIHLISGHAHVVSQTAVQVVADVLSKLLVVGITDPDPDIRYCVLASLDERFDAHLAQAENLQALFVALNDQVFEIRELAICTVGRLSSMNPAFVMPFLRKMLIQILTELEHSGIGRIKEQSARMLGHLVSNAPRLIRPYMEPILKALILKLKDPDPDPNPGVINNVLATIGELAQVSGLEMRKWVDELFIIIMDMLQDSSLLAKRQVALWTLGQLVASTGYVVEPYRKYPTLLEVLLNFLKTEQNQGTRREAIRVLGLLGALDPYKHKVNIGMIDQSRDASAVSLSESKSSQDSSDYSTSEMLVNMGNLPLDEFYPAVSMVALMRIFRDQSLSHHHTMVVQAITFIFKSLGLKCVQFLPQVMPTFLNVIRVCDGAIREFLFQQLGMLVSFVKSHIRPYMDEIVTLMREFWVMNTSIQSTIILLIEQIVVALGGEFKLYLPQLIPHMLRVFMHDNSQGRIVSIKLLAAIQLFGANLDDYLHLLLPPIVKLFDAPEVPLPSRKAALETVDRLTESLDFTDYASRIIHPIVRTLDQSPELRSTAMDTLSSLVFQLGKKYQIFIPMVNKVLVRHRINHQRYDVLICRIVKGYTLADEEEDPLIYQHRMLRSSQGDALASGPVETGPMKKLHVSTINLQKAWGAARRVSKDDWLEWLRRLSLELLKDSSSPSLRSCWALAQAYNPMARDLFNAAFVSCWSELNEDQQDELIRSIELALTSQDIAEVTQTLLNLAEFMEHSDKGPLPLRDDNGIVLLGERAAKCRAYAKALHYKELEFQKGPTPAILESLISINNKLQQPEAASGVLEYAMKHFGELEIQATWYEKLHEWEDALVAYDKKMDTNKEDPELMLGRMRCLEALGEWGQLHQQCCEKWTLVNDETQAKMARMAAAAAWGLGQWDSMEEYTCMIPRDTHDGAFYRAVLALHQDLFSLAQQCIDKARDLLDAELTAMAGESYSRAYGAMVSCHMLSELEEVIQYKLVPERREIIRQIWWERLQGCQRIVEDWQKILMVRSLVVSPHEDMRTWLKYASLCGKSGRLALAHKTLVLLLGVDPSRQLDHPLPTAHPQVTYAYMKNMWKSARKIDAFQHMQHFVQTMQQQAQHAIATEDQQHKQELHKLMARCFLKLGEWQLNLQGINESTIPKVLQYYSAATEHDRSWYKAWHAWAVMNFEAVLHYKHQNQARDEKKKLRHASGANITNATTAATTAASAAAATSTEGSNSESEAESNENSPTPSPLQKKVTEDLSKTLLLYTVPAVQGFFRSISLSRGNNLQDTLRVLTLWFDYGHWPDVNEALVEGVKAIQIDTWLQVIPQLIARIDTPRPLVGRLIHQLLTDIGRYHPQALIYPLTVASKSTTTARHNAANKILKNMCEHSNTLVQQAMMVSEELIRVAILWHEMWHEGLEEASRLYFGERNVKGMFEVLEPLHAMMERGPQTLKETSFNQAYGRDLMEAQEWCRKYMKSGNVKDLTQAWDLYYHVFRRISKQLPQLTSLELQYVSPKLLMCRDLELAVPGTYDPNQPIIRIQSIAPSLQVITSKQRPRKLTLMGSNGHEFVFLLKGHEDLRQDERVMQLFGLVNTLLANDPTSLRKNLSIQRYAVIPLSTNSGLIGWVPHCDTLHALIRDYREKKKILLNIEHRIMLRMAPDYDHLTLMQKVEVFEHAVNNTAGDDLAKLLWLKSPSSEVWFDRRTNYTRSLAVMSMVGYILGLGDRHPSNLMLDRLSGKILHIDFGDCFEVAMTREKFPEKIPFRLTRMLTNAMEVTGLDGNYRTTCHTVMEVLREHKDSVMAVLEAFVYDPLLNWRLMDTNTKGNKRSRTRTDSYSAGQSVEILDGVELGEPAHKKAGTTVPESIHSFIGDGLVKPEALNKKAIQIINRVRDKLTGRDFSHDDTLDVPTQVELLIKQATSHENLCQCYIGWCPFW", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAADVSVTHRPPLSPEAEAEAETPETVDRRAPEQELPPLDPEEIRKRLEHTERQFRNRRKILIRGLPGDVTNQEVHDLLSDYELKYCFVDKYKGTAFVTLLNGEQAEAAINTFHQSRLRERELSVQLQPTDALLCVANLPPSLTQAQFEELVRPFGSLERCFLVYSERTGHSKGYGFAEYMKKDSAARAKSDLLGKPLGPRTLYVHWTDAGQLTPALLHSRCLCVDHLPPGFSDVDALRRALSVVYTPTFCQLACGQDGQLKGFAVLEYETAEMAEAAQERADGQALGDSHLRVSFCAPGPPGRSMLAALIAAQATALNRGKGLLPEPNLLQLLNNLGPSASLQLLLNPLLHGGASGKQGLLGAPPAMPLLSGPALSTALLQLALQSQSQNQSQGQKKPGILGDSPLGTLQAGAQPSNSLLGELSAGGGLAPELPPRRGKPQPLLPPLLGPSGGDREPMGLGPPATQLTPPPAPVGLRGSNHRGLPKDSGPLPTPPGVSLLGEPPKDYRIPLNPYLNLHSLLPSSNLAGKETRGWGGSGRGRRPAEPPLPSPAVPGGGSGSNNGNKAFQMKSRLLSPIASNRLPPEPGLPDSYGFDYPTDVGPRRLFSHPREPTLGAHGPSRHKMSPPPSSFNEPRSGGGSGGPLSHFYSGSPTSYFTSGLQAGLKQSHLNKAVGSSPMGSSEGLLGLGPGPNGHSHLLKTPLGGQKRSFSHLLPSPEPSPEGSYVGQHSQGLGGHYADSYLKRKRIF", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MALEVGDMEDGQLSDSDSDMTVAPSDRPLQLPKVLGGDSAMRAFQNTATACAPVSHYRAVESVDSSEESFSDSDDDSCLWKRKRQKCFNPPPKPEPFQFGQSSQKPPVAGGKKINNIWGAVLQEQNQDAVATELGILGMEGTIDRSRQSETYNYLLAKKLRKESQEHTKDLDKELDEYMHGGKKMGSKEEENGQGHLKRKRPVKDRLGNRPEMNYKGRYEITAEDSQEKVADEISFRLQEPKKDLIARVVRIIGNKKAIELLMETAEVEQNGGLFIMNGSRRRTPGGVFLNLLKNTPSISEEQIKDIFYIENQKEYENKKAARKRRTQVLGKKMKQAIKSLNFQEDDDTSRETFASDTNEALASLDESQEGHAEAKLEAEEAIEVDHSHDLDIF", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGRRRAPAGGSLGRALMRHQTQRSRSHRHTDSWLHTSELNDGYDWGRLNLQSVTEQSSLDDFLATAELAGTEFVAEKLNIKFVPAEARTGLLSFEESQRIKKLHEENKQFLCIPRRPNWNQNTTPEELKQAEKDNFLEWRRQLVRLEEEQKLILTPFERNLDFWRQLWRVIERSDIVVQIVDARNPLLFRCEDLECYVKEMDANKENVILINKADLLTAEQRSAWAMYFEKEDVKVIFWSALAGAIPLNGDSEEEANRDDRQSNTTKFGHSSFDQAEISHSESEHLPARDSPSLSENPTTDEDDSEYEDCPEEEEDDWQTCSEEDGPKEEDCSQDWKESSTADSEARSRKTPQKRQIHNFSHLVSKQELLELFKELHTGRKVKDGQLTVGLVGYPNVGKSSTINTIMGNKKVSVSATPGHTKHFQTLYVEPGLCLCDCPGLVMPSFVSTKAEMTCSGILPIDQMRDHVPPVSLVCQNIPRHVLEATYGINIITPREDEDPHRPPTSEELLTAYGYMRGFMTAHGQPDQPRSARYILKDYVSGKLLYCHPPPGRDPVTFQHQHQRLLENKMNSDEIKMQLGRNKKAKQIENIVDKTFFHQENVRALTKGVQAVMGYKPGSGVVTASTASSENGAGKPWKKHGNRNKKEKSRRLYKHLDM", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVVVAAAPSAATAAPKVLLLSGQPASGGRALPLMVPGPRAAGSEASGTPQARKRQRLTHLSPEEKALRRKLKNRVAAQTARDRKKARMSELEQQVVDLEEENHKLQLENQLLREKTHGLVVENQELRTRLGMDTLDPDEVPEVEAKGSGVRLVAGSAESAALRLCAPLQQVQAQLSPPQNIFPWTLTLLPLQILSLISFWAFWTSWTLSCFSNVLPQSLLVWRNSQRSTQKDLVPYQPPFLCQWGPHQPSWKPLMNSFVLTMYTPSL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVVVAAAPSAATAAPKVLLLSGQPASGGRALPLMVPGPRAAGSEASGTPQARKRQRLTHLSPEEKALRRKLKNRVAAQTARDRKKARMSELEQQVVDLEEENHKLQLENQLLREKTHGLVVENQELRTRLGMDTLDPDEVPEVEAKGSGVRLVAGSAESAAGAGPVVTSPEHLPMDSDTVASSDSESDILLGILDKLDPVMFFKCPSPESASLEELPEVYPEGPSSLPASLSLSVGTSSAKLEAINELIRFDHVYTKPLVLEIPSETESQTNVVVKIEEAPLSSSEEDHPEFIVSVKKEPLEDDFIPELGISNLLSSSHCLRPPSCLLDAHSDCGYEGSPSPFSDMSSPLGTDHSWEDTFANELFPQLISV", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSAQDVENAVEAALDPSVGPIIKQQATDFIGSLRSSSTGWKICHEIFSEKTKYKPSTRLICLQTLSEKVREWNNESNLLELQMIRDSVWSYIKELSFLDEPAYISNAVQHLLTLLFLQLYPSNWNDFFASLQGVIAASSQSEFSNFYLKVLLSIGDEIADSLVLKTDVQIQKDNLVKDAIRANDMSDIVSFVYEMMLAYSNAKNYGTVGLCLQVYAQWVSWININLIVNEPCMNLLYSFLQIEELRCAACETMTEIVNKKMKPLEKLNLLNILNLNLFFSKSQEQSTDPNFDEHVAKLINAQGVELVAIKSDPSELSPELKENCSFQLYNLFPYLIRYLSDDYDETSTAVFPFLSDLLVSLRKESSSKELSASLKEFLKSLLEAIIKKMKYDESQEWDDDPDSEEEAEFQEMRKKLKIFQDTINSIDSSLFSSYMYSAITSSLSTAATLSPENSWQLIEFALYETYIFGEGLRGPDAFFNEVDKSPTVLSQILALVTTSQVCRHPHPLVQLLYMEILVRYASFFDYESAAIPALIEYFVGPRGIHNTNERVRPRAWYLFYRFVKSIKKQVVNYTESSLAMLGDLLNISVSPVTDMDAPVPTLNSSIRNSDFNSQLYLFETVGVLISSGNLTPEEQALYCDSLINALIGKANAALSSDLSALENIISVYCSLMAIGNFAKGFPARGSEEVAWLASFNKASDEIFLILDRMGFNEDIRGAVRFTSGRIINVVGPDMLPKVPQLISILLNSIDMNELVDVLSFISQLIHIYKDNMMEITNRMLPTLLMRIFSSLSAAPQGTDDAVKQNDLRKSYISFILQLLNKGFGSILFTEENQVYFDPLINSILHFANLVGEPATQKSSIALVSKMVSLWGGKDGIAGFENFTLSLTPLCFEMPVNPNFNTRDGQSLVVLGELAGLQKIILEKLGDIYKSYLVTVYFPTVNFPDVMASEYLQALSNLDSRSFKQFFQKFIQALKSGNV", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRRCPCRGSLNEAEAGALPAAARMGLEAPRGGRRRQPGQQRPGPGAGAPAGRPEGGGPWARTEGSSLHSEPERAGLGPAPGTESPQAEFWTDGQTEPAAAGLGVETERPKQKTEPDRSSLRTHLEWSWSELETTCLWTETGTDGLWTDPHRSDLQFQPEEASPWTQPGVHGPWTELETHGSQTQPERVKSWADNLWTHQNSSSLQTHPEGACPSKEPSADGSWKELYTDGSRTQQDIEGPWTEPYTDGSQKKQDTEAARKQPGTGGFQIQQDTDGSWTQPSTDGSQTAPGTDCLLGEPEDGPLEEPEPGELLTHLYSHLKCSPLCPVPRLIITPETPEPEAQPVGPPSRVEGGSGGFSSASSFDESEDDVVAGGGGASDPEDRSGSKPWKKLKTVLKYSPFVVSFRKHYPWVQLSGHAGNFQAGEDGRILKRFCQCEQRSLEQLMKDPLRPFVPAYYGMVLQDGQTFNQMEDLLADFEGPSIMDCKMGSRTYLEEELVKARERPRPRKDMYEKMVAVDPGAPTPEEHAQGAVTKPRYMQWRETMSSTSTLGFRIEGIKKADGTCNTNFKKTQALEQVTKVLEDFVDGDHVILQKYVACLEELREALEISPFFKTHEVVGSSLLFVHDHTGLAKVWMIDFGKTVALPDHQTLSHRLPWAEGNREDGYLWGLDNMICLLQGLAQS", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTTTLVSATIFDLSEVLCKGNKMLNYSTPSAGGCLLDRKAVGTPAGGGFPRRHSVTLPSSKFHQNQLLSSLKGEPAPSLSSRDSRFRDRSFSEGGERLLPTQKQPGSGQVNSSRYKTELCRPFEENGACKYGDKCQFAHGIHELRSLTRHPKYKTELCRTFHTIGFCPYGPRCHFIHNAEERRALAGGRDLSADRPRLQHSFSFAGFPSAAATAAATGLLDSPTSITPPPILSADDLLGSPTLPDGTNNPFAFSSQELASLFAPSMGLPGGGSPTTFLFRPMSESPHMFDSPPSPQDSLSDHEGYLSSSSSSHSGSDSPTLDNSRRLPIFSRLSISDD", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSTYLQSSEGKFIPATKRPDGTWRKARRVKDGYVPQEEVPLYESKGKQFVAQRQAGVPPGMCPLLAAESKKEREKQERTRAKKQEKESGRQPKAPAPGVLVMPPSTCPPPKVSQQQQQQQQQPSGSRDINSISKTLEDTLKLDAAQEVVDPAKQLKKLRKKIREIEQIESRIQAGEQKKLDKDQLDKVKKKSEILRQIKDLESTPRS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MQPEPKLSGAPRSSQFLPLWSKCPEGAGDAVMYASTECKAEVTPSQDGNRTFSYTLEDHTKQAFGVMNELRLSQQLCDVTLQVKYEDIPAAQFMAHKVVLASSSPVFKAMFTNGLREQGMEVVSIEGIHPKVMERLIEFAYTASISVGEKCVLHVMNGAVMYQIDSVVRACSDFLVQQLDPSNAIGIANFAEQIGCTELHQRAREYIYMHFGEVAKQEEFFNLSHCQLATLISRDDLNVRCESEVFHACIDWVKYDCPQRRFYVQALLRAVRCHALTPRFLQTQLQKCEILQADARCKDYLVQIFQELTLHKPTQAVPCRAPKVGRLIYTAGGYFRQSLSYLEAYNPSNGSWLRLADLQVPRSGLAGCVVGGLLYAVGGRNNSPDGNTDSSALDCYNPMTNQWSPCASMSVPRNRIGVGVIDGHIYAVGGSHGCIHHSSVERYEPERDEWHLVAPMLTRRIGVGVAVLNRLLYAVGGFDGTNRLNSAECYYPERNEWRMITPMNTIRSGAGVCVLHNCIYAAGGYDGQDQLNSVERYDVETETWTFVAPMRHHRSALGITVHQGKIYVLGGYDGHTFLDSVECYDPDSDTWSEVTRMTSGRSGVGVAVTMEPCRKQIDQQNCTC", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPKSKRDKKVSLTKTAKKGLELKQNLIEELRKCVDTYKYLFIFSVANMRNSKLKDIRNAWKHSRMFFGKNKVMMVALGRSPSDEYKDNLHQVSKRLRGEVGLLFTNRTKEEVNEWFTKYTEMDYARAGNKAAFTVSLDPGPLEQFPHSMEPQLRQLGLPTALKRGVVTLLSDYEVCKEGDVLTPEQARVLKLFGYEMAEFKVTIKYMWDSQSGRFQQMGDDLPESASESTEESDSEDDD", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPSSLFADLERNGSGGGGGGSSGGGETLDDQRALQLALDQLSLLGLDSDEGASLYDSEPRKKSVNMTECVPVPSSEHVAEIVGRQGCKIKALRAKTNTYIKTPVRGEEPVFVVTGRKEDVAMARREIISAAEHFSMIRASRNKNTALNGAVPGPPNLPGQTTIQVRVPYRVVGLVVGPKGATIKRIQQQTHTYIVTPSRDKEPVFEVTGMPENVDRAREEIEAHIALRTGGIIELTDENDFHANGTDVGFDLHHGSGGSGPGSLWSKPTPSITPTPGRKPFSSYRNDSSSSLGSASTDSYFGGGTSSSAAATQRLADYSPPSPALSFAHNGNNNNNGNGYTYTAGGEASVPSPDGCPELQPTFDPAPAPPPGAPLIWAQFERSPGGGPAAPVSSSCSSSASSSASSSSVVFPGGGASAPSNANLGLLVHRRLHPGTSCPRLSPPLHMAPGAGEHHLARRVRSDPGGGGLAYAAYANGLGAQLPGLQPSDTSGSSSSSSSSSSSSSSSSGLRRKGSRDCSVCFESEVIAALVPCGHNLFCMECANRICEKSEPECPVCHTAVTQAIRIFS", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MADQRMDISSTISDFMSPGPTDLLSGSLGTSGVDCNRKRKGSATDYQLDDFAFEESMDTDKDDPHGRLEYAEHQGRIKNAREAHSQIEKRRRDKMNSFIDELASLVPTCNAMSRKLDKLTVLRMAVQHMKTLRGATNPYTEANYKPTFLSDDELKHLILRAADGFLFVVGCDRGKILFVSESVFKILNYSQNDLIGQSLFDYLHPKDIAKVKEQLSSSDTAPRERLIDAKTGLPVKTDITPGPSRLCSGARRSFFCRMKCNRPSVKVEDKDFASTCSKKKDRKSFCTIHSTGYLKSWPPTKMGLDEDNEPDNEGCNLSCLVAIGRLHSHMVPQPANGEIRVKSMEYVSRHAIDGKFVFVDQRATAILAYLPQELLGTSCYEYFHQDDIGHLAECHRQVLQTREKITTNCYKFKIKDGSFITLRSRWFSFMNPWTKEVEYIVSTNTVVLANVLEGGDPTFPQLTAPPHSMDSMLPSGEGGPKRTHPTVPGIPGGTRAGAGKIGRMIAEEIMEIHRIRGSSPSSCGSSPLNITSTPPPDASSPGGKKILNGGTPDIPSTGLLPGQAQETPGYPYSDSSSILGENPHIGIDMIDNDQGSSSPSNDEAAMAVIMSLLEADAGLGGPVDFSDLPWPL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MADRRRQRASQDTEDEESGASGSDSGSPARGGGSCSGSVGGGGSGSLPSQRGGRGGGLHLRRVESGGAKSAEESECESEDGMEGDAVLSDYESAEDSEGEEDYSEEENSKVELKSEANDAADSSAKEKGEEKPESKGTVTGERQSGDGQESTEPVENKVGKKGPKHLDDDEDRKNPAYIPRKGLFFEHDLRGQTQEEEVRPKGRQRKLWKDEGRWEHDKFREDEQAPKSRQELIALYGYDIRSAHNPDDIKPRRIRKPRFGSSPQRDPNWIGDRSSKSHRHQGPGGNLPPRTFINRNTAGTGRMSASRNYSRSGGFKDGRTSFRPVEVAGQHGGRSAETLKHEASYRSRRLEQTPVRDPSPEPDAPLLGSPEKEEVASETPAAVPDITPPAPDRPIEKKSYSRARRTRTKVGDAVKAAEEVPPPSEGLASTATVPETTPAAKTGNWEAPVDSTTGGLEQDVAQLNIAEQSWSPSQPSFLQPRELRGVPNHIHMGAGPPPQFNRMEEMGVQSGRAKRYSSQRQRPVPEPPAPPVHISIMEGHYYDPLQFQGPIYTHGDSPAPLPPQGMIVQPEMHLPHPGLHPHQSPGPLPNPGLYPPPVSMSPGQPPPQQLLAPTYFSAPGVMNFGNPNYPYAPGALPPPPPPHLYPNTQAPPQVYGGVTYYNPAQQQVQPKPSPPRRTPQPVSIKPPPPEVVSRGSS", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MALPAGPAEAACALCQRAPREPVRADCGHRFCRACVVRFWAEEDGPFPCPECADDCWQRAVEPGRPPLSRRLLALEEAAAAPARDGPASEAALQLLCRADAGPLCAACRMAAGPEPPEWEPRWRKALRGKENKGSVEIMRKDLNDARDLHGQAESAAAVWKGHVMDRRKKALTDYKKLRAFFVEEEEHFLQEAEKEEGLPEDELADPTERFRSLLQAVSELEKKHRNLGLSMLLQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSSSSDENETTIHRTGSNTGGSGIYSQPRAGSSKRTSNVRHDVSDVDDEEEHYARFREDTAIEVDDAITVLLSSLHFEHKRDIVPTDEDDNKLRELHEKIFALITSESDVNRKRRLKKALPASNCVREQVYYLRRKPSTPPASYYHRLNAALHTIVKESFGEEYRKVATVLGLVEALAEVLILEVHTFGINETNPGEHRNIRKLIANALTNLTYGQIHSKRRLCSYDGFIRCVVRIVIESPNITQVYAGLIRNLSWNADSGMSEALQPTVHALSIAAVHAHTHRFDVTATLSALWNLAGHSVENKRTICDTPNCLKVLASLLSPDARFTSLVDSATGILKYVSQYLANTSTHLELRSLLITRMLTLLKSASFTCVTNTLGAIANLIVKDPHMQQMIRQDMAAVQQLNVLRNSNRDDIRTAVKSVLNTLNQPCSHRYGDMSHSVGGGATGMQMLSEPQLQMQTSHHAYHGTASPRLLSLRATRASPGKYIQPQAQQQLIQTPQVDQRSSSLPRHFAVQRNGFVMAQSYNQQMDQHQQQQMIYQLQQQQQIMFQTEDQAQMEHHQQIMYLQQQQQQFHQIQQQQQMQKAQEADPVPPTDDDLDIPTSTVMGTRSNSERSLGSMNPGSVMTNWNSSLDTAANSSRALSPVSYNDIPASPTMCAQVFNLPKSTESEHHQLTSQQQNTTHYSSGSANTMTRSDGATTVPMDNIITPTYAILNPILVHEQTPNGTVPRKTSEELDSPDDVLPGPSLEEEEGDYAIIGGAAQKTDDELLTRSIQSEMPTSSSTPKMKVSPRLNGFFSPTQKTTSSPAWSHPDTSPIPKSSSHRTQPNRRQDASDADRLLMESIMSEMPKSRIISPRLAGTQQYLEPEPERRSHSKNEEADRRDAFTASHEPSDHNGIDVARGSDWSPQQQLHRMESLESQASSEDSFGLTAEEPNSSTSGAAANTMRFDDEIDASLPMDCVDDDDYDYTYDHFEDYEDEEDPDATQFDDGVDAQLTIDCSMISSGSGSSQRNETTTTSRDSKALATSTPKGSASSLPGVRQATRVSTNGKSRLPVPKTNGSLVDKNPKPIIASRRPRLPPKPTLLKDKHYPEEDSIENQTRDDTIYVNAPVVEAEQERIYMNALKQQKNIEQSPSIGNGSPIAKSAIVTPYNYQKPPFTGRNNGEMSNEKSVTPNPKQMLVTIV", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MADEVALALQAAGSPSAAAAMEAASQPADEPLRKRPRRDGPGLGRSPGEPSAAVAPAAAGCEAASAAAPAALWREAAGAAASAEREAPATAVAGDGDNGSGLRREPRAADDFDDDEGEEEDEAAAAAAAAAIGYRDNLLLTDGLLTNGFHSCESDDDDRTSHASSSDWTPRPRIGPYTFVQQHLMIGTDPRTILKDLLPETIPPPELDDMTLWQIVINILSEPPKRKKRKDINTIEDAVKLLQECKKIIVLTGAGVSVSCGIPDFRSRDGIYARLAVDFPDLPDPQAMFDIEYFRKDPRPFFKFAKEIYPGQFQPSLCHKFIALSDKEGKLLRNYTQNIDTLEQVAGIQRILQCHGSFATASCLICKYKVDCEAVRGDIFNQVVPRCPRCPADEPLAIMKPEIVFFGENLPEQFHRAMKYDKDEVDLLIVIGSSLKVRPVALIPSSIPHEVPQILINREPLPHLHFDVELLGDCDVIINELCHRLGGEYAKLCCNPVKLSEITEKPPRPQKELVHLSELPPTPLHISEDSSSPERTVPQDSSVIATLVDQATNNNVNDLEVSESSCVEEKPQEVQTSRNVENINVENPDFKAVGSSTADKNERTSVAETVRKCWPNRLAKEQISKRLEGNQYLFVPPNRYIFHGAEVYSDSEDDVLSSSSCGSNSDSGTCQSPSLEEPLEDESEIEEFYNGLEDDTERPECAGGSGFGADGGDQEVVNEAIATRQELTDVNYPSDKS", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAMDQVNALCEQLVKAVTVMMDPNSTQRYRLEALKFCEEFKEKCPICVPCGLRLAEKTQVAIVRHFGLQILEHVVKFRWNGMSRLEKVYLKNSVMELIANGTLNILEEENHIKDALSRIVVEMIKREWPQHWPDMLIELDTLSKQGETQTELVMFILLRLAEDVVTFQTLPPQRRRDIQQTLTQNMERIFSFLLNTLQENVNKYQQVKTDTSQESKAQANCRVGVAALNTLAGYIDWVSMSHITAENCKLLEILCLLLNEQELQLGAAECLLIAVSRKGKLEDRKPLMVLFGDVAMHYILSAAQTADGGGLVEKHYVFLKRLCQVLCALGNQLCALLGADSDVETPSNFGKYLESFLAFTTHPSQFLRSSTQMTWGALFRHEILSRDPLLLAIIPKYLRASMTNLVKMGFPSKTDSPSCEYSRFDFDSDEDFNAFFNSSRAQQGEVMRLACRLDPKTSFQMAGEWLKYQLSTFLDAGSVNSCSAVGTGEGSLCSVFSPSFVQWEAMTLFLESVITQMFRTLNREEIPVNDGIELLQMVLNFDTKDPLILSCVLTNVSALFPFVTYRPEFLPQVFSKLFSSVTFETVEESKAPRTRAVRNVRRHACSSIIKMCRDYPQLVLPNFDMLYNHVKQLLSNELLLTQMEKCALMEALVLISNQFKNYERQKVFLEELMAPVASIWLSQDMHRVLSDVDAFIAYVGTDQKSCDPGLEDPCGLNRARMSFCVYSILGVVKRTCWPTDLEEAKAGGFVVGYTSSGNPIFRNPCTEQILKLLDNLLALIRTHNTLYAPEMLAKMAEPFTKALDMLDAEKSAILGLPQPLLELNDSPVFKTVLERMQRFFSTLYENCFHILGKAGPSMQQDFYTVEDLATQLLSSAFVNLNNIPDYRLRPMLRVFVKPLVLFCPPEHYEALVSPILGPLFTYLHMRLSQKWQVINQRSLLCGEDEAADENPESQEMLEEQLVRMLTREVMDLITVCCVSKKGADHSSAPPADGDDEEMMATEVTPSAMAELTDLGKCLMKHEDVCTALLITAFNSLAWKDTLSCQRTTSQLCWPLLKQVLSGTLLADAVTWLFTSVLKGLQMHGQHDGCMASLVHLAFQIYEALRPRYLEIRAVMEQIPEIQKDSLDQFDCKLLNPSLQKVADKRRKDQFKRLIAGCIGKPLGEQFRKEVHIKNLPSLFKKTKPMLETEVLDNDGGGLATIFEP", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSDRQRSDDESPSTSSGSSDADQRDPAAPEPEEQEERKPSATQQKKNTKLSSKTTAKLSTSAKRIQKELAEITLDPPPNCSAGPKGDNIYEWRSTILGPPGSVYEGGVFFLDITFSSDYPFKPPKVTFRTRIYHCNINSQGVICLDILKDNWSPALTISKVLLSICSLLTDCNPADPLVGSIATQYLTNRAEHDRIARQWTKRYAT", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAADVFMCSPRRPRSRGRSVLLKPQVPEDDDDSDTDEPSPPPPSGVATSARAHASAAPLPPRAGPGREEPPRRQQIIHSGHFMVSSPHREHPPKKGYDFDTVNKQTCQTYSFGKTSSCHLSIDASLTKLFECMTLAYSGKLVSPKWKNFKGLKLQWRDKIRLNNAIWRAWYMQYLEKRRNPVCHFVTPLDGSVDVDEHRRPEAITTEGKYWKSRIEIVIREYHKWRTYFKKRLQQHKDEDLSSLAQDDDMLYWHKHGDGWKTPVPMEEDSLLDTDMLMSEFSDTLFSTLSSHQPVAWPNPREIAHLGNADMIQPGLIPLQPNLDFMDTFEPFQDLFSSSRSIFGSMLPPPSSLPAADPSSPPSQGNILPNTALPPASLPNSLITSSAAPSLDPTEGQGCERTSQTVDPFIQPADFGPSEPPLSVPQPFLPVFTMTLLSPGPAPAPVPTALPLVPSPAPTLNPPTPPAFLQPQKFAGVSKSTPVITHTASATLTHDASATTFSQNQGLVITAHHPTPSSSPCALALSPVPQPPAVGPPQPHLTFIHPKPVSLTGVRHKQPPKIVPAPKPEPVSLVLKNACIAPAAFSGQPQKVIMTSAPLKREGILASTVSPSNVVIASAAITRASGVTEFLSHSTSSQPSPVSRLFSPSTVQDSLVKGEQVSLHGGSPQVPATGSSRDCPNSGQASPCPSEQSPSPQSPQNNCSGKSTDPKNVAALKNRQKHISAEQKRRFNIRMGFNTLNSLISNNSKQTSHAITLQKTMEYITKLQQERMQMQEEARRLREEIEELNTTIISCQQLLPATGVPVNCRQLDHMRDMFDEYVKSRTLQNWKFWIFSMIIKPLFESFKGMVSTSSLEEFHRTALSWLDQHCSLPVLRPMVLSTLRQLSTTTSILTDPSQLPEQASEAVTRMGKRSGES", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNFEGLDPGLAEYAPAMHSALDPVLDAHLNPSLLQNVELDPEGVALEALPVQESVHIMEGVYSELHSVVAEVGVPVSVSHFDLHEEMLWVGSHGGHATSFFGPALERYSSFQVNGSDDIRQIQSLENGILFLTKNNLKYMARGGLIIFDYLLDENEDMHSLLLTDSSTLLVGGLQNHIIEIDLNTVQETQKYAVETPGVTIMRQTNRFFFCGHTSGKVSLRDLRTFKVEHEFDAFSGSLSDFDVHGNLLAACGFSSRLTGLACDRFLKVYDLRMMRAITPLQVHVDPAFLRFIPTYTSRLAIISQSGQCQFCEPTGLANPADIFHVNPVGPLLMTFDVSASKQALAFGDSEGCVHLWTDSPEPSFNPYSRETEFALPCLVDSLPPLDWSQDLLPLSLIPVPLTTDTLLSDWPAANSAPAPRRAPPVDAEILRTMKKVGFIGYAPNPRTRLRNQIPYRLKESDSEFDSFSQVTESPVGREEEPHLHMVSKKYRKVTIKYSKLGLEDFDFKHYNKTLFAGLEPHIPNAYCNCMIQVLYFLEPVRCLIQNHLCQKEFCLACELGFLFHMLDLSRGDPCQGNNFLRAFRTIPEASALGLILADSDEASGKGNLARLIQRWNRFILTQLHQDMQELEIPQAYRGAGGSSFCSSGDSVIGQLFSCEMENCSLCRCGSETVRASSTLLFTLSYPDGSKSDKTGKNYDFAQVLKRSICLDQNTQAWCDTCEKYQPTIQTRNIRHLPDILVINCEVNSSKEADFWRMQAEVAFKMAVKKHGGEISKNKEFALADWKELGSPEGVLVCPSIEELKNVWLPFSIRMKMTKNKGLDVCNWTDGDEMQWGPARAEEEHGVYVYDLMATVVHILDSRTGGSLVAHIKVGETYHQRKEGVTHQQWYLFNDFLIEPIDKHEAVQFDMNWKVPAILYYVKRNLNSRYNLNIKNPIEASVLLAEASLARKQRKTHTTFIPLMLNEMPQIGDLVGLDAEFVTLNEEEAELRSDGTKSTIKPSQMSVARITCVRGQGPNEGIPFIDDYISTQEQVVDYLTQYSGIKPGDLDAKISSKHLTTLKSTYLKLRFLIDIGVKFVGHGLQKDFRVINLMVPKDQVLDTVYLFHMPRKRMISLRFLAWYFLDLKIQGETHDSIEDARTALQLYRKYLELSKNGTEPESFHKVLKGLYEKGRKMDWKVPEPEGQTSPKNAAVFSSVLAL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASDGASALPGPDMSMKPSAAPSPSPALPFLPPTSDPPDHPPREPPPQPIMPSVFSPDNPLMLSAFPSSLLVTGDGGPCLSGAGAGKVIVKVKTEGGSAEPSQTQNFILTQTALNSTAPGTPCGGLEGPAPPFVTASNVKTILPSKAVGVSQEGPPGLPPQPPPPVAQLVPIVPLEKAWPGPHGTTGEGGPVATLSKPSLGDRSKISKDVYENFRQWQRYKALARRHLSQSPDTEALSCFLIPVLRSLARLKPTMTLEEGLPLAVQEWEHTSNFDRMIFYEMAERFMEFEAEEMQIQNTQLMNGSQGLSPATPLKLDPLGPLASEVCQQPVYIPKKAASKTRAPRRRQRKAQRPPAPEAPKEIPPEAVKEYVDIMEWLVGTHLATGESDGKQEEEGQQQEEEGMYPDPGLLSYINELCSQKVFVSKVEAVIHPQFLADLLSPEKQRDPLALIEELEQEEGLTLAQLVQKRLMALEEEEDAEAPPSFSGAQLDSSPSGSVEDEDGDGRLRPSPGLQGAGGAACLGKVSSSGKRAREVHGGQEQALDSPRGMHRDGNTLPSPSSWDLQPELAAPQGTPGPLGVERRGSGKVINQVSLHQDGHLGGAGPPGHCLVADRTSEALPLCWQGGFQPESTPSLDAGLAELAPLQGQGLEKQVLGLQKGQQTGGRGVLPQGKEPLAVPWEGSSGAMWGDDRGTPMAQSYDQNPSPRAAGERDDVCLSPGVWLSSEMDAVGLELPVQIEEVIESFQVEKCVTEYQEGCQGLGSRGNISLGPGETLVPGDTESSVIPCGGTVAAAALEKRNYCSLPGPLRANSPPLRSKENQEQSCETVGHPSDLWAEGCFPLLESGDSTLGSSKETLPPTCQGNLLIMGTEDASSLPEASQEAGSRGNSFSPLLETIEPVNILDVKDDCGLQLRVSEDTCPLNVHSYDPQGEGRVDPDLSKPKNLAPLQESQESYTTGTPKATSSHQGLGSTLPRRGTRNAIVPRETSVSKTHRSADRAKGKEKKKKEAEEEDEELSNFAYLLASKLSLSPREHPLSPHHASGGQGSQRASHLLPAGAKGPSKLPYPVAKSGKRALAGGPAPTEKTPHSGAQLGVPREKPLALGVVRPSQPRKRRCDSFVTGRRKKRRRSQ", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPDNLYATNMSLDLSITGHSTALPQRKSRSTYRFKAANSYVDESLFGSSGVRVDQTLQWNTAPPSQTPLLWSPGEIKENKKTSSCRPKSTPAGTPRKKIQYRVKSRTPSYCDESLFGGKVEECTWDAPWVKKEDTVKIRPLLWSPSPRLVQQSSMQNAKQGPLRAVHPPETSDSPLGTHKGLGAFWKPPESDSDYSPSPFSARQRQSTPGRETVRSASCSGRVTARRGSVKMQERPPWK", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEVPPRLSHVPPPLFPSAPATLASRSLSHWRPRPPRQLAPLLPSLAPSSARQGARRAQRHVTAQQPSRLAGGAAIKGGRRRRPDLFRRHFKSSSIQRSAAAAAATRTARQHPPADSSVTMEDMNEYSNIEEFAEGSKINASKNQQDDGKMFIGGLSWDTSKKDLTEYLSRFGEVVDCTIKTDPVTGRSRGFGFVLFKDAASVDKVLELKEHKLDGKLIDPKRAKALKGKEPPKKVFVGGLSPDTSEEQIKEYFGAFGEIENIELPMDTKTNERRGFCFITYTDEEPVKKLLESRYHQIGSGKCEIKVAQPKEVYRQQQQQQKGGRGAAAGGRGGTRGRGRGQGQNWNQGFNNYYDQGYGNYNSAYGGDQNYSGYGGYDYTGYNYGNYGYGQGYADYSGQQSTYGKASRGGGNHQNNYQPY", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MILQQPLQRGPQGGAQRLPRAALGVTWGLDASSPLRGAVPMSTKRRLEEEQEPLRKQFLSEENMATHFSQLSLHNDHPYCSPPMTFSPALPPLRSPCSELLLWRYPGSLIPEALRLLRLGDTPSPPYPATPAGDIMEL", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSSESSKKRKPKVIRSDGAPAEGKRNRSDTEQEGKYYSEEAEVDLRDPGRDYELYKYTCQELQRLMAEIQDLKSRGGKDVAIEIEERRIQSCVHFMTLKKLNRLAHIRLKKGRDQTHEAKQKVDAYHLQLQNLLYEVMHLQKEITKCLEFKSKHEEIDLVSLEEFYKEAPPDISKAEVTMGDPHQQTLARLDWELEQRKRLAEKYRECLSNKEKILKEIEVKKEYLSSLQPRLNSIMQASLPVQEYLFMPFDQAHKQYETARHLPPPLYVLFVQATAYGQACDKTLSVAIEGSVDEAKALFKPPEDSQDDESDSDAEEEQTTKRRRPTLGVQLDDKRKEMLKRHPLSVMLDLKCKDDSVLHLTFYYLMNLNIMTVKAKVTTAMELITPISAGDLLSPDSVLSCLYPGDHGKKTPNPANQYQFDKVGILTLSDYVLELGHPYLWVQKLGGLHFPKEQPQQTVIADHSLSASHMETTMKLLKTRVQSRLALHKQFASLEHGIVPVTSDCQYLFPAKVVSRLVKWVTVAHEDYMELHFTKDIVDAGLAGDTNLYYMALIERGTAKLQAAVVLNPGYSSIPPVFQLCLNWKGEKTNSNDDNIRAMEGEVNVCYKELCGPWPSHQLLTNQLQRLCVLLDVYLETESHDDSVEGPKEFPQEKMCLRLFRGPSRMKPFKYNHPQGFFSHR", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPKKVWKSSTPSTYEHISSLRPKFVSRVDNVLHQRKSLTFSNVVVPDKKNNTLTSSVIYSQGSDIYEIDFAVPLQEAASEPVKDYGDAFEGIENTSLSPKFVYQGETVSKMAYLDKTGETTLLSMSKNGSLAWFKEGIKVPIHIVQELMGPATSYASIHSLTRPGDLPEKDFSLAISDFGISNDTETIVKSQSNGDEEDSILKIIDNAGKPGEILRTVHVPGTTVTHTVRFFDNHIFASCSDDNILRFWDTRTSDKPIWVLGEPKNGKLTSFDCSQVSNNLFVTGFSTGIIKLWDARAAEAATTDLTYRQNGEDPIQNEIANFYHAGGDSVVDVQFSATSSSEFFTVGGTGNIYHWNTDYSLSKYNPDDTIAPPQDATEESQTKSLRFLHKGGSRRSPKQIGRRNTAAWHPVIENLVGTVDDDSLVSIYKPYTEESE", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPRSKRSKLVTLAQTDKKGRENKERIFDEVREALDTYRYVWVLHLDDVRTPVLQEIRTSWAGSKLIMGKRKVLQKALGEKREEEYKENLYQLSKLCSGVTGLLFTDEDVNTVKEYFKSYVRSDYSRPNTKAPLTFTIPEGIVYSRGGQIPAEEDVPMIHSLEPTMRNKFEIPTKIKAGKITIDSPYLVCTEGEKLDVRQALILKQFGIAASEFKVKVSAYYDNDSSTVESTNINME", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAGENHQWQGSILYNMLMSAKQTRAAPEAPETRLVDQCWGCSCGDEPGVGREGLLGGRNVALLYRCCFCGKDHPRQGSILYSMLTSAKQTYAAPKAPEATLGPCWGCSCGSDPGVGRAGLPGGRPVALLYRCCFCGEDHPRQGSILYSLLTSSKQTHVAPAAPEARPGGAWWDRSYFAQRPGGKEALPGGRATALLYRCCFCGEDHPQQGSTLYCVPTSTNQAQAAPEERPRAPWWDTSSGALRPVALKSPQVVCEAASAGLLKTLRFVKYLPCFQVLPLDQQLVLVRNCWASLLMLELAQDRLQFETVEVSEPSMLQKILTTRRRETGGNEPLPVPTLQHHLAPPAEARKVPSASQVQAIKCFLSKCWSLNISTKEYAYLKGTVLFNPDVPGLQCVKYIQGLQWGTQQILSEHTRMTHQGPHDRFIELNSTLFLLRFINANVIAELFFRPIIGTVSMDDMMLEMLCTKI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMAAALGPPEVIAQLENAAKVLMAPPSMVNNEQRQHAEHIFLSFRKSKSPFAVCKHILETSKVDYVLFQAATAIMEAVVREWILLEKGSIESLRTFLLTYVLQRPNLQKYVREQILLAVAVIVKRGSLDKSIDCKSIFHEVSQLISSGNPTVQTLACSILTALLSEFSSSSKTSNIGLSMEFHGNCKRVFQEEDLRQIFMLTVEVLQEFSRRENLNAQMSSVFQRYLALANQVLSWNFLPPNLGRHYIAMFESSQNVLLKPTESWRETLLDSRVMELFFTVHRKIREDSDMAQDSLQCLAQLASLHGPIFPDEGSQVDYLAHFIEGLLNTINGIEIEDSEAVGISSIISNLITVFPRNVLTAIPSELFSSFVNCLTHLTCSFGRSAALEEVLDKDDMVYMEAYDKLLESWLTLVQDDKHFHKGFFTQHAVQVFNSYIQCHLAAPDGTRNLTANGVASREEEEISELQEDDRDQFSDQLASVGMLGRIAAEHCIPLLTSLLEERVTRLHGQLQRHQQQLLASPGSSTVDNKMLDDLYEDIHWLILVTGYLLADDTQGETPLIPPEIMEYSIKHSSEVDINTTLQILGSPGEKASSIPGYNRTDSVIRLLSAILRVSEVESRAIRADLTHLLSPQMGKDIVWFLKRWAKTYLLVDEKLYDQISLPFSTAFGADTEGSQWIIGYLLQKVISNLSVWSSEQDLANDTVQLLVTLVERRERANLVIQCENWWNLAKQFASRSPPLNFLSSPVQRTLMKALVLGGFAHMDTETKQQYWTEVLQPLQQRFLRVINQENFQQMCQQEEVKQEITATLEALCGIAEATQIDNVAILFNFLMDFLTNCIGLMEVYKNTPETVNLIIEVFVEVAHKQICYLGESKAMNLYEACLTLLQVYSKNNLGRQRIDVTAEEEQYQDLLLIMELLTNLLSKEFIDFSDTDEVFRGHEPGQAANRSVSAADVVLYGVNLILPLMSQDLLKFPTLCNQYYKLITFICEIFPEKIPQLPEDLFKSLMYSLELGMTSMSSEVCQLCLEALTPLAEQCAKAQETDSPLFLATRHFLKLVFDMLVLQKHNTEMTTAAGEAFYTLVCLHQAEYSELVETLLSSQQDPVIYQRLADAFNKLTASSTPPTLDRKQKMAFLKSLEEFMANVGGLLCVK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPPKKGGDGIKPPPIIGRFGTSLKIGIVGLPNVGKSTFFNVLTNSQASAENFPFCTIDPNESRVPVPDERFDFLCQYHKPASKIPAFLNVVDIAGLVKGAHNGQGLGNAFLSHISACDGIFHLTRAFEDDDITHVEGSVDPIRDIEIIHEELQLKDEEMIGPIIDKLEKVAVRGGDKKLKPEYDIMCKVKSWVIDQKKPVRFYHDWNDKEIEVLNKHLFLTSKPMVYLVNLSEKDYIRKKNKWLIKIKEWVDKYDPGALVIPFSGALELKLQELSAEERQKYLEANMTQSALPKIIKAGFAALQLEYFFTAGPDEVRAWTIRKGTKAPQAAGKIHTDFEKGFIMAEVMKYEDFKEEGSENAVKAAGKYRQQGRNYIVEDGDIIFFKFNTPQQPKKK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MANPKEKTPVCLVNELARFHSIQPQYKLLNESGPAHSKMFSVQLSLGEQTWESEGSSIKKAQQAVANKALTESTLPKPVQKPPKSNVNNNPGSITPTVELNGLAMKRGEPAIYRPLDPKPFPNYRANYNFRGMYNQRYHCPMPKIFYVQLTVGNNEFFGEGKTRQAARHNAAMKALQALQNEPIPEKSPQNGESGKEMDDDKDANKSEISLVFEIALKRNMPVSFEVIKESGPPHMKSFVTRVSVGEFSAEGEGNSKKLSKKRAATTVLQELKKLPPLPVVEKPKLFFKKRPKTIVKAGPDYGQGMNPISRLAQIQQARKEKEPDYILLSERGMPRRREFVMQVKVGNEVATGTGPNKKIAKKNAAEAMLLQLGYKASTSLQDPLDKTGENKGWSGPKPGFPEPTNNTPKGILHLSPDVYQEMEASRHRVTSGTTLSYLSPKDMNQPSSSFFSVSPSSTSSATVARELLMNGTSPTAEAIGLKGSSPTSPCSSVQPSKQLEYLARIQGFQAALSALKQFSEQGLESIDGAVNVEKGSLEKQAKHLREKADNNQAKPASISQDCKKSKSAI", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAEMKSPTKAEPATPAEAAQSDRHSLLEHSREFLDFFWDIAKPDQETRLRATEKLLEYLRTRPNDSEMKYALKRLITGLGVGREAARPCYSLALAQLLQSFEDIPLCDILDQIQEKYSLQAMNKAMMRPSLFANLFGVLALFQSGRLVKDKEALMKSVQLLKILSQHPNHLQGQPIKALVDILSEVPESMFQEILPKVLKGNMKVILRSPKYLELFLLAKQRVPTKLESLMGSVDLFSEDNIPSLVNILKVAANSVKKEHKLPNVALDLLRLALKESRFELFWKKVLEEGLLKNPSWTSSYMCFRLLGASLPLLSEEQLQLVMRGDLIRHFGENMVISKPQNLFKIIPEISTYVGTFLEGCQDDPKRQLTMMVAFTTITNQGLPVMPTFWRVTRFLNAEALQSYVAWLRDMFLQPDLNSLVDFSTANQKRAQDASLNVPERAVFRLRKWIIHRLVSLVDHLHLEKDEAVVEQIARFCLFHAFFKTKKATPQIPETKQHFSFPLDDRNRGVFVSAFFSLLQTLSVKFRQTPDLAENGKPWTYRLVQLADMLLNHNRNVTSVTSLTTQQRQAWDQMMSTLKELEARSSETRAIAFQHLLLLVGLHIFKSPAESCDVLGDIQTCIKKSMEQNPRRSRSRAKASQEPVWVEVMVEILLSLLAQPSNLMRQVVRSVFGHICPHLTPRCLQLILAVLSPVTNEDEDDNVVVTDDADEKQLQHGEDEDSDNEDNKNSESDMDSEDGEESEEEDRDKDVDPGFRQQLMEVLKAGNALGGVDNEEEEELGDEAMMALDQNLASLFKEQKMRIQARNEEKNKLQKEKKLRRDFQIRALDLIEVLVTKQPEHPLILELLEPLLNVIQHSMRSKGSTKQEQDLLHKTARIFMHHLCRARRYCHEVGPCAEALHAQVERLVQQAGSQADASVALYYFNASLYLLRVLKGNTNKRHQDGHKLHGADTEDSEDQAANCLDLDFVTRVYSASLESLLTKRNSSLTVPMFLSLFSRYPVICKNLLPVLAQHVAGPSRPRHQAQACLMLQKTLSARELRVCFEDPEWEQLITQLLGKATQTLQTLGEAQSKGEHQKELSILELLNTLLRTVNHEKLSVDLTAPLGVLQSKQQKLQQSLQQGNHSSGSNRLYDLYWQAMRMLGVQRPKSEKKNAKDIPSDTQSPVSTKRKKKGFLPETKKRKKLKSEGTTPEKNAASQQDAVTEGAMPAATGKDQPPSTGKKKRKRVKASTPSQVNGITGAKSPAPSNPTLSPSTPAKTPKLQKKKEKLSQVNGATPVSPIEPESKKHHQEALSTKEVIRKSPHPQSALPKKRARLSLVSRSPSLLQSGVKKRRVASRRVQTP", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTRRRSRPSGGAGRRERARAAGPQKPQAPEPPPPPSLEAGAGAGPPEAPAEPDHDGPREDDEPNLVPGPQVPPASSQPVQTCCLLCHRERKGWEEGPSQNGLVLQGEKLPPDFMPKLVKNLLGEMPLWVCQSCRKSMEEDERQTGREHAVAISLSHTSCKSQSCGDDSHSSSSSSSSSSSSSSSSCPGNSGDWDPSSFLSAHKLSGLWNSPHSSGAMPGSSLGSPPTIPGEAFPVSEHHQHSDLTAPPNSPTGHHPQPASLIPSHPSSFGSPPHPHLLPTTPAAPFPAQASECPVAAATAPHTPGPCQSSHLPSTSMPLLKMPPPFSGCSHPCSGHCGGHCSGPLLPPPSSQPLPSTHRDPGCKGHKFAHSGLACQLPQPCEADEGLGEEEDSSSERSSCTSSSTHQRDGKFCDCCYCEFFGHNAPPAAPTSRNYTEIREKLRSRLTRRKEELPMKGGTLGGIPGEPAVDHRDVDELLEFINSTEPKVPNSARAAKRARHKLKKKEKEKAQLAAEALKQANRVSGSREPRPARERLLEWPDRELDRVNSFLSSRLQEIKNTVKDSIRASFSVCELSMDSNGFSKEGAAEPEPQSLPPSNLSGSSEQQPDINLDLSPLTLGSPQNHTLQAPGEPAPPWAEMRGPHPPWTEVRGPPPGIVPENGLVRRLNTVPNLSRVIWVKTPKPGYPSSEEPSSKEVPSCKQELPEPVSSGGKPQKGKRQGSQAKKSEASPAPRPPASLEVPSAKGQVAGPKQPGRVLELPKVGSCAEAGEGSRGSRPGPGWAGSPKTEKEKGSSWRNWPGEAKARPQEQESVQPSGPARPQSLPQGKGRSRRSRNKQEKPASSLDDVFLPKDMDGVEMDETDREVEYFKRFCLDSAKQTRQKVAVNWTNFSLKKTTPSTAQ", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKTRQNKDSMSMRSGRKKEAPGPREELRSRGRASPGGVSTSSSDGKAEKSRQTAKKARVEETSTPKANKQGRSEEISESESEETSAPKKTKTEELPRPQSPSDLDSLDGRSINDDGSSDPRDIDQDNRSTSPSIYSPGSVENDSDSSSGLSQGPARPYHPPPLFPPSPPPPDSIPRQPESGFEPHPSVPPTGYHAPMEPPTSRLFQGPPPGAPPPHPQLYPGSAGGGVLSGPPMGPKGGAAASSVGPPSGGKQHPPPTTPIPISSSGASGAPPAKPPNTPVGAGNLPSAPPPATFPHVTPNLPPPPALRPLNNASASPPGMGAQPIPGHLPSPHAMGQGMSGLPPGPEKGPTLAPSPHPLPPASSSAPGPPMRYPYSSCSSSSVAASSSSSAATSQYPASQTLPSYPHSFPPPTSMSVSNQPPKYTQPSLPSQAVWSQGPPPPPPPYGRLLPNNNTHPGPFPPTGGQSTAHPPAPAHHHHQQQQQPQPQPQPQQHHHGNSGPPPPGAYPHPLESSNSHHAHPYNMSPSLGSLRPYPPGPAHLPPSHGQVSYSQAGPNGPPVSSSSNSSGSSSQAAYSCSHPSSSQGPQGASYPFPPVPPITTSSATLSTVIATVASSPAGYKTASPPGPPQYSKRAPSPGSYKTATPPGYKPGSPPSFRTGTPPGYRGTSPPAGPGTFKPGSPTVGPGPLPPAGPSSLSSLPPPPAAPTTGPPLTATQIKQEPAEEYETPESPVPPARSPSPPPKVVDVPSHASQSARFNKHLDRGFNSCARSDLYFVPLEGSKLAKKRADLVEKVRREAEQRAREEKEREREREREKEREREKERELERSVKLAQEGRAPVECPSLGPVPHRPPFEPGSAVATVPPYLGPDTPALRTLSEYARPHVMSPGNRNHPFYVPLGAVDPGLLGYNVPALYSSDPAAREREREARERDLRDRLKPGFEVKPSELEPLHGVPGPGLDPFPRHGGLALQPGPPGLHPFPFHPSLGPLERERLALAAGPALRPDMSYAERLAAERQHAERVAALGNDPLARLQMLNVTPHHHQHSHIHSHLHLHQQDAIHAASASVHPLIDPLASGSHLTRIPYPAGTLPNPLLPHPLHENEVLRHQLFAAPYRDLPASLSAPMSAAHQLQAMHAQSAELQRLALEQQQWLHAHHPLHSVPLPAQEDYYSHLKKESDKPL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKFRAKIVDGACLNHFTRISNMIAKLAKTCTLRISPDKLNFILCDKLANGGVSMWCELEQENFFNEFQMEGVSAENNEIYLELTSENLSRALKTAQNARALKIKLTNKHFPCLTVSVELLSMSSSSRIVTHDIPIKVIPRKLWKDLQEPVVPDPDVSIYLPVLKTMKSVVEKMKNISNHLVIEANLDGELNLKIETELVCVTTHFKDLGNPPLASESTHEDRNVEHMAEVHIDIRKLLQFLAGQQVNPTKALCNIVNNKMVHFDLLHEDVSLQYFIPALS", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNESKPGDSQNLACVFCRKHDDCPNKYGEKKTKEKWNLTVHYYCLLMSSGIWQRGKEEEGVYGFLIEDIRKEVNRASKLKCCVCKKNGASIGCVAPRCKRSYHFPCGLQRECIFQFTGNFASFCWDHRPVQIITSNNYRESLPCTICLEFIEPIPSYNILRSPCCKNAWFHRDCLQVQAINAGVFFFRCTICNNSDIFQKEMLRMGIHIPEKDASWELEENAYQELLQHYERCDVRRCRCKEGRDYNAPDSKWEIKRCQCCGSSGTHLACSSLRSWEQNWECLECRGIIYNSGEFQKAKKHVLPNSNNVGITDCLLEESSPKLPRQSPGSQSKDLLRQGSKFRRNVSTLLIELGFQIKKKTKRLYINKANIWNSALDAFRNRNFNPSYAIEVAYVIENDNFGSEHPGSKQEFLSLLMQHLENSSLFEGSLSKNLSLNSQALKENLYYEAGKMLAISLVHGGPSPGFFSKTLFNCLVYGPENTQPILDDVSDFDVAQIIIRINTATTVADLKSIINECYNYLELIGCLRLITTLSDKYMLVKDILGYHVIQRVHTPFESFKQGLKTLGVLEKIQAYPEAFCSILCHKPESLSAKILSELFTVHTLPDVKALGFWNSYLQAVEDGKSTTTMEDILIFATGCSSIPPAGFKPTPSIECLHVDFPVGNKCNNCLAIPITNTYKEFQENMDFTIRNTLRLEKEESSHYIGH", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSQGPPTGESSEPEAKVLHTKRLYRAVVEAVHRLDLILCNKTAYQEVFKPENISLRNKLRELCVKLMFLHPVDYGRKAEELLWRKVYYEVIQLIKTNKKHIHSRSTLECAYRTHLVAGIGFYQHLLLYIQSHYQLELQCCIDWTHVTDPLIGCKKPVSASGKEMDWAQMACHRCLVYLGDLSRYQNELAGVDTELLAERFYYQALSVAPQIGMPFNQLGTLAGSKYYNVEAMYCYLRCIQSEVSFEGAYGNLKRLYDKAAKMYHQLKKCETRKLSPGKKRCKDIKRLLVNFMYLQSLLQPKSSSVDSELTSLCQSVLEDFNLCLFYLPSSPNLSLASEDEEEYESGYAFLPDLLIFQMVIICLMCVHSLERAGSKQYSAAIAFTLALFSHLVNHVNIRLQAELEEGENPVPAFQSDGTDEPESKEPVEKEEEPDPEPPPVTPQVGEGRKSRKFSRLSCLRRRRHPPKVGDDSDLSEGFESDSSHDSARASEGSDSGSDKSLEGGGTAFDAETDSEMNSQESRSDLEDMEEEEGTRSPTLEPPRGRSEAPDSLNGPLGPSEASIASNLQAMSTQMFQTKRCFRLAPTFSNLLLQPTTNPHTSASHRPCVNGDVDKPSEPASEEGSESEGSESSGRSCRNERSIQEKLQVLMAEGLLPAVKVFLDWLRTNPDLIIVCAQSSQSLWNRLSVLLNLLPAAGELQESGLALCPEVQDLLEGCELPDLPSSLLLPEDMALRNLPPLRAAHRRFNFDTDRPLLSTLEESVVRICCIRSFGHFIARLQGSILQFNPEVGIFVSIAQSEQESLLQQAQAQFRMAQEEARRNRLMRDMAQLRLQLEVSQLEGSLQQPKAQSAMSPYLVPDTQALCHHLPVIRQLATSGRFIVIIPRTVIDGLDLLKKEHPGARDGIRYLEAEFKKGNRYIRCQKEVGKSFERHKLKRQDADAWTLYKILDSCKQLTLAQGAGEEDPSGMVTIITGLPLDNPSVLSGPMQAALQAAAHASVDIKNVLDFYKQWKEIG", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEECPICLADDQEGEQFGCLNVCGHKFHLNCIREWHKYSINLKCPICRVESTHLEVGEGQHALSINLKMGFMIKNAIDYVGAETTNERNEDDTGEQDQEIEFLSERLRGTLVMDTIKIIQCSICGDTDVSRLSLYCQDCEAIYHETCLRGLACEVGDRNTWQECTDCRSNALLELRMGAISSQLASYDSRNSMIFAGELRDKHSVKTQQMYEQIRNAKHKIQMHVRRALDRYPLPLLRFKDAYKHVNKQVSRKLYRLSDNKYLPDQYDYDSLARTGVHTELLIYCHDE", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAQWNQLQQLDTRYLEQLHQLYSDSFPMELRQFLAPWIESQDWAYAASKESHATLVFHNLLGEIDQQYSRFLQESNVLYQHNLRRIKQFLQSRYLEKPMEIARIVARCLWEESRLLQTAATAAQQGGQANHPTAAVVTEKQQMLEQHLQDVRKRVQDLEQKMKVVENLQDDFDFNYKTLKSQGDMQDLNGNNQSVTRQKMQQLEQMLTALDQMRRSIVSELAGLLSAMEYVQKTLTDEELADWKRRQQIACIGGPPNICLDRLENWITSLAESQLQTRQQIKKLEELQQKVSYKGDPIVQHRPMLEERIVELFRNLMKSAFVVERQPCMPMHPDRPLVIKTGVQFTTKVRLLVKFPELNYQLKIKVCIDKDSGDVAALRGSRKFNILGTNTKVMNMEESNNGSLSAEFKHLTLREQRCGNGGRANCDASLIVTEELHLITFETEVYHQGLKIDLETHSLPVVVISNICQMPNAWASILWYNMLTNNPKNVNFFTKPPIGTWDQVAEVLSWQFSSTTKRGLSIEQLSTLAEKLLGPGVNYSGCQITWAKFCKENMAGKGFSFWVWLDNIIDLVKKYILALWNEGYIMGFISKERERAILSTKPPGTFLLRFSESSKEGGVTFTWVEKDISGKTQIQSVEPYTKQQLNNMSFAEIIMGYKIMDATNILVSPLVYLYPDIPKEEAFGKYCRPESQEHPEADPGSAAPYLKTKFICVTPTTCSNTIDLPMSPRTLDSLMQFGNNGEGAEPSAGGQFESLTFDMELNSECATSPM", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSKDKDIKVTPGTCELVEQILALLSRYLSSYIHVLNKFISHLRRVATLRFERTTLIKFVKKLRFYNDCVLSYNASEFINEGKNELDPEADSFDKVILPIASMFVKCVETFDLLNYYLTQSLQKEILSKTLNEDLTLTAESILAIDDTYNHFVKFSQWMIESLRIGSNLLDLEVVQFAIKCADEDGTNIGETDNIFLQEILPVNSEEEFQTLSAAWHSILDGKLSALDEEFDVVATKWHDKFGKLKN", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSPLPLRDPSHQANAGPRLVEPSCGPGVSLSNRTLCHPSWPMYDNWGRSPTTSERPEEEQVVSKDTGVPVRNYEDVFLLDPLLPCGQRVPLILTKPPQQAMDSRKLLLPPPIMSPSVHPSSSQACSSTWLSEAEMIALAGLLQMSQGEQTPNCVASSLPSTSCPDPVSVSEDPGPSGDQSCSGTDT", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKLQAVMETLLQRQQRARQELEARQQLPPDPPAAPPGRARAAPDEDREPESARMQRAQMAALAAMRAAAAGLGHPASPGGSEDGPPGSEEEDAAREGTPGSPGRGREGPGEEHFEDMASDEDMKPKWEEEEMEEDLGEDEEEEEEDYEDEEEEEDEEGLGPPGPASLGTTALFPRKAQPPQAFRGDGVPRVLGGQERPGPGPAHPGGAAHVAPQLQPPDHGDWTYEEQFKQLYELDGDPKRKEFLDDLFSFMQKRGTPVNRIPIMAKQVLDLFMLYVLVTEKGGLVEVINKKLWREITKGLNLPTSITSAAFTLRTQYMKYLYPYECEKRGLSNPNELQAAIDSNRREGRRQSFGGSLFAYSPGGAHGMLSSPKLPVSSLGLAASTNGSSITPAPKIKKEEDSAIPITVPGRLPVSLAGHPVVAAQAAAVQAAAAQAAVAAQAAALEQLREKLESAEPPEKKMALVADEQQRLMQRALQQNFLAMAAQLPMSIRINSQASESRQDSAVNLTGTNGSNSISMSVEINGIMYTGVLFAQPPAPTPTSAPNKGGGGGGGSSSNAGGRGGNTGTSGGQAGPAGLSTPSTSTSNNSLP", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MADFAGPSSAGRKAGAPRCSRKAAGTKQTSTLKQEDASKRKAELEAAVRKKIEFERKALHIVEQLLEENITEEFLMECGRFITPAHYSDVVDERSIVKLCGYPLCQKKLGIVPKQKYKISTKTNKVYDITERKSFCSNFCYQASKFFEAQIPKTPVWVREEERHPDFQLLKEEQSGHSGEEVQLCSKAIKTSDIDNPSHFEKQYESSSSSTHSDSSSDNEQDFVSSILPGNRPNSTNIRPQLHQKSIMKKKAGHKANSKHKDKEQTVVDVTEQLGDCKLDSQEKDATCELPLQKVNTQSSSNSTLPERLKASENSESEYSRSEITLVGISKKSAEHFKRKFAKSNQVSRSVSSSVQVCPEVGKRNLLKVLKETLIEWKTEETLRFLYGQNYASVCLKPEASLVKEELDEDDIISDPDSHFPAWRESQNSLDESLPFRGSGTAIKPLPSYENLKKETEKLNLRIREFYRGRYVLGEETTKSQDSEEHDSTFPLIDSSSQNQIRKRIVLEKLSKVLPGLLVPLQITLGDIYTQLKNLVRTFRLTNRNIIHKPAEWTLIAMVLLSLLTPILGIQKHSQEGMVFTRFLDTLLEELHLKNEDLESLTIIFRTSCLPE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFGTVNNYLSGVLHAAQDLDGESLATYLSLRDVHVQNHNLYIAQPEKLVDRFLKPPLDEVVSAHLKVLYHLAQEPPGYMEAYTQQSAACGAVVRLLQQLKDENWCLPLMYRVCLDLRYLAQACEKHCQGFTPGHVLEKAADCIMACFRVCAADGRASEEDTKRLGMMNLVNQLFKIYFRINKLHLCKPLIRAIDNCIFKDSFPLPEQITYKYFVGRRAMFDSNYQAAVQYLSYAFSNCPDRFASNKRLILIYLVPVKMLLGYLPSKSLLQRYDLLLFLDLAMAMKAGNVNRFDEIVRDQELVLIRSGIYLLVEKLKFLVYRNLFKKVFVIRKSHQLDMGDFLSALHFVGLTDVSLDETHCIVANLIYDGKIKGYISHAHNKLVVSKQNPFPSVSL", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDWDQDRSNTELRKEKSRDAARSRRSQETEVLYQLAHTLPFARGVSAHLDKASIMRLTISYLRMHRLCAAGEWNQVEKGGEPLDACYLKALEGFVMVLTAEGDMAYLSENVSKHLGLSQLELIGHSIFDFIHPCDQEELQDALTPRPNLSKKKLEAPTERHFSLRMKSTLTSRGRTLNLKAATWKVLHCSGHMRAYKPPAQTSPAGSPRSEPPLQCLVLICEAIPHPASLEPPLGRGAFLSRHSLDMKFTYCDERIAEVAGYSPDDLIGCSAYEYIHALDSDAVSRSIHTLLSKGQAVTGQYRFLARTGGYLWTQTQATVVSGGRGPQSESIICVHFLISRVEETGVVLSLEQTEQHTRRPPRLSASSQKGIPGNSVDSPAPRILAFLHPPALSEASLAADPRRFCSPDLRRLMAPILDGPPPAATPSTPQATRRPQSPLPADLPDKLTVGLENAHRLSTAQKNKTVETDLDIAQDPDTLDLEMLAPYISMDDDFQLNSSEQLPKVHRRPPRVARRPRARSFHGLSPPIPEPSLLPRWGSDPRLNCSSPSRGDRPTASLMPGTRKRALAQSSEDKGLELLETKPPKRSPRLEPGSFLLPPLSLSFLLQGRQLPGNQQDPRAPLVHSHEPLGLAPSLLSLCQHEETVQPRNRFPPAAGLGQTH", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MALGLQRVRSNTELRKEKSRDAARSRRSQETEVLYQLAHTLPFARGVSAHLDKASIMRLTISYLRMHRLCAAGGKRGRATGRLLPEGPGGFRHGTHRRGRHGLPVGKCQQAPGPQSVDLCSSSLIHNPTPGTNFSLELIGHSIFDFIHPCDQEELQDALTPRPNLSKKKLEAPTERHFSLRMKSTLTSRGRTLNLKAATWKVLHCSGHMRAYKPPAQTSPAGSPRSEPPLQCLVLICEAIPQLPFHDGATLGLPQEKTPISTLFTPLWKALLCLVKRWPVQVLQGKGTESSLPSWVLWALNRKNCPG", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSDEGKLFIGGLNFDTNEESLEQVFSKYGQISEVVVVKDRETKRSRGFGFVTFENPDDAKDAMMAMNGKAVDGRQIRVDQAGKSSGDRRGGYRGGSSGGRGFFRGGRGRGGGDRGYGSSRFDNRSGGYGGSSGSRDYYSSGRSQGSYGDRAGGSYRDSYDSYATHE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGPPPPPPPPPLLPSGEILPSRKWKTEDAPRRNNHPAPAPPKPSRPTVDASALQHAAARLRKTGYNEPVRGDVENLSDGRLDRPHQQLPDGDRTYRANLQQLAQPKTRAEIPSPPTYSNQPRPLGDFHRDPNALSQFQQSREALLSSTSPTSNYSPINKFSSSTLTQYANKSPSPPSFGNSNSEATYVSPYSSKHSYPTNFRSYHKDDDYFNNTATTATTTTSSNSLNENNNSNKYGNKETVLQWSEPYDPSKIRRSQSPIRNAREMIHEYSTTNYVTEVQQPPPPPPDLYQRMTQARTFLQNSLAKQLRDEGLTESQKAANRNQTGALSASSSIPFDASQIVKNSYNGDEVDHLVHQMRTKLNQPADTSPSIVQYPRRQAPDSSRANYSATTSTSFSSSTTRKIMNINICVGCGKEITGDQPGCNAMNQIFHVDCFKCGQCSKTLAGASFYNIDDKPTCEGCYQNSLEKCTACNRAISDKLLRACGGVYHVNCFVCFSCKKSLDGIPFTLDKDNNVHCVPCFHDKFAPRCALCSKPIVPQDGEKESVRVVAMDKSFHVDCYKCEDCGMQLSSKLEGQGCYPIDNHLLCKTCNGNRLRVVSST", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSVNSEKSSSSERPEPQQKAPLVPPPPPPPPPPPLPDPAPPEPEEEILGSDDEEQEDPADYCKGGYHPVKIGDLFNGRYHVIRKLGWGHFSTVWLCWDMQGKRFVAMKVVKSAQHYTETALDEIKLLKCVRESDPSDPNKDMVVQLIDDFKISGMNGIHVCMVFEVLGHHLLKWIIKSNYQGLPVRCVKSIIRQVLQGLDYLHSKCKIIHTDIKPENILMCVDDAYVRRMAAEATEWQKAGAPPPSGSAVSTAPQQKPIGKISKNKKKKLKKKQKRQAELLEKRLQEIEELEREAERKILEENITSAEASGEQDGEYQPEVTLKAADLEDTTEEETAKDNGEVEDQEEKEDAEKENAEKDEDDVEQELANLDPTWVESPKANGHIENGPFSLEQQLEDEEDDEDDCANPEEYNLDEPNAESDYTYSSSYEQFNGELPNGQHKTSEFPTPLFSGPLEPVACGSVISEGSPLTEQEESSPSHDRSRTVSASSTGDLPKTKTRAADLLVNPLDPRNADKIRVKIADLGNACWVHKHFTEDIQTRQYRSIEVLIGAGYSTPADIWSTACMAFELATGDYLFEPHSGEDYSRDEDHIAHIIELLGSIPRHFALSGKYSREFFNRRGELRHITKLKPWSLFDVLVEKYGWPHEDAAQFTDFLIPMLEMVPEKRASAGECLRHPWLNS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPSGSSAALALAAAPAPLPQPPPPPPPPPPPLPPPSGGPELEGDGLLLRERLAALGLDDPSPAEPGAPALRAPAAAAQGQARRAAELSPEERAPPGRPGAPEAAELELEEDEEEGEEAELDGDLLEEEELEEAEEEDRSSLLLLSPPAATASQTQQIPGGSLGSVLLPAARFDAREAAAAAAAAGVLYGGDDAQGMMAAMLSHAYGPGGCGAAAAALNGEQAALLRRKSVNTTECVPVPSSEHVAEIVGRQGCKIKALRAKTNTYIKTPVRGEEPIFVVTGRKEDVAMAKREILSAAEHFSMIRASRNKNGPALGGLSCSPNLPGQTTVQVRVPYRVVGLVVGPKGATIKRIQQQTHTYIVTPSRDKEPVFEVTGMPENVDRAREEIEMHIAMRTGNYIELNEENDFHYNGTDVSFEGGTLGSAWLSSNPVPPSRARMISNYRNDSSSSLGSGSTDSYFGSNRLADFSPTSPFSTGNFWFGDTLPSVGSEDLAVDSPAFDSLPTSAQTIWTPFEPVNPLSGFGSDPSGNMKTQRRGSQPSTPRLSPTFPESIEHPLARRVRSDPPSTGNHVGLPIYIPAFSNGTNSYSSSNGGSTSSSPPESRRKHDCVICFENEVIAALVPCGHNLFCMECANKICEKRTPSCPVCQTAVTQAIQIHS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASEEASLRALESLMSEFFHNGTSNERKREIESLLNNFAQQLGAWRFCFYFLSQSQNDYVLMYSLSVFENMINKMWLGVPSQEKMEIRNSLPKLLLSQHKSLPSFICNKLCKVIVDMGRQDWPMFYHDFFTNILQLIQTPSTTPLGLIMLKTASEELACPREDLIVARKEELRKLLLEQVPTVLDLLTGVLESIWDKHSITAATPPPSPTASDTDDLLSNLIHTPNLTKQLSQPPPSLEAESERVCALALECLSHLFSWIPLSASITPSLLTTIFQFARLGCDARSRQTNSVTTNTTASVVNGRSSSPPTAPARDLARLGVLAMSCINELMCKNCVPLEFQEYLLRVCQQTFYLLQRITRETNAHSVRSRFEELDESYVEKFTDFLRLFVSVHLRRIESNAQFPLLEFLTLLFKYTFHQPTREGYLSCLDIWAQFLDYLTNKIRNRLEDRDAIIGRYEDALVLLLNEVLNRIQFRYNQTQLEELDDETLDDDQQTEWQRYLRHSLEVVAKIMDLLPTHAFSKLFAALQENLNVYLGLQRCLVTNGNDQRLNVTAENDCRRLHCSLRDLSSLLQAVGRLAEYFIGDMFGARFNDALTVVERLVEVTLYGSRIKLYNMETAVPSVLKPDLIDVHAQSLAALQAYSHWLARYYSEVQRQNPEQFISIISTAMEALPPLISTKVQEKLLLSACHLLVSIATTVRPMFLLNIPSVQKVFSRVTDSSAQRLPEEAQVLLCRALSNVLLLPWPNVPEGEQQWAERSSHHSNLLNALTRDYRLLKGSSLPQRKGQLEATKRVICQTLGVLRDIVENISGEGTKSRQICYQSLQESAQLSLTLFPAYIHQSDVTEEMLSFFLALFQGLRVQMGAPFTEQIIQTFLNMFTREQLAESILQEGSAGCHVVEKFLKILQVVVQEPGQSFKPFLPSILSLCMEQLYPIIAERPSPDVKAELFELLFQLLHHNWRYFYRSSVLASVHRDGSDEPMENQAQFIVVMQAFGQSFLQPDIHIFRQNLSYLETLNSKHKLYHKKLFQTGMLPQFVSVLLQVLIHKSHDLLQEEIGIAVYNMASVDFSTFFSTFLPEFLTGCQGLDTSQKSVLARNFKMERDLPSFTQSVHRLVNDLRYYRLCNDSLPPGTVKL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MADEAALALQPGGSPSAAGADREAASSPAGEPLRKRPRRDGPGLERSPGEPGGAAPEREVPAAARGCPGAAAAALWREAEAEAAAAGGEQEAQATAAAGEGDNGPGLQGPSREPPLADNLYDEDDDDEGEEEEEAAAAAIGYRDNLLFGDEIITNGFHSCESDEEDRASHASSSDWTPRPRIGPYTFVQQHLMIGTDPRTILKDLLPETIPPPELDDMTLWQIVINILSEPPKRKKRKDINTIEDAVKLLQECKKIIVLTGAGVSVSCGIPDFRSRDGIYARLAVDFPDLPDPQAMFDIEYFRKDPRPFFKFAKEIYPGQFQPSLCHKFIALSDKEGKLLRNYTQNIDTLEQVAGIQRIIQCHGSFATASCLICKYKVDCEAVRGDIFNQVVPRCPRCPADEPLAIMKPEIVFFGENLPEQFHRAMKYDKDEVDLLIVIGSSLKVRPVALIPSSIPHEVPQILINREPLPHLHFDVELLGDCDVIINELCHRLGGEYAKLCCNPVKLSEITEKPPRTQKELAYLSELPPTPLHVSEDSSSPERTSPPDSSVIVTLLDQAAKSNDDLDVSESKGCMEEKPQEVQTSRNVESIAEQMENPDLKNVGSSTGEKNERTSVAGTVRKCWPNRVAKEQISRRLDGNQYLFLPPNRYIFHGAEVYSDSEDDVLSSSSCGSNSDSGTCQSPSLEEPMEDESEIEEFYNGLEDEPDVPERAGGAGFGTDGDDQEAINEAISVKQEVTDMNYPSNKS", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTAEEMKAAENGAQSAPLPLEGVDISPKQDEGVLKVIKREGTGTETPMIGDRVFVHYTGWLLDGTKFDSSLDRKDKFSFDLGKGEVIKAWDIAVATMKVGEVCHITCKPEYAYGAAGSPPKIPPNATLVFEVELFEFKGEDLTEEEDGGIIRRIRTRGEGYARPNDGAMVEVALEGYHKDRLFDQRELCFEVGEGESLDLPCGLEEAIQRMEKGEHSIVYLKPSYAFGSVGKERFQIPPHAELRYEVRLKSFEKAKESWEMSSAEKLEQSNIVKERGTAYFKEGKYKQALLQYKKIVSWLEYESSFSGEEMQKVHALRLASHLNLAMCHLKLQAFSAAIESCNKALELDSNNEKGLFRRGEAHLAVNDFDLARADFQKVLQLYPSNKAAKTQLAVCQQRTRRQLAREKKLYANMFERLAEEEHKVKAEVAAGDHPTDAEMKGERNNVAENQSRVETEA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVFRNVGRPPEEEDAEAAREPGPSELLCPRHRCALDPKALPPGLALERTWGPVAGLEAQLAALGLGQPAGPGIKTAGGGCCPCPCPPQPPPPQPPPPAAAPQAGEDPTETSDALLVLEGLESEAESLETNSCSEEELSSPGRGGGGVGGRLLLQPPGPELPPVPFPLQDLVPPGRLSRGEQQQQQPPPPPPPPGPLRPLAGPSRKGSFKIRLSRLFRTKSCNGGSGGGDGTGKRPSGDLAASAASLTDMGGSAVRELDTGRKPRLTRTQSAFSPVSFSPLFTGETVSLVDVDISQRGLTSPHPPTPPPPPRRSLSLLDDISGTLPTSVLVAPMGSSLQSFPLPPPPPPHAPDAFPRIAPIRASESLHSQPPQHLQCPLYRPDSSSFAASLRELEKCGWYWGPMNWEDAEMKLKGKPDGSFLVRDSSDPRYILSLSFRSQGITHHTRMEHYRGTFSLWCHPKFEDRCQSVVEFIKRAIMHSKNGKFLYFLRSRVPGLPPTPVQLLYPVSRFSNVKSLQHLCRFRIRQLVRIDHIPDLPLPKPLISYIRKFYYYDPQEEVYLSLKEAQLISKQKQEVEPST", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAQSGGEARPGPKTAVQIRVAIQEAEDVDELEDEEEGAETRGAGDPARYLSPGWGSASEEEPSRGHSGTTASGGENEREDLEQEWKPPDEELIKKLVDQIEFYFSDENLEKDAFLLKHVRRNKLGYVSVKLLTSFKKVKHLTRDWRTTAHALKYSVVLELNEDHRKVRRTTPVPLFPNENLPSKMLLVYDLYLSPKLWALATPQKNGRVQEKVMEHLLKLFGTFGVISSVRILKPGRELPPDIRRISSRYSQVGTQECAIVEFEEVEAAIKAHEFMITESQGKENMKAVLIGMKPPKKKPAKDKNHDEEPTASIHLNKSLNKRVEELQYMGDESSANSSSDPESNPTSPMAGRRHAATNKLSPSGHQNLFLSPNASPCTSPWSSPLAQRKGVSRKSPLAEEGRLNCSTSPEIFRKCMDYSSDSSVTPSGSPWVRRRRQAEMGTQEKSPGTSPLLSRKMQTADGLPVGVLRLPRGPDNTRGFHGHERSRACV", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAADVFMCSPRRPRSRGRQVLLKPQVSEDDDDSDTDEPSPPPASGAATPARAHASAAPPPPRAGPGREEPPRRQQIIHSGHFMVSSPHREHPPKKGYDFDTVNKQTCQTYSFGKTSSCHLSIDASLTKLFECMTLAYSGKLVSPKWKNFKGLKLQWRDKIRLNNAIWRAWYMQYLEKRKNPVCHFVTPLDGSVDVDEHRRPEAITTEGKYWKSRIEIVIREYHKWRTYFKKRLQQHKDEDLSSLVQDDDMLYWHKHGDGWKTPVPMEEDPLLDTDMLMSEFSDTLFSTLSSHQPVAWPNPREIAHLGNADMIQPGLIPLQPNLDFMDTFEPFQDLFSSSRSIFGSMLPASASAPVPDPNNPPAQESILPTTALPTVSLPDSLIAPPTAPSLAHMDEQGCEHTSRTEDPFIQPTDFGPSEPPLSVPQPFLPVFTMPLLSPSPAPPPISPVLPLVPPPATALNPPAPPTFHQPQKFAGVNKAPSVITHTASATLTHDAPATTFSQSQGLVITTHHPAPSAAPCGLALSPVTRPPQPRLTFVHPKPVSLTGGRPKQPHKIVPAPKPEPVSLVLKNARIAPAAFSGQPQAVIMTSGPLKREGMLASTVSQSNVVIAPAAIARAPGVPEFHSSILVTDLGHGTSSPPAPVSRLFPSTAQDPLGKGEQVPLHGGSPQVTVTGPSRDCPNSGQASPCASEQSPSPQSPQNNCSGKSDPKNVAALKNRQMKHISAEQKRRFNIKMCFDMLNSLISNNSKLTSHAITLQKTVEYITKLQQERGQMQEEARRLREEIEELNATIISCQQLLPATGVPVTRRQFDHMKDMFDEYVKTRTLQNWKFWIFSIIIKPLFESFKGMVSTSSLEELHRTALSWLDQHCSLPILRPMVLSTLRQLSTSTSILTDPAQLPEQASKAVTRIGKRLGES", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALGLQRARSTTELRKEKSRDAARSRRSQETEVLYQLAHTLPFARGVSAHLDKASIMRLTISYLRMHRLCAAGEWNQVGAGGEPLDACYLKALEGFVMVLTAEGDMAYLSENVSKHLGLSQLELIGHSIFDFIHPCDQEELQDALTPQQTLSRRKVEAPTERCFSLRMKSTLTSRGRTLNLKAATWKVLNCSGHMRAYKPPAQTSPAGSPDSEPPLQCLVLICEAIPHPGSLEPPLGRGAFLSRHSLDMKFTYCDDRIAEVAGYSPDDLIGCSAYEYIHALDSDAVSKSIHTLLSKGQAVTGQYRFLARSGGYLWTQTQATVVSGGRGPQSESIVCVHFLISQVEETGVVLSLEQTEQHSRRPIQRGAPSQKDTPNPGDSLDTPGPRILAFLHPPSLSEAALAADPRRFCSPDLRRLLGPILDGASVAATPSTPLATRHPQSPLSADLPDELPVGTENVHRLFTSGKDTEAVETDLDIAQDADALDLEMLAPYISMDDDFQLNASEQLPRAYHRPLGAVPRPRARSFHGLSPPALEPSLLPRWGSDPRLSCSSPSRGDPSASSPMAGARKRTLAQSSEDEDEGVELLGVRPPKRSPSPEHENFLLFPLSLSFLLTGGPAPGSLQDPSTPLLNLNEPLGLGPSLLSPYSDEDTTQPGGPFQPRAGSAQAD", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLSRGWFHRDLSGPDAETLLKGRGVPGSFLARPSRKNQGDFSLSVRVDDQVTHIRIQNSGDFYDLYGGEKFATSTELVEYYTQQQGILQDRDGTIIHLKYPLNCSDPTSERWYHGHMSGGQAESLLQAKGEPWTFLVRESLSQPGDFVLSVLNDQPKAAPGSPLRVTHIKVMCEGGRYTVGGSETFDSLTDLVEHFKKTGIEEASGAFVYLRQPYYATRVNAADIENRVLELNKKQESEDTAKAGFWEEFESLQKQEAKNLHQRLEGQRPENKSKNRYKNILPFDHSRVILQGRDSNIPGSDYINANYVKNQLLGPDENSKTYIASQGCLDATVNDFWQMAWQENTRVIVMTTREVEKGRNKCVPYWPEVGTQRVYGLYSVTNCKEHDTAEYKLRTLQISPLDNGDLVREIWHYQYLSWPDHGVPSEPGGVLSFLDQINQRQESLPHAGPIIVHCSAGIGRTGTIIVIDMLMESVSTKGLDCDIDIQKTIQMVRAQRSGMVQTEAQYKFIYVAIAQFIETTKKKLEIIQSQRGQESEYGNITYPPALRSAHAKASRTSSKHKEEVYENVHSKNKKEEKVKKQRSADKEKNKGSLKRNISLTPCRGLRWADRDL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAAGELEGGKPLSGLLNALAQDTFHGYPGITEELLRSQLYPEVPPEEFRPFLAKMRGILKSIASADMDFNQLEAFLTAQTKKQGGITSDQAAVISKFWKSHKTKIRESLMNQSRWNSGLRGLSWRVDGKSQSRHSAQIHTPVAIIELELGKYGQESEFLCLEFDEVKVNQILKTLSEVEESISTLISQPN", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSGGGVIRGPAGNNDCRIYVGNLPPDIRTKDIEDVFYKYGAIRDIDLKNRRGGPPFAFVEFEDPRDAEDAVYGRDGYDYDGYRLRVEFPRSGRGTGRGGGGGGGGGAPRGRYGPPSRRSENRVVVSGLPPSGSWQDLKDHMREAGDVCYADVYRDGTGVVEFVRKEDMTYAVRKLDNTKFRSHEGETAYIRVKVDGPRSPSYGRSRSRSRSRSRSRSRSNSRSRSYSPRRSRGSPRYSPRHSRSRSRT", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKTPVELAVSGMQTLGLQHRCRGGYRVKARTSYVDETLFGSPAGTRPTPPDFDPPWVEKANRTRGVGKEASKALGAKGSCETTPSRGSTPTLTPRKKNKYRPISHTPSYCDESLFGSRSEGASFGAPRMAKGDAAKLRALLWTPPPTPRGSHSPRPREAPLRAIHPAGPSKTEPGPAADSQKLSMGGLHSSRPLKRGLSHSLTHLNVPSTGHPATSAPHTNGPQDLRPSTSGVTFRSPLVTSRARSVSISVPSTPRRGGATQKPKPPWK", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPFLGQDWRSPGQNWVKTADGWKRFLDEKSGSFVSDLSSYCNKEVYNKENLFNSLNYDVAAKKRKKDMLNSKTKTQYFHQEKWIYVHKGSTKERHGYCTLGEAFNRLDFSTAILDSRRFNYVVRLLELIAKSQLTSLSGIAQKNFMNILEKVVLKVLEDQQNIRLIRELLQTLYTSLCTLVQRVGKSVLVGNINMWVYRMETILHWQQQLNNIQITRPAFKGLTFTDLPLCLQLNIMQRLSDGRDLVSLGQAAPDLHVLSEDRLLWKKLCQYHFSERQIRKRLILSDKGQLDWKKMYFKLVRCYPRKEQYGDTLQLCKHCHILSWKGTDHPCTANNPESCSVSLSPQDFINLFKF", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPSEGRCWETLKALRSSDKGRLCYYRDWLLRREDVLEECMSLPKLSSYSGWVVEHVLPHMQENQPLSETSPSSTSASALDQPSFVPKSPDASSAFSPASPATPNGTKGKDESQHTESMVLQSSRGIKVEGCVRMYELVHRMKGTEGLRLWQEEQERKVQALSEMASEQLKRFDEWKELKQHKEFQDLREVMEKSSREALGHQEKLKAEHRHRAKILNLKLREAEQQRVKQAEQERLRKEEGQIRLRALYALQEEMLQLSQQLDASEQHKALLKVDLAAFQTRGNQLCSLISGIIRASSESSYPTAESQAEAERALREMRDLLMNLGQEITRACEDKRRQDEEEAQVKLQEAQMQQGPEAHKEPPAPSQGPGGKQNEDLQVKVQDITMQWYQQLQDASMQCVLTFEGLTNSKDSQAKKIKMDLQKAATIPVSQISTIAGSKLKEIFDKIHSLLSGKPVQSGGRSVSVTLNPQGLDFVQYKLAEKFVKQGEEEVASHHEAAFPIAVVASGIWELHPRVGDLILAHLHKKCPYSVPFYPTFKEGMALEDYQRMLGYQVKDSKVEQQDNFLKRMSGMIRLYAAIIQLRWPYGNRQEIHPHGLNHGWRWLAQILNMEPLSDVTATLLFDFLEVCGNALMKQYQVQFWKMLILIKEDYFPRIEAITSSGQMGSFIRLKQFLEKCLQHKDIPVPKGFLTSSFWRS", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSNTKDNFNVADLTAALGAGDREDLVNALKNKLQDITGKPTNVLECLSPNVRKRVEVLKEIQSQHDELEAKFYEERAVLEAKYQKLYQPLYTKRFDIVNGVVEVNTSETEAAAMDQDEDEDAVGKGVPDFWLIAMKNNDVLSEEITERDEGALKFLKDIKWAKIDNPKGFKLEFFFDTNPYFTNTVLTKTYHMIDEDEPILEKALGTEIEWYPGKCLTQKILKKKPKKGSKNAKPITKTEQCESFFNFFSPPQVPEDEEDIDEDAAEELQSLMEQDYDIGSTIRDKIISHAVSWFTGEAAEDDFADLEDDDDDDEEDDDDEDEEEEDDEDDEDEEDEDDTNTKKKSSAVRKRGVRAHAPAGGQAGERPPECKQQ", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSYTGFVQGSETTLQSTYSDTSAQPTCDYGYGTWNSGTNRGYEGYGYGYGYGQDNTTNYGYGMATSHSWEMPSSDTNANTSASGSASADSVLSRINQRLDMVPHLETDMMQGGVYGSGGERYDSYESCDSRAVLSERDLYRSGYDYSELDPEMEMAYEGQYDAYRDQFRMRGNDTFGPRAQGWARDARSGRPMASGYGRMWEDPMGARGQCMSGASRLPSLFSQNIIPEYGMFQGMRGGGAFPGGSRFGFGFGNGMKQMRRTWKTWTTADFRTKKKKRKQGGSPDEPDSKATRTDCSDNSDSDNDEGTEGEATEGLEGTEAVEKGSRVDGEDEEGKEDGREEGKEDPEKGALTTQDENGQTKRKLQAGKKSQDKQKKRQRDRMVERIQFVCSLCKYRTFYEDEMASHLDSKFHKEHFKYVGTKLPKQTADFLQEYVTNKTKKTEELRKTVEDLDGLIHQIYRDQDLTQEIAMEHFVKKVEAAHCAACDLFIPMQFGIIQKHLKTMDHNRNRRLMMEQSKKSSLMVARSILNNKLISKKLERYLKGENPFTDSPEEEKEQEEAEGGALDEGAQGEAAGISEGAEGVPAQPPVPPEPAPGAVSPPPPPPPEEEEEGAVPLLGGALQRQIRGIPGLDVEDDEEGGGGAP", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVAMAEAEAGVAVEVRGLPPAVPDELLTLYFENRRRSGGGPVLSWQRLGCGGVLTFREPADAERVLAQADHELHGAQLSLRPAPPRAPARLLLQGLPPGTTPQRLEQHVQALLRASGLPVQPCCALASPRPDRALVQLPKPLSEADVRVLEEQAQNLGLEGTLVSLARVPQARAVRVVGDGASVDLLLLELYLENERRSGGGPLEDLQRLPGPLGTVASFQQWQVAERVLQQEHRLQGSELSLVPHYDILEPEELAENTSGGDHPSTQGPRATKHALLRTGGLVTALQGAGTVTMGSGEEPGQSGASLRTGPMVQGRGIMTTGSGQEPGQSGTSLRTGPMGSLGQAEQVSSMPMGSLEHEGLVSLRPVGLQEQEGPMSLGPVGSAGPVETSKGLLGQEGLVEIAMDSPEQEGLVGPMEITMGSLEKAGPVSPGCVKLAGQEGLVEMVLLMEPGAMRFLQLYHEDLLAGLGDVALLPLEGPDMTGFRLCGAQASCQAAEEFLRSLLGSISCHVLCLEHPGSARFLLGPEGQHLLQGLEAQFQCVFGTERLATATLDTGLEEVDPTEALPVLPGNAHTLWTPDSTGGDQEDVSLEEVRELLATLEGLDLDGEDWLPRELEEEGPQEQPEEEVTPGHEEEEPVAPSTVAPRWLEEEAALQLALHRSLEPQGQVAEQEEAAALRQALTLSLLEQPPLEAEEPPDGGTDGKAQLVVHSAFEQDVEELDRALRAALEVHVQEETVGPWRRTLPAELRARLERCHGVSVALRGDCTILRGFGAHPARAARHLVALLAGPWDQSLAFPLAASGPTLAGQTLKGPWNNLERLAENTGEFQEVVRAFYDTLDAARSSIRVVRVERVSHPLLQQQYELYRERLLQRCERRPVEQVLYHGTTAPAVPDICAHGFNRSFCGRNATVYGKGVYFARRASLSVQDRYSPPNADGHKAVFVARVLTGDYGQGRRGLRAPPLRGPGHVLLRYDSAVDCICQPSIFVIFHDTQALPTHLITCEHVPRASPDDPSGLPGRSPDT", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSNEENIKSDNKSGDSSDLPTIPALDIGAEECDLLAELKNLTLKRPFDVKKLSPKVTKRVLFLKDIQVTHDELEEKFLAEKSALEATYDNLYKPLFAKRYEIVNGVVEAEAEKEGVPNFWLIAMKTNEMLANEITERDEAALKYLKDIRSCRVEDTSRNFKLEFLFDSNLYFKNSVLSKTYHVNDEDGPVLEKVIGTDIEWFPGKCLTHKVVVKKKTKKGPKKVNNIPMTKTENCESFFNFFKPPEIPEIDEVDDYDDFDTIMTEELQNLMDQDYDIAVTIRDKLIPHAVSWFTGEALVDEDDSDDNDDDDNDEKSD", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGTGDFICISMTGGAPWGFRLQGGKEEQQPLQVAKIRSQSKASGSGLREGDEVVSINGNPCADLTYPEVIKLMEGITDSLHLLVKRPSSGTSETLDSESETTNHQHLTHEGPMESTTLQIQQATETQSEDFFLAPVQTKVPLTEDQSNAWGYAECPKEEQAPPMLGSQEGHLVEEVILRQKAEAGQPGHVVELQLSLSKERHQCTSGPIVTLQGNDKSTSPDPDWSSQLERTVHINSIPAPEKADTSLTSSTSSGRELRVIQGRDPGGAGLPQVEVILDCSDRLKAEECRLQTGRGCVASPVEGGRSEAPPSLVSFAVSSEGTEHGEDQRSGKDQSRPHKHRARHARLRRSESLSEKQVKEAKSKCKSIALLLTDAPNPNSKGVLMFKKRRRRARKYTLVSYGTGELEREEEEEEDQEAGDKDEISEVAFLGTSESEVDEELLSDVDDNTQVVNFDWDSGLVDIEKRLNRGDKMEMLPDTTGKGALMFAKRRERMEQFTAQNEEEKTGGMAGGGPDALQTDGLRTMTSYQRKEESVRMQSSVSESSFQMGRSLASVPQQNGFSGVSETAGAQRMFPMNRTAKPFLGSMNQPAAPFSPTRSVTSPISDFPAPPPYSAVSPPPEAFSRGVSSPVAGPAQPPPWPQPAPWSQPAFYDSSEQIASRDERIAVPAKRTGILQEAKRRGTTKPMFTFKETKVSPNPELLSLLQNAEGKRGTGGDSGPEEDYLSLGAEACNFMQSSAKQKTPPPVAPKPAVKSPSSSQPVAPVSPVWSPGVAPAQRPAFSTSNPPNPPQVTAVSSIKIAQPAAPPARPASALNLAGPFKGPQAVVVSHNYTPKPSAPTPLVNAAPAGAGGPSNELPGMSGKGAQLFAKRQSRMEKYVVDSDTVQAHTVRAQSPTPSLPASWKYSSNVRAPPPVAYNPIHSPSYPLAAIKSQPPGAQASKTSKKKGKKPLNTLDVMKHQPYQLNASLFTFQPPDSKDGLPQKSTVKVSSAPAMKQALPPRQANVGSPTNAQASSVYSVPAYTSQPNFFAAEATSPVSASPVPVSVPTSPKQESTSTSYFVAPRPKFSAKKSGVTVQVWKPSVVEE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDWDQDRSSTELRKEKSRDAARSRRSQETEVLYQLAHTLPFARGVSAHLDKASIMRLTISYLRMHRLCAAGEWNQVRKEGEPLDACYLKALEGFVMVLTAEGDMAYLSENVSKHLGLSQLELIGHSIFDFIHPCDQEELQDALTPRPSLSKKKSEAATGRHFSLRMKSTLTSRGRALNLKAATWKVLHCSGHMRAYKPPAQTSPAGSPRSEPPLQCLVLICEAIPHPASLEPPLGRGAFLSRHSLDMKFTYCDERIAEVAGYSPDDLIGCSAYEYIHALDSDAVSRSIHTLLSKGQAVTGQYRFLARTGGYLWTQTQATVVSGGRGPQSESIICVHFLISRVEENGVVLSLEQTEQHTRRPPQLGTSSKKGIPGNSLDPPAPRILAFLHPPALSEASLAADPRRFCSPDLRRLMAPILDGPPTAATPSTPQAARRPQSPLPADLPDQLAVGLENAHRLSTARKNKTMETDLDIAQDPDTPDLEMLAPYISMDDDFQLNSSEQLPKVHRRPPRTARRPRARSFHGLSPPIPEATLLPRWGSDPRLNCSSPSKGDPPTAPLTPRTRKRALAQSSEDKGLELLETKPPKRSPRLEPGSVLLPPLSLSFLLQGRQLPGNQPDPRAPLVDSHEPLGLAPSLLSLYQHEETIQSRNHFLPAAGLAQTH", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSGPTWLPPKQPEPARAPQGRAIPRGTPGPPPAHGAALQPHPRVNFCPLPSEQCYQAPGGPEDRGPAWVGSHGVLQHTQGLPADRGGLRPGSLDAEIDLLSSTLAELNGGRGHASRRPDRQAYEPPPPPAYRTGSLKPNPASPLPASPYGGPTPASYTTASTPAGPAFPVQVKVAQPVRGCGPPRRGASQASGPLPGPHFPLPGRGEVWGPGYRSQREPGPGAKEEAAGVSGPAGRGRGGEHGPQVPLSQPPEDELDRLTKKLVHDMNHPPSGEYFGQCGGCGEDVVGDGAGVVALDRVFHVGCFVCSTCRAQLRGQHFYAVERRAYCEGCYVATLEKCATCSQPILDRILRAMGKAYHPGCFTCVVCHRGLDGIPFTVDATSQIHCIEDFHRKFAPRCSVCGGAIMPEPGQEETVRIVALDRSFHIGCYKCEECGLLLSSEGECQGCYPLDGHILCKACSAWRIQELSATVTTDC", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASCPDSDNSWVLAGSESLPVETLGPASRMDPESERALQAPHSPSKTDGKELAGTMDGEGTLFQTESPQSGSILTEETEVKGTLEGDVCGVEPPGPGDTVVQGDLQETTVVTGLGPDTQDLEGQSPPQSLPSTPKAAWIREEGRCSSSDDDTDVDMEGLRRRRGREAGPPQPMVPLAVENQAGGEGAGGELGISLNMCLLGALVLLGLGVLLFSGGLSESETGPMEEVERQVLPDPEVLEAVGDRQDGLREQLQAPVPPDSVPSLQNMGLLLDKLAKENQDIRLLQAQLQAQKEELQSLMHQPKGLEEENAQLRGALQQGEAFQRALESELQQLRARLQGLEADCVRGPDGVCLSGGRGPQGDKAIREQGPREQEPELSFLKQKEQLEAEAQALRQELERQRRLLGSVQQDLERSLQDASRGDPAHAGLAELGHRLAQKLQGLENWGQDPGVSANASKAWHQKSHFQNSREWSGKEKWWDGQRDRKAEHWKHKKEESGRERKKNWGGQEDREPAGRWKEGRPRVEESGSKKEGKRQGPKEPPRKSGSFHSSGEKQKQPRWREGTKDSHDPLPSWAELLRPKYRAPQGCSGVDECARQEGLTFFGTELAPVRQQELASLLRTYLARLPWAGQLTKELPLSPAFFGEDGIFRHDRLRFRDFVDALEDSLEEVAVQQTGDDDEVDDFEDFIFSHFFGDKALKKRSGKKDKHSQSPRAAGPREGHSHSHHHHHRG", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSLRTPKRSRTSDEQEQEQEQEQVQNPDTHVNNEHQQRPGPTTLLSTPVRLKNGFGTPSPPSPPGITKSITKSRRRPSTTSLQGIFMSPVNKRRVGITAHGRVYDHNDDGHESESEDDENEEENENQKKYDGHVSMPLLPPTTPKSRRSEVFLSPSPRLRSPPTAARRSTGERPIREISHTLRTRLNYALVKLQNGWTDKTLPELETELAPAVQTPPRRYHNRFPDSADAGTSAHTAFLQALGGHPPREEATAVETLMLLSSPTKKQQHRPVPATSAGEPTDETEPESDTEVETS", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTKSYSESGLMGEPQPQGPPSWTDECLSSQDEEHEADKKEDELEAMNAEEDSLRNGGEEEDEDEDLEEEEEEEEEEDDQKPKRRGPKKKKMTKARLERFKLRRMKANARERNRMHGLNAALDNLRKVVPCYSKTQKLSKIETLRLAKNYIWALSEILRSGKSPDLVSFVQTLCKGLSQPTTNLVAGCLQLNPRTFLPEQNPDMPPHLPTASASFPVHPYSYQSPGLPSPPYGTMDSSHVFHVKPPPHAYSAALEPFFESPLTDCTSPSFDGPLSPPLSINGNFSFKHEPSTEFEKNYAFTMHYPAATLAGPQSHGSIFSSGAAAPRCEIPIDNIMSFDSHSHHERVMSAQLNAIFHD", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEYMAESTDRSPGHILCCECGVPISPNPANICVACLRSKVDISQGIPKQVSISFCKQCQRYFQPPGTWIQCALESRELLALCLKKIKAPLSKVRLVDAGFVWTEPHSKRLKVKLTIQKEVMNGAILQQVFVVDYVVQSQMCGDCHRVEAKDFWKAVIQVRQKTLHKKTFYYLEQLILKYGMHQNTLRIKEIHDGLDFYYSSKQHAQKMVEFLQCTVPCRYKASQRLISQDIHSNTYNYKSTFSVEIVPICKDNVVCLSPKLAQSLGNMNQICVCIRVTSAIHLIDPNTLQVADIDGSTFWSHPFNSLCHPKQLEEFIVMECSIVQDIKRAAGAGMISKKHTLGEVWVQKTSEMNTDKQYFCRTHLGHLLNPGDLVLGFDLANCNLNDEHVNKMNSDRVPDVVLIKKSYDRTKRQRRRNWKLKELARERENMDTDDERQYQDFLEDLEEDEAIRKNVNIYRDSAIPVESDTDDEGAPRISLAEMLEDLHISQDATGEEGASMLT", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MADEDITLNEDQLLESLEETNGEQETEIATEVEEEGSMQIDPELEAIKARVKEMEEEAEKIKQMQSEVDKQMAGGSTTGLATVPLSLEEKQEIDTRSVYVGNVDYGASAEELEAHFHGCGTINRVTILCNKADGHPKGFAYIEFGSKEFVETALAMNETLFRGRQIKVMSKRTNRPGLSTTNRFARGSFRGRGARVSRACCHSTFRGARRAMGYRGRANYYAPY", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEAAGSPAATETGKYIASTQRPDGTWRKQRRVKEGYVPQEEVPVYENKYVKFFKSKPELPPGLSPEATAPVTPSRPEGGEPGLSKTAKRNLKRKEKRRQQQEKGEAEALSRTLDKVSLEETAQLPSAPQGSRAAPTAASDQPDSAATTEKAKKIKNLKKKLRQVEELQQRIQAGEVSQPSKEQLEKLARRRALEEELEDLELGL", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVVVAAAPNPADGTPKVLLLSGQPASAAGAPAGQALPLMVPAQRGASPEAASGGLPQARKRQRLTHLSPEEKALRRKLKNRVAAQTARDRKKARMSELEQQVVDLEEENQKLLLENQLLREKTHGLVVENQELRQRLGMDALVAEEEAEAKGNEVRPVAGSAESAALRLRAPLQQVQAQLSPLQNISPWILAVLTLQIQSLISCWAFWTTWTQSCSSNALPQSLPAWRSSQRSTQKDPVPYQPPFLCQWGRHQPSWKPLMN", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVVVAAAPNPADGTPKVLLLSGQPASAAGAPAGQALPLMVPAQRGASPEAASGGLPQARKRQRLTHLSPEEKALRRKLKNRVAAQTARDRKKARMSELEQQVVDLEEENQKLLLENQLLREKTHGLVVENQELRQRLGMDALVAEEEAEAKGNEVRPVAGSAESAAGAGPVVTPPEHLPMDSGGIDSSDSESDILLGILDNLDPVMFFKCPSPEPASLEELPEVYPEGPSSLPASLSLSVGTSSAKLEAINELIRFDHIYTKPLVLEIPSETESQANVVVKIEEAPLSPSENDHPEFIVSVKEEPVEDDLVPELGISNLLSSSHCPKPSSCLLDAYSDCGYGGSLSPFSDMSSLLGVNHSWEDTFANELFPQLISV", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPSYTVTVATGSQWFAGTDDYIYLSLIGSAGCSEKHLLDKAFYNDFERGAVDSYDVTVDEELGEIYLVKIEKRKYWLHDDWYLKYITLKTPHGDYIEFPCYRWITGEGEIVLRDGRAKLARDDQIHILKQHRRKELEARQKQYRWMEWNPGFPLSIDAKCHKDLPRDIQFDSEKGVDFVLNYSKAMENLFINRFMHMFQSSWHDFADFEKIFVKISNTISERVKNHWQEDLMFGYQFLNGCNPVLIKRCTALPPKLPVTTEMVECSLERQLSLEQEVQEGNIFIVDYELLDGIDANKTDPCTHQFLAAPICLLYKNLANKIVPIAIQLNQTPGESNPIFLPTDSKYDWLLAKIWVRSSDFHVHQTITHLLRTHLVSEVFGIAMYRQLPAVHPLFKLLVAHVRFTIAINTKAREQLICEYGLFDKANATGGGGHVQMVQRAVQDLTYSSLCFPEAIKARGMDSTEDIPFYFYRDDGLLVWEAIQSFTMEVVSIYYENDQVVEEDQELQDFVKDVYVYGMRGKKASGFPKSIKSREKLSEYLTVVIFTASAQHAAVNFGQYDWCSWIPNAPPTMRAPPPTAKGVVTIEQIVDTLPDRGRSCWHLGAVWALSQFQENELFLGMYPEEHFIEKPVKEAMIRFRKNLEAIVSVIAERNKNKKLPYYYLSPDRIPNSVAI", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSSKGSSTDGRTDLANGSLSSSPEEMSGAEEGRETSSGIEVEASDLSLSLTGDDGGPNRTSTESRGTDTESSGEDKDSDSMEDTGHYSINDENRVHDRSEEEEEEEEEEEEEQPRRRVQRKRANRDQDSSDDERALEDWVSSETSALPRPRWQALPALRERELGSSARFVYEACGARVFVQRFRLQHGLEGHTGCVNTLHFNQRGTWLASGSDDLKVVVWDWVRRQPVLDFESGHKSNVFQAKFLPNSGDSTLAMCARDGQVRVAELSATQCCKNTKRVAQHKGASHKLALEPDSPCTFLSAGEDAVVFTIDLRQDRPASKLVVTKEKEKKVGLYTIYVNPANTHQFAVGGRDQFVRIYDQRKIDENENNGVLKKFCPHHLVNSESKANITCLVYSHDGTELLASYNDEDIYLFNSSHSDGAQYVKRYKGHRNNATVKGVNFYGPKSEFVVSGSDCGHIFLWEKSSCQIIQFMEGDKGGVVNCLEPHPHLPVLATSGLDHDVKIWAPTAEASTELTGLKDVIKKNKRERDEDSLHQTDLFDSHMLWFLMHHLRQRRHHRRWREPGVGATDADSDESPSSSDTSDEEEGPDRVQCMPS", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEIEKQHVYISTVEVENLSDALFSGDEENGGSEERKTEINGNWIPATSITEAKINAKAKRRLRKNSSRDSGRGDSVSENGETQKAGLVVPTSPKGKVLDRRSRSGKGRGLPKKGGAGGKGVWGTPGQVYDVEEVDIKDPNYDDDQENCVYETVVLPLDERAFEKTLTPIIQEYFEHGDTNEVSEMLKDLNLGEMKYSVPVLAVSLALEGKASHREMTSKLISDLCGTVVSKTDVEKSFDKLLKDLPDLVLDSPRAPQLVGQFIARAVGDGILSSTYIDGYKGTVDSIQARAALDRATVLLSVTKGGKRIDNVWGSGGGQQSVKHLVKEIDMLLKEYLLSGDLLEAERCLQELEVPHFHHELVYEAIVMVLESTGEKTFKMMLDLLKSLSRSSVITMDQMKRGYERVYCEIPDINLDVPHSYSVLERFVEECFQAGIISKPLRDLCPSRGRKRFVSEGDGGRLKPESY", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MERPPGLRPGAGGPWEMRERLGTGGFGNVCLYQHRELDLKIAIKSCRLELSTKNRERWCHEIQIMKKLNHANVVKACDVPEELNILIHDVPLLAMEYCSGGDLRKLLNKPENCCGLKESQILSLLSDIGSGIRYLHENKIIHRDLKPENIVLQDVGGKIIHKIIDLGYAKDVDQGSLCTSFVGTLQYLAPELFENKPYTATVDYWSFGTMVFECIAGYRPFLHHLQPFTWHEKIKKKDPKCIFACEEMSGEVRFSSHLPQPNSLCSLVVEPMENWLQLMLNWDPQQRGGPVDLTLKQPRCFVLMDHILNLKIVHILNMTSAKIISFLLPPDESLHSLQSRIERETGINTGSQELLSETGISLDPRKPASQCVLDGVRGCDSYMVYLFDKSKTVYEGPFASRSLSDCVNYIVQDSKIQLPIIQLRKVWAEAVHYVSGLKEDYSRLFQGQRAAMLSLLRYNANLTKMKNTLISASQQLKAKLEFFHKSIQLDLERYSEQMTYGISSEKMLKAWKEMEEKAIHYAEVGVIGYLEDQIMSLHAEIMELQKSPYGRRQGDLMESLEQRAIDLYKQLKHRPSDHSYSDSTEMVKIIVHTVQSQDRVLKELFGHLSKLLGCKQKIIDLLPKVEVALSNIKEADNTVMFMQGKRQKEIWHLLKIACTQSSARSLVGSSLEGAVTPQTSAWLPPTSAEHDHSLSCVVTPQDGETSAQMIEENLNCLGHLSTIIHEANEEQGNSMMNLDWSWLTE", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAEPDPSHPLETQAGKVQEAQDSDSDSEGGAAGGEADMDFLRNLFSQTLSLGSQKERLLDELTLEGVARYMQSERCRRVICLVGAGISTSAGIPDFRSPSTGLYDNLEKYHLPYPEAIFEISYFKKHPEPFFALAKELYPGQFKPTICHYFMRLLKDKGLLLRCYTQNIDTLERIAGLEQEDLVEAHGTFYTSHCVSASCRHEYPLSWMKEKIFSEVTPKCEDCQSLVKPDIVFFGESLPARFFSCMQSDFLKVDLLLVMGTSLQVQPFASLISKAPLSTPRLLINKEKAGQSDPFLGMIMGLGGGMDFDSKKAYRDVAWLGECDQGCLALAELLGWKKELEDLVRREHASIDAQSGAGVPNPSTSASPKKSPPPAKDEARTTEREKPQ", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDFLRNLFSQTLSLGSQKERLLDELTLEGVARYMQSERCRRVICLVGAGISTSAGIPDFRSPSTGLYDNLEKYHLPYPEAIFEISYFKKHPEPFFALAKELYPGQFKPTICHYFMRLLKDKGLLLRCYTQNIDTLERIAGLEQEDLVEAHGTFYTSHCVSASCRHEYPLSWMKEKIFSEVTPKCEDCQSLVKPDIVFFGESLPARFFSCMQSDFLKVDLLLVMGTSLQVQPFASLISKAPLSTPRLLINKEKAGQSDPFLGMIMGLGGGMDFDSKKAYRDVAWLGECDQGCLALAELLGWKKELEDLVRREHASIDAQSGAGVPNPSTSASPKKSPPPAKDEARTTEREKPQ", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAEPDRRRVICLVGAGISTSAGIPDFRSPSTGLYDNLEKYHLPYPEAIFEISYFKKHPEPFFALAKELYPGQFKPTICHYFMRLLKDKGLLLRCYTQNIDTLERIAGLEQEDLVEAHGTFYTSHCVSASCRHEYPLSWMKEKIFSEVTPKCEDCQSLVKPDIVFFGESLPARFFSCMQSDFLKVDLLLVMGTSLQVQPFASLISKAPLSTPRLLINKEKAGQSDPFLGMIMGLGGGMDFDSKKAYRDVAWLGECDQGCLALAELLGWKKELEDLVRREHASIDAQSGAGVPNPSTSASPKKSPPPAKDEARTTEREKPQ", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEFTPIDPHQHQNAATLLCCNCGTPIDGSTGLVMCYDCIKLTVDITQGIPREANISFCRNCERFLQPPGQWIRAELESRELLAICLRRLKGLTKVRLVDASFIWTEPHSRRIRIKLTVQGEAMTNTIIQQTFEVEYIVIAMQCPDCARSYTTNTWRATVQIRQKVPHKRTFLFLEQLILKHNAHVDTISISEAKDGLDFFYAQKNHAVKMIDFLNAVVPIKHKKSEELISQDTHTGASTYKFSYSVEIVPICKDDLVVLPKKLAKSMGNISQFVLCSKISNTVQFMDPTTLQTADLSPSVYWRAPFNALADVTQLVEFIVLDVDSTGISRGNRVLADITVARTSDLGVNDQVYYVRSHLGGICHAGDSVMGYFIANSNYNSDLFDGLNIDYVPDVVLVKKLYQRKSKKSRHWKLKRMAKEHKDIDASLDYNSRAQKQEMERAEKDYELFLQELEEDAELRQSVNLYKNREANVPPEEHEMDEDEDEDAPQINIDELLDELDEMTLEDGVENTPVESQQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEESSLSRAPSRGGVNFLNVARTYIPNTKVECHYTLPPGTMPSASDWIGIFKVEAACVRDYHTFVWSSVPESTTDGSPTHASVQFQASYLPKPGAQLYQFRYVNRQGRVCGQSPPFQFREPRPMDELVTLEEADGGSDILLVVPKATVLQNQLDESQQERNDLMQLKLQLEDQVTELRSRVQELEAALATARQEHSELTEQYKGLSRSHGELSEERDILSQQQGDHVARILELEDDIQTMSDKVLMKEVELDRVRDTVKALTREQEKLLRQLKEFQADKEQSEAELQTVREENCCLNTELEEAKSRQEEQGAQVQRLKDKLAHMKDTLGQAQQKVAELEPLKEQLRGVQELAASSQQKAALLGEELASAAGARDRTIAELHRSRLEVAEVNGRLAELSLHMKEEKCQWSKERTGLLQSMEAEKDKILKLSAEILRLEKTVQEERTQSHVFKTELAREKDSSLVQLSESKRELTELRSALRVLQKEKEQLQTEKQELLEYMRKLEARLEKVADEKWTEDAATEDEEATAGLSCPASLTDSEDESPEDMRLPSYGLCESGNTSSSPPGPREPSSLVVINQPAPIAPQFSGPGEASSSDSEAEDEKSVLMAAVQSGGEEASLLLPELGSAFYDVASAFTVSSLSEASPGVPANPPWKECPICKERFPAESDKDALEGHMDGHFFFSTQDPFTFE", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MACRPRSPPGYGSRRDGGASPRSPARWSLGRKRRADGRDRKPEDSEEGELQTADHRPESFTTPEGHKPRSRCSDWASAVEEDEMRTRVNKEIARYKRKLLINDFGRERKSSSGSSDSKESMSSVPADVETDESVLMRRQKQINYGKNTIAYDRYIKEVPRHLRQPGIHPRTPNKFKKYSRRSWDQQIKLWKVALHFWDPPAEEGCDLQEIQPVDLGEMETEFTESSSESQTSSQDNFDVYAGTPTKVRHVDCQVEDEFDLEACLTEPLKDFSAMS", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDDLEQAILLASDSPAAAAASPAVRAEALAYCARARDETPPSSLLHLCLYGLASSPHAHVHFWCLQTIHDALLLRRRLALPDDLALLRSSLLSLAVSSNAASPPFLRNKLAQLLALLVRFEYPHVYPSYFLDLIPPSPPLPGPTDMFARVLVSLDDDLLSQDYPRNAEEASDAGRVKDAMRAQCVPQIARHWHEAAVSLRAADPAVAAVALDAARRCISWIDVSLVANDVFVPLLFDIALSPGSVAPLAAAAVGCLSAVAAKRMDARAKVALLRSLMSAQKGFGSPDSGLKMAHLVTAYAVEALECYRKLGSSDADGAAALEMLEEVLPAVFAAAESGDDDEVDSGSVLEFLSGYVSTMKAPTEKQLGHLGQILEVVRMQMSYDPVYRGHLDVLDKIGKEEEDLMAEQRKDLIALFRSICRVAPGATQLFIRGLLVTALSSAEVSVEDVEVALTLFYRLGEIVGEEEIRTGAGLIRELVPMLLSARFSCHTHRLVALVYLDTISRYIKFMQENDQYVPHLLTVFLDERGIHHQNAHVSCHAGYLLMRAIRLLKAKLVPYLDTILQSLQDALVQFTATDWANKDIKFSSSEDGSQIFEAVGLLIGIEEVSPDKQVQCLTALLNPLCQQIESLVMDAKAQGLEESSPRAIGLQQIIVALTMISKGFNERLVMGSRPTLGVMFKKTLDVVLQVLISFPNVKPLRSKIISFLHRMVEILGISVLPCIPIALRQLLVDNEAKDMSEFLYLINQIICKFKSSANALLEDVFPAIASHLSVILSHDAFSNGFASNTEEMRELQELEKRFYAFLLHIATHDLSTVLLTPSCRHYLENIMQLLLITSCSHKEISHRKTCVQTFVNLIKDWCSSSEIEDKLPGFRVFMIEKFATGCCLQSVLDKSFNFRDGISIALFGEIMMAQKVMYERFGENFVVNFVTKLREAHCPPDLAEQYYQKLQGNDIKAFKSFYESLVMKIRQQQNGSLVFR", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAEGGGCRERPDAETQKSELGPLMRTTLQRGAQWYLIDSRWFKQWKKYVGFDSWDMYNVGEHNLFPGPIDNSGLFSDPESQTLKEHLIDELDYVLVPTEAWNKLLNWYGCVEGQQPIVRKVVEHGLFVKHCKVEVYLLELKLCENSDPTNVLSCHFSKADTIATIEKEMRKLFNIPAERETRLWNKYMSNTYEQLSKLDNTVQDAGLYQGQVLVIEPQNEDGTWPRQTLQSKSSTAPSRNFTTSPKSSASPYSSVSASLIANGDSTSTCGMHSSGVSRGGSGFSASYNCQEPPSSHIQPGLCGLGNLGNTCFMNSALQCLSNTAPLTDYFLKDEYEAEINRDNPLGMKGEIAEAYAELIKQMWSGRDAHVAPRMFKTQVGRFAPQFSGYQQQDSQELLAFLLDGLHEDLNRVKKKPYLELKDANGRPDAVVAKEAWENHRLRNDSVIVDTFHGLFKSTLVCPECAKVSVTFDPFCYLTLPLPLKKDRVMEVFLVPADPHCRPTQYRVTVPLMGAVSDLCEALSRLSGIAAENMVVADVYNHRFHKIFQMDEGLNHIMPRDDIFVYEVCSTSVDGSECVTLPVYFRERKSRPSSTSSASALYGQPLLLSVPKHKLTLESLYQAVCDRISRYVKQPLPDEFGSSPLEPGACNGSRNSCEGEDEEEMEHQEEGKEQLSETEGSGEDEPGNDPSETTQKKIKGQPCPKRLFTFSLVNSYGTADINSLAADGKLLKLNSRSTLAMDWDSETRRLYYDEQESEAYEKHVSMLQPQKKKKTTVALRDCIELFTTMETLGEHDPWYCPNCKKHQQATKKFDLWSLPKILVVHLKRFSYNRYWRDKLDTVVEFPIRGLNMSEFVCNLSARPYVYDLIAVSNHYGAMGVGHYTAYAKNKLNGKWYYFDDSNVSLASEDQIVTKAAYVLFYQRRDDEFYKTPSLSSSGSSDGGTRPSSSQQGFGDDEACSMDTN", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGRRSSDTEEESRSKRKKKHRRRSSSSSSSDSRTYSRKKGGRRPRSDSRSWSRDRQLRSHSYERRRRRRSSSSSSYGSRRKRSRSRSRGRGKPYRVQRSRSKSRTRRSRSRPRPRSHSRSSERSSHRRTRSRSRDRDRRKVRDKEKREKEKDKGKDKEVHSIKRGDSGNIKAGLEHLPPAEQAKARLQLVLEAAAKADEALKAKERSEEEAKRRKEEDQATLVEQVKRVKEIEAIESDSFVQQTFRSSKDVKKAVEPSEVQHVTAASGPASAAAEPPSTGKEIDPDSIPTAIKYQDDNSLAHPNLFIEKAEAEEKWFKRLIALRQERLMGSPVA", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MESRDPAQPMSPGEATQSGARPADRYGLLKHSREFLDFFWDIAKPEQETRLAATEKLLEYLRGRPKGSEMKYALKRLITGLGVGRETARPCYSLALAQLLQSFEDLPLCSILQQIQEKYDLHQVKKAMLRPALFANLFGVLALFQSGRLVKDQEALMKSVKLLQALAQYQNHLQEQPRKALVDILSEVSKATLQEILPEVLKADLNIILSSPEQLELFLLAQQKVPSKLKKLVGSVNLFSDENVPRLVNVLKMAASSVKKDRKLPAIALDLLRLALKEDKFPRFWKEVVEQGLLKMQFWPASYLCFRLLGAALPLLTKEQLHLVMQGDVIRHYGEHVCTAKLPKQFKFAPEMDDYVGTFLEGCQDDPERQLAVLVAFSSVTNQGLPVTPTFWRVVRFLSPPALQGYVAWLRAMFLQPDLDSLVDFSTNNQKKAQDSSLHMPERAVFRLRKWIIFRLVSIVDSLHLEMEEALTEQVARFCLFHSFFVTKKPTSQIPETKHPFSFPLENQAREAVSSAFFSLLQTLSTQFKQAPGQTQGGQPWTYHLVQFADLLLNHSHNVTTVTPFTAQQRQAWDRMLQTLKELEAHSAEARAAAFQHLLLLVGIHLLKSPAESCDLLGDIQTCIRKSLGEKPRRSRTKTIDPQEPPWVEVLVEILLALLAQPSHLMRQVARSVFGHICSHLTPRALQLILDVLNPETSEDENDRVVVTDDSDERRLKGAEDKSEEGEDNRSSESEEESEGEESEEEERDGDVDQGFREQLMTVLQAGKALGGEDSENEEELGDEAMMALDQSLASLFAEQKLRIQARRDEKNKLQKEKALRRDFQIRVLDLVEVLVTKQPENALVLELLEPLLSIIRRSLRSSSSKQEQDLLHKTARIFTHHLCRARRYCHDLGERAGALHAQVERLVQQAGRQPDSPTALYHFNASLYLLRVLKGNTAEGCVHETQEKQKAGTDPSHMPTGPQAASCLDLNLVTRVYSTALSSFLTKRNSPLTVPMFLSLFSRHPVLCQSLLPILVQHITGPVRPRHQACLLLQKTLSMREVRSCFEDPEWKQLMGQVLAKVTENLRVLGEAQTKAQHQQALSSLELLNVLFRTCKHEKLTLDLTVLLGVLQGQQQSLQQGAHSTGSSRLHDLYWQAMKTLGVQRPKLEKKDAKEIPSATQSPISKKRKKKGFLPETKKRKKRKSEDGTPAEDGTPAATGGSQPPSMGRKKRNRTKAKVPAQANGTPTTKSPAPGAPTRSPSTPAKSPKLQKKNQKPSQVNGAPGSPTEPAGQKQHQKALPKKGVLGKSPLSALARKKARLSLVIRSPSLLQSGAKKKAQVRKAGKP", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGKGDPKKPRGKMSSYAFFVQTCREEHKKKHPDASVNFSEFSKKCSERWKTMSAKEKGKFEDMAKADKARYEREMKTYIPPKGETKKKFKDPNAPKRPPSAFFLFCSEYRPKIKGEHPGLSIGDVAKKLGEMWNNTAADDKQPYEKKAAKLKEKYEKDIAAYRAKGKPDAAKKGVVKAEKSKKKKEEEEDEEDEEDEEEEEDEEDEDEEEDDDDE", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSQVAAESTAGLDQQFVGLDLKSSDNQNGGGNTESKGRYIPPHLRNRETSKGVCDKDSSGWSCSKDKDAYSSFGSRDSRGKPNYFSDRGSGSRGRFDDHGRNDYDGIGGRDRTGFGKFERSGHSRWSDRSDEDDWSKPLPPSERLEQELFSGGNTGINFEKYDDIPVEATGNNCPPHIENFSDIEMGEIIMGNIELTRYTRPTPVQKHAIPIIKEKRDLMACAQTGSGKTAAFLLPILSQIYTDGPGEALKAMKENGRYGRRKQYPISLVLAPTRELAVQIYEEARKFSYRSRVRPCVVYGGADTVQQIRDLERGCHLLVATPGRLVDMMERGKIGLDFCKYLVLDEADRMLDMGFEPQIRRIVEQDTMPPKGVRHTMMFSATFPKEIQMLARDFLDEYIFLAVGRVGSTSENITQKVVWVEELDKRSFLLDLLNATGKDSLTLVFVETKKGADSLENFLFQERYACTSIHGDRSQKDREEALHQFRSGRKPILVATAVAARGLDISNVKHVINFDLPSDIEEYVHRIGRTGRVGNLGLATSFFNERNLNITKDLLDLLVEAKQEVPSWLESMAYEHHYKGSSRGRSKSRFSGGFGARDYRQSSGSANAGFNSNRANSSRSSGSSHNRGFGGGGYGGFYNNDGYGGNYNSQAVDWWGN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MESNHKSGDGLSGTQKEAALRALVQRTGYSLVQENGQRKYGGPPPGWDAAPPERGCEIFIGKLPRDLFEDELIPLCEKIGKIYEMRMMMDFNGNNRGYAFVTFSNKVEAKNAIKQLNNYEIRNGRLLGVCASVDNCRLFVGGIPKTKKREEILSEMKKVTEGVVDVIVYPSAADKTKNRGFAFVEYESHRAAAMARRKLLPGRIQLWGHGIAVDWAEPEVEVDEDTMSSVKILYVRNLMLSTSEEMIEKEFNNIKPGAVERVKKIRDYAFVHFSNREDAVEAMKALNGKVLDGSPIEVTLAKPVDKDSYVRYTRGTGGRGTMLQGEYTYSLGQVYDPTTTYLGAPVFYAPQTYAAIPSLHFPATKGHLSNRAIIRAPSVREIYMNVPVGAAGVRGLGGRGYLAYTGLGRGYQVKGDKREDKLYDILPGMELTPMNPVTLKPQGIKLAPQILEEICQKNNWGQPVYQLHSAIGQDQRQLFLYKITIPALASQNPAIHPFTPPKLSAFVDEAKTYAAEYTLQTLGIPTDGGDGTMATAAAAATAFPGYAVPNATAPVSAAQLKQAVTLGQDLAAYTTYEVYPTFAVTARGDGYGTF", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDSDQGKLFVGGISWETDEDKLREHFTNYGEVSQAIVMRDKLTGRPRGFGFVIFSDPSVLDRVLQEKHSIDTREVDVKRAMSREEQQVSGRTGNLNTSRSSGGDAYNKTKKIFVGGLPPTLTDEEFRQYFEVYGPVTDVAIMYDQATNRPRGFGFVSFDSEDAVDSVLHKTFHDLSGKQVEVKRALPKDANPGGGGRSMGGGGSGGYQGYGGNESSYDGRMDSNRFLQHQSVGNGLPSYGSSGYGAGYGNGSNGAGYGAYGGYTGSAGGYGAGATAGYGATNIPGAGYGSSTGVAPRNSWDTPASSGYGNPGYGSGAAHSGYGVPGAAPPTQSPSGYSNQGYGYGGYSGSDSGYGNQAAYGVVGGRPSGGGSNNPGSGGYMGGGYGDGSWRSDPSQGYGGGYNDGQGRQGQ", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAATGGGADDESRSGRSSSDGECAVAPEPLAEAGGLVSFADFGVSLGSGAGLPGRSVGRAQSSLRYLQVLWQQDVEPRDELRCKIPAGRLRRAARPHRRLGPTGKEVHALKRLRDSANANDVETVQQLLEDGADPCAADDKGRTALHFASCNGNDQIVQLLLDHGADPNQQDGLGNTPLHLAACTNHVPVITTLLRGGARVDALDRAGRTPLHLAKSKLNILQEGHSQCLEAVRLEVKQIIHMLREYLERLGRHEQRERLDDLCTRLQMTSTKEQVDEVTDLLASFTSLSLQMQSMEKR", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEACSSKTSLLLHSPLRTIPKLRLCASISSEDVAHGRCSLTDQHLQIEGKNYSKTTFDHIFRTDATQDDMYTAFLSDTINSVFAGNDATVLAMGAKTNGKDERLYGNSVSRNGLVQMAITQLMNALDDNKDSEERIQVRMSAIMVSQNESSIVDLLSPFNPDPRHRVVKIVDDARTGVFIDNESEIRVETIDQALFYLNTAVDHRMIQDEHTHRTSHVFISLSLYSYKMGDKMQGGRRRLCFLDMGIGERNSTNGGMTMPALGSILLAMVQRNKHIPSRDSSVCQLIRCALSTSRFTTFVFSFGAKSDDNENIAHLACKIARTRAKSMVGHGRKSSGTMSTGTMESNSSSCGTTTITPGGTPRTQRRFELESGSELSAAETVIFLGPNSSRTASPASTTMPFTPTSIRPLHRTTRNHSGVEALSKPLSVETKSSPTHNCHDGCIHSIPPMLRGHTPFLSASLKLYDELCSPPSSSRASPAPPAAFGGKTSEKREDFGIMIAQPSIPLMKAKSKYNLDDGKMKQIMQWMETSEAPPILFSSPCYENSATSVEELRECVGILSHPLEDIIEQEEESMRTSTATTGGSKKDHPLRILSKQDLNVEPEIKDKQEENELELVMAASLSSMRSHDILAKLEAMRNAQNGNSVQNIGNSQSNTDMDVSEMDVYRRASHLEEYAMQRVREIEENKLKNKKKIKLGLNCCQQQSMISSGSTVVDWSQIERKKEKEREVHEEEMRKEMLRERRAKLKITELEIKRERNLIDKELDDKKGIANSIARQLQHFSLSPCRGGRTHRSVSTHRIDPPNASLPSTPTMSHKKVIGGSLAKLSASGASGSTGAPPSPALGYHQSLPRHSKLPTSVNGRRASAERERKSNKASRNSCSKERKISGSKEELQWRSPYAQMTSPKSYGGPGTSSSGRGSSAPGSDFETPVVSTTEKSANGTIPRSKRQSYSASSGYESANDYHIYSTTNKKPHILDKKRNEEKLSLVRQADEIRHRQWQLKKELEEAKRAIGQEDDAKMIANSSDQRLNGLSRTTMIDAMLQENRILEKRLVACRNHSMLVTTFI", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSDYFTFPKQENGGISKQPATPGSTRSSSRNLELPKNYRSFGGSSDELASMYSADSQYLMDMIPDSLTLKNEPASGNTQMNGPDGKENKDIKLDEYILPKTDPRSPYYINMPIPKKLPKSEGKARAKQKVNRADPSDLDVENIYETSGEFVREYPTDILIDRFHKWKKILKSLIAYFREAAYSQEQIARINYQMKNAVKFAFLTDLEDETNKLVDPSISKLPTKKPQPVPLAAQKLDSKYDTDVEQPQSIQSVPSEEVASASSGFMKFGSGSIQDIQVILKKYHLSLGSQQYKISKEILAYIIPKLTDLRKDLTTKMKEIKELNGDFKTNIGEHIKITSRLLNKYIASVKLLDEASTSGDKQGEKLKPKHDPYLLKLQLDLQLKRQLLEENYLREAFLNLQSAALQLEKIVYSKIQSALQRYSALIDSEARLMIKNLCHELQQGILSRPPAVEWDNFVSHHPTCLMNLKSTDPPPQPRRLSDIVYPNMKSPLAKCIRVGYLLKKTESSKSFTKGYFVLTTNYLHEFKSSDFFLDSKSPRSKNKPVVEQSDISRVNKDGTNAGSHPSSKGTQDPKLTKRRKGLSSSNLYPISSLSLNDCSLKDSTDSTFVLQGYASYHSPEDTCTKESSTTSDLACPTKTLASNKGKHQRTPSALSMVSVPKFLKSSSVPKEQKKAKEEANINKKSICEKRVEWTFKIFSASLEPTPEESKNFKKWVQDIKALTSFNSTQERSNFIEEKILKSRNHNNGKSSQRSKNSTYITPVDSFVNLSEKVTPSSSVTTLNTRKRANRPRYIDIPKSANMNAGAMNSVYRSKVNTPAIDENGNLAIVGETKNSAPQNGMSYTIRTPCKSPYSPYTGEGMLYNRSADNLMASSSRKASAPGEVPQIAVSNHGDEAIIPASAYSDSSHKSSRASSVASIHNQRVDFYPSPLMNLPGVSPSCLALDGNANGYFGIPLNCNSEARRGSDLSPFEMESPLFEENRTQNCSGSRKSSACHIPHQCGPRKEGNDSRLIYGNEKGASQSRLTLKEPLTSKGVEAPYSSLKKTYSAENVPLTSTVSNDKSLHSRKEGSTNTVPATSASSK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSGEDGPAAGPGAAAAAARERRREQLRQWGARAGAEPGPGERRARTVRFERAAEFLAACAGGDLDEARLMLRAADPGPGAELDPAAPPPARAVLDSTNADGISALHQACIDENLEVVRFLVEQGATVNQADNEGWTPLHVAASCGYLDIARYLLSHGANIAAVNSDGDLPLDLAESDAMEGLLKAEIARRGVDVEAAKRAEEELLLHDTRCWLNGGAMPEARHPRTGASALHVAAAKGYIEVMRLLLQAGYDPELRDGDGWTPLHAAAHWGVEDACRLLAEHGGGMDSLTHAGQRPCDLADEEVLSLLEELARKQEDLRNQKEASQSRGQEPQAPSSSKHRRSSVCRLSSREKISLQDLSKERRPGGAGGPPIQDEDEGEEGPTEPPPAEPRTLNGVSSPPHPSPKSPVQLEEAPFSRRFGLLKTGSSGALGPPERRTAEGAPGAGLQRSASSSWLEGTSTQAKELRLARITPTPSPKLPEPSVLSEVTKPPPCLENSSPPSRIPEPESPAKPNVPTASTAPPADSRDRRRSYQMPVRDEESESQRKARSRLMRQSRRSTQGVTLTDLKEAEKAAGKAPESEKPAQSLDPSRRPRVPGVENSDSPAQRAEAPDGQGPGPQAAREHRKVGKEWRGPAEGEEAEPADRSQESSTLEGGPSARRQRWQRDLNPEPEPESEEPDGGFRTLYAELRRENERLREALTETTLRLAQLKVELERATQRQERFAERPALLELERFERRALERKAAELEEELKALSDLRADNQRLKDENAALIRVISKLSK", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFSGKVRAFIDEELFHSNRNNSSDGLSLDTPLAIHTPAKGFDADLSPQSLYDLHTVTTPVTPLAPDEWDFSLDQSSGVIPSPSSFLSDHNNNNLFSDDTISRQYSNTDDINPSDFGGQCAILDSQNFTLSNASTKSKWSFTKHGSNTPSDSSSPLCNSSKRVVGMLRRFLPSSRMVRLSKAHQPLRIPTTGVSLDSADLTPLSVSTSHLNHPSTSNSPDPLYSASQPPSIKTDASPVDIKNMDAAEKLKKIDLLLEEILQLDSAYDAAERRMIESGWSSVDEIRDVHNKRLDAWSEWKQKLLPLKKCC", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSTLGRSKTPSRDEPKKPGVFEKLSGTLSRKKKAPEDEHGNQGGAHHATDEDEVLELELEGREALDQSLVPVLARNIWLEEGEIRRYLTKETARDQKLAQVVDLLIYWLNEELADQRIVVRHLQEDLFDGQIIQKLLEKLEQIRIEVPEVSQSEEGQRQKLQIVVQTANRILGQPREQEKWSADLIHQKDFTAIIQLLVLLALHYRAPVRFPDNVVANVVVAQKEHGQVKTHRITEQITTVQTELAPKGTRDAFDTLFDYGPDKLAHVKTSLLAFCNKHLNKINLEVSDLDNQFQDGVFLVLLVGLLEGYFVPLYHFNLQVQSHEEKVKNVQFAFKLMEDTGLEKPRSRVQDIANGDVKSTLRLLHLLFTKYKHI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTAAHPVAQLTAEAYPKVKRNPNFKVLDSEDLAYFRSILSNDEILNSQAPEELASFNQDWMKKYRGQSNLILLPNSTDKVSKIMKYCNDKKLAVVPQGGNTDLVGASVPVFDEIVLSLRNMNKVRDFDPVSGTFKCDAGVVMRDAHQFLHDHDHIFPLDLPSRNNCQVGGVVSTNAGGLNFLRYGSLHGNVLGLEVVLPNGEIISNINALRKDNTGYDLKQLFIGAEGTIGVVTGVSIVAAAKPKALNAVFFGIENFDTVQKLFVKAKSELSEILSAFEFMDRGSIECTIEYLKDLPFPLENQHNFYVLIETSGSNKRHDDEKLTAFLKDTTDSKLISEGMMAKDKADFDRLWTWRKSVPTACNSYGGMYKYDMSLQLKDLYSVSAAVTERLNAAGLIGDAPKPVVKSCGYGHVGDGNIHLNIAVREFTKQIEDLLEPFVYEYIASKKGSISAEHGIGFHKKGKLHYTRSDIEIRFMKDIKNHYDPNGILNPYKYI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MMAAEAGSEEGGPVTAGAGGGGAAAGSSAYPAVCRVKIPAALPVAAAPYPGLVETGVAGTLGGGAALGSEFLGAGSVAGALGGAGLTGGGTAAGVAGAAAGVAGAAVAGPSGDMALTKLPTSLLAETLGPGGGFPPLPPPPYLPPLGAGLGTVDEGDSLDGPEYEEEEVAIPLTAPPTNQWYHGKLDRTIAEERLRQAGKSGSYLIRESDRRPGSFVLSFLSQMNVVNHFRIIAMCGDYYIGGRRFSSLSDLIGYYSHVSCLLKGEKLLYPVAPPEPVEDRRRVRAILPYTKVPDTDEISFLKGDMFIVHNELEDGWMWVTNLRTDEQGLIVEDLVEEVGREEDPHEGKIWFHGKISKQEAYNLLMTVGQVCSFLVRPSDNTPGDYSLYFRTNENIQRFKICPTPNNQFMMGGRYYNSIGDIIDHYRKEQIVEGYYLKEPVPMQDQEQVLNDTVDGKEIYNTIRRKTKDAFYKNIVKKGYLLKKGKGKRWKNLYFILEGSDAQLIYFESEKRATKPKGLIDLSVCSVYVVHDSLFGRPNCFQIVVQHFSEEHYIFYFAGETPEQAEDWMKGLQAFCNLRKSSPGTSNKRLRQVSSLVLHIEEAHKLPVKHFTNPYCNIYLNSVQVAKTHAREGQNPVWSEEFVFDDLPPDINRFEITLSNKTKKSKDPDILFMRCQLSRLQKGHATDEWFLLSSHIPLKGIEPGSLRVRARYSMEKIMPEEEYSEFKELILQKELHVVYALSHVCGQDRTLLASILLRIFLHEKLESLLLCTLNDREISMEDEATTLFRATTLASTLMEQYMKATATQFVHHALKDSILKIMESKQSCELSPSKLEKNEDVNTNLTHLLNILSELVEKIFMASEILPPTLRYIYGCLQKSVQHKWPTNTTMRTRVVSGFVFLRLICPAILNPRMFNIISDSPSPIAARTLILVAKSVQNLANLVEFGAKEPYMEGVNPFIKSNKHRMIMFLDELGNVPELPDTTEHSRTDLSRDLAALHEICVAHSDELRTLSNERGAQQHVLKKLLAITELLQQKQNQYTKTNDVR", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVDSNDDQPERHALLHNDIQTSNNSRPSLVQKKYLPTPQDTRKSRASYTGSAMINPTSKQSRTGSGAQRTSRTAQKLKLLPEEPFQRDSERLTDLKNQEVYSQVNRIKDKPARRDAEKLGKAHRHLLPRSTAYCTASSYNMKELVRWLKDCRKLHHTHPKLFDECLYTPFIYNDWRGDKRFEDEDVIRLDDEGGEIIVSDKHPDLFIFEYGVVVMWGFTEREEKAFLNDIEKFEKEKLAEEDIQVEEFNYYVTKSYQPRIYNDFITLRDGSNYMVKLSISHAIAQSVKISLFEELVDNTIEDTQDIPQEIAYSGKVSMSKEDIMKSIGELFILRININLHGSVLDSPEIMWSEPQLEPIYQATRGYLEINQRVSLLNQRLEVISDLLQMLKEQLGHSHEEYLEFIVILLVGVEVLISVINIVVDMLASQH", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKRGIRRDPFRKRKLGGRAKKVREPTAVNSFYREASLPSVWASLRRREMVRSGARPGQVLSSGRHTGPAKLTNGKKATYLRKIPRFNADSGYSIHSDSESQAETVHGLDGCASLLRDILRNEDSGSETAYLENRSNSRPLESKRYGSKKKRHEKHTIPLVVQKETSSSDNKKQIPNEASARSERDTSDLEQNWSLQDHYRMYSPIIYQALCEHVQTQMSLMNDLTSKNIPNGIPAVPCHAPSHSESQATPHSSYGLCTSTPVWSLQRPPCPPKVHSEVQTDGNSQFASQGKTVSATCTDVLRNSFNTSPGVPCSLPKTDISAIPTLQQLGLVNGILPQQGIHKETDLLKCIQTYLSLFRSHGKETHLDSQTHRSPTQSQPAFLATNEEKCAREQIREATSERKDLNIHVRDTKTVKDVQKAKNVNKTAEKVRIIKYLLGELKALVAEQEDSEIQRLITEMEACISVLPTVSGNTDIQVEIALAMQPLRSENAQLRRQLRILNQQLREQQKTQKPSGAVDCNLELFSLQSLNMSLQNQLEESLKSQELLQSKNEELLKVIENQKDENKKFSSIFKDKDQTILENKQQYDIEITRIKIELEEALVNVKSSQFKLETAEKENQILGITLRQRDAEVTRLRELTRTLQTSMAKLLSDLSVDSARCKPGNNLTKSLLNIHDKQLQHDPAPAHTSIMSYLNKLETNYSFTHSEPLSTIKNEETIEPDKTYENVLSSRGPQNSNTRGMEEASAPGIISALSKQDSDEGSETMALIEDEHNLDNTIYIPFARSTPEKKSPLSKRLSPQPQIRAATTQLVSNSGLAVSGKENKLCTPVICSSSTKEAEDAPEKLSRASDMKDTQLLKKIKEAIGKIPAATKEPEEQTACHGPSGCLSNSLQVKGNTVCDGSVFTSDLMSDWSISSFSTFTSRDEQDFRNGLAALDANIARLQKSLRTGLLEK", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEEQEIGVPAASLAGIKHIILILSGKGGVGKSSVTTQTALTLCSMGFKVGVLDIDLTGPSLPRMFGLENESIYQGPEGWQPVKVETNSTGSLSVISLGFLLGDRGNSVIWRGPKKTSMIKQFISDVAWGELDYLLIDTPPGTSDEHISIAEELRYSKPDGGIVVTTPQSVATADVKKEINFCKKVDLKILGIIENMSGFVCPHCAECTNIFSSGGGKRLSEQFSVPYLGNVPIDPKFVEMIENQVSSKKTLVEMYRESSLCPIFEEIMKKLRKQDTTTPVVDKHEQPQIESPK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRLFIGRRSRSIVISSNNYCLSFQRLRSIPGASSQQRQLSKTPSVTIKSYPDTDLSSDSNYLEVKSCIFNGLLGLVCLNGDIYVAVISGVQNVGFPRWKLIDHQVRPSESIYKVLDVDFYSLENDVFDYLLCERSEQNYDKLIHEHPCGPLKKLFSDGTFYYSRDFDISNIVKNHGLSHNLEYTVDNQDLSFIWNANLASEVINWRSKISNEEKQLFANAGFLTFVIRGYCKTALIEDGPNTASITIISRISTESKQDTLELEGISEDGRVSLFVETEIVVTTEKFIFSYTQVNGSIPLFWESVESQLLYGKKIKVTKDSIEAQGAFDRHFDNLTSKYGVVSIVNIIKPKSESQEKLALTYKDCAESKGIKITNIEYSSSVLTKSPHKLLYLLKQDIYEFGAFAYDISRGIYFAKQTGVLRISAFDSIEKPNTVERLVSKEVLELTTNEIDVFELTSPFLDAHDKLWSENYYWLDRTYTKHTKNSGKYTKVYSKLFGSRVRLYDPLHIYISQYLKQLRSKYTFEKDISIFAGTFNISGKIPKDDIKDWIFPKSMSKEDEMADLYVIGLEEVVELTPGHMLATDPYVRQFWEKKILTLLNGPGRKKKYIRLWSTQLGGILLLLFMNETEYSKVKHIEGDVKKTGFGGMASNKGAVAVSFKYSATRFCVLVSHLAAGLENVEQRHNDYKTIAKSIRFSKGLRIKDHDAIIWMGDFNYRILMSNEDVRRKIVSKEYASLFEKDQLNQQMIAGESFPYFHEMAIDFPPTYKFDPGTKNYDTSEKMRIPAWTDRILSRGEVLEQLEYKCCEDILFSDHRPVYAIFRARVTVVDEQKKTTLGTQIYEKIMERLEGLDDDEKIAVLSDDAFVIESFEGSDSIAGPTHSPTPIPEPKRGRKLPPPSSDLKKWWIGSGKQVKVVLDVDPAVYMINPKRDPNPFVENEDEPLFIER", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGEVAKEEVEERRSIVAVNPQPSKGLVSSAVDLIEKAVVYLFHDKSKPCHYLSGNFAPVVDETPPCPDLPVRGHLPECLNGEFVRVGPNPKFMPVAGYHWFDGDGMIHGMRIKDGKATYASRYVKTSRLKQEEYFEGPKFMKIGDLKGFFGLFMVQMQLLRAKLKVIDVSYGVGTGNTALIYHHGKLLALSEADKPYVVKVLEDGDLQTLGLLDYDKRLSHSFTAHPKVDPFTDEMFTFGYAHTPPYVTYRVISKDGVMRDPVPITIPASVMMHDFAITENYSIFMDLPLYFQPKEMVKGGKLIFSFDATKKARFGVLPRYAKDDSLIRWFELPNCFIFHNANAWEEGDEVVLITCRLENPDLDMVNGAVKEKLENFKNELYEMRFNMKTGAASQKQLSVSAVDFPRINESYTTRKQRYVYGTILDNITKVKGIIKFDLHAEPEAGKKKLEVGGNVQGIFDLGPGRYGSEAVFVPRERGIKSEEDDGYLIFFVHDENTGKSEVNVIDAKTMSAEPVAVVELPNRVPYGFHAFFVNEEQLQWQQTDV", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKQFWSPSSINKNKAMVENLQNHGIVTSDEVAKAMEAVDRGVFVTDRSSAYVDSPMSIGYNVTISAPHMHAMCLQLLEKHLKPGMRVLDVGSGTGYLTACFAVMVGTEGRAIGVEHIPELVASSVKNIEASAASPFLKEGSLAVHVGDGRQGWAEFAPYDAIHVGAAAPEIPEALIDQLKPGGRLVIPVGNIFQDLQVVDKNSDGSVSIKDETSVRYVPLTSREAQLRGD", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNATGAGYPLASLYIGDLHPDVTEAMLYEKFSPAGPIMSIRVCRDIATRRSLSYAYINFQQPADAERALDTMNFEVIKGRPIRIMWSQRDPGLRKSGVGNVFIKNLDESIDNKALYDTFSAFGNILSCKVVCDEHGSRGYGFVHFETHEAANRAIQTMNGMLLNDRKVFVGHFKSRRERELEYGAKVMEFTNVYIKNFGEDMDDKRLREIFSAFGNTLSVKVMMDDSGRSRGFGFVNYGNHEEAQKAVSEMNGKEVNGRMIYVGRAQKRIERQSELKRKFEQIKQERINRYQGVNLYVKNLDDGIDDDRLRKEFLPYGTITSAKVMTEGGHSKGFGFVCFSSPEEATKAVTEMNGRIVSTKPLYVALAQRKEERKAILTNQYMQRLATMRAMPGPLLGSFQQPANYFLSAMPQPPNRTFYSPNPVAPVRPAPQWASHQSRPPQYQPPTPLMRAVQPRRMSSNISTMKQASTQVPRVAQHSQRVANIGTQTAGARAQVNPSMMRTMPHYKYSCGVRNVQPIVSSTHLQQVMEPAVLMQGQEPLTASLLAGAPPQEQKQMLGERIYPVIHEMHPTLAGKITGMLLEIDNSELLHMLESPESLHSKVEEAVAVLQAHQAKENAQKSAQPSLI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDDLADCREVFAYFDSKGDERISVQQVGDVLRALGQNPTEAEIHKCVGSFDREARLSFEDFVPIFQSVSKNREKHTVEEFVEGLSHFDKEGNGMINVAELRHLLTTLGERLSDEDVDQLLSGHNDSHGNVNISDFVRAVMNS", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTDLVAVWDVALSDGVHKIEFEHGTTSGKRVVYVDGKEEIRREWMFKLVGKETFFVGAAKTKATINIDAISGFAYEYTLEIDGKSLKKYMENRSKTTSTWVLRLDGEDLRVVLEKDTMDVWCNGQKMETAGEFVDDGTETHFSVGNHGCYIKAVSSGKRKEGIIHTLIVDNREIPELTQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSTDSIVKASNWRLVEVGRVVLIKKGQSAGKLAAIVEIIDQKKVLIDGPKAGVPRQAINLGQVVLTPLTFALPRGARTATVSKKWAAAGVCEKWAASSWAKKIAQRERRAALTDFERFQVMVLRKQKRYTVKKALAKA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSNSQEDNNKTTEKKNTLTVIDNRTGKSYEIPINHETVKSIDFRAIKEQSIDFGTMIYDPGYYNTAVCKSQITYIDGDRGILEYRGYPIEQLAEKSSFLEVSYLLIYGDLPSKEQSNLWNTKIMNHTFIHENLISMMKSFRYDAHPMGMLISSLSAMSTFYPEANPALAGVDIYKNKQLMNKQIFRILGKLPTIAACAYRHRIGRPYNDPSNTLSYTENFLYMLDRLSESNYKPHPVLTRALDKLFIIHADHELNCSTATMRQIASTLVDPYTACAGSAGALYGPLHGGANEAVLRMLEAIGTIENIPKFIEQVKQKKQRLMGFGHRVYKSYDPRAKILKTVTMEIFALLGKNPLMQIATELERLALSDSYFIERQLYPNVDFYSGIIYKSMGFPTDMFPVLFSIPRAAGWLAHWVEELADPELRIFRPRQIYMGRRNMNYVPMDARQVQQHNSGEKLSSFSSGFDRRRDVSEELFNFEDGAIPKTATGSKSQLSASIEQSFGEKISPQSH", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTDAEIENSPASDLKELNLENEGVEQQDQAKADESDPVESKKKKNKKKKKKKSNVKKIELLFPDGKYPEGAWMDYHQDFNLQRTTDEESRYLKRDLERAEHWNDVRKGAEIHRRVRRAIKDRIVPGMKLMDIADMIENTTRKYTGAENLLAMEDPKSQGIGFPTGLSLNHCAAHFTPNAGDKTVLKYEDVMKVDYGVQVNGNIIDSAFTVSFDPQYDNLLAAVKDATYTGIKEAGIDVRLTDIGEAIQEVMESYEVEINGETYQVKPCRNLCGHSIAPYRIHGGKSVPIVKNGDTTKMEEGEHFAIETFGSTGRGYVTAGGEVSHYARSAEDHQVMPTLDSAKNLLKTIDRNFGTLPFCRRYLDRLGQEKYLFALNNLVRHGLVQDYPPLNDIPGSYTAQFEHTILLHAHKKEVVSKGDDY", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEDSDLSITNIRDFLTELPKLAKCEYSETTSYLLWKTLNLRLKHSDNDINWRSLVSILNSEAWENEKYRDILNGRKWRTLEFENDHHSVGNMHIGTACTRLCFPSETIYYCFTCSTNPLYEICELCFDKEKHVNHSYVAKVVMRPEGRICHCGDPFAFNDPSDAFKCKNELNNIPISNDNSNVTDDENVISLLNYVLDFLIDVTVSYKEEAEAHSSERKASSLMHPNQNSITDDIMEKHECEPLVNDENFVFFDNNWSNTRKEAHMEWAIQIEEEECNVHYMDLASTITRILNTPVEYAISITKALEDSHDVVTVLQSENFFEIDQIAKEFQKENIVVHVRKADDIFKRKLTDDLTDWLYSLCFKAATSLQNKYALRISMLDVWYSHFSKMRVSPTNTNPDFSKINLLGGFLISNEDSDESWFKPWSLENIEDERISKILTNYNERLIRAHSPNTVSHFYNFYGSRFQYIIINSINILSKKSKFKMLKIMASLFSLRDESRKFLAAQYIDVYLSVLYDAVASDAKECQVTLMSILGQYTFQDPSIANMTISSGFIERTIRFAFTLMAFNPEDLMSYLPISLYNGFKLPTETIRNRRTIICFKDLCTIMSANTVPEELLSNEAIFNAIIESFSEFSNVLPLKRETKEHVEVENFDFSAFYFFFSSILIMTDGYTRSISLVKDAAFRKQIVLKLLDVAQTREFESLTNSRKAISPDNASTNENDSNKATLSTVRETICNYVAETINFQVGVNTQYFFNPMSYLFKFVIQWSQCGRYEPIPASLTNYINLFEVFQDKQKALYISESALSTLVLIGQINVGFWVRNGTPITHQARMYTKYSMREFTYISDIFNVQFSMAMCNPDELMVTYLSRWGLKHWANGVPMYDYPDTETTVAVVNECILLLIQLLTEVRSLVMKSSKEGFERTFKSEIIHALCFDTCSYAQIVNCIPEHITKHPSFDIYLEKYANYTSPVSLTDNGIFVLKEKYKDEIDPYYIGLSSSRRYDVEKNIRLNMANLKKMKYEDTFVPAKKVKDLLKNTLFSGLYSISSVNTFGLFLKNTLDHIIKYDYDNLLPRVVHLIHLCVVNNLNEFMGILWHEYAIVDTEFCHYHSIGSILYYCLLKDNFSESHGKIREIFRYLMETAPHVNVNSYLREQTTSYTPGILWPTKEDKSHKDKEFERKKHLARLRKKKLMKKLAQQQMKFMENNSVDTSDISTPRTTSPSLSPTRINAENSSNTINSCCDDDCVFCKMPKDDDVFVYFSYQERNICDHGIDFTNPTDVNRINSLFSGKQTKDSAIQENPQDDDGTRLKFTSCEPVLRACGHGSHTKCLSGHMKSIRGIQNQTTKNIPLSYGSGLIYCPVCNSLSNSFLPKTNDIDKRTSSQFFMCIEKRSEAEENLDPMSSICIKAAMILGDLQGKKVTTIEDAYKVVNSVFINTISNTELRLRSHKKEGKIVNMERISSQCILTLHLVCELKSFIYKKFVNSKTFSSEISRKIWNWNEFLIKGNNVNLLLYMSQNFDNIDGGKTPQPPNLCIYEMFKRRFHQLLLLLARDMMRVNFYKDCRNKIKISSNGSEEPSTSFSYLFNTFKKYVDLFKPDDVRFDFTSLEKIKDFICSLLLESLSIFCRRTFLLFNIQYDDDGDGDNNNNRSNNFMDVKQREIELIFRYFKLPNLTHFLKDFFYNELTQNIERYNDGNDNLRIQQVIYDMVQNINTRAYPSPEHIQLIELPLNLSKFSLDNDEISNKCDKYEIAVCLLCGQKCHIQKSIALQGYLQGECTDHMRNGCEITSAYGVFLMTGTNAIYLSYGKRGTFYAAPYLSKYGETNEDYKFGTPVYLNRARYANLANEIVFGNMIPHIVFRLTDGSADLGGWETM", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDLHRAAFKMENSSYLPNPLASPALMVLASTAEASRDASIPCQQPRPFGVPVSVDKDVHIPFTNGSYTFASMYHRQGGVPGTFANRDFPPSLLHLHPQFAPPNLDCTPISMLNHSGVGAFRPFASTEDRESYQSAFTPAKRLKNCHDTESPHLRFSDADGKEYDFGTQLPSSSPGSLKVDDTGKKIFAVSGLISDRETSSSPEDRNDRCKKKAVALFDSQAPLCPICQVLLRPSELQEHMEQELEQLAQLPASKNSLLKDAMAPGTPKSLLLSASIKREGDSPTASPHSSATEDLHHSDRYQTFLRVRANRQTRLNARIGKMKRRKQDEGQREGSCMAEDDAVDIEHADSNRFEEYEWCGQKRIRATTLLEGGFRGSGFVMCSGKENPDSDADLDVDGDDTLEYGKPQYTEADVIPCTGEEPGEAKEREALRGAVLNGGPPSTRITPEFSKWASDEMPSTSNGEGSKQEAMQKTCKNSDIEKITEESAVTTFEALKARVRELERQLSRGDRYKCLICMDSYSMPLTSIQCWHVHCEECWLRTLGAKKLCPQCNTITAPGDLRRIYL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLGMIRWVVEGTLVAMLLSAIRRETGMIFFYNQYQLGGWIHRYLSWGEMCYTRTLKMVKRSKFFRKQLNEDGFGRINDSGPKRRGRDQSQYSSRFVELD", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTYSELYSRYTRVWIPDPDEVWRSAELTKDYKDGDESLQLRLEDDTILDYPIDVQNNQVPFLRNPDILVGENDLTALSHLHEPAVLHNLKVRFLESNHIYTYCGIVLVAINPYEQLPIYGQDVIYAYSGQNMGDMDPHIFAVAEEAYKQMARDEKNQSIIVSGESGAGKTVSAKYAMRYFATVGGSASDTNIEEKVLASSPIMEAIGNAKTTRNDNSSRFGKYIEIGFDKKYHIIGANMRTYLLEKSRVVFQADDERNYHIFYQLCAAASLPEFKELALTCAEDFFYTAHGGNTTIEGVDDAEDFEKTRQALTLLGVRESHQISIFKIIASILHLGSVEIQAERDGDSCSISPQDEHLSNFCRLLGIEHSQMEHWLCHRKLVTTSETYVKTMSLQQVVNARNALAKHIYAQLFSWIVEHINKALQTSLKQHSFIGVLDIYGFETFEINSFEQFCINYANEKLQQQFNSHVFKLEQEEYMKEQIPWTLIDFYDNQPCIDLIEAKLGILDLLDEECKVPKGTDQNWAQKLYERHSNSQHFQKPRMSNTAFIVIHFADKVEYLSDGFLEKNRDTVYEEQINILKASKFPLVADLFRDDEDSVPATNTAKSRSSSKINVRSSRPLMKAPNKEHKKSVGYQFRTSLNLLMETLNATTPHYVRCIKPNDEKLPFHFDPKRAVQQLRACGVLETIRISAAGYPSRWTYHDFFNRYRVLMKKRELANTTDKKNICKSVLESLIKDPDKFQFGRTKIFFRAGQVAYLEKLRADKFREATIMIQKTVRGWLQRVKYRRLRAATLTLQRFCRGYLARRLTEHLRRTRAAIVFQKQYRMLKARRAYCRVRRAAVIIQSYTRGHVCTQKLPPVLTEHKATIIQKYARGWMARRHFQRQRDAAIVIQCAFRRLKARQALKALKIEARSAEHLKRLNVGMENKVVQLQRKIDDQNKEFKTLSEQLSAVTSTHAMEVEKLKKELARYQQNQEADPSLQLQEEVQSLRTELQKAHSERRVLEDAHNRENGELRKRVADLEHENALLKDEKEHLNHQILRQSKAESSQSSVEENLLIKKELEEERSRYQNLVKEYSQLEQRYENLRDEQQTPGHRKNPSNQSSLESDSNYPSISTSEIGDTEDALQQVEEIGIEKAAMDMTVFLKLQKRVRELEQERKKLQVQLEKEQQDSKKVQVEQQNNGLDVDQDADIAYNSLKRQELESENKKLKNDLNERWKAVADQAMQDNSTHSSPDSYSLLLNQLKLANEELEVRKEEVLILRTQIMNADQRRLSGKNMEPNINARTSWPNSEKHVDQEDAIEAYHGVCQTNSQTEDWGYLNEDGELGLAYQGLKQVARLLEAQLQAQNLKHEEEVEHLKAQVEAMKEEMDKQQQTFCQTLLLSPEAQVEFGVQQEISRLTNENLDFKELVEKLEKNEKKLKKQLKIYMKKVQDLEAAQALAQSDRRHHELTRQVTVQRKEKDFQGMLEYHKEDEALLIRNLVTDLKPQMLSGTVPCLPAYILYMCIRHADYTNDDLKVHSLLSSTINGIKKVLKKHNEDFEMTSFWLSNTCRLLHCLKQYSGDEGFMTQNTAKQNEHCLKNFDLTEYRQVLSDLSIQIYQQLIKIAEGLLQPMIVSAMLENESIQGLSGVRPTGYRKRSSSMVDGENSYCLEAIIRQMNFFHTVLCDQGLDPEIILQVFKQLFYMINAVTLNNLLLRKDACSWSTGMQLRYNISQLEEWLRGKNLQQSGAVQTMEPLIQAAQLLQLKKKTQEDAEAICSLCTSLSTQQIVKILNLYTPLNGFEERVTVSFIRTIQAQLQERSDPQQLLLDSKHMFPVLFPFNPSALTMDSIHIPACLNLEFLNEV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSHKPVRPNEALHKEALEELDAKINEAKKRFNEHKEKLGAIRGGGSLQEKNAELRAELDNIRNAQAAIRSSKQTLINKVKAQDELLKKKVKELTAMKKTVPFKSEVELDKHVKQLQAAVDSGTLKIVDEKKYLREISQCNRTRKSFVELNALQTSIDTIRNELNELRDQLNDSESKKLSDKFVEIRSELDEVRKQQDGYYKDQRKLIAERDDEKTALDDLYNQRRALQREYDTQLRAFRTYEREQRAKRQEQFRLERENREKEKRRIAAQRKLEEASIPAFTEEILACENLLKVFHVPVESSTTNAVSTGNTSSKILKPRTLTPRTVDPIPEGTIIKKESSDDAMFSGLKKSKPKKSNKSNNNQADSDRLNLSFGTIKEFDFVGVPAPFTKSQVDSAVEQLKSRIAHFKEQQDSVTKQRIEKAKQEIEKLEAKYNSKEEKTLTEADMVISSEETVTVTNDLEVEATA", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MYVIKRDGRKEPVQFDKITSRITRLSYGLDPNRIDAVKVTQRIISGVYSGVTTVELDNLAAETCAYMTTVHPDYATLAARIAISNLHKQTTKQFSKVIEDLHDWINPATGKHAPMISDEIYNIVMENKDTLNSAIVYDRDFQYTYFGFKTLERSYLLRLNGEVAERPQHLVMRVALGIHGSDIESVLKTYNLMSLRYFTHASPTLFNAGTPHPQMSSCFLIAMKDDSIEGIYDTLKECAMISKTAGGVGLHINNIRSTGSYIAGTNGTSNGLIPMIRVFNNTARYVDQGGNKRPGAFALFLEPWHADIFDFVDIRKTHGKEEIRARDLFPALWIPDLFMKRVQEDGPWTLFSPSAAPGLDDVWGDEFEELYTRYEREGRGKTIKAQKLWYAILQAQTETGTPFMVYKDACNRKTNQQNLGTIKSSNLCCEIVEYSSPDETAVCNLASIALPAFVEVSEDGKTASYNFERLHEIAKVITHNLNRVIDRNYYPVPEARNSNMKHRPIALGVQGLADTYMMLRLPFESEEAQTLNKQIFETIYHATLEASCELAQKEGKYSTFEGSPASKGILQFDMWNAKPFGMWDWETLRKDIVKHGLRNSLTMAPMPTASTSQILGYNECFEPVTSNMYSRRVLSGEFQVVNPYLLRDLVDLGIWDDSMKQYLITQNGSIQGLPNVPQELKELYKTVWEISQKTIINMAADRAIYIDQSHSLNLFLQAPSMGKITSMHFYGWKKGLKTGMYYLRTQAASAAIQFTIDQEVADQAATHIASVSELDRPVYVPKGTKFSEQKAASALTESSDNEKDASPVPSEQSSVSSAMSNVKLEDSVAPAVPTETIKEDSDEKKCDIYNEKVIACTAPTPEACESCSG", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSAPTTPPSVDKVDGFSRKSVRKARQKRSQSSSQFRSQGKPIELTPLPLLKDVPSSEQPELFLKKLQQCCVIFDFMDTLSDLKMKEYKRSTLNELVDYITISRGCLTEQTYPEVVRMVSCNIFRTLPPSDSNEFDPEEDEPTLEASWPHLQLVYEFFIRFLESQEFQPSIAKKYIDQKFVLQLLELFDSEDPRERDYLKTVLHRIYGKFLGLRAFIRKQINNIFLRFVYETEHFNGVAELLEILGSIINGFALPLKAEHKQFLVKVLIPLHTVRSLSLFHAQLAYCIVQFLEKDPSLTEPVIRGLMKFWPKTCSQKEVMFLGELEEILDVIEPSQFVKIQEPLFKQIAKCVSSPHFQVAERALYYWNNEYIMSLIEENSNVILPIMFSSLYRISKEHWNPAIVALVYNVLKAFMEMNSTMFDELTATYKSDRQREKKKEKEREELWKKLEDLELKRGLRRDGIIPT", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASSSGAGAAAAAAAANLNAVRETMDVLLEISRILNTGLDMETLSICVRLCEQGINPEALSSVIKELRKATEALKAAENMTS", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKRIPIKELIVEHPGKVLILDGGQGTELENRGININSPVWSAAPFTSESFWEPSSQERKVVEEMYRDFMIAGANILMTITYQANFQSISENTSIKTLAAYKRFLDKIVSFTREFIGEERYLIGSIGPWAAHVSCEYTGDYGPHPENIDYYGFFKPQLENFNQNRDIDLIGFETIPNFHELKAILSWDEDIISKPFYIGLSVDDNSLLRDGTTLEEISVHIKGLGNKINKNLLLMGVNCVSFNQSALILKMLHEHLPGMPLLVYPNSGEIYNPKEKTWHRPTNKLDDWETTVKKFVDNGARIIGGCCRTSPKDIAEIASAVDKYS", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSGGIMDEEIEIPPFFLCPISLEIMKDPVIVSTGITYDRDSIEKWLFAGKKNSCPVTKQDITDADLTPNHTLRRLIQSWCTLNASYGVERIPTPRPPICKSEIEKLIRDSASSHENQVKCLKRLRQIVSENATNKRCLEAAGVPEFLANIVSNDSENGSLTDEALNLLYHLETSETVLKNLLNNKKDNNIVKSLTKIMQRGMYESRVYATLLLKNILEVADPMQSMTLKPEVFTEVVQILDDRISQKATKAAMHILVNICPWGRNRHKAVEAGVISVIIELLMDESFTSERRGPEMAMVVLDLLCQCAEGRAEFLNHGAAIAVVCKKILRVSQTASDRAVRVLLSVGRFCATPALLHEMLQLGVVAKLCLVLQVSCGGKTKEKAKELLKLHARVWKDSPCLPKNMILAYPC", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPQEVKRCVLAYSGGLDTSCILAWLIEEGWEVICYMANVGQEEDWDAAREKALKVGAKKVYVEDLREEFINDTVIPAAQANAIYENVYLLGTSLARPIIARRQIQIAEKENCIAVSHGCTGKGNDQVRFELAYYALKPDVQVIAPWRLPVFFERFAGRKDLLEYAAAKGIPVTQTTKKPWSMDENIVHCSYEAGILEDPSMTPPKDMWKLTVDPKDAPDEVEELSIHFEKGAPTKLECKDGTFSGVVSIFYQLNAIARRNGVGRIDIVENRFSGLKSRGCYETPGLTILRTAHMDLEGLTMEREVRALRDQFVTFNLAKILYNGQFFSPCTRMLLAANNVSQEVVNGVVKLSVYKGNVTVLGRKSDTAHLYDEKLSSMDELGGFDPTWTSGFIQIESMRLRNSDEGKHWM", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAQFAFESDLHSLLQLDAPIPNAPPARWQRKAKEAAGPAPSPMRAANRSHSAGRTPGRTPGKSSSKVQTTPSKPGGDRYIPHRSAAQMEVASFLLSKENQPENSQTPTKKEHQKAWALNLNGFDVEEAKILRLSGKPQNAPEGYQNRLKVLYSQKATPGSSRKTCRYIPSLPDRILDAPEIRNDYYLNLVDWSSGNVLAVALDNSVYLWSASSGDILQLLQMEQPGEYISSVAWIKEGNYLAVGTSSAEVQLWDVQQQKRLRNMTSHSARVGSLSWNSYILSSGSRSGHIHHHDVRVAEHHVATLSGHSQEVCGLRWAPDGRHLASGGNDNLVNVWPSAPGEGGWVPLQTFTQHQGAVKAVAWCPWQSNVLATGGGTSDRHIRIWNVCSGACLSAVDAHSQVCSILWSPHYKELISGHGFAQNQLVIWKYPTMAKVAELKGHTSRVLSLTMSPDGATVASAAADETLRLWRCFELDPARRREREKASAAKSSLIHQGIR", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MIIYRDLISHDEMFSDIYKIREIADGLCLEVEGKMVSRTEGNIDDSLIGGNASAEGPEGEGTESTVITGVDIVMNHHLQETSFTKEAYKKYIKDYMKSIKGKLEEQRPERVKPFMTGAAEQIKHILANFKNYQFFIGENMNPDGMVALLDYREDGVTPYMIFFKDGLEMEKC", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSVASFLTGEKLLAGSKFVIGKYNVTIEKYIAEGGFSHVYLVQTNSKTDGSPITAVLKRMYSPDENALRFVKTEIETMELLKSNPHVVSYIDSCIFPLEKAGVNTGFEILLLMEYCAGGGLIDFMNQRLQTRLSEHEVLKIISDIVQGVASLHYLRPPLIHRDLKVENVLLSFNTFKLCDFGSVTEPMHAAENSSEIQALEKSINTFTTYQYRAPEMINLYSGLGIDEKSDMWALGVLLYKLCYYTTPFETQGPNAILTASYSFPPFPPYSHSLKNVIIALLQPNPCLRPNIFQLMCEICRLRGTALPFRDIYSGRESSFYDLHNRKIMSLLRQTTTTPFAGNQVMPTPQVQGSRPPPPPPMPAPIYNVPNVPTVPTVSPNPFLQPTFSGTSQASAHPSIHSQSATFNNTQDVNRQSVSSPKVVSSSSVTGSGASGRKVYTPQSKNPFPISQGVTGTSSKFSASEVTEDNGSPNAGLDADNVDVEEVVNQRYPDLNELESQLTSHTNITTSSHHNPREQEIAKLADDAFASFRQTAGTSTANSTHTTGTQDISAFDTNFSEGVGSVRSSFDFPDRPVSRRPSSTSRSSVKITGPSSPNARSMAYEQHSFNLDDLSNTNFGSNNSLRHAKSFSKYYSPSHKDSNKTSRNTSKEGLPSSPTMLYRTTSNTRGDYIVQRTQQSANPLTNIEPQDMSNLSTDINASDVVADSTNSILYPTSTASSVANTIASDVDDFGTFNGLQRTVSLHSERASLDKKRPSFHDKNPYFKFSPSKYVDEGLEGESELRERVRSYANRSSSSFHNPDLTDTEIQETSFNNDLRPVASIQSAETSRSVSDRPANFPEELNDTLFEQKYPGIVDYEEPNSNAEKNVNI", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNGDELPFESGFEVYAKGTHKSEFDSNLLDPRSDPIWDAIREEAKLEAEKEPILSSFLYAGILAHDCLEQALGFVLANRLQNPTLLATQLLDIFYGVMMHDKGIQSSIRHDLQAFKDRDPACLSYSSAILHLKGYHALQAYRVAHKLWNEGRKLLALALQSRISEVFGIDIHPAARIGEGILLDHGTGVVIGETAVIGNGVSILHGVTLGGTGKETGDRHPKIGEGALLGACVTILGNISIGAGAMVAAGSLVLKDVPSHSVVAGNPAKLIRVMEEQDPSLAMKHDATKEFFRHVADGYKGAQSNGPSLSAGDTEKGHTNSTS", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSERVSEEPGLDKGDRAEECELDDPELKAIRMRVREMEEEAERLKGLSGQDKSIGVSTRPCMQTTHSKMTAGAYTEGPPQPLSAEEKKEIDKRSVYVGNVDYGSTAQDLEAHFSSCGSINRITILCDKFSGHPKGYAYIEFAERNSVDAAVAMDETVFRGRTIKVLPKRTNMPGISSTDRGGFRGRPRGNRGNYQRGQRPRGRPFRGRGRPGPLNNPY", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSSRDYMNTSVQEPPLDYSFKSVQMVQDLVTEEPRTGLRPVRHSKSGKSLTQSLWLNNNVLNDLKDFNQVVSQLLQHPENLAWIDLSFNDLTTIDPVLTTFFNLSVLYLHGNGIHRLGEVNKLAVLPRLRSLTLHGNPIEEEKGYRQYVLCNLPRITTFDFSGVTRADRSTAEVWKRMGIKPKKVRAKQDVL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MATEDDGELSARYQNTLDALSSLITKRGRLASNNQSHRFRLLFHYLKVLELEDAVSQMKIIHVAGTKGKGSTCTFAESILRCYGLRTGLFTSPHLIDVRERFRLNGIEISQEKFVNYFWCCFHKLKEKTSNEVPMPTYFCFLALLAFKIFTTEQVDVVILEVGLGGRFDATNVIQKPVVCGISSLGYDHMEILGYTLAEIAAEKAGIFKSGVPAFTVAQPDEAMRVLNEKASKLEVNLQVVEPLDSSQRLGLQGEHQYLNAGLAVALCSTFLKEIGIEDKNGLDQTNGLPEKFISGLSNAYLMGRAMIVPDSELPEEIVYYLDGAHSPESMEACAIWFSKQIKQNQERNQKRSEQILLFNCMSVRDPSLLLPRLRSKCIDQGVDFKRAVFVPNVSVYNQVGSSTNVGTRVESMSWQFGLQRIWESLARGEAKSNSKSDSKGKEEEKSFVFSSLPVAVDWLRDNARQSKQVRFQVLVTGSLHLVGDLLRFIKK", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MELILSTSPAELTLDPACQPKLPLDSTCQPEMTFNPGPTELTLDPEHQPEETPAPSLAELTLEPVHRRPELLDACADLINDQWPRSRTSRLHSLGQSSDAFPLCLMLLSPHPTLEAAPVVVGHARLSRVLNQPQSLLVETVVVARALRGRGFGRRLMEGLEVFARARGFRKLHLTTHDQVHFYTHLGYQLGEPVQGLVFTSRRLPATLLNAFPTAPSPRPPRKAPNLTAQAAPRGPKGPPLPPPPPLPECLTISPPVPSGPPSKSLLETQYQNVRGRPIFWMEKDI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLMTASQQDQHAKMYLADIHRALRIPSPIPSTDYECSDYASTIASISRESTMRNFNRSNISSTAPSFAESEDAEDGDSFPYDQTLSNSSSFDDHQSLLPFSTEVRRTPTYSVMNETDSSSTSVEDVNKENILSLNDSCLIKLSDDEASNKSSRSSTPRNSIKSNSSNQGHGDIPIPKKNPARSVCNSKLFNEDTLPAEFEEVSISPPVKLELPTHSHNSSDTSFTNSIVSSVSDMVGLGEGINSIASFGFSEDSSSFQDIKTPPRLSFADENRENCRTDIYRSDSIHEYEEPLTSSITSLDSPHVLDENAPIPLLPKVVSLPDPRFTNVLSAFDALTRTYLLRQNSKVVHATSQKQEMQTSRRVVNSCYMPESLSRNLSSSLQQTGGSGRLFVRLMEIRNLTIPLASGMTTRFTYTISGKHIQVPWNALHSTTKIENEYTFDESISSSIVCTLRAAYDPPKVRTRSTLGKVFSTNKRKSMTTDPVSEALHGFVSEDGTFGEVTINTDSVSRTALGRCQSMVLPIMNKWTVDPAAKDVKPLPRKVGELEIHVFFLPALPVSLKELPASIESAMYDLKLAEWDRTLLCDGYLCQQGGDCPYWRRRYFQLIGSKLVAFQQFSKVRRATIDLSEATHIVDDNHYSDEEELEGYLYFESGFRIIFSNGDYIDFYAETVGEKDEWMSTLRQHLGQCSMVHKNWTKSFLSLSF", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQQKAFEESRYPWQESFENVAVCLPLRCPRCGDHTRFRSLSSLRAHLEFSHSYEERTLLTKCSLFPSLKDTDLVTSSELLKPGKLQSSGNVVKQKPSYVNLYSISHEHSKDRKPFEVVAERPVSYVQTYTAMDLHADSLDGTRSGPGLPTSDTKASFEAHVREKFNRMVEAVDRTIEKRIDKLTKELAQKTAELLEVRAAFVQLTQKKQEVQRRERALNRQVDVAVEMIAVLRQRLTESEEELLRKEEEVVTFNHFLEAAAEKEVQGKARLQDFIENLLQRVELAEKQLEYYQSQQASGFVRDLSGHVLTDISSNRKPKCLSRGHPHSVCNHPDLKAHFHPKGRNHLKKAKDDRASMQPAKAIHEQAESSRDLCRPPKKGELLGFGRKGNIRPKMAKKKPTAIVNII", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATGFKLPELAPVKSAWGPPETEQIGGDIPYAPFSKGDRLGKIADWSVDQPKDGREQRGRQGAFAGRFRDQYQTYGYGASSIFGYQHSEDESSFSVIDRGSVNRTRTSARNGGTLLKVRGRGQNVQRGGRGGRYGSSGGRGAGDTVVSRSSGAGGARGRRFGWKDYDKHQRLRNASVTVGDDWQLLDEVEFSHLSKLNLAAAAPVTVDSYGYIYPYDKSFDKIHVKSEKPLQALDRVHYNPTTTEDPVIQKLALNSDANIFITDSILSLLMCSTRSVYPWDIVITHQSGKLFFDKREGGPFDYLTVNENAYDSPMDADNREGVNSPGALSVEATYINQNFCVQALRETEEEKYKLPHPNPFYNSKEQSEPLAAHGYIYRDVDLSLETDEKPVKLMVRTEVDGYVKNPANDVQYISIKALNEYDPKFTNVTGSVDWRSKLESQRGAVFATEMKNNSCKLARWTVEALLAGVDSMKVGFVSRSNARDAQHHGILGVVAYKPADLASQMNLSLSNGWGIVRTIADVCLKMPDGKYVLVKDPNRPILRLYSVPPNTFEEAAGPSLEASSTA", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAKTNGFMGHDMLAPFTAAWMIDMGPLVIDKAEGSYVYGVNGKKYLDSLSGLWCTVLGGSEPRLIEAASKQLNKSAFYHSFWNRTTKPSLDLAKELINMFTANKMGKVFFTSSGSEANDTQVKLVWYYNNAIGRPNKKKIISRKNAYHGSTYMTAGLSGLPSLHLKFDLPPPYILHTDCPHYWNYHLPGETEEEYSTRLANNLENLILKEGPETVAAFIAEPVMGGAGVIIPPATYFEKIQAVLKKYDILFIADEVICGFGRLGTMFGCDKYNIKPDLVSIAKALSGGYIPIGAVLVSEEISKVIMSQSNQLGVFCHGFTYSGHPVACAVALEALKIYKEKNITEVVNKLSPKLQEGLKAFIDSPIIGEIRGTGLVLSTEFVDNKSPNDPFPPEWGVGTYFGSQCQKHGMLVSFSGDHVNMAPPFTLSLEELDEMISIYGKALKDTEKRVEELKSQKK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTGKSVKDVDRYQAVLANLLLEEDNKFCADCQSKGPRWASWNIGVFICIRCAGIHRNLGVHISRVKSVNLDQWTQEQIQCMQEMGNGKANRLYEAYLPETFRRPQIDPAVEGFIRDKYEKKKYMDRSLDINVLRKEKDDKWKRGNEPAPEKKMEPVVFEKVKMPQKKEDAQLPRKSSPKSAAPVMDLLGLDAPVACSIANSKTSNALEKDLDLLASVPSPSSVSRKAVGSMPTAGSAGSVPENLNLFPEPGSKSEETGKKQLSKDSILSLYGSQTPQMPAQAMFMAPAQMAYPTAYPSFPGVTPPNSIMGGMVPPPVGMVAQPGASGMLTPMAMPAGYMGGMQASMMGVPNGMMTTQQAGYMASMAAMPQTVYGVQPAQQLQWNLTQMTQQMAGMNFYGANGMMNYGQSMGGGNGQAANQTLSPQMWK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEAGCEGSSPQTLGERTMGEEGERVKPFTPEKAKEVIMSLQQPAIFCNMVFDWPSRHWTAKHLSKVLEGKQIRFRMGLRSTGTVPQFETECSYVDATLEEFLAWNCDQSRISGPFKKYDHSKFWAYADYKYFVTLFEDKTDVFQEVMWSDFGFPGRNGQESTLWIGSLGAHTPCHLDSYGCNLVFQVQGRKRWHLFPPEDTPFLYPTRIPYEESSVFSKINVVNPDLKRFPQFQKARRHMVTLSPGQVLFVPRHWWHYVESLDPVTVSINSWIELEEDHLARVEEAVTRMLVCTLKTAEDPHHPRTWLNPTEVEETSHEVNSCYLNSAVCAFFDHCERAKEVEMQAPRANGEEPGVQEHMEVEQARDPSSDVGAGKQEAASPFGPDLVPVTPASEERGGALEGDSQECTSRNGEHCAELPCARRQQASKGARAEAGQSAPRYPVAPSRVFVSTDDLLDCLVNPQVTRMVAQLLIQGKSL", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSAAKENPCRKFQANIFNKSKCQNCFKPRESHLLNDEDLTQAKPIYGGWLLLAPDGTDFDNPVHRSRKWQRRFFILYEHGLLRYALDEMPTTLPQGTINMNQCTDVVDGEGRTGQKFSLCILTPEKEHFIRAETKEIVSGWLEMLMVYPRTNKQNQKKKRKVEPPTPQEPGPAKVAVTSSSSSSSSSSSIPSAEKVPTTKSTLWQEEMRTKDQPDGSSLSPAQSPSQSQPPAASSLREPGLESKEEESAMSSDRMDCGRKVRVESGYFSLEKTKQDLKAEEQQLPPPLSPPSPSTPNHRRSQVIEKFEALDIEKAEHMETNAVGPSPSSDTRQGRSEKRAFPRKRDFTNEAPPAPLPDASASPLSPHRRAKSLDRRSTEPSVTPDLLNFKKGWLTKQYEDGQWKKHWFVLADQSLRYYRDSVAEEAADLDGEIDLSACYDVTEYPVQRNYGFQIHTKEGEFTLSAMTSGIRRNWIQTIMKHVHPTTAPDVTSSLPEEKNKSSCSFETCPRPTEKQEAELGEPDPEQKRSRARERRREGRSKTFDWAEFRPIQQALAQERVGGVGPADTHEPLRPEAEPGELERERARRREERRKRFGMLDATDGPGTEDAALRMEVDRSPGLPMSDLKTHNVHVEIEQRWHQVETTPLREEKQVPIAPVHLSSEDGGDRLSTHELTSLLEKELEQSQKEASDLLEQNRLLQDQLRVALGREQSAREGYVLQATCERGFAAMEETHQKKIEDLQRQHQRELEKLREEKDRLLAEETAATISAIEAMKNAHREEMERELEKSQRSQISSVNSDVEALRRQYLEELQSVQRELEVLSEQYSQKCLENAHLAQALEAERQALRQCQRENQELNAHNQELNNRLAAEITRLRTLLTGDGGGEATGSPLAQGKDAYELEVLLRVKESEIQYLKQEISSLKDELQTALRDKKYASDKYKDIYTELSIAKAKADCDISRLKEQLKAATEALGEKSPDSATVSGYDIMKSKSNPDFLKKDRSCVTRQLRNIRSKSVIEQVSWDT", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MYPLNANSYTLLRKLGSGSFGVVWKARENVSGDIIAIKQIDLETGIDDITDIEQEVFMLSNCNSSNVIQYYGCFVDGYTLWILMEHMDGGSVSGLLKMGRLNEQVISIILREVLYGLNYLHGQNKIHRDIKAANILLSSSTGNVKLADFGVAAQLSNAASRRHTFVGTPFWMAPEVIQQTSYGLAADIWSLGITAIEMANGIPPRATMHPMRVIFEIPQSEPPKLDDHFSPTFRDFVSCCLDLNPNMRWSAKELLQHPFIKSAGTVKDIIPLLVQKENKLFDDSDQSVLEETINNTLKPFEEPIAEGNADIEDWTFETVKKSDSTVLGNTSIPKNSIISSQNKEELPSSIKYLEKTIMSDQATPHPFSKSLSEKGSSYHKSLTSDFAMKHYIKSTIRSMLLNDKLSATQRSSLESFYTSFISLDKNLSSKFVNQITPDNRLHHKKQKRSPISQLLFSRWLEETEKRRSLNG", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSSPLASLSKTRKVPLESEPVNPGRRGIRIYGDEDEVDMVNDGQDSEEKISLPSCYGGIGRQGLMIHDSELLTSMARKLQELEQQLKARNEEMLSKEQKILALEDLVQTLQQHQSSTTREEELETQCIQLQRQVGEMERFLNDYGLQWVGEPMDQENSEGKIISESDERDWMKAKKFWKPGDSIVPPEVDFDRLLSSLQDLSELVVEGEAQVTPVPGGAQFRTLEPIPLKLYRNGIMMFDGPFRPFYDPYTQRCLRDILDGFFPSELQRLYPDGVPFKVSDLRNQVYPEDGLGPFPGEGRVVGRQKIRKVTDRVEETSGSRMTAEKFLNRLPKCVIRQGEVIDIRGPIRDTLQNCCPMPVRIQEIIVETPALASERQRTQESPNMPVPPLSMLRIKSENGEQAFLLMMRPEDTIGDVRNLLAQARDMDSAAFEILSTFPPTVYRDDTVTLQAAGLVPNATLLLRTRRVLPANPSFGTDSGPGSLP", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPMDQSISSPLFPMEKDIDIPLDATPLAQSSSLQLFIHLAEPVVFLQGFDPQKTEYPSVVLRGCLVVRILKPTKLKSISLSFKGYSRTEWPEGIPPKRQEFVEIKDIVDHTWALYPPTEQKSKKKMDASAPNESNNAANNFLTKESGASLYRTLSDNETITSRKNSISGLSSLNLSPLGAPGNSSVNVKDRESRQRSRSSSVTSSNGPSRNLSPINLLKRATSPSVSHHNYKPTTTSIFSDLLNNTFTHNDAASHHGHHIPTSSNHLAMTSNNFTSGSGGEFFVFQPGDYIYAFEELIPQAYPESIKADFGFVEYFLFASIERPGAFKSNISARQVVNIVRTQAHNSVEESEPIIISRDWENQLYYDIVIASKDIILDAFLPITFKFAPLDKVTLHRIRIYVTETMEYYCREKKVHRMEPTKKFLLTEQKGPKLPNLPNDANLSKAKNMGNLLQDPKNGDLVNKEYEYQIFIPSRFNNHQQLHPDTSYENIKANHWIKICLRLSRVVDNKRKHYEISIDSPIHVLHRLCSHANTLLPSYDGHPASFPKETDSSISSILESSDDNINLYHNSNIFFPKEVLSSPVLSPNVQPLDILIPHLPSTSLTRNSRQFNRNSKSHPSDNTIFNSAKLKSNIYQPESLQRELASPQAIPLSPITSPMSNMEVPPPDFDFSSDFISDAASGTTTTEVSSSESSILPRDPPSYKDTVLHDNNQKRRPNSKHPTPPSLKASHPNKNSDKNSSETLNKKESMSKIEENKHKRETTPKKRENRDVKSLSTPQREESKDSTSTGNQSNEKNRKRVLSLSSSLHSSPNNSGFAHSALGNLSNESLRSLNRRESVQDNLPSTIRHDNPFFTDLNQVLIEDELKNHDKNELNRHSTNTSSTPASARSSFDYSGINISKDKLNMEPLLSKTETLTNKVNEDSFLRPNDSYVDLLEPSVDTTIDITAPYARNSSAWHPLQNDNDNNQFSPLLGSNENFLNAANAQNSAESDHNNDIFTQGSGLTESSKNSDSEERFISRLSSPEKVLINTLDNESGLQSINESTL", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAENIMVDSHVWVEDPERAWIDGVVLNIKGEEAEIKTNDGRDVIANLSRLYPKDTEAPSEGVEDMTRLSYLHEPAVLDNLATRYELNEIYTYTGNILIAVNPFQGLPHLYDAEVMEKYKEAYFKELNPHVFAIGGIAYREMINEGRNKCILVSGESGSGKTETTKMLMRYLAYFGGHTAVEGRTVENQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDDVGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPPEDVERFKLGDPKSFRYLNQSSCYKLDGVNDAEEYLATRRAMDVVGISEKEQDAIFRVVASILHLGNIEFSKGEDADSSSVKDEQSMFHLQMTSELLMCDPHSLEDALCKRMMVTPEEVIKRSLDPLGAAVSRDGLAKTIYSRLFDWLVNKINISIGQDSHSRRLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNQHVFKMEQGEYQKEEIDWSYVEFVDNKDVVDLIEKKPGGIIALLDEACMLPKSTPETFSEKLYHTFKDHKRFMKPKLTRSDFTLVHYAGDVQYQSDQFLDKNKDYVVAEHQDLLNASKCSFVSGLFPPLPKESSKSKFSSIGARFKLQLQQLMETLNSTEPHYIRCVKPNNLLQPTVFDNANVLHQLRSGGVLEAIRVKCAGYPTNRTFIEFLNRFLILAPEILKGEYEAEVACKWILEKKGLTGYQIGKSKVFLRAGQMAELDAHRTRVLGESARMIQGQVRTRLTRERFVLMRRASVNIQANWRGNIARKISKEMRREEAAIKIQKNLRRQIAKKDYGKTKSSALTLQSGVRTMAARHEFRYKLTTRAATVIQAYWRGYSAISDYKKLKRVSLLCKSNLRGRIARKQLGQSKQADRKEETEKERKVELSNRAEEAVDMSFVLHSEQSDDAESGHGRKAKLSIESEDGLDKSSVLHSEQSDDEELGHERKTKLSIESEDGHSDQSDDEEIEHERKTKHCIQAEDGIEKSYVMHSDQSDDEEIGHKRKTKHSIQAEDGIEKSFVVHSDQSDDEEIGHERKTKHAIQVEDGIQKSFVTCSEKPYNTFSVVSQITSPIRDTEIESLTAEVEMLKALLQVEKQRADISERKCAEARELGERRRKRLEETERRVYQLQDSLNRLLYSMSDQFSQLKSILRSPSMSASTMASAPVVRDDLADSSENSEASSSDSDFTFPAPSPSSDNFSTFNPNQLQVIVQDLSTTEAKGTESYDSDKEGGFEDYF", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDEGGTPLLPDSLVYQIFLSLGPADVLAAGLVCRQWQAVSRDEFLWREQFYRYYQVARDVPRHPAAMSWYEEFQRLYDTVPCVEVQTLREHTDQVLHLSFSHSGYQFASCSKDCTVKIWSNDLTISLLHSADMRPYNWSYTQFSQFNKDDSLLLASGVFLGPHNSSSGEIAVISLDSFALLSRVRNKPYDVFGCWLTETSLISGNLHRIGDITSCSVLWLNNAFQDVESENVNVVKRLFKIQNLNASTVRTVMVADCSRFDSPDLLLEAGDPATSPCRIFDLGSDNEEVVAGPAPAHAKEGLRHFLDRVLEGRAQPQLSERMLETKVAELLAQGHTKPPERSATGAKSKYLIFTTGCLTYSPHQIGIKQILPHQMTTAGPVLGEGRGSDAFFDALDHVIDIHGHIIGMGLSPDNRYLYVNSRAWPNGAVVADPMQPPPIAEEIDLLVFDLKTMREVRRALRAHRAYTPNDECFFIFLDVSRDFVASGAEDRHGYIWDRHYNICLARLRHEDVVNSVVFSPQEQELLLTASDDATIKAWRSPRTMRVLQAPRPRPRTFFSWLASQRR", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAGTLRGLAVAGGGESSDSEDDGWDIGYLDRSSQKLKRSLPVEEKNETFKKALTTGDISLVKELLDSGINVDSSFRYGWTPLMYAASVANAELVRFLLDRGANASFDKDKLTILISACSARGSEEQVLKCVELLLSRNADPNTACRRLMTPIMYAARDGHTQVVALLVAHGAEVNAQDENGYTALTWAARQGHKNVILKLLELGANKMLQTKDGRTPSEIAKRNKHLEIFNFLSLTLNPLEGKLQQLTKEETICKLLATDSDKEKDHIFSPYTAFGDLEIFLHGLGLEHMTDSLKEKDITLRHLLTMKKDELTKNGIASKDQQKILAALKELEVEEINFGKLPEVTKLEISGDEFLNFLLKLNKQCGHLITAVQNIITELPVNSHKIVLEWASPRNFTSVCEELVSNVEDLNEEVCRLKELIQKMQNERENDPTHIPLVEEVSTWKTRILKRSAVTVCGFGLLLFIGKLTLQRK", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRALAYFKKGDIHFTNDIPRPEIQTDDEVIIDVSWCGICGSDLHEYLDGPIFMPKDGECHKLSNAALPLAMGHEMSGIVSKVGPKVTKVKVGDHVVVDAASSCADLHCWPHSKFYNSKPCDACQRGSENLCTHAGFVGLGVISGGFAEQVVVSQHHIIPVPKEIPLDVAALVEPLSVTWHAVKISGFKKGSSALVLGAGPIGLCTILVLKGMGASKIVVSEIAERRIEMAKKLGVEVFNPSKHGHKSIEILRGLTKSHDGFDYSYDCSGIQVTFETSLKALTFKGTATNIAVWGPKPVPFQPMDVTLQEKVMTGSIGYVVEDFEEVVRAIHNGDIAMEDCKQLITGKQRIEDGWEKGFQELMDHKESNVKILLTPNNHGEMK", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSFTNATFSQVLDDLSARFILNLPAEEQSSVERLCFQIEQAHWFYEDFIRAQNDQLPSLGLRVFSAKLFAHCPLLWKWSKVHEEAFDDFLRYKTRIPVRGAIMLDMSMQQCVLVKGWKASSGWGFPKGKIDKDESDVDCAIREVYEETGFDCSSRINPNEFIDMTIRGQNVRLYIIPGISLDTRFESRTRKEISKIEWHNLMDLPTFKKNKPQTMKNKFYMVIPFLAPLKKWIKKRNIANNTTKEKNISVDVDADASSQLLSLLKSSTAPSDLATPQPSTFPQPPVESHSSFDIKQKILHLLNEGNEPKSPIQLPPVSNLPLNPPIQSSNSRLSHDNNSFDPFAYLGLDPKNPSASFPRVVSQNNMLTNKPVLNNHFQQSMYSNLLKDQNSVQHLFAASDMPSPMELPSPSTVYHQVFYPPTSTSVSSYGLGKTPQPAYGSSSPYVNGHQTQQISSLPPFQSQTQFLARNSDNSGQSYNSEGDSNSKRLLSMLSQQDTTPSSSTLSKEANVQLANLFLTPNSLETKKFSDNSQGEEISDNLHGESCNNPNANSVHSAQLLQALLHPSATETKEETPKKTSDSLSLLTLLKSGLPTPANDLQNKSQNNERKASSQVKELEVKNYSKSTDLLKKTLRIPRNDEPLEAANQFDLLKVSPQQKSEVPPKRNELSQSKLKNRKKKENSETNKNHVDMSPGFVKILKRSPLADQKKEDTQESDFKGSDDHFLSYLQSVVSSNSNGLH", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSGSHTPACGPFSALTPSIWPQEILAKYTQKEESAEQPEFYYDEFGFRVYKEEGDEPGSSLLANSPLMEDAPQRLRWQAHLEFTHNHDVGDLTWDKIAVSLPRSEKLRSLVLAGIPHGMRPQLWMRLSGALQKKRNSELSYREIVKNSSNDETIAAKQIEKDLLRTMPSNACFASMGSIGVPRLRRVLRALAWLYPEIGYCQGTGMVAACLLLFLEEEDAFWMMSAIIEDLLPASYFSTTLLGVQTDQRVLRHLIVQYLPRLDKLLQEHDIELSLITLHWFLTAFASVVDIKLLLRIWDLFFYEGSRVLFQLTLGMLHLKEEELIQSENSASIFNTLSDIPSQMEDAELLLGVAMRLAGSLTDVAVETQRRKHLAYLIADQGQLLGAGTLTNLSQVVRRRTQRRKSTITALLFGEDDLEALKAKNIKQTELVADLREAILRVARHFQCTDPKNCSVELTPDYSMESHQRDHENYVACSRSHRRRAKALLDFERHDDDELGFRKNDIITIVSQKDEHCWVGELNGLRGWFPAKFVEVLDERSKEYSIAGDDSVTEGVTDLVRGTLCPALKALFEHGLKKPSLLGGACHPWLFIEEAAGREVERDFASVYSRLVLCKTFRLDEDGKVLTPEELLYRAVQSVNVTHDAVHAQMDVKLRSLICVGLNEQVLHLWLEVLCSSLPTVEKWYQPWSFLRSPGWVQIKCELRVLCCFAFSLSQDWELPAKREAQQPLKEGVRDMLVKHHLFSWDVDG", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSREEQIKKLNQYLDNRELAFRAKDGDKNIFHTESQLDSSLKKNTAFMKRCKSSLTSENYDSFIKEIKTLSLKKFIPEITAAIVEGMMKCKATKDILSSVKIVWALNLRFSTAFTGPMLANLYCALYPNPGYSLCHESYFELKQNENEVSEKDRSSHLLKVRPLLRFLIEFWLNGVVGTPEDFVSYLPSTDSNDKKFRKPWFEEQNLKKPLVVLLFNDLMDTRFGFLLLPVLTSLVRTFSCELFTTEDFEDKETLELVNRLNPVVWRTYLRKSLNSYVDKLEVYCQKRKSLFEELNKQYQEQSIIRADPNNEKFQRLANFSKSIESEFSSYASLSEVLNRKASEDLLELNFMEKASSGTNSVFNASGERSESANVETAQVWDDREQYFFYEVFPNFNEGSIAEMKSSIYESSQEGIRSSSENNKKEDDLKDSTGDLNTTQVSSRVDNFLLKLPSMVSLELTNEMALEFYDLNTKASRNRLIKALCTIPRTSSFLVPYYVRLARILSQLSSEFSTSLVDHARHSFKRMIHRKAKHEYDTRLLIVRYISELTKFQLMPFHMVFECYKLCINEFTPFDLEVLALLLESCGRFLLRYPETKLQMQSFLEAIQKKKLASALASQDQLVLENALHFVNPPKRGIIVSKKKSLKEEFLYDLIQIRLKDDNVFPTLLLLRKFDWKDDYQILYNTIMEVWNIKYNSLNALARLLSALYKFHPEFCIHVIDDTLESLFSAVNNSDHVEKQKRLAQARFISELCVIHMLDVRAITNFLFHLLPLEKFESFLTMKASTLTNINNDMFRLRLIVVVLQTCGPSIIRSKTKKTMLTYLLAYQCYFLIQPEMPLDMLYEFEDVIGYVRPSMKVYMHYEEARNALTERLQAISDDWEEDDTRPVFQGANDGDISSNEESVYLPEDISDESETDEESSGLEESDLLDSEDEDIDNEMQLSRELDEEFERLTNESLLTRMHEKNPGFDVPLPLRASSLGSPYVTRNEESASESSHVMFTLLTKRGNKQRSQYLEIPSHSSLVRSTKNQQTEEIMERKRVKEMVLNFE", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDIEKIEDLKKFVASCEENPSILLKPELSFFKDFIESFGGKIKKDKMGYEKMKSEDSTEEKSDEEEEDEEEEEEEEEDDDPEKLELIKEEAVECPPLAPIIEGELSEEQIEEICKLKEEAVDLVENKKYEEALEKYNKIISFGNPSAMIYTKRASILLNLKRPKACIRDCTEALNLNVDSANAYKIRAKAYRYLGKWEFAHADMEQGQKIDYDENLWDMQKLIQEKYKKIYEKRRYKINKEEEKQRLKREKELKKKLAAKKKAEKMYKENNKRENYDSDSSDSSYSEPDFSGDFPGGMPGGMPGMPGGMGGMGGMPGMPGGFPGMPGGMPGGMPGGMGGMPGMPGGMPGGMGGMPGMPGGMPDLNSPEMKELFNNPQFFQMMQNMMSNPDLINKYASDPKYKNIFENLKNSDLGGMMGEKPKP", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAILKRGARKKVHQEPAKRSANIKKATFDSSKKKEVGVSDLTLLSKISDEAINENLKKRFLNATIYTYIGHVLISVNPFRDLGIYTDAVMNEYKGKNRLEVPPHVFAIAESMYYNMKSYNENQCVIISGESGAGKTEAAKRIMQYIAAASSTHTESIGKIKDMVLATNPLLESFGCAKTLRNNNSSRHGKYLEIKFNNQFEPCAGNITNYLLEKQRVVSQIKNERNFHIFYQFTKGASDAYRQTFGVQKPEQYVYTAAAGCISAETIDDLQDYQETLKAMRVIGLGQEEQDQIFRMLAAILWIGNVSFIENEEGNAQVRDTSVTDFVAYLLQIDSQLLIKSLVERIMETNHGMKRGSVYHVPLNIVQADAVRDALAKAIYNNLFDWIVSRVNKSLQAFPGAEKSIGILDIYGFEIFEHNSFEQICINYVNEKLQQIFIQLTLKSEQETYEREKIQWTPIKYFDNKVVCDLIEARRPPGIFAAMNDSVATAHADSNAADQAFAQRLNLFTTNPHFDLRSNKFVIKHYAGDVTYDIDGITDKNKDQLQKDLVELIGTTTNTFLATIFPDTVDRESKRRPPTAGDKIIKSANDLVETLSKAQPSYIRTIKPNETKSPNDYDDRQVLHQIKYLGLQENVRIRRAGFAYRQVFEKFVERFYLLSPHCSYAGDYTWQGDTLDAVKYILQDSSIPQQEYQLGVTSVFIKTPETLFALEHMRDRYWHNMAARIQRAWRRFLQRRIDAATKIQRTIRERKEGNKYEKLRDYGTKVLGGRKERRSMSLLGYRAFMGDYLSCNESKSKGAYIKRQVSIKEKVIFSIHGEALHTKFGRSAQRLKKTFLLTPTTLYIVGQTLVQNAMTYTQDYKIDVRNIQAVSLTNLQDDWVAIKLASSGQPDPLINTYFKTELITHLKRLNDKIQIKIGSAIEYQKKPGKLHSVKCQINESAPKYGDIYKSSTISVRRGNPPNSQVHKKPRKKSSISSGYHASSSQATRRPVSIAAAQHVPTAPASRHSKKPAPPPPGMQNKAATRRSVPNPASTLTASQSNARPSPPTAATRATPAATPAAAAMGSGRQANIPPPPPPPPPSSKPKEPMFEAAYDFPGSGSPSELPLKKGDVIYITREEPSGWSLGKLLDGSKEGWVPTAYMKPHSGNNNIPTPPQNRDVPKPVLNSVQHDNTSANVIPAAAQASLGDGLANALAARANKMRLESDDEEANEDEEEDDW", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEPQEERETQVAAWLKKIFGDHPIPQYEVNPRTTEILHHLSERNRVRDRDVYLVIEDLKQKASEYESEAKYLQDLLMESVNFSPANLSSTGSRYLNALVDSAVALETKDTSLASFIPAVNDLTSDLFRTKSKSEEIKIELEKLEKNLTATLVLEKCLQEDVKKAELHLSTERAKVDNRRQNMDFLKAKSEEFRFGIKAAEEQLSARGMDASLSHQSLVALSEKLARLKQQTIPLKKKLESYLDLMPNPSLAQVKIEEAKRELDSIEAELTRRVDMMEL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSFFNFRKIFKLGSEKKKKQYEHVKRDLNPEEFWEIIGELGDGAFGKVYKAQNKETNVLAAAKVIDTKSEEELEDYMVEIDILASCDHPNIVKLLDAFYYENNLWILIEFCAGGAVDAVMLELERPLTESQIQVVCKQTLEALNYLHDNKIIHRDLKAGNILFTLDGDIKLADFGVSAKNTRTIQRRDSFIGTPYWMAPEVVMCETSKDRPYDYKADVWSLGITLIEMAEIEPPHHELNPMRVLLKIAKSEPPTLAQPSKWSSNFKDFLRKCLEKNVDARWTTSQLLQHPFVTVDSNKPVRELIAEAKAEVTEEVEDGKEEDEEEEAENALPIPANKRASSDLSIASSEEDKLSQNACILESVSERTEQSTSEDKFSNKILNEKPTTDGPEKAVDEHASDVNLETGAELNDQTVGIHENGREKKRPKLENLPDTQDQQTVDVNSVSEENENNRVTLETNTDCLKPEEDRNKENQETLESKLIQSEEINDTHIQTMDLVSQETGEKEADFQAVDNEVGLTKEETQEKLGKDGTAQKVITSDRSSEVGTDEALDDTQKAAELSKAAQSGEGDEALAPTQTLAEKPTEGPEAGGAEEEPPGGERVEDKQPEQQPAVCEAEGQLTSTSETTRATLEQPETDEVEQVSESNSIEELERLVVTGAEARALGSEGEAAATEVDLERKENAQKVPVKAESQAPAASQPSEPHPVLIPSININSETTENKEEMGALPKPETILPPEPEHEKGNDTDSGTGSTVENSSGDLNLSISSFLSKAKDSGSVSLQETRRQKKTLKKTRKFIVDGVEVSVTTSKIVTDSDSKTEELRFLRRQELRELRLLQKEEQRAQQQLNGKLQQQREQIFRRFEQEMLSKKRQYDQEIENLEKQQKQTIERLEQEHTNRLRDEAKRIKGEQEKELSKFQNVLKNRKKEVMNEVEKAPRELRRELTKRRKEELAQSQHAQEQEFVQKQQQELDGSLKKIIQQQKAELANIERECLNNKQQLMRAREAAIWELEERHLQEKHQLLKQQLKDQYFMQRHQLLKRHEKETEQMQRYNQRLIEELKNRQTQERARLPKIQRSEAKTRMAMFKKSLRINSTATPDQDREKIKQFAAQEEKRQKNERMAQHQKHESQMRDLQLQCEANVRELHQLQNEKCHLLVEHETQKLKELDEEHSQELKEWREKLRPRKKTLEEEFARKLQEQEVFFKMTGESECLNPSAQSRISKFYPIPTLHSTGS", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDVTVSELLELFLQSPLVTWVKTFGPFGSGSQDNLTMYMDLVDGIFLNQIMLQIDPRPTNQRINKHVNNDVNLRIQNLTILVRNIKTYYQEVLQQLIVMNLPNVLMIGRDPLSGKSMEEIKKVLLLVLGCAVQCERKEEFIERIKQLDIETQAGIVAHIQEVTHNQENVFDLQWLELPDVAPEELEALSRSMVLHLRRLIDQRDECTELIVDLTQERDYLQAQHPPSPIKSSSADSTPSPTSSLSSEDKQHLAVELADTKARLRRVRQELEDKTEQLVDTRHEVDQLVLELQKVKQENIQLAADARSARAYRDELDSLREKANRVERLELELTRCKEKLHDVDFYKARMEELREDNIILIETKAMLEEQLTAARARGDKVHELEKENLQLKSKLHDLELDRDTDKKRIEELLEENMVLEIAQKQSMNESAHLGWELEQLSKNADLSDASRKSFVFELNECASSRILKLEKENQSLQSTIQGLRDASLVLEESGLKCGELEKENHQLSKKIEKLQTQLEREKQSNQDLETLSEELIREKEQLQSDMETLKADKARQIKDLEQEKDHLNRAMWSLRERSQVSSEARMKDVEKENKALHQTVTEANGKLSQLEFEKRQLHRDLEQAKEKGERAEKLERELQRLQEENGRLARKVTSLETATEKVEALEHESQGLQLENRTLRKSLDTLQNVSLQLEGLERDNKQLDAENLELRRLVETMRFTSTKLAQMERENQQLEREKEELRKNVDLLKALGKKSERLELSYQSVSAENLRLQQSLESSSHKTQTLESELGELEAERQALRRDLEALRLANAQLEGAEKDRKALEQEVAQLEKDKKLLEKEAKRLWQQVELKDAVLDDSTAKLSAVEKESRALDKELARCRDAAGKLKELEKDNRDLTKQVTVHARTLTTLREDLVLEKLKSQQLSSELDKLSQELEKVGLNRELLLQEDDSGSDTKYKILEGRNESALKTTLAMKEEKIVLLEAQMEEKASLNRQLESELQMLKKECETLRQNQGEGQHLQNSFKHPAGKTAASHQGKEAWGPGHKEATMELLRVKDRAIELERNNAALQAEKQLLKEQLQHLETQNVTFSSQILTLQKQSAFLQEHNTTLQTQTAKLQVENSTLSSQSAALTAQYTLLQNHHTAKETENESLQRQQEQLTAAYEALLQDHEHLGTLHERQSAEYEALIRQHSCLKTLHRNLELEHKELGERHGDMLKRKAELEEREKVLTTEREALQQEQRTNALAMGENQRLRGELDRVNFLHHQLKGEYEELHAHTKELKTSLNNAQLELNRWQARFDELKEQHQTMDISLTKLDNHCELLSRLKGNLEEENHHLLSQIQLLSQQNQMLLEQNMENKEQYHEEQKQYIDKLNALRRHKEKLEEKIMDQYKFYDPPPKKKNHWIGAKALVKLIKPKKEGSRERLKSTVDSPPWQLESSDPASPAASQPLRSQAENPDTPALGSNCAEERDAHNGSVGKGPGDLKPKRGSPHRGSLDRTDASTDLAMRSWPSELGSRTCSTSATTTAPSNSTPIARHPGRTKGYNSDDNLCEPSLEFEVPNHRQYVSRPSSLESSRNTSSNSSPLNLKGSSEQLHGRSESFSSEDLIPSRDLATLPREASTPGRNALGRHEYPLPRNGPLPQEGAQKRGTAPPYVGVRPCSASPSSEMVTLEEFLEESNRSSPTHDTPSCRDDLLSDYFRKASDPPAIGGQPGPPAKKEGAKMPTNFVAPTVKMAAPTSEGRPLKPGQYVKPNFRLTEAEAPPSVAPRQAQPPQSLSLGRPRQAPVPPASHAPASRSASLSRAFSLASADLLRASGPEACKQESPQKLGAPEALGGRETGSHTLQSPAPPSSHSLARERTPLVGKAGSSCQGPGPRSRPLDTRRFSLAPPKEERLAPLHQSATAPAIATAGAGAAAAGSGSNSQLLHFSPAAAPAARTKPKAPPRSGEVATITPVRAGLSLSEGDGVPGQGCSEGLPAKSPGRSPDLAPHLGRALEDCSRGSVSKSSPASPEPGGDPQTVWYEYGCV", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEEVREGHALGGGMEADGPASLQELPPSPRSPSPPPSPPPLPSPPSLPSPAAPEAPELPEPAQPSEAHARQLLLEEWGPLSGGLELPQRLTWKLLLLRRPLYRNLLRSPNPEGINIYEPAPPTGPTQRPLETLGNFRGWYIRTEKLQQNQSWTVKQQCVDLLAEGLWEELLDDEQPAITVMDWFEDSRLDACVYELHVWLLAADRRTVIAQHHVAPRTSGRGPPGRWVQVSHVFRHYGPGVRFIHFLHKAKNRMEPGGLRRTRVTDSSVSVQLRE", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKSLLVVAAVLAVGALAQGDDAKGPKVTDKVYFDMEIGGKPIGRIVIGLFGKTVPKTATNFIELAKKPKGEGYPGSKFHRVIADFMIQGGDFTRGDGTGGRSIYGEKFADENFKLKHYGAGWLSMANAGADTNGSQFFITTVKTPWLDGRHVVFGKILEGMDVVRKIEQTEKLPGDRPKQDVIIAASGHIAVDTPFSVEREAVV", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAPKKVLLALTSYNDVFYSDGAKTGVFVVEALHPFNTFRKEGFEVDFVSETGKFGWDEHSLAKDFLNGQDETDFKNKDSDFNKTLAKIKTPKEVNADDYQIFFASAGHGTLFDYPKAKDLQDIASEIYANGGVVAAVCHGPAIFDGLTDKKTGRPLIEGKSITGFTDVGETILGVDSILKAKNLATVEDVAKKYGAKYLAPVGPWDDYSITDGRLVTGVNPASAHSTAVRSIDALKN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSSVKVAVRVRPFNSREIARESKCIIEMAGATTAITNPKVPPNTSDSVKRFNFDYSYWSHDHHDADFSTQSMVYKDIGEEMLQHSFDGYNVCIFAYGQTGAGKSYTMMGRQEEQQEGIIPMICKDLFTRIQDTETDDLKYSVEVSYMEIYCERVRDLLNPKNKGNLRVREHPLLGPYVEDLSKLAVTDYQDIHDLIDEGNKARTVAATNMNETSSRSHAVFTIFFTQRRHDLMTNLTTEKVSKISLVDLAGSERADSTGAKGTRLKEGANINKSLTTLGKVISALAEVASKKKNTKKADFIPYRDSALTWLLRENLGGNSKTAMIAAISPADINYDETLSTLRYADRAKQIVCKAVVNEDANAKLIRELKEEIQKLRDLLKAEGIEVQEEDELTKSTVIKSPTKSRNRNGSTTEMAVDQLQASEKLIAELNETWEEKLKRTEEIRVQREAVFAEMGVAVKEDGITVGVFSPKKTPHLVNLNEDPNLSECLLYYIKEGLTRLGTHEANVPQDIQLSGSHILKEHCTFENKNSTVTLLPHKDAIIYVNGRKLVEPEVLKTGSRVILGKNHVFRFTNPEQARELRDKIETENEAENEVEKTDTQQVDWNFAQCELLEKQGIDLKAEMKKRLDNLEEQYKREKLQADQQFEEQRKTYEARIDALQKQVEEQSMTMSMYSSYSPEDFHQEEDVYTNPMYESCWTAREAGLAAWAFRKWRYHQFTSLRDDLWGNAIFLKEANAISVELKKKVQFQFTLLTDTLYSPLPPELASTVAPVHQEDEFGAPPVSKTLVAVEVTDTKNGATHHWSLEKLRQRLELMREMYHNEAEMSPTSPDYNVESLTGGDPFYDRFPWFRMVGRSFIYLSNLLYPVPLVHKVAIVNERGDVRGYLRIAVQPVLDEESIDFNNGVKQSARLVFNEDDAKPKYRALNEKDDVQRYIDNGGLDSKLEELEDVDSGRGIDSNSASECHENSEEPGEHLQVGKEFTFRVTVLQATGIGAEYADIFCQFNFLHRHEEAFSTEPVKNSASGAPLGFYHVQNITVPVTKSFIEYLKTQPIMFKIFGHYQTHPLHKDAKQDFVSRPPPRRMLPPSIPISQPVRSPKFGPLPCAPTSTVLAKHDVLVWFEICELAPNGEYVPSVVEHSDDLPCRGLFLLHQGIQRRIRITIVHEPTTEVKWKDINELVVGRIRNTPESSDEQDEDACVLSLGLFPGEALEVPGDDRSFYRFEAAWDSSLHNSALLNRVSQGGETIYITLSAYLELENCARPAIITKDLSMVIYGRDARTGPRSLKHLFSGQYRNPEANRLTGVYELALRRASEAGSPGVQRRQRRVLDTSSTYVRGEENLHGWRPRGDSLIFDHQWELEKLTRLEEVGRMRHLLLLRERLGMDTNPNPTTKTEKDVCNLAARAATSPVHMVIPQSPQTPVKDPQQIIPEREYNQREQDLMLKCLKLVQGRYTKSEANDTQTQSDVSPSDEGCADMTVSCISSNSMENNKFVIRRRLCSPDRADAPNGWEAPAPATQPALPLRLYVPELEEIRVSPVVARKGLLNVLEHGGSGWKKRWVIVRRPYVFIYRSEKDPVERAVLNLATAHVECSEDQAAMVKIPNTFSVVTKHRGYLLQTLGDKEVHDWLYAINPLLAGQIKSRLARRTLEPASQTASQIQATNAANANSASK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVQACEGRSRAQLPTLSLGADMTQPPPTKAPAKKHVRLQERRGSSVALMLDVQSLGTVEPICSVNTPREVTLHFLRTAGHPLTRWTLQHQPPSPKQLEEEFLKIPSNFVNPEDLDIPGHASKDRYKTILPNPQSRVCLGRAQSQEDSDYINANYIRGYDGKEKVYIATQGPMPNTVADFWEMVWQEDVSLIVMLTQLREGKEKCVHYWPTEEEAYGPFQIRIQDMKEHPEYTVRQLTIQHQQECRSVKHILFSAWPDHQTPESAGPLLRLVAEVETPETAANSGPIVVHCSAGIGRTGCFIATRIGCQQLKARGEVDILGIVCQLRLDRGGMIQTAEQYQFLHHTLALYAAQLPPEPNP", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MADEEISKAFRDLQFKTNETRMRIVQGEQNKKVNYQKMRISESTKKNLVDLDENLKYYRSVGRMFLLTDKPAEISRHEAEAKQSKEKIEAIEKQKDYLEKGLVEAETNLRELIQSRR", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDTSDLFASCRKGDVGRVRYLLEQRDVEVNVRDKWDSTPLYYACLCGHEELVLYLLANGARCEANTFDGERCLYGALSDPIRRALRDYKQVTASCRRRDYYDDFLQRLLEQGIHSDVVFVVHGKPFRVHRCVLGARSAYFANMLDTKWKGKSVVVLRHPLINPVAFGALLQYLYTGRLDIGVEHVSDCERLAKQCQLWDLLSDLEAKCEKVSEFVASKPGTCVKVLTIEPPPADPRLREDMALLADCALPPELRGDLWELPFPCPDGFNSCPDICFRVAGCSFLCHKAFFCGRSDYFRALLDDHFRESEEPATSGGPPAVTLHGISPDVFTHVLYYMYSDHTELSPEAAYDVLSVADMYLLPGLKRLCGRSLAQMLDEDTVVGVWRVAKLFRLARLEDQCTEYMAKVIEKLVEREDFVEAVKEEAAAVAARQETDSIPLVDDIRFHVASTVQTYSAIEEAQQRLRALEDLLVSIGLDC", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPPAGELRHQSPSKEKLSSVTQSDEAEAASAAISAAAADAEAAGLWTQIKAEARRDAEAEPALASYLYSTILSHSSLERSISFHLGNKLCSSTLLSTLLYDLFLNTFSSDPSLRNATVADLRAARVRDPACISFSHCLLNYKGFLAIQAHRVSHKLWTQSRKPLALALHSRISDVFAVDIHPAAKIGKGILLDHATGVVVGETAVIGNNVSILHHVTLGGTGKACGDRHPKIGDGCLIGAGATILGNVKIGAGAKVGAGSVVLIDVPCRGTAVGNPARLVGGKEKPTIHDEECPGESMDHTSFISEWSDYII", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAEEVEEERLKYLDFVRAAGVYAVDSFSTLYLYAKDISGPLKPGVDTIENVVKTVVTPVYYIPLEAVKFVDKTVDVSVTSLDGVVPPVIKQVSAQTYSVAQDAPRIVLDVASSVFNTGVQEGAKALYANLEPKAEQYAVITWRALNKLPLVPQVANVVVPTAVYFSEKYNDVVRGTTEQGYRVSSYLPLLPTEKITKVFGDEAS", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRPPATPPDPTKEPGCKGTTRGLRKRGLPLTPEPGEGGGCSLEARGCEEESRQKQRMVTQASGREETEGDKLAKENGKITEAPSDDPQPGTDLVRKTSITSSESLQTVECSEFQNMAFLQSLDKEELGEGIKRRMRIKKCKSLENPPLEITKNEATQNIKVEFQDELFKNTPKHSCNSLSPGVEKNCSFELHDYSFLHSEGCNNENNFEDKPHDVCLHTEENSLKLKKENLRNLAEKDDTRTTTKLLKTEKSVIASKLLLEESHLYQNKNNGLTSCLQSEKNKYSVEENNTGRKHRKKMKSGKEEKNINLTLSNVCNNSVLVLQENQMGMEGKEAETLEPKKSFLKALRKINHNTLPPVDHLCLPKTVGKTSSRHHINAMLQKTLESSLKEDIKNTSESLGCKRIEPEKYNKSMISSTVKSPSDGHHMEKRSPRGDLRSETEESKVSCCRTIPMTGKRVWPFYSCARISAQCWKKTSLSDLNYSLLGPLENVRQHDSIIHQMNQTHLPDSKLLQPSLTERTTESSRKEMYDSDLSCLSSVSSVESTVMDIKEAMSHDKKTKLEEPSRNGAEVVSNATEDTQLSNITQSLTGNKKRKGNLSKLNLTVASQESQETNNCANKTVHRKVCITKQTLVAPDLVKILNTGRLTNFKIPLLKNKTGKRGAVSARSSEREAYSPLELLDSLSGVEAKQNRNKENICTTSGPQSLNLHSCIAPGQASSHSFYNKNSCTSSSFTKKGYDNKACNHISEPGNIISNKESISMKIENNTFSCDLGYIDQSSFCSKKQEAFVPISSEISGRKMTKSISELKLGFPDILKAYEDDVLLIDVIQDDPELFGISSEGDLSFASEVSKISQEPRVSEDQPTADFKHMHLPGKKEPGDLSKEVALLDPGLLKLESCPSLSAAKEPQHDPEGAAISLEATEETVVSGSLEGLSEQARASDSDAKCISSDKATVMEEQESTHEIFKSKDSRNVESATECQLATLGPNPLCSSALPVNLSSHQDVVSTPWINDVRFPGRHSVLQLQNPETCEIFKREKNMGVFQKPLGLLIPHRYCKLHFNTLRGCERAQCKFVHVPEQGDEKICMDVFRKYISVNEQRLLHRAAYIFLEYYRKFPPGIHFSLQVLNDLLISLLKHCLLKEVFQVVQLSIMAKMLPALKILLKIFEYVAAMKLRNAVPALIEIFCKFIEAGMVPDPEHLNYIVKLLHQAQASQQEISAVLQAKSRLRVRQLKKNWKCDLDSALSEVETCKEKSDWTKLGNLYISIKMSCEEFADLQRFCACVAETLTEDYKEERPGVPFCEFAETVSKDPQYSEVDKTLLGRIGISAVYFYHRLLLWAKGRKVLDILYELKIHFTSLKGLTGPEKEAPRCQIVNVAAEIFIKSGSLDGAIWVLRESEWIINTPLWPCDRMDVLNRHNLLCTIAHEILGKNLYKQTFEVLRNLPSFQNSQEMMGVSQSSLLFNELLDACIESNSLGISSSVAEFMVAKSIPIDFSFLRRLITSLGRSCLWLKARAHYKSALSLGCYPPLEGNLHRKLLLVPSYLSEIEMLLAMEIFLVSNASGIQSAGMGAPTQVLQIVLKRCEESKSRSKDEYQAAVERLVMAARISDPKLFIKHMTVNINKEQVYSLEHCSALKWLKENMKWAGKVWLFTNH", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MENDQFTEKQQQVTTSPTQDNQGQSKAEPVPVSQPLSPTNQTSAQPEMATCDISEELNRQLEDIIKTYGSAASLVEKEGTTAETDKPEKEDVGSMEDAECEDVNEESEKDKPAPGDASRAKEPSASKEQKLEKKILKGLGKEATLLMQSLNKLTTPEEKLDLLFKKYAELLEEHRAEQKQLKYLQKRQAQITKEKDQLQSEHSRAILARSKLESLCRELQRHNKTLKEETIQRAREEDEKRKEITNHFQGTLSEIQAQIEQQSERNMKLCQENTELAEKLKSIIDQYELREEHLDKIFKHRELQQKLVDAKLEQSQEMMKEAEERHQKEKEYLLNQAAEWKLQAKMLKEQETVLQAQITLYSERFEEFQKTLTKSNEVFATFKQEMEKMTKKMKKLEKDTATWKSRFENCNRALLDMIEEKAMRTKEYECFVLKIQRLENLCRALQEERNELYRKIKQAQLPEEVNGNDILEEDDDANTNPSSSEQASIELCAADKNMLQELAEAFRVSHKAEETLPSDGSNPETCNVQMCEAISVPELPSHLTSQPEAGNHCEQFSMSTSAPPEHMPAATENMTTLIENMPKPTKSMPMPPEMVPTPTESVPIPTEGVPTPPKIMPATPESVPTLMQNTSAPLGNMPASTKSTPKAVEHVDDIAELFIPDQPAEQKGDTDMEAVD", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSFRFGQHLIKPSVVFLKTELSFALVNRKPVVPGHVLVCPLRPVERFRDLHPDEVADLFQVTQRVGTVVEKHFQGTSITFSMQDGPEAGQTVKHVHVHVLPRKAGDFPRNDNIYDELQKHDREEEDSPAFWRSEKEMAAEAEALRVYFQA", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNLHGVSGHHEPNSEPPIPTGSSSPKLKISPKLNRWSRGRALRSGVKLDRPIPRSDNSPRRQVTEAQPTDEADKKTTAIDVEVTAGKSIYLVSDGTGWTAEHSVNAALGQFEDFSLNRGSSVNTHLFSWVEDEERLIEIIKQAAKEGAMCFYTLANPSMAKSAKQACDQLGVLSVDILGPIIEGIASHLGVSPSGLTRGAPGRVKTLNDAYFKRIEAIEFTIKQDDGTLPENLSKADIVLVGVSRTGKTPLSTYIAQKGYKVANVPFVMGVEPPRTLFQVEPRKVFGLKIQLVVLQAIRRTRVKTLGVDTEAENNYSGIDLVRKELDFASRIYERNPGWAVIDVTNKAIEETAAVILRLYHDGRDTSTTVPRISKRY", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDTFPNNFPPGGDSGLTGSQSEFQKMLIDERLRCEHHKANYQTLKAEHTRLQNEHVKLQNELKHLFNEKQTQQEKLQLLLEELRGELVEKTKDLEEMKLQILTPQKLELLRAQIQQELETPMRERFRNLDEEVEKYRAVYNKLRYEHTFLKSEFEHQKEEYARILDEGKIKYESEIARLEEDKEELRNQLLNVDLTKDSKRVEQLAREKVYLCQKLKGLEAEVAELKAEKENSEAQVENAQRIQVRQLAEMQATVRSLEAEKQSANLRAERLEKELQSSSEQNTFLINKLHKAEREINTLSSKVKELKHSNKLEITDIKLETARAKSELERERNKIQSELDGLQSDNEILKAAVEHHKVLLVEKDRELIRKVQAAKEEGYQKLVVLQDEKLELENRLADLEKMKVEHDVWRQSEKDQYEEKLRASQMAEEITRKELQSVRLKLQQQIVTIENAEKEKNENSDLKQQISSLQIQVTSLAQSENDLLNSNQMLKEMVERLKQECRNFRSQAEKAQLEAEKTLEEKQIQWLEEKHKLHERITDREEKYNQAKEKLQRAAIAQKKRKSLHENKLKRLQEKVEVLEAKKEELETENQVLNRQNVPFEDYTRLQKRLKDIQRRHNEFRSLILVPNMPPTASINPVSFQSSAMVPSMELPFPPHMQEEQHQRELSLLRKRLEELETTQRKQLEELGSSGE", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASMGTLAFDEYGRPFLIIKDQDRKSRLMGLEALKSHIMAAKAVANTMRTSLGPNGLDKMMVDKDGDVTVTNDGATILSMMDVDHQIAKLMVELSKSQDDEIGDGTTGVVVLAGALLEEAEQLLDRGIHPIRIADGYEQAARVAIEHLDKISDSVLVDIKDTEPLIQTAKTTLGSKVVNSCHRQMAEIAVNAVLTVADMERRDVDFELIKVEGKVGGRLEDTKLIKGVIVDKDFSHPQMPKKVEDAKIAILTCPFEPPKPKTKHKLDVTSVEDYKALQKYEKEKFEEMIQQIKETGANLAICQWGFDDEANHLLLQNNLPAVRWVGGPEIELIAIATGGRIVPRFSELTAEKLGFAGLVQEISFGTTKDKMLVIEQCKNSRAVTIFIRGGNKMIIEEAKRSLHDALCVIRNLIRDNRVVYGGGAAEISCALAVSQEADKCPTLEQYAMRAFADALEVIPMALSENSGMNPIQTMTEVRARQVKEMNPALGIDCLHKGTNDMKQQHVIETLIGKKQQISLATQMVRMILKIDDIRKPGESEE", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEDSSTDTEKEEEEEKDEKDQEPIYAIVPTINIQDERFVDLSETPAFIFLHELHAMGKLPGTRMAALKAKYTLLHDAVMSTQESEVQLLQNAKRFTEQIQQQQFHLQQADNFPEAFSTEVSKMREQLLKYQNEYNAVKEREFHNQYRLNSLKEEKIIIVKEFEKITKPGEMEKKMKILRESTEELRKEIMQKKLEIKNLREDLASKQKQLLKEQKELEELLGHQVVLKDEVAHHQTIPVQIGKEIEKITRKKVEMEKKKIVLEQEVKTLNDSLKKVENKVSAIVDEKENVIKEVEGKRALLEIKEREHNQLVKLLELARENEATSLTERGILDLNLRNSLIDKQNYHDELSRKQREKERDFRNLRKMELLLKVSWDALRQTQALHQRLLLEMEAIPKDDSTLSERRRELHKEVEVAKRNLAQQKIISEMESKLVEQQLAEENKLLKEQENMKELVVNLLRMTQIKIDEKEQKSKDFLKAQQKYTNIVKEMKAKDLEIRIHKKKKCEIYRRLREFAKLYDTIRNERNKFVNLLHKAHQKVNEIKERHKMSLNELEILRNSAVSQERKLQNSMLKHANNVTIRESMQNDVRKIVSKLQEMKEKKEAQLNNIDRLANTITMIEEEMVQLRKRYEKAVQHRNESGVQLIEREEEICIFYEKINIQEKMKLNGEIEIHLLEEKIQFLKMKIAEKQRQICVTQKLLPAKRSLDADLAVLQIQFSQCTDRIKDLEKQFVKPDGENRARFLPGKDLTEKEMIQKLDKLELQLAKKEEKLLEKDFIYEQVSRLTDRLCSKTQGCKQDTLLLAKKMNGYQRRIKNATEKMMALVAELSMKQALTIELQKEVREKEDFIFTCNSRIEKGLPLNKEIEKEWLKVLRDEEMHALAIAEKSQEFLEADNRQLPNGVYTTAEQRPNAYIPEADATLPLPKPYGALAPFKPSEPGANMRHIRKPVIKPVEI", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAADESSQNTLRLQFKAMQEMQHKRLQKQMEKKREKELSLKSRADDQEEPLEVSDGLSLLHAGEPNSKNSFEKRVLEDEIEHLRNELRETVDENGRLYKLLKERDFEIKHLKKKIEEDRFAFTGTAGVAGDVVATKIVELSKKNRLLMAESEGAKTRVKQLTNRIQELERELQTALTRLSAKGATDAGAKPPRAQMGDRALLETPEVKALQDRLVATNLKMSDLRNQIQSVKQELRMAQKVLAREVGEDINVQQLLSSPGTWRGRAQQILVLQSKVQELEKQLGQARSQSAGTASDELSVYPDPRKLSAQEKNLLRIRSLEREKQEGLEKLASERDVLQRELEELKKKFEGMRSRNKLLSSEMKTLKSQMGTLVEKGRHDDELIDALMDQLKQLQEILGSLSLQEEKTRVSQHHLDQQLNSEAQRSNSLVAQLQAMVAEREAKVRQLEMEIGQLNVHYLRNKGVGEGSSGREVSPAYTQFLEDPGLTKSPASAGDHVGRLGSSRSVTSLGHTLVESALTRPSLPSPHRTSPRFSDSPEQKGWQAQVSEIKALWQAAEVERDRLTEFVTVLQKRVEESNSKLLESERKLQEERHRTVVLEQHLEKIRLEPGKASASQRAAPRTKTGLPTSNNRHNPTGSEKKDPSFAQLSDVPVESQMEELTTRLAIQVEENEMLKAALGSALRGKEEDFRMYHEILGQVKSVFLQALRQQKTGKQ", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEVIHGRPYCCRELEGADILSNTFYSNELHNPLQTVTRPTASEDRYQELRESLQQCRLPWGAEREYGGIIPISLPEDHRPKYEPPRVMGKGHQHYGFGGETWPRKLPVEQFYYLTQNKKSDVYGNDSLIPKPPNSTVGEICLPYPIEHPYHTHICRGAMFPTFTSPEDLYTGIKARTQQPFPPTVPTKAYDSTVLKTRGNPYRYELIDIPMDSKKKALTWPGQGVYYDFPRGVEKNKPVFYPKPPKTFAPNTSLNSWDPICSAKEANIQRNLERSHWLTSYTHDFTGLGPMDPLELDDYHEKMVAELTRKIGFDPEPQEKFHPVFKPPRPLEGRIARLIQNRRSLEAIVQQRPRSCPDCTPRVLCNFHTFVPSSKEMVALSDNIPAGVTHKNQDIEEKIIEEQSLLSTYELPSCYPTKDLTSIYDIKPFPKITDTKKTEDLYWRQQSLKTQPTPYCKPDHWIHYENLKSPLRDQYNMCPDPVSLSKPSVLQNKQDTEAFTLEHFLSKPEEELFLNMENNEETRPVLGWIPRAGVTKPQTNLLELKNSFSKTGAQKRFHKSILEDHKDLRDNEHSGMKHQFYGHNSYYFYN", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAAPILKDVVAYVEVWSSNGTENYSKTFTTQLVDMGAKVSKTFNKQVTHVIFKDGYQSTWDKAQKRGVKLVSVLWVEKCRTAGAHIDESLFPAANMNEHLSSLIKKKRKCMQPKDFNFKTPENDKRFQKKFEKMAKELQRQKTNLDDDVPILLFESNGSLIYTPTIEINSRHHSAMEKRLQEMKEKRENLSPTSSQMIQQSHDNPSNSLCEAPLNISRDTLCSDEYFAGGLHSSFDDLCGNSGCGNQERKLEGSINDIKSDVCISSLVLKANNIHSSPSFTHLDKSSPQKFLSNLSKEEINLQRNIAGKVVTPDQKQAAGMSQETFEEKYRLSPTLSSTKGHLLIHSRPRSSSVKRKRVSHGSHSPPKEKCKRKRSTRRSIMPRLQLCRSEDRLQHVAGPALEALSCGESSYDDYFSPDNLKERYSENLPPESQLPSSPAQLSCRSLSKKERTSIFEMSDFSCVGKKTRTVDITNFTAKTISSPRKTGNGEGRATSSCVTSAPEEALRCCRQAGKEDACPEGNGFSYTIEDPALPKGHDDDLTPLEGSLEEMKEAVGLKSTQNKGTTSKISNSSEGEAQSEHEPCFIVDCNMETSTEEKENLPGGYSGSVKNRPTRHDVLDDSCDGFKDLIKPHEELKKSGRGKKPTRTLVMTSMPSEKQNVVIQVVDKLKGFSIAPDVCETTTHVLSGKPLRTLNVLLGIARGCWVLSYDWVLWSLELGHWISEEPFELSHHFPAAPLCRSECHLSAGPYRGTLFADQPVMFVSPASSPPVAKLCELVHLCGGRVSQVPRQASIVIGPYSGKKKATVKYLSEKWVLDSITQHKVCAPENYLLSQ", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSDIESLGEAAGLFEEPEDFLPPPPKPHFAEYQRSHITKESKSDVKDIKLRLVGTSPLWGHLLWNAGIYTANHLDSHPELIKGKTVLELGAAAALPSVICALNGAQMVVSTDYPDPDLMQNIDYNIKSNVPEDFNNVSTEGYIWGNDYSPLLAHIEKIGNNNGKFDLIILSDLVFNHTEHHKLLQTTKDLLAEKGQALVVFSPHRPKLLEKDLEFFELAKNEFHLVPQLIEMVNWKPMFDEDEETIEVRSRVYAYYLTHEK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSEAEPRETEAVTQPEPAPEIHKPDIVPPRNQINKKLLATQVQGTVKWFNVRNGYGFINRNDSKEDVFVHQTAIKKNNPRKFLRSVGDGETVEFDVVEGEKGAEAANVTGPGGVPVKGSRFAPNRRRFRRQFYRPRADTAGESGGEGVSPEQMSEGEKGEETSPQQRPQRRRPPPFFYRRRFRRGPRPNNQQNQGAEVTDQSENKDPAAPTSEALASGDGQQRPPPRRFQQRFRRPFRPRPPPPQTPEGGDGEAKAEGEPQRQRNRPYVQRRRRQQPPTVQGESKAEPSEHPASEEGTPSDAPTDDGAPVETSEAGVEDTTAPE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSEDSEEEDYSDRSISDDDDLDEDSFMKFVSDDIHPCTLLAADSIGDPFFPRTTQILLEYQLGRWVPRLRGPRDLYGVSSSGPLSPTRWPYHCEVIDEKVQHIEWTPFVPEPVYVPTGLEIEPVYPNSKEDTVVYLAEDDHLCKAYKEPCFVYSRVGGNRTSLKQPVDNCDNTLVFEARFESGNLQKVVKVADHEYELTVRPDLFTNKHTQWYYFQVTNTQAEIVYRFTIVNFTKPASLYNRGMKPLFYSEKEAKTHNIGWQRIGDQIKYYKNNLGQDGRHFFSLTWTFQFPHSQDTCYFAHCYPYTYSNLQEYLSGINSDPVRSKFCKIRVLCHTLARNMVYVLTITTPLKTSDSKRKAVILTARVHPGETNSSWIMKGFLDYILGDSSDARLLRDTFIFKVVPMLNPDGVIVGNYRCSLAGRDLNRNYTSLLKESFPSVWYTRNMINRLMEKREVILYCDLHGHSRKQNIFMYGCDGSSRSKTKGLYLQQRIFPLMLSKNCPNIFSFSACKFNVQKSKEGTGRVVMWKMGIRNSFTLEATFCGSTLGNKRGTHFGTKDLESMGYHFCDSLLDYCDPDRSKYYQCLKELEEMEKHLSSERVSDNTDTSLVEISLDVESSSRGSDSSESNDTQTYLLKVTSQARNKKKYLKTKRERNAILANCQNNMQEVYGKEHLLQRHDESNSDGNDPRIDAPDVYVAHCFRRPLPNQGVVKIPGQRFYPGKTWSSSQRMIKSLNKDHRTCILETCKNPIQEVQSRGINIHESCFKMAKCPMNKRPSHWIEKTRIPTESHHQLKSKAKRCSSFQSKRTGTNWTDDEKRIYRDKRIAQTQEILKYLLPIVESSQNRKSTQMNNLINPIANLQQHQLIPTACINRRRYSIPWTPTRNLPFKAQRNLMTDTSEWLQSVPLGSFESLLPLCNLQKKTKHFELWGKKAKDVQLATSQWEAVPLSSNMDASIIRGNSVLQPKEFTMRSSKQRIPYLTKTSKKPSESDGLLTFQLKIHRNS", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MATSVDQISKSLSTLGLQDLPVFREADIHHNPVDVYRSYISSELSKINGVDVSLIYPALETSISKDSADLNLPVPRLRVKGKPQELAQKWAEAFPKDLVEVTANGIFLRFNFNGPSLTKLILPIIWEQRENYGRNESGSGKVAVIEFSSPNIAKPFHAGHLRSTIIGSFLANLHESQGWKVHRVNYLGDWGKQFGLLAIGYKKYGDEDQLKSNPIRHLYDVYVKVNADATEEDEKIQKDKAEAESKGLPYTPPLSLHDKAREFFKRMEDGDEESLKVWARFRDLSITKLKDTYDRLNIHYDEYDGESQVSLELMNKMVDELRSLNLIEEDGGALLIDLSKHDKKLGKAIVQKRDGTTLYLTRDIGTAYKRYEKYKFDKSIYVVSSQQDMYFSQLFKIFELMGFDWAKKCVHINYGLVQGMSTRKGKAVFLDDIMEVAKEEMHKVMQKNEEKYAQVENPEEVADIVGKTAIRIQDSTGKRINNYAFDWSRMTSFEGDTGPYLQYAHSRLSSVRRNVNYTDEEIMGANLELLTEPDAYDLVRLLGQYPDVLKNAFRFQETSTVVTYLFKLTHAVSKLYDILWVRGRERDIQLARLALFGAAKQVLNNGMTLLGLTPLERM", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSLRLSSGSRRSYARPSTGSLRGASFGAGNACGVAGIGSGFSCAFGGSSTGGNTGVANSCAGFTVNEGGLLSGNEKVTMQNLNDRLASYLDNVQALQEANADLEQKIKGWYEKFGPGSCRGLDHDYSRYFPIIDDLKNQIITSTTSNANAVLQIDNARLTADDFRLKYENELALHQSVEADVNGLRRVLDEITLCRTDLEIQYETLSEELTYLKKNHKEEMQALQCAAGGNVNVEMNAAPGVDLTVLLNNMRAEYEALAEQNRRDAEAWFQEKSASLQQQITEDVGATTSARNELTEMKRTLQTLEIELQSLLATKHSLECSLTETEGNYCTQLAQIQAQISALEEQLHQVRTETEGQKLEYEQLLNVKAHLEKEIETYCLLIGGDEGACKSSSYKSKDYGSGNAGNQIKDPVKAIVVKKVLEEVDQRSKILTTRLHSLEEKSQSN", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPAPHGGILQDLIARDALKKNELLSEAQSSDILVWNLTPRQLCDIELILNGGFSPLTGFLNENDYSSVVTDSRLADGTLWTIPITLDVDEAFANQIKPDTRIALFQDDEIPIAILTVQDVYKPNKTIEAEKVFRGDPEHPAISYLFNVAGDYYVGGSLEAIQLPQHYDYPGLRKTPAQLRLEFQSRQWDRVVAFQTRNPMHRAHRELTVRAAREANAKVLIHPVVGLTKPGDIDHHTRVRVYQEIIKRYPNGIAFLSLLPLAMRMSGDREAVWHAIIRKNYGASHFIVGRDHAGPGKNSKGVDFYGPYDAQELVESYKHELDIEVVPFRMVTYLPDEDRYAPIDQIDTTKTRTLNISGTELRRRLRVGGEIPEWFSYPEVVKILRESNPPRPKQGFSIVLGNSLTVSREQLSIALLSTFLQFGGGRYYKIFEHNNKTELLSLIQDFIGSGSGLIIPNQWEDDKDSVVGKQNVYLLDTSSSADIQLESADEPISHIVQKVVLFLEDNGFFVF", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAPVIIVGSHVWVEDPHLAWIDGEVTRIDGINVHVKTKKGKTVVTNVYFPKDTEAPSGGVDDMTKLSYLHEPGVLRNLETRYELNEIYTYTGNILIAVNPFQRLPHIYETDMMEQYKGIALGELSPHVFAIGDAAYRAMINEGKNNSILVSGESGAGKTETTKMLMRYLAFLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTLRNNNSSRFGKFVEIQFDKNGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPPEDIKKYKLENPHKFHYLNQSSCYKLDGVDDASEYLETRRAMDVVGISNEEQEAIFRVVAAILHLGNIDFGKGEEIDSSVIKDKDSRSHLNMAAELLMCNAQSLEDALIRRVMVTPEEIITRTLDPDNAIASRDTLAKTIYSHLFDWIVNKINTSIGQDPRSKSIIGVLDIYGFESFKCNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEIAWSYIEFIDNQDVLELIEKKPGGIISLLDEACMFPKSTHETFSQKLFQTFKEHERFAKPKLSRTDFTISHYAGEVTYQSNHFIDKNKDYIVAEHQALFTASNCKFVAGLFHALHEDSSRSSKFSSIGSRFKQQLHSLMESLNGTEPHYIRCIKPNNVLKPGIFENFNVIHQLRCGGVLEAIRISCAGYPTRLAFYDFLDRFGLLAPEVLEGNYDDKVACQMILDKKSLTDYQIGKTKIFLRAGQMAELDARRAEVLGNAARVIQRQFRTCMARKNYRSIRNAAIVLQSFLRGEIARAVHKKLRIEAAALRVQKNFRRYVDRKSFVTTRSSTIVLQTGLRAMIARSEFRLRRQRKAAIVLQAHWRGRQAFSYYTRLQKAAIVTQCAWRCRLARRELRMLKMAARDTGALKDAKNKLEQRVEELSLRLHLEKRLRTDLEEAKVQEVAKLQEALHTMRLQLKETTAMVVKEQEAARVAIEEASSVNKEPVVVEDTEKIDSLSNEIDRLKGLLSSETHKADEAQHAYQSALVQNEELCKKLEEAGRKIDQLQDSVQRFQEKVFSLESENKVLRQQTLTISPTTRALALRPKTTIIQRTPEKDTFSNGETTQLQEPETEDRPQKSLNQKQQENQELLLKSISEDIGFSEGKPVAACLIYKCLIHWRSFEVERTSIFNRIIETIASAIEMQENSDVLCYWLSNSATLLMFLQRTLKAGATGSITTPRRRGMPSSLFGRVSQSFRGSPQSAGFPFMTGRAIGGGLDELRQVEAKYPALLFKQQLTAFLEKIYGMIRDKMKKEISPLLASCIQVPRTPRSGLVKGRSQNTQNNVVAPKPMIAHWQNIVTCLNGHLRTMRANYVPSLLISKVFGQIFSFINVQLFNSLLLRRECCSFSNGEYVKTGLAELEKWCHDATEEFVGSAWDELKHIRQAVGFLVIHQKPKKSLKEITTELCPVLSIQQLYRISTMYWDDKYGTHSVSTEVIATMRAEVSDVSKSAISNSFLLDDDSSIPFSLDDISKSMQNVEVAEVDPPPLIRQNSNFMFLLERSD", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNKDVDYQTFKKSLRKEFKKAVKTILNLQAYNGDLIRDFLALYIPYHVVFYNLSIMKKGSPLRIQTNNLLKEALAKILNFNLAMGPKHIIKIMKKDKADPETMNKLKLVLYIKLFQGVFGHVDKNYNLAFQSFRWCLQFIAYSKRTRLFASIADEQIGAFYELCELFISMLCCHCFLIDLKENEALVGNNLKNFIKRQNPNYSHGFDLNEETKSLQWHWSLDEVDVIEALYCVAFDAMDKITLKFSKVNENFVFSQFFQYCAEIEEMLAILRGKIWECECDVFGPRIGLLVDSNHMNETIQKNILSITFKLKNDPQIICCLNKILEGLLLSSGVQFKVIQFFYVLKLYYMQDNEYTFEASSEMDKLTIECLCIIENIIDACDNPDEVTDYQLPKVLLTAMEGKLLVAEKISEDNDCSESLDDYHPRTYQFRHPRIIIDKMKTKLKQKLRFDSPKDPETDDHWIEYWKYCYQDNIGNLPDILSRIYQTFTDPSN", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPNYKLTYFNMRGRAEIIRYIFAYLDIQYEDHRIEQADWPEIKSTLPFGKIPILEVDGLTLHQSLAIARYLTKNTDLAGNTEMEQCHVDAIVDTLDDFMSCFPWAEKKQDVKEQMFNELLTYNAPHLMQDLDTYLGGREWLIGNSVTWADFYWEICSTTLLVFKPDLLDNHPRLVTLRKKVQAIPAVANWIKRRPQTKL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGNVQSQEGETRAHAVPSQDATTTPDNANNVPKEPRAQSMISIAADDLNQEGEMSDDNQQEGGNNRTSQNGTSGSSGHTKRRSQTSGKKTHQPYSGPCVPTIIRWRGGGEVVYVTGSFSRWKKKIQLLKSEDYTVLLQLRPGTQRFKFLVDGIWCCSSDFPTATDAEGNLYNYLEVEANEKLGASIDERLSQVHTDLPMEEKSESEQYSTEIPAFLTSNTLQELKLPKPPSLPPHLEKCILNSNTAYKEDQSVLPNPNHVLLNHLAAANTQLGVLALSATTRYHRKYVTTAMFKNFDV", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKVEVDSFSGAKIYPGRGTLFVRGDSKIFRFQNSKSASLFKQRKNPRRIAWTVLFRKHHKKGITEEVAKKRSRKTVKAQRPITGASLDLIKERRSLKPEVRKANREEKLKANKEKKRAEKAARKAEKAKSAGVQGSKVSKQQAKGAFQKVAATSR", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGSTTQMSDYLVSTTTTSSASSMVTMTSQIENNSLNSNDNTNTTNNNNNNNNNNNNNNNNNNNNNNNNNINNNNNNGGMVGVNHKTHKKTASIGGGKNRAILHDFTFSYFIPNQNRSEDNSNENELGLNNHNVQHQGPIIHLTKNLLHFYKKCNSNFNYISSLNPRRVLTHPSEPLSNDGYDNVNSDYIVYVNDIITNNESGQKYKVLDSLGQGTFGQVVKCKNCDTDELVAIKILKNKQAYFQQGRLEIQTLKSLNDQHDPEDKNHILRLLDSFIHKMHLCIVFELLSVNLFELIKQNNFRGLSTNLIKVFLIQILDALIVLANANIIHCDLKPENILLQNVNSPAIKIIDFGSACYEKSTLYTYIQSRHYRSPEVLVGTVYCASIDMWSLGCISAELFLGLPLFPGNSEYNQISRIVEMRGIFPSDLLDKGKSSTRYFHRHLGSNSDDNNNNNNNNNGKPYYYTLKSEEDYQRDSKTTLLPSKKYFNYKTLPEIIQNYGFKKSMSPQDIEKEKQHRIVFTDFINGLLQLDPNERWSPMQAKEHPFITGQPYNGPFIPDPSKKRHFTYSQPKQIPQHSMLNGNQILNQHQLFQQLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQHNQFQQQQQQQQQQQQSSSQQHIQIQPLQLFSTPYTSTNNTPNLSSSNSGSSLNNLKKLNLPPFKQQQQQQFSTSQNSDSFNFPNESFSPRGIYIPSSASNIQQQQQPININNNQNGVGSQVSQLALGQSPSLFGTPTNIYPPYSSMYNNSPVATPNSLSFYGSSWGSDSSSISLNPSTPTQKQMFQQQQYSNNNNNNNNNNNNNSNNNNGNNTNNINSNNNNNNVNRRNRSKSDIPSDSFSSSEGMDPQFNLYQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLQYQQQFQTLQDLNIEGEKPPIYPNSPHRKRSHSGYLDQYANGYNSQQTNNTQQQQQQQQQQQQQQQQQQQQQQHPSFFSTRMHLDHFNGGSRYRSQSYGDQQFQQQQYKQQQKNLHHQQQQQQRFMQVGSPPTSHLSPPIPQSPLMMSQPLHQTYIPQQQQQQQQQQQQSQPTPFTPQMISQEPISPALMGDASSIWNPSPTEELLFTIDLPNQQNTPHLTPSNSSTNLLGKSASSPLKNSSGGAIPPTPTIPINMEEINNGFSKFHFNDQPSWNSNGNSPWMIQQQQQHQQGFNGNSESMYNDDLIGFSPYNNYSNDYRPQLFNKQSPPSSYNSNKSFYGGSGGGGNNNNNNNSRPTNQNFSNSLLPSQQQNVIFPQNSPPSSYNSSNSLSKSGGNTVKNNSNTGGRPRGDSMKQRFNSTNNLLSGGSYQYQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQYKKDTPSLMMSPPMNGLKTSSEIGIPSEEIRYQYQQQQLQQQFQQQQQQQQQQQIQQQLQQQQAPPQNRKQVVIGSYRET", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKSEPTSLDFTSSNLRRMNRDHSSNNTNRTVLNLPKEILIIIFSFLDPRSLLSAQCTCKYWKKLLSDDLSWRTAFFHHFAGDQSQIFSPLGNGTWRQEYLLRSTITRAYEKGKGQTVQYDCRVGQLTNLYYDFSSGRLYSGNWLTGTISVSDPTTGKVERSLLHASTDGSFTHGLSTMTLGKQIFGFGFMDGRVGVILMSRQAETPRKFRYCLDSHADSVTCIDALTGDLPPTGEIGMVTGSDDGSVHCWDVKTGVSLQSFQFRSSQILSLCFRPKYKMLLVDTFNYELNSYQLYLIPGYARSRKNEQPILLSSRKCVLTDEEEPPCLMTADCCAGVAFLSRGAPKNCICRVSFKEFLEKNDNVGVQTSSIPLNGKPTSISLDTNDRVLSKSTPGRGARLLAVGDENGLVYVVNTRTEDPNKAILRTITAYSNFPITDIYLNEVAMVVGSASGYCGVYDTVTGNFLKKIASARNAARREPINCILLDSNPLSLKGVITMSKHVKSWSYTIPKPFVNKRSKVLPLRPSVTHDNLSKSSDYSKNEVEREIMLGLDQIAQERREKMEARQKFEQHFGEGLVGLSEEEIIAYVTMLSQEEEAKRMVQLSMDVDKIEEDFKENDEQATSSLNALSSNHEPPQEQANVAELNEQEQIELAMRLSLMEM", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MYVYKRDGRKEPVQFDKITARISRLCYGLDPKHIDAVKVTQRIISGVYEGVTTIELDNLAAETCAYMTTVHPDYATLAARIAISNLHKQTTKQFSKVVEDLYRYVNAATGKPAPMISDDVYNIVMENKDKLNSAIVYDRDFQYSYFGFKTLERSYLLRINGQVAERPQHLIMRVALGIHGRDIEAALETYNLMSLKYFTHASPTLFNAGTPKPQMSSCFLVAMKEDSIEGIYDTLKECALISKTAGGIGLHIHNIRSTGSYIAGTNGTSNGLIPMIRVFNNTARYVDQGGNKRPGAFALYLEPWHADIFDFIDIRKNHGKEEIRARDLFPALWIPDLFMKRVEENGTWTLFSPTSAPGLSDCYGDEFEALYTRYEKEGRGKTIKAQKLWYSILEAQTETGTPFVVYKDACNRKSNQKNLGVIKSSNLCCEIVEYSAPDETAVCNLASVALPAFIETSEDGKTSTYNFKKLHEIAKVVTRNLNRVIDRNYYPVEEARKSNMRHRPIALGVQGLADTFMLLRLPFDSEEARLLNIQIFETIYHASMEASCELAQKDGPYETFQGSPASQGILQFDMWDQKPYGMWDWDTLRKDIMKHGVRNSLTMAPMPTASTSQILGYNECFEPVTSNMYSRRVLSGEFQVVNPYLLRDLVDLGIWDEGMKQYLITQNGSIQGLPNVPQELKDLYKTVWEISQKTIINMAADRSVYIDQSHSLNLFLRAPTMGKLTSMHFYGWKKGLKTGMYYLRTQAASAAIQFTIDQKIADQATENVADISNLKRPSYMPSSASYAASDFVPAAVTANATIPSLDSSSEASREASPAPTGSHSLTKGMAELNVQESKVEVPEVPAPTKNEEKAAPIVDDEETEFDIYNSKVIACAIDNPEACEMCSG", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSTNAIAVLRGDTVSGIIRFKQDKEGLPTTVTGEVKGLTPGLHGFHIHQYGDTTNGCISAGPHFNPYNKTHGDRTDEIRHVGDLGNIEAGADGTAHISISDQHIQLLGPNSIIGRSIVVHADQDDLGKGVGAKKDESLKTGNAGARVACGIVAIGAAS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSRSAAASGGPRRPDQHLSPAPCGASGPPETFRTESDGAGTMNKLRQSLRRRKPAYVPEASRPHQWQADEDAVRKGTCSFPVRYLGHVEVEESRGMHVCEDAVKKLKAMGRKSVKSVLWVSADGLRVVDDKTKDLLVDQTIEKVSFCAPDRNLDKAFSYICRDGTTRRWICHCFLALKDSGERLSHAVGCAFAACLERKQRREKECGVTAAFDASRTSFAREGSFRLSGGGRPAEREAGDKKKAEAAAAPAVAPGPAQPGHVSPTPATTSPGEKGEAGTPVAAGTTAAAIPRRHAPLEQLVRQGSFRGFPALSQKNSPFKRQLSLRLNELPSTLQRRTDFQVKGTVPEMEPPGTGDSDGINALCTQISSSFASAGAPASGPPPATTGTSAWGEPSVPAAAAFQPGHKRTPSEAERWLEEVSQVAKAQQQQQQQQQQQQQQQATSVPPMPTMAPTLQPFSAPVGPFDTAAAQVAVFLPPTHMQPPFVPAYPGLGYPPMPRVPVVGITPSQMVANAFCSAAQLQPQPATLLGKAGAFPPPAAPSAPGGQARPRPNGAPWPPEPAPAPAPELDPFEAQWAALEGKPAVEKPSNPFSGDLQKTFEIEL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MQRSAKLKIRAPRKFSANQVDFATHWEVLQRAIGDIFQKSTSQLSFEELYRNAYILVLHKYGEKLYNHVQDVIRSRLKEETVPAIYKNYDASLLGNALLDIRKNDSYSTSWSRSLEAAHRFLSSLVNSWKDHIVSMQMISSVLKYLDKVYSKSADKVPVNENGIYIFREVVLLNSFEIGEKCVETILILVYLERKGNTINRPLINDCLDMLNSLPSENKKETLYDVLFAPKFLSYTRNFYEIESSTVIGVFGVVEYLKKAEKRFEEEKERSKNYLFTKIASPLLSVVEDELLSKHLDDLLENQSTGFFSMIDSSNFEGLQLVYESFSRVELGVKSLKKYLAKYVAHHGKLINETTSQALEGKMAVGRLSSNATMATLWVQKVLALWDRLNTIISTTMDADRSILNSLSDAFVTFVDGYTRAPEYISLFIDDNLKKDARKAIEGSIEATLQNSVTLFRFISEKDVFEKYYKTHLAKRLLNNRSISSDAELGMISRLKQEAGNVFTQKLEGMFNDMNLSQELLQEYKHNSALQSAKPALDLNVSILASTFWPIDLSPHKIKCNFPKVLLAQIDQFTDFYLSKHTGRKLLWYPSMGSADVRVNFKDRKYDLNVSTIASVILLLFQDLKENQCLIFEEILEKTNIEVGDLKRNLQSLACAKYKILLKDPKGREVNAGDKFYFNENFVSNLARIKISTVAQTRVEDDSERKRTLEKVDESRKHQADACIVRVMKDRKVCEHNQLMAEVTRQLNPRFHPSPMMIKRRIEALIEREYLQRQADNGRIYEYLA", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MMKGAMGCPVAAVMEGSFSCTVANRFYQLLDDESDPFDNLREAERCWQQRKNLSKVVARRGDPGSRGCATRRELQKQRKQLGTPAPPPQPGQKQAPKQEECGGKDNSRAEKEHKTAWRPSFMEYLSSETESQAELTAQSLFRPTAKLNYERPRGCGRGRGGMQGRGRGGGINKSFDGFDQRGKREFGRQNDNDKIEMELTAPMEATAKTAKSPGVSEGELLNKVAEGKPREEVVQEMTLDEWKNLQQQNRPKHEFNIRRPESTVPSKAVVIHKSKYSDDIQKGELEDDYHIFRRAVNDITFQLDINFGSLPRPGCGSRGARGRGRGRQMEETGPQPEAMVQIVAPNPDDPEDFPALT", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSDYFSSRPSQTLTPMGNKPSGGGGGDDASSIHSKSSQYLMDILPDSMTLNESVSSIVANNQAKEFILPETDERSPYFINVPIPKAQPTSTTETKKPLAGDEAIDGQFVKEYPTDILVDRFYKWKKILKGLVIYLREVAYAQEQFARINYQLKGSVKFPFLTDIDETTNTITDPFTTAPRGPKKAQPAQKKVGLTDSEQFQMQMQQEQQENAVQAPTDESKMSLAPHEYKPVQTTESDNTSAASGFVKFGSGSIQDIQVILKKYHLSLANQQFKISKEITSTVIPKLEELRKDLRYKITEIKDLHGDFKTNIGAHIQLTSQLLKKYIAAVKFMNAHGIGNDRASPTNKKPHKLDPKHDPYLLKLQLDLQLKRQVAEETYLQEAFINLQSSGLQLEKIIYTKIQHALLRYSALIDSEARLMIKNMCQELQHGIISKPPAFEWDNFVTQHPSCLLNWKSNDPIPPPRKVSDVIYPHMKSPLAKCIKAGYFLKKSELLPTYHQGYFVLTSNYIHEFQSSDFYNLSSSTPNSTKSSAYSSSVSIADTYANANNAKANNHHRQASDVHNSSTTTGGTAGANGIRGIRKKSYLAPIMSIPLNDCTLKDASSTKFVLVGKPTLNENADVRKSSSSTYLSGSSQASLPKYGHETAKIFSKAPFHKFLKGSKPKNKNTKSSELDQFYAAAQKESNNYVTWTFKIVSPEPSEEELKHFKRWVQDLKNLTSFNDTKDRIKFIEDRVMKSHRFKAGHMSRNSVNIGSHTPCLTDSTFTLQDGTTTSVNLKGRAEKPQYIHIQNNSLADFDGNGFRSKVNTPAIDDYGNLITVERRPAQSPHQYSDYMATSGNTTPSYSSGSRPQSMYNGYNPAVSITSNGMMLQQSTANNNTNPTTNLRHQRNISQTSSLPGFSYTSLSLPVNSPGSSNSESSSGGYFAIPLHGNNNNNNYTQRNSEGSSPCYNDDQIRQQQQPLQMQPLSRTSSSSVNVTAMRSTSAGNSITANAPVVPKVMVNNQNVKTVAADQSATAPSSPTMNSSVTTINRESPYQTLKKTNSTGNVPCLTAEKTHAHPAFYKRGNNSAQNLTTSSSTASRVHPIRKHKKNVSFSSLNSLMFSKKGANHGGNLMTNQFMSGGIQEDDGDSTNNDTIKLNQSIYS", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSESVKENVTPTRNFRRTQGPQNNTKPHNDRKNFRRKQKKNNLSAEPNLTTSSADDTDEENELCVICARKLTYVSLTPCHHKTCHICGFRQRALYNKKSCLICRTENEEVMFTDRIDGDISDKYNFCEKNEKYGINFTSEEVATETLNLLKFFCPLSKDEQVCDFGSFKKYNEHLKSEHNRMICLICATHKHAFPCELEIFTQNQLRNHQTKGNSEGFKGHPMCAFCSGKRFYSDDELYIHMRNQHEKCHICDKMNPASPQYFKDYNQLFDHFKHSHYVCTVQTCLDNKFVVFKDELELQAHILQEHGNILKGKPKFFQSELSTFISAPSRVIRERDDYDLPSISSLPGSSSGSRTDVRSASSPEESRLRLAERAKYYLENSKEDFNKFSSYNEDYSKGRLSAEKLLESYKLLFTKPNADVYLLIHNLAETFPKNSSKYNNLNAIYEQREQTLARQTSLPSLSSDSSLSMSIGRGHWGGTNDGGSAGAALGVRNIKNLPTLKSPSASYDPFATTVKKNTLRPVQNIKRTTPQSVSYRTSTNTVAFSPTYLESKKGSSSTSLNNSKDKLKSLNLPQLPPPKPKVQIPGLNRPQIADPKQWGKKSSTQDTNVHDNLRELNTTSGGNKKKGKQKQLLFHIGV", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFAKAFRVKSNTAIKGSDRRKLRADVTTAFPTLGTDQVSELVPGKEELNIVKLYAHKGDAVTVYVSGGNPILFELEKNLYPTVYTLWSYPDLLPTFTTWPLVLEKLVGGADLMLPGLVMPPAGLPQVQKGDLCAISLVGNRAPVAIGVAAMSTAEMLTSGLKGRGFSVLHTYQDHLWRSGNKSSPPSIAPLALDSADLSEEKGSVQMDSTLQGDMRHMTLEGEEENGEVHQAREDKSLSEAPEDTSTRGLNQDSTDSKTLQEQMDELLQQCFLHALKCRVKKADLPLLTSTFLGSHMFSCCPEGRQLDIKKSSYKKLSKFLQQMQQEQIIQVKELSKGVESIVAVDWKHPRITSFVIPEPSPTSQTIQEGSREQPYHPPDIKPLYCVPASMTLLFQESGHKKGSFLEGSEVRTIVINYAKKNDLVDADNKNLVRLDPILCDCILEKNEQHTVMKLPWDSLLTRCLEKLQPAYQVTLPGQEPIVKKGRICPIDITLAQRASNKKVTVVRNLEAYGLDPYSVAAILQQRCQASTTVNPAPGAKDSLQVQIQGNQVHHLGWLLLEEYQLPRKHIQGLEKALKPGKKK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPTDMSMWTGPLSLHEVDEQPQHLLRVTYTEAEVEELGQVLTPTQVKHRPGSISWDGLDPGKLYTLILTDPDAPSRKKPVYREWHHFLVVNMKGNDISSGNVLSDYVGSGPPKGTGLHRYVWLVYQQDKPLRCDEPILTNRSGDHRGKFKTAAFRKKYHLGAPVAGTCYQAEWDSYVPKLYKQLSGK", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSSRALRKLQRQRQTELLEEALDSESDEDDEFSSTSGKKVVNVFEILEKENNAINSEAEKSVSEEEQDEPLVEGESPIVSTNKKAKNKKKKKKQQKKKKVTGKRDLDNQSSDNEKLEGLESSKNIDDDIDEIEKAAAELKLKYREQDQVEHVAGVEESATIPLDKELDEKLNKLLGVNISMLNPDLEIRKIFGRIVEKRSVNARHDNLRRKRHVLVQPQEGWPPLVRSGLGMKLTGQSQDLECFFEITQSRAYQEVQETFEYYVQTYDPNNLLMLLRSHPFHIDTLLQVSEIIDQQGDHELSAELVARGLYAFDSILHPRFNLATGATRLPFAIPSNRRLFLCIWRYLQSLQSRGCWRTVFEFCKALLQFDMSDPYAIGTCIDIYALRRREFAWIIDFANYLENSNKISDTPNMLYSSALAMFYVHGDTTDTRASMLAAFERAPYMLSELLDTLNISFTKSSIPSPQDPVQELHSAMYALYAKDSWSDPTVLAFINSILEKETVTLHDVEGQFAELTENLSRRVILLNEQSLRKFLPQRILQGTILSFDPLPPDTYLSESQVFGRDISRRIASFLSDYLSRAREVNENEEEPPAHEFDLPPAEQLLQQIESEVGEESEDGTPVMTRLRSFFGSLFTSTNSETEPAEESTEEMGQGD", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKFSSIPIASTLLSLLVASSVTASPLRRRDDPLNGRQFGLLALHSGNEYVHLHGFYVGDSGSVYLDPADGTDDAATFTMSNGQLSVGNRYASVSANGEIVFKSDTNSTSSGFSVGEAISSGYSLKYNGTESAVACPSLVNNQVYQVFFGVGNGNPSCVGIAVLAVLPQPISSSSTYNSTTSSYHNSTSTPPPTITSTKASTVTSTEATTVTTTTAVTVTATETYTVTATNGGSTITSTGASTVTSTQPSTVTSTQRKNTATTTKTTTYVGPSPSASSVVAYTTKCIVVPVITTAASQSEAATPSPSAAVYPLFPHGIRLIDSTKPEANSGNVYSPVVFQKQNNHTNTIFTFDVPQVSGSCELNFHLDTSGFPITVEGVNGTGRFILFNLSSVANDSTVYSNRPNRIAEIGRFNCSSSGCDYATNVTCPDSYTAVSYEMMALTDDSYLSFFEEADPLEGLTLRV", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MCVYKSNSNNSNPSFIFERTVQEASSNDLFLQPPVSASNTSHSSRSNSFYNLQTISPIPISGSEVRTPSLRKNSNNVSSPLDNVIPTSRSASNSTTSSLAHQEYILNPICNMQNHHHRRRTLENSVAPALDASCSIVNDENTDLSDVDMVYSRRPSSAVSLNMALLARTNSATLPSSESSPASPDLKLSRSHSHSAATRPTLNNINNTGMTTTTSNGEPNSRILRFYSYVDMLNDEKLAQANNTPTSRPPMKSQAYSCPFILKRSPPQAYSSSSATTTFSNPFIKTTELPATSPYVSPQQSARQYSNNANNNAKSPKNRSSSILFQRQSILSNVDPVANMHKNPKFQIESSDSEEEDLTMDMLDPSFPLSSSLRSSANLASNPELATQTPLSTSSSYTAIGKPMPLSTDPSYVSSSNTLSSEHELRVEKVSEVLKKKVSNGGFSTEFNSCDT", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPTETLQTGSMVKPVSPAGTFTSAVPLRILNKGPDYFRRQAEPNPKRLSAVERLEADKAKYVKSQEVINAKQEPVKPAVLAKPPVCPAAKRALGSPTLKVFGNHAKTESGVQRENLKLEILKNIINSSEGSSSGSGHKHSSRNWPPHRSEATDLHRHSFAESLKVYPTQGRRSPQEGGSHVGRRLLEQSAESFLHVSHSSSDIRKVTSVKPLKAIPCSSSAPPLPPKPKIAAIASMKSPEADPVEPACGVSRRPSLQRSKSDLSDRYFRVDADVERFFNYCGLDPEELENLGMENFARANSDIISLNFRSASMISSDCEQSQDSNSDLRNDDSANDRVPYGISAIERNARIIKWLYSIKQARESQKVSHV", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFWKFDLHTSSHLDTLLEREDLSLPELLDEEDVLQECKVVNRKLLDFLLQPPHLQAMVAWVTQEPPDSGEERLRYKYPSVACEILTSDVPQINDALGADESLLNRLYGFLQSTGSLNPLLASFFSKVMGILINRKTDQLVSFLRKKDDFVDLLLQHIGTSAIMDLLLRLLTCVERPQLRQDVVNWLNEEKIVQRLIEQIHPSKDENQHSNASQSLCDIIRLSREQMIQVQDSPEPDQLLATLEKQETIEQLLSNMFEGEQSQSVIVSGIQVLLTLLEPRRPRSESVTVNSFFSSVDGQLELLAQGALESTVSSVGALHALRPRLSCFHQLLLEPPKLEPLQMTWGMLAPPLGNTRLHVVKLLASALSANDAALTHELLALDVPNTMLDLFFHYVFNNFLHAQVEGCVSTMLSLGPPPDSSPETPIQNPVVKHLLQQCRLVERILTSWEENDRVQCAGGPRKGYMGHLTRVAGALVQNTEKGPNAEQLRQLLKELPSEQQEQWEAFVSGPLAETNKKNMVDLVNTHHLHSSSDDEDDRLKEFNFPEEAVLQQAFMDFQMQRMTSAFIDHFGFNDEEFGEQEESVNAPFDKTANITFSLNADDENPNANLLEICYKDRIQQFDDDEEEEDEEEAQGSGESDGEDGAWQGSQLARGARLGQPPGVRSGGSTDSEDEEEEDEEEEEDEEGIGCAARGGATPLSYPSPGPQPPGPSWTATFDPVPTDAPTSPRVSGEEELHTGPPAPQGPLSVPQGLPTQSLASPPARDALQLRSQDPTPPSAPQEATEGSKVTEPSAPCQALVSIGDLQATFHGIRSAPSSSDSATRDPSTSVPASGAHQPPQTTEGEKSPEPLGLPQSQSAQALTPPPIPNGSAPEGPASPGSQ", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSESRQELLAWINQVTSLGLTRIEDCGKGYAMIQIFDSIYQDIPLKKVNFECNNEYQYINNWKVLQQVFLKKGIDKVVDPERLSRCKMQDNLEFVQWAKRFWDQYYPGGDYDALARRGNRGPANTRVMNSSAGATGPSRRRQVSSGSSTPSMTKSSANNNNVSSTANTAAVLRAKQAQQQITSLETQLYEVNETMFGLERERDFYFNKLREIEILVQTHLTTSPMSMENMLERIQAILYSTEDGFELPPDQPADLTTALTDHDTNNVAEEAQMTDLKDSETQRVPSAPDFVHARLQSLEVDDDENITF", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKEASDREEAPKMVEKNYSTGFRKAHGEKDQSVTKPISLDGRTGEVIVRKSTGKTKIRKGQTEEEYTQQLQHYFEVEQGPVRTKVGWMDEVDPLVEIREGKYDISNKHQRQVLSGFCHRLFYQCKYKECLDLSTYFLGLFEPFNVKNKMKRELEELEYMIERCRGHVL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSLTEDNNNTTITIAKGENKEIILHGNPTTGYSWVVDSCEGLSNTVEYVADQHAPGICGCGGKYHIKITGTQTGEGKIVLVYRRPWAPNANDRTFTLKVNVQ", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTKVTRNDSQSITNIIRNDGQSITNVTRNDGQPITKVTRNNSQSITNITRNDGQPITKNKKTVKDQTKHTTEERHVGTTDQPEKASSTAETMDEIQIPKARDKEFFNPVLNENQKLAVRRILSGDCRPLPYILFGPPGTGKTVTIIEAVLQVHYALPDSRILVCAPSNSAADLVCLRLHESKVPKPAAMVRVNATCRFEETIIDAIKPYCRDGEDIWRASRFRIIITTCSSAGLFYQIGVRVGYFTHVFVDEAGQASEPECLIPLGLISDINGQIVLAGDPMQLGPVIKSRLAMAYGLNVSMLERLMSRPAYLRDENAFGACGAYNPLLVTKLVKNYRSHSALLALPSRLFYHRELEVCADPKVVTSLLGWEKLPRKGFPLIFHGVRGNEAREGRSPSWFSPAEAVQVMRYCCLLARSVSSQVSSKDIGVITPYRKQVEKIKILLRNVDLTDIKVGSVEEFQGQEYLVIVISTVRSNEDRFEDDRYFLGFLSNSKRFNVAITRPKALLIILGNPHVLVRDPCFGALLEYSVSNGVYTGCDLPPELQALQK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVQSLQTFSVRDIASGGWFKQHEYIEKLNMQAILNASAGQEEMIKDLLVTHGKIPTLIHELISVEIWKLKVFHVLCQLQDFQPKSTFPLYMVIHHEATIINLLETIFFHKEVCESAEDLTLDLIDYCYRKLTLLASQSSDRRTLSQNRLLPHTANEASSLEELKQQAEALEFDIALKCLSVTRYISDHIDSLPLSVMNRLLNTHNLPCLLVELLHQSPWTQSEKGQLQKYESGRWYPVPAEDQLKMTKLDGQAWIALYNLLLRPECQQKYNINSFTKGQLLKLRSFLTEVLLDQLPNLVDLQRFLSHLSVSEPTPPKKELILEQVPEVWDSIINENSGKWKAIAKYQVKQAFSPSEEDLRSQAKRWAQTYNMDVMEALVPEKPKCGSCGSEASKRCSRCQSEWYCKRECQVKHWQKHKKACDMVSEAMKNMQEEIQKEA", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSFVLLSKMAKLKFNHIRHTIISTQKSTTIMPGISQLLNNRLIGKEFSSAVPTMFKREDYKLTIHDIAFSKWRNLIRHNDWKDFNNRKERVRRYRHEDLPPQRCTGLYELGVGVIGQDQGQNFDPDNNVLGVYVGQCVDVKSRLQDYGRRGGHLPSGLYEDIFSEGYSVFYRWAPEAAATEGMLLSTFDYAWNTCSNGERRHLELQKLGDPEFMSKRKSQVLVPSIRDQVVTIKVEKSNYTFLTSTLKVMRPFG", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAASRLDFGEVETFLDRHPELFEDYLMRKGKQEMVEKWLQRHSQGQGALGPRPSLAGTSSLAHSTCRGGSSVGGGTGPNGSAHSQPLPGGGDCGGVPLSPSWAGGSRGDGNLQRRASQKELRKSFARSKAIHVNRTYDEQVTSRAQEPLSSVRRRALLRKASSLPPTTAHILSALLESRVNLPRYPPTAIDYKCHLKKHNERQFFLELVKDISNDLDLTSLSYKILIFVCLMVDADRCSLFLVEGAAAGKKTLVSKFFDVHAGTPLLPCSSTENSNEVQVPWGKGIIGYVGEHGETVNIPDAYQDRRFNDEIDKLTGYKTKSLLCMPIRSSDGEIIGVAQAINKIPEGAPFTEDDEKVMQMYLPFCGIAISNAQLFAASRKEYERSRALLEVVNDLFEEQTDLEKIVKKIMHRAQTLLKCERCSVLLLEDIESPVVKFTKSFELMSPKCSADAENSFKESMEKSSYSDWLINNSIAELVASTGLPVNISDAYQDPRFDAEADQISGFHIRSVLCVPIWNSNHQIIGVAQVLNRLDGKPFDDADQRLFEAFVIFCGLGINNTIMYDQVKKSWAKQSVALDVLSYHATCSKAEVDKFKAANIPLVSELAIDDIHFDDFSLDVDAMITAALRMFMELGMVQKFKIDYETLCRWLLTVRKNYRMVLYHNWRHAFNVCQLMFAMLTTAGFQDILTEVEILAVIVGCLCHDLDHRGTNNAFQAKSGSALAQLYGTSATLEHHHFNHAVMILQSEGHNIFANLSSKEYSDLMQLLKQSILATDLTLYFERRTEFFELVSKGEYDWNIKNHRDIFRSMLMTACDLGAVTKPWEISRQVAELVTSEFFEQGDRERLELKLTPSAIFDRNRKDELPRLQLEWIDSICMPLYQALVKVNVKLKPMLDSVATNRSKWEELHQKRLLASTASSSPASVMVAKEDRN", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSAQSLLHSVFSCSSPASSSAASAKGFSKRKLRQTRSLDPALIGGCGSDEAGAEGSARGATAGRLYSPSLPAESLGPRLASSSRGPPPRATRLPPPGPLCSSFSTPSTPQEKSPSGSFHFDYEVPLGRGGLKKSMAWDLPSVLAGPASSRSASSILCSSGGGPNGIFASPRRWLQQRKFQSPPDSRGHPYVVWKSEGDFTWNSMSGRSVRLRSVPIQSLSELERARLQEVAFYQLQQDCDLSCQITIPKDGQKRKKSLRKKLDSLGKEKNKDKEFIPQAFGMPLSQVIANDRAYKLKQDLQRDEQKDASDFVASLLPFGNKRQNKELSSSNSSLSSTSETPNESTSPNTPEPAPRARRRGAMSVDSITDLDDNQSRLLEALQLSLPAEAQSKKEKARDKKLSLNPIYRQVPRLVDSCCQHLEKHGLQTVGIFRVGSSKKRVRQLREEFDRGIDVSLEEEHSVHDVAALLKEFLRDMPDPLLTRELYTAFINTLLLEPEEQLGTLQLLIYLLPPCNCDTLHRLLQFLSIVARHADDNISKDGQEVTGNKMTSLNLATIFGPNLLHKQKSSDKEFSVQSSARAEESTAIIAVVQKMIENYEALFMVPPDLQNEVLISLLETDPDVVDYLLRRKASQSSSPDMLQSEVSFSVGGRHSSTDSNKASSGDISPYDNNSPVLSERSLLAMQEDAAPGGSEKLYRVPGQFMLVGHLSSSKSRESSPGPRLGKDLSEEPFDIWGTWHSTLKSGSKDPGMTGSSGDIFESSSLRAGPCSLSQGNLSPNWPRWQGSPAELDSDTQGARRTQAAAPATEGRAHPAVSRACSTPHVQVAGKAERPTARSEQYLTLSGAHDLSESELDVAGLQSRATPQCQRPHGSGRDDKRPPPPYPGPGKPAAAAAWIQGPPEGVETPTDQGGQAAEREQQVTQKKLSSANSLPAGEQDSPRLGDAGWLDWQRERWQIWELLSTDNPDALPETLV", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSRFVQDLSKAMSQDGASQFQEVIRQELELSVKKELEKILTTASSHEFEHTKKDLDGFRKLFHRFLQEKGPSVDWGKIQRPPEDSIQPYEKIKARGLPDNISSVLNKLVVVKLNGGLGTSMGCKGPKSLIGVRNENTFLDLTVQQIEHLNKTYNTDVPLVLMNSFNTDEDTKKILQKYNHCRVKIYTFNQSRYPRINKESLLPVAKDVSYSGENTEAWYPPGHGDIYASFYNSGLLDTFIGEGKEYIFVSNIDNLGATVDLYILNHLMNPPNGKRCEFVMEVTNKTRADVKGGTLTQYEGKLRLVEIAQVPKAHVDEFKSVSKFKIFNTNNLWISLAAVKRLQEQNAIDMEIIVNAKTLDGGLNVIQLETAVGAAIKSFENSLGINVPRSRFLPVKTTSDLLLVMSNLYSLNAGSLTMSEKREFPTVPLVKLGSSFTKVQDYLRRFESIPDMLELDHLTVSGDVTFGKNVSLKGTVIIIANHGDRIDIPPGAVLENKIVSGNLRILDH", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASESDASSIATLSCARCEKPAHLQCPKCIDLKLPREQASFCTQECFKAAWSSHKSVHVKAQLSSIGDQNSDLISQGWLYCVKKGQARTPKLPHFDWTGPLKQYPISTKRVVPAEIEKPDWAIDGTPKVEPNSDLQHVVEIKTPEQIQRMRETCKIAREVLDAAARVIHPGVTTDEIDRVVHEATIAAGGYPSPLNYYFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVCYKGCHGDLNETYFVGNVDEASRQLVKCTYECLEKAIAIVKPGVRFREIGEIVNRHATMSGLSVVRSYCGHGIGDLFHCAPNIPHYARNKAVGVMKAGQTFTIEPMINAGGWRDRTWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPDVYPWLTK", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPNPSSTSSPYPLPEEIRNLLADVETFVADILKGENLSKKAKEKRESLIKKIKDVKSIYLQEFQDKGDAEDGEEYDDPFAGPPDTISLASERYDKDDEAPSDGAQFPPIAAQDLPFVLKAGYLEKRRKDHSFLGFEWQKRWCALSKTVFYYYGSDKDKQQKGEFAIDGYSVRMNNTLRKDGKKDCCFEISAPDKRIYQFTAASPKDAEEWVQQLKFVLQDMESDIIPEDYDERGELYDDVDHPLPISNPLTSSQPIDDEIYEELPEEEEDSAPVKVEEQRKMSQDSVHHTSGDKSTDYANFYQGLWDCTGAFSDELSFKRGDVIYILSKEYNRYGWWVGEMKGAIGLVPKAYIMEMYDI", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTALDSRNWGLTPAMETGLFQKPQDRIFIIELENSIVSFINSNTESFQLRPMNSYYRLLSHQIAEYHNLNHVLARTQDSCVILFKGENFQKIEGKPLLQELQLNKKPEECASSSESIEKSNNNRIFRILKRKEVGNECDYKIDGNTRTPNSNLTANSNKDQKIEIDDKSSTDLEQERIEKERLYEQRKQEIFDKLNKSEDDVKSTNSSGSSDSDNEWSDWLNGDDSNTQTSNGSVSSSSPFNSSVTTIQVNKPQQQFYDSRRGRGGRRRGTNNYKDAYRGQSRRNKENGGYQSGYSSPYLVYPPPQMGGNSLPTYPLMYNPAGPAPGPAPSPMVMGNNTVFMNPYMYNMNPQGSCSFGTPIPMYPPYQYQYQYQYNTQYHSGPYSNTPSYNSNNYTRSSANKYHHFQGKNSYSGAIPKRSDDSNSNKNEGIRRASVEGSPSSRDTDSVEMKFDKLNI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASQQDSGFFEISIKYLLKSWSNTSPVGNGYIKPPVPPASGTHREKGPPTMLPINVDPDSKPGEYVLKSLFVNFTTQAERKIRIIMAEPLEKPLTKSLQRGEDPQFDQVISSMSSLSEYCLPSILRTLFDWYKRQNGIEDESHEYRPRTSNKSKSDEQQRDYLMERRDLAIDFIFSLVLIEVLKQIPLHPVIDSLIHDVINLAFKHFKYKEGYLGPNTGNMHIVADLYAEVIGVLAQAKFPAVKKKFMAELKELRHKEQNPYVVQSIISLIMGMKFFRIKMYPVEDFEASLQFMQECAHYFLEVKDKDIKHALAGLFVEILVPVAAAVKNEVNVPCLRNFVESLYDTTLELSSRKKHSLALYPLVTCLLCVSQKQLFLNRWHIFLNNCLSNLKNKDPKMARVALESLYRLLWVYMIRIKCESNTATQSRLITIITTLFPKGSRGVVPRDMPLNIFVKIIQFIAQERLDFAMKEIIFDFLCVGKPAKAFSLNPERMNIGLRAFLVIADSLQQKDGEPPMPVTGAVLPSGNTLRVKKTYLSKTLTEEEAKMIGMSLYYSQVRKAVDNILRHLDKEVGRCMMLTNVQMLNKEPEDMITGERKPKIDLFRTCVAAIPRLLPDGMSKLELIDLLARLSIHMDDELRHIAQNSLQGLLVDFSDWREDVLFGFTNFLLREVNDMHHTLLDSSLKLLLQLLTQWKLVIQTQGKVYEQANKIRNSELIANGSSHRIQSERGPHCSVLHAVEGFALVLLCSFQVATRKLSVLILKEIRALFIALGQPEDDDRPMIDVMDQLSSSILESFIHVAVSDSATLPLTHNVDLQWLVEWNAVLVNSHYDVKSPSHVWIFAQSVKDPWVLCLFSFLRQENLPKHCPTALSYAWPYAFTRLQSVMPLVDPNSPINAKKTSTAGSGDNYVTLWRNYLILCFGVAKPSIMSPGHLRASTPEIMATTPDGTVSYDNKAIGTPSVGVLLKQLVPLMRLESIEITESLVLGFGRTNSLVFRELVEELHPLMKEALERRPENKKRRERRDLLRLQLLRIFELLADAGVISDSTNGALERDTLALGALFLEYVDLTRMLLEAENDKEVEILKDIRAHFSAMVANLIQCVPVHHRRFLFPQQSLRHHLFILFSQWAGPFSIMFTPLDRYSDRNHQITRYQYCALKAMSAVLCCGPVFDNVGLSPDGYLYKWLDNILACQDLRVHQLGCEVVVLLLELNPDQINLFNWAIDRCYTGSYQLASGCFKAIATVCGSRNYPFDIVTLLNLVLFKASDTNREIYEISMQLMQILEAKLFVYSKKVAEQRPGSILYGTHGPLPPLYSVSLALLSCELARMYPELTLPLFSEVSQRFPTTHPNGRQIMLTYLLPWLHNIELVDSRLLLPGSSPSSPEDEVKDREGDVTASHGLRGNGWGSPEATSLVLNNLMYMTAKYGDEVPGPEMENAWNALANNEKWSNNLRITLQFLISLCGVSSDTVLLPYIKKVAIYLCRNNTIQTMEELLFELQQTEPVNPIVQHCDNPPFYRFTASSKASAAASGTTSSSNTVVAGQENFPDAEENKILKESDERFSNVIRAHTRLESRYSNSSGGSYDEDKNDPISPYTGWLLTITETKQPQPLPMPCTGGCWAPLVDYLPETITPRGPLHRCNIAVIFMTEMVVDHSVREDWALHLPLLLHAVFLGLDHYRPEVFEHSKKLLLHLLIALSCNSNFHSIASVLLQTREMGEAKTLTVQPAYQPEYLYTGGFDFLREDQSSPVPDSGLSSSSTSSSISLGGSSGNLPQMTQEVEDVDTAAETDEKANKLIEFLTTRAFGPLWCHEDITPKNQNSKSAEQLTNFLRHVVSVFKDSKSGFHLEHQLSEVALQTALASSSRHYAGRSFQIFRALKQPLSAHALSDLLSRLVEVIGEHGDEIQGYVMEALLTLEAAVDNLSDCLKNSDLLTVLSRSSSPDLSSSSKLTASRKSTGQLNMNPGTTSGNTATAERSRHQRSFSVPKKFGVIDRSSDPPRSATLDRIQACTQQGLSSKTRSSSSLKDSLTDPSHINHPTNLLATIFWVTVALMESDFEFEYLMALRLLSRLLAHMPLDKAENREKLEKLQAQLKWADFSGLQQLLLKGFTSLTTTDLTLQLFSLLTPVSKISMVDASHAIGFPLNVLCLLPQLIQHFENPNQFCKDIAERIAQVCLEEKNPKLSNLAHVMTLYKTHSYTRDCATWVNVVCRYLHEAYADITLNMVTYLAELLEKGLPSVQQPLLQVIYSLLSYMDLSVVPVKQFNVEVLKTIEKYVQSVHWREALNILKLVVSRSASLVLPSYQHSDLSKIEIHRVWTSASKELPGKTLDFHFDISETPIIGRRYDELQNSSGRDGKPRAMAVTRSTSSTSSGSNSNVLVPVSWKRPQYSQKRTKEKLVHVLSLCGQEVGLSKNPSVIFSSCGDLDLLEHQTSLVSSEDGAREQENMDDTNSEQQFRVFRDFDFLDVELEDGEGESMDNFNWGVRRRSLDSLDKCDMQILEERQLSGSTPSLNKMHHEDSDESSEEEDLTASQILEHSDLIMTLSPSEETNPMELLTTACDSTPAEPHSFNTRMSSFDASLPDMNNLQISEGSKAEAVREEEDTTVHEDDLSSSINELPAAFECSDSFSLDMTEGEEKGNRALDQFTLASFGEGDRGVSPPPSPFFSAILAAFQPAACDDAEEAWRSHINQLMCDSDGSCAVYTFHVFSSLFKNIQKRFCFLTCDAASYLGDNLRGIGSKFVSSSQMLTSCSECPTLFVDAETLLSCGLLDKLKFSVLELQEYLDTYNNRKEATLSWLANCKATFAGGSRDGVITCQPGDSEEKQLELCQRLYKLHFQLLLLFQSYCKLIGQVHEVSSMPELLNMSRELSDLKKHLKEASAVIAADPLYSDGAWSEPTFTSTEAAIQSMLECLKNNELGKALRQIRECRSLWPNDIFGSSSDDEVQTLLNIYFRHQTLGQTGTYALVGSNQSLTEICTKLMELNMEIRDMIRRAQSYRVLTTFLPDSSVSGTSL", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSDNHEEESNVPAYVPGKHVSVDQLKQQDAEDEALKRYKESLLGTGVHAPKDDPRKLVIKEMKIQIEGRPDTIYPLDTKELIKEMKTKPFVLKESCHYKITLTFNIQHDIVSGLKQTNTVYRKGIKVSTEKHMLGSFAPQALAHSVTNPRHGWEEAPSGMLARGSYTAKVVFTDDDNEEHLSVEYAFSIKSDWKSDD", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAHFKEYQVIGRRLPTESVPEPKLFRMRIFASNEVIAKSRYWYFLQKLHKVKKASGEIVSINQINEAHPTKVKNFGVWVRYDSRSGTHNMYKEIRDVSRVAAVETLYQDMAARHRARFRSIHILKVAEIEKTADVKRQYVKQFLTKDLKFPLPHRVQKSTKTFSYKRPSTFY", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVNVPKTRKTYCKGKTCRKHTQHKVTQYKAGKASLFAQGKRRYDRKQSGFGGQTKPVFHKKAKTTKKVVLRLECVKCKTRAQLTLKRCKHFELGGEKKQKGQALQF", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAKRTKKVGITGKYGVRYGSSLRRQVKKLEIQQHARYDCSFCGKKTVKRGAAGIWTCSCCKKTVAGGAYTVSTAAAATVRSTIRRLREMVEA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDDDIAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSYELPDGQVITIGNERFRCPEALFQPSFLGMESCGIHETTFNSIMKCDVDIRKDLYANTVLSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MIQLTATPVSALVDEPVHIRATGLIPFQMVSFQASLEDENGDMFYSQAHYRANEFGEVDLNHASSLGGDYMGVHPMGLFWSLKPEKLLTRLLKRDVMNRPFQVQVKLYDLELIVNNKVASAPKASLTLERWYVAPGVTRIKVREGRLRGALFLPPGEGLFPGVIDLFGGLGGLLEFRASLLASRGFASLALAYHNYEDLPRKPEVTDLEYFEEAANFLLRHPKVFGSGVGVVSVCQGVQIGLSMAIYLKQVTATVLINGTNFPFGIPQVYHGQIHQPLPHSAQLISTNALGLLELYRTFETTQVGASQYLFPIEEAQGQFLFIVGEGDKTINSKAHAEQAIGQLKRHGKNNWTLLSYPGAGHLIEPPYSPLCCASTTHDLRLHWGGEVIPHAAAQEHAWKEIQRFLRKHLIPDVTSQL", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGKGKPRGLNSARKLRVHRRNNRWAENNYKKRLLGTAFKSSPFGGSSHAKGIVLEKLGIESKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEVLLAGFGRKGKAKGDIPGVRFKVVKVSGVSLLALWKEKKEKPRS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVNVPKTRKTYCKGKTCRKHTQHKVTQYKAGKASLFAQGKRRYDRKQSGFGGQTKPVFHKKAKTTKKVVLRLECVKCKTRAQLTLKRCKHFELGGEKKQKGQALQF", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRNAGVQVDTNMQKISLQDTVLVNEMKGRVKFIGETQFAKGIWYGIELDKPLGKNDGSANGIRYFDIDLKKANSNGGYYGLFCKKDTLQFYKPDDDEHSLLNGNAAQETIKNLQVKCESLASKLNKIKIENHELKTSVEKLSTNETVLLSKISRLDKLVKELKVENGNMKTHLDNFNHLLDASDSVMAPDLDKGTLLERSHLLQGLLDQTKLSYDKAMKVQEDLLEENTQLLEENAVLSKKISDLGLQLQQTNNTIGDLALQIEAQSKSSNIVDKLTNDNILLTSNIKALNNELEELQAKEKLDENLRITYEQLEQELRLQLSNLQSALENEKEIAGTYIEENSRLKATLESIEAKTSHKFQSLELKVNTLQEELYQNKLLKKFYQIYEPFAQPHLAALSSQLQYLAEVIESENFGKLENIEIHIILKVLSSISYALHIYTIKNTPDHLETTLQCFKVNIAPISMWLSEFLQRKFSSKQETAFSICQFLEDNKFLDKDVTLILKILHPILETTVPKLLAFLRTNSNFNDNDTLCLIGSLYERSLSLIARIDKLIGKEEISKQDNRLFLYPSCDITLSSILTILFSDALFLRQDYKRISSLKKLEVFFQGIESLLENITIFPEQPSQQTSDSESQCNIKEGNFSNSLLSDRLNEENIRLKEVLVQKENMLTELETKIKIIIGRDLERKTLEENIKTLKVELNNKNEENCGKTEILNKLKEENFNLVNRLKNMELKLYQIKDNNTLNKIYLDREKVDRVNLVSEIMELRETIRRQIKEQKRVSIDFSWLDELPAVENKQPFKEHINHSLDTLGIEMFNFVSTSRILDLKLDQPLAEDELWHERDHSYISYLKRKRKNIRLKSQNVVTYYK", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGDTAKPYFVKRTKDRGTMDDDDFRRGHPQQDYLIIDDHAKGHGSKMEKGLQKKKITPGNYGNTPRKGPCAVSSNPYAFKNPIYSQPAWMNDSHKDQSKRWLSDEHTGNSDNWREFKPGPRIPVINRQRKDSFQENEDGYRWQDTRGCRTVRRLFHKDLTSLETTSEMEAGSPENKKQRSRPRKPRKTRNEENEQDGDLEGPVIDESVLSTKELLGLQQAEERLKRDCIDRLKRRPRNYPTAKYTCRLCDVLIESIAFAHKHIKEKRHKKNIKEKQEEELLTTLPPPTPSQINAVGIAIDKVVQEFGLHNENLEQRLEIKRIMENVFQHKLPDCSLRLYGSSCSRLGFKNSDVNIDIQFPAIMSQPDVLLLVQECLKNSDSFIDVDADFHARVPVVVCREKQSGLLCKVSAGNENACLTTKHLTALGKLEPKLVPLVIAFRYWAKLCSIDRPEEGGLPPYVFALMAIFFLQQRKEPLLPVYLGSWIEGFSLSKLGNFNLQDIEKDVVIWEHTDSAAGDTGITKEEAPRETPIKRGQVSLILDVKHQPSVPVGQLWVELLRFYALEFNLADLVISIRVKELVSRELKDWPKKRIAIEDPYSVKRNVARTLNSQPVFEYILHCLRTTYKYFALPHKITKSSLLKPLNAITCISEHSKEVINHHPDVQTKDDKLKNSVLAQGPGATSSAANTCKVQPLTLKETAESFGSPPKEEMGNEHISVHPENSDCIQADVNSDDYKGDKVYHPETGRKNEKEKVGRKGKHLLTVDQKRGEHVVCGSTRNNESESTLDLEGFQNPTAKECEGLATLDNKADLDGESTEGTEELEDSLNHFTHSVQGQTSEMIPSDEEEEDDEEEEEEEEPRLTINQREDEDGMANEDELDNTYTGSGDEDALSEEDDELGEAAKYEDVKECGKHVERALLVELNKISLKEENVCEEKNSPVDQSDFFYEFSKLIFTKGKSPTVVCSLCKREGHLKKDCPEDFKRIQLEPLPPLTPKFLNILDQVCIQCYKDFSPTIIEDQAREHIRQNLESFIRQDFPGTKLSLFGSSKNGFGFKQSDLDVCMTINGLETAEGLDCVRTIEELARVLRKHSGLRNILPITTAKVPIVKFFHLRSGLEVDISLYNTLALHNTRLLSAYSAIDPRVKYLCYTMKVFTKMCDIGDASRGSLSSYAYTLMVLYFLQQRNPPVIPVLQEIYKGEKKPEIFVDGWNIYFFDQIDELPTYWSECGKNTESVGQLWLGLLRFYTEEFDFKEHVISIRRKSLLTTFKKQWTSKYIVIEDPFDLNHNLGAGLSRKMTNFIMKAFINGRRVFGIPVKGFPKDYPSKMEYFFDPDVLTEGELAPNDRCCRICGKIGHFMKDCPMRRKVRRRRDQEDALNQRYPENKEKRSKEDKEIHNKYTEREVSTKEDKPIQCTPQKAKPMRAAADLGREKILRPPVEKWKRQDDKDLREKRCFICGREGHIKKECPQFKGSSGSLSSKYMTQGKASAKRTQQES", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNSKVSSPTLLEALSSDFLACKICLEQLHTPKTLPCLHTYCQDCLAQLDIGGQVRCPECREIVPVPAEGVAAFKTNFFVNGLLDLVKARAPGDVHSGKPTCALCPLVGGKSSGGPATARCLDCADDLCQACADGHRCSRQTHKHRVVDLVGYRAGWYDEEARERQASQCPQHPGEALCFLCQPCSQLLCKDCRLGPHIDHPCLPLAEAVRSRKPGLEELLAGVDSNLVELEATRVAEKEALALLREQAASVGTQVEEAAERILKSLLAQKQEVLGQLRALVEAAEEATRERLTKIERQEQVAKAAAAFARRVLSLGLEAEILSLEGAITQRLRQLQDAPWTSGPTRCVLPQLELHPGLEDKNCHLLRLIFEEPKQSPKDSGKGGAGTQGGDEAQGQGDDRTKIGKQGGAQPLTPKEGKDQNPQEDDGVFIERGNRPNKKKKCKGRGKSVSREPSPILRPNLEGSGLLPRPVFSWSFPTRMPGDKRSPRITGLCPYGPQEILVADEQNRVLKRFSLNGDYKGTVQVPEGCSPCSVAALQNAVAFSANAKLYLVSPDGEIQWRRSLSLTQSSHAVAAMPCGDRVAVSVAGHVEVYKKDGSLATRFIPGGKASRGQRALVFLTTSPQGNFVGSDWQQNSVVFCDGLGQVIWEYKGPGLHGCQPGSVSVDKKGYIFLTLREVNKVVILDPKGSLLGDFLTAYHGLEKPRVTTMVDGKYLVVSLSNGTIHVFRVRFPDS", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGVPKFYRWISERYPCLSEVVKEHQIPEFDNLYLDMNGIIHQCSHPNDDDVHFRISDDKIFTDIFHYLEVLFRIIKPRKVFFMAVDGVAPRAKMNQQRGRRFRSAKEAEDKIKKAIEKGETLPTEARFDSNCITPGTEFMARLHEHLKYFVNMKISTDKSWQGVTIYFSGHETPGEGEHKIMEFIRSEKAKPDHDPNTRHCLYGLDADLIMLGLTSHEAHFSLLREEVRFGGKKTQRVCAPEETTFHLLHLSLMREYIDYEFSALKEKITFKYDIEKIIDDWILMGFLVGNDFIPHLPHLHINHDALPLLYGTYIAILPELGGYINESGHLNLPRFERYLVKLSDFDREHFSEVFVDLKWFESKVGNKYLNEAAGAAAEEAKNCKEKRKPKGQENSLSWAALDKSEGEGVASRDNFEDETEDDDLFETEFRQYKRTYYMTKMGVDVVSDEFLANQAACYVQAIQWILHYYYHGVQSWSWYYPYHYAPFLSDIRSISTLKIHFELGKPFKPFEQLLAVLPSASKNLLPTCYQHLMTSEDSPIIEYYPPDFKTDLNGKQQEWEAVVLIPFIDETRLLEAMETCNHSLKKEERKRNQHSECLMCWYDRDTEFTYSSPWPEKFPAIERCCTRYKMISLDAWRVDINKNKITRVDQKALYFCGFPTLKHIKHKFFLKKSGVQVFQQSSRGENLMLEISVNAEPDELRIENIASAVLGKAVFVNWPHLEEARVVAVSDGETKFYIEEPPGTQKVYLGKTAPPSKVIQLTDKEQSNWTKEIQGISEQYLRRKGIIINETSAVVYAQLLTGRKYQISQNGEVRLEKQWSKQILPFVYQTIVKDIRAFDSRFSNIKTLDDLFPPRTMVFMLGTPYYGCTGEVQDSGDLITEGRIRVVFSIPCEPNLDALIQNQHKYSIKYNPGYVLAGRLGVSGYLVSRFTGSIFIGRGSRRNPHGDHKANVGLNLKFNKKNEEVPGYTKKVGNEWMYSSAAEQLLAEYIERAPELFSYIAKNSQEDVFYEDDIWPGENENGAEKVQEIITWLKGHPVSTLSRSSCDLHILDAAIVEKIEEEVEKCKQRKSNKKVRVTVKPHLMYRPLEQQHGVIPDRDAEFRLFDRVVNVRESFSVPVGLRGTVIGIKGASREADVLFEVLFDEEFPGGLTIRCSPGRGYRLPTSALVNLSHGSRCETGNQKLTAIVKPQPSVSHCSAAPSGHLGGLNHSPQSPFLPTQVPTKGDDEFCNIWQSLQGAGKTQHLQPTVQEKGAVLPQEISQVTEGHKSGFTDHSVRHQQRKHDSQRKFKEEYKSPKAECQSQKLSSKQTSGGSARCSIKLLKRNESPGTSEAQKVVTSYPNAVHKPPSGIENFLASLNLSKENEAQLPHHGEPPDEADLSPQSFAMKGTRMLKEILKIDSPDTRDSKNDMKKSDNEATVSSRRDERGVSAHPKPTCHMNKPHGTNEFQNVASVDSVCWPGQMPPVSTPVTELSRICSLVGMPQPDFSFLRTTQTMTVCQVKLSNGLLVHGPQCHSESEAKERAALFALQQLGSLGVSFPLPPPIFTNYPPAVPPGAVPPVFTQPTANIMPSSSHLFGSVSWRPPVPVAGNAFHYPSYPGTMPLAGGVPGGVHSQFIPLQVTKKRVANRKNFENKEAQSSQATPLQTNKPGSSEATKMTPQESPPASSSSSQAAQPVSSHVETASQGHVGSQPRSAPSSSKRKSRKLAVNFSVSKPSE", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVVLDKKLLERLTSRKVPLEELEDMEKRCFLSTFTYQDAFDLGTYIRNAVKENFPEKPVAIDISLPNGHCLFRTVTYGGSALDNDFWIQRKKKTALRFGHSSFYMGCKKGDKTPEEKFFVDSKEYAFHGGAVLIQSERSDYPYACLTISGLKQEEDHLMAVSSLIAFANESLEEDLNLD", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MESRPGSFQYVPVQLQGGAPWGFTLKGGLEHCEPLTVSKIEDGGKAALSQKMRTGDELVNINGTPLYGSRQEALILIKGSFRILKLIVRRRNTPVSRPHSWHVAKLLEGCPDVATTMHFPSEAFSLSWHSGCNTSDVSVQWCPLSRHCSTEKSSSIGSMESLEQPGQPTYEGHLLPIDQNMYPSQRDSAYSSFSASSNASDCALSLKPEEPPSTDCVMPGPGPIKVTDDQANVSENSGSSHSTSEDHVTSTSHASSYSDEGHHSGPAKMARGPPEPPVRSDSLPASRAQLLNGEQHRASEPVDSLPQKEKPGLETVLPPRSSNQFCCLSGQDQVTDEDHQNCELSKPSESSQDDCEHLLIEDSSKALDSPKAHDKGSNKEFGLLKEASADLANTLNFGAIPHLRGTMEHRHSAPEQLLASHLQQVHLDSRGSKGMELPIGQDGHQWTVSPLHNNPKGKKSPSLPTGGTQDQTRKERKTTPLDDKLMASVHQSQSDVLLGEVDGHPNRAGRASSDLTSQQPSATCSSVQQTRDFLSAHKIVDHTEASEEGDNEPKECGRLGGRRSGGPRGRSIQNRRRSERFATNLRNEIQRRKAQLQKSKGPLSQLCDTNEAVEETQEPPESPPLSASNASLLPSYKNVPSPGDKVFNKSMILRARSSECLSQASESSKARGGVEGRMSPGQRSGQSSLALNTWWKASDSSTLDTEKANAHHGVCRGHWRWSPEHNAQPQVALSTEAPSNPDDSKELKTSTPQAGEEAVLMPFADRRKFFEESSKSLSTSHLPGLTTHNNKPFIQRQKPIDQNFQSVSYRDLRCHPLDQSYHSADQSYHAADQSYHSLSPLQSETPTYPECFATKGRDNSLCCKPVHHGDCDYHRTCSHPCSAQGTVRHDPCICCSGEICPALLKRNLLPKCHNCRCHHHQCIRCTGCCHGPQHSAHEDSSMAPGNAWKSRKAAIQEFPVDKWKPITGNRKTSHSGREMAHSKAGFSLSTPFRPCIENPALDLSNYRAVSSLDILGDFKRASNKPEESSVYEDENSVASMPRPLRSRAFSESHISLEPQNTQAWGKHQRESFSKGSETQPDTLGARKKVFPPPRPPPPNWEKYRLFRAAQLQQQQQQQQQQQQQQRCEEEEEKEQEEEGEKEEDLPPQYFSSELTGSCAPNTEEQPQSLKMGHQEASRQGSQSLQEQEAFALHPSNFVPPVRGCTVPQPEKAQHPCYYGTHGLWRTTEQEATVTPKQEFQHFSPPKGASGIPTSYSAYYNISVAKAELLNKLKQQPEMAEAGLGEEGVDYELAQKKIQLIESISRKLSVLREAQRGLLDDINANAALGEEVEANLKAVCKSNEFEKYHLFIGDLDKVVNLLLSLSGRLARVENALNSIDSESNQEKLVLIEKKQQLTNQLADAKELKEHVDGREKLVFGMVSRYLPQDQLQDYQHFVKMKSALIIEQRELEEKIKLGEEQLKCLKESLHLGPSNF", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSLLTSYEGLRHQIERLVRENEELKKLVRLIRENQELKSAIKTQAGGLCISGFTGGLGEAAAGPPQHQGVFLPPASAAAKEPCSEDLGMVALAPLADMLNTPQLSPAAGSLVNPLAATLNPLLSGQIPLLQNNQFANLVPCSMSNQLTNPTTVSPGVTLASSLGLPSTGPLNSQMTSPMTVPPGTTLASSLGLTSTGSLTTSSRLVGPLAVSQSSPIMAPLAGTVAVSLSSPLLSSTATPLGVAQNVVPNPINNIGQPETPRVRRAEPTRGNFSGTSAYAGPAPTSKVNDTRGSRVMEQSRKNVVEMERKTPHRKSNKLPDNPRDTKQLVCERLVGEIAFQLDRRILSSIFPERVRLYGFTVSNIPEKIIQASLNPSNHKLDEDLCQTLTQRYVSIMNKLQSLGYNGRVHPALTEQLVNEYGILRERPELAASEGGCYTVDFLQRVLLETVHPSKLTDALLLLSCLHQLSHDDGKPMFIW", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVKLENSRKPEKISNKNIPMSDFVVNLDHGDPTAYEEYWRKMGDRCTVTIRGCDLMSYFSDMTNLCWFLEPELEDAIKDLHGVVGNAATEDRYIVVGTGSTQLCQAAVHALSSLARSQPVSVVAAAPFYSTYVEETTYVRSGMYKWEGDAWGFDKKGPYIELVTSPNNPDGTIRETVVNRPDDDEAKVIHDFAYYWPHYTPITRRQDHDIMLFTFSKITGHAGSRIGWALVKDKEVAKKMVEYIIVNSIGVSKESQVRTAKILNVLKETCKSESESENFFKYGREMMKNRWEKLREVVKESDAFTLPKYPEAFCNYFGKSLESYPAFAWLGTKEETDLVSELRRHKVMSRAGERCGSDKKHVRVSMLSREDVFNVFLERLANMKLIKSIDL", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLILGLTGSIATGKSTVSREFQEKYHIKIIDADVLARKVVEPNTPCLIKIQKEFGNEVLHEDGTLNRAKLGQAVFQDAGKRSLLNSIIHPAVRLEMLKELLRCYVRGYSIVILDVPLLFEAKMQFICWKTICVSCDKSIQKQRLLARNPELTAEDAENRVQAQMPLELKCQLADIVIENNSDLETLYENIHNVLPLITPSYFFTLLCLILPPLQITLQVIAFVSQKKKVSEFRKHI", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVESKNTELSQGTWLNKPKSVFQEAGKVTLETDEKTDFWRETFYGFTRDSGHFLGVETGSAFTAQVRVQGSYESLYDQAGIMVRIDDGHWLKAGIEISDGHAMLSSVLTNGKSDWSTAVYGGNARDFWLRVTVEKGVLRIQVSSDKKTWPLVRLAPFPTSDHYLVGPMACTPERGGLKVTFSEWSLTAPLGKALHDLS", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "METHISCLFPELLAMIFGYLDVRDKGRAAQVCTAWRDAAYHKSVWRGVEAKLHLRRANPSLFPSLQARGIRRVQILSLRRSLSYVIQGMANIESLNLSGCYNLTDNGLGHAFVQEIGSLRALNLSLCKQITDSSLGRIAQYLKGLEVLELGGCSNITNTGLLLIAWGLQRLKSLNLRSCRHLSDVGIGHLAGMTRSAAEGCLGLEQLTLQDCQKLTDLSLKHISRGLTGLRLLNLSFCGGISDAGLLHLSHMGSLRSLNLRSCDNISDTGIMHLAMGSLRLSGLDVSFCDKVGDQSLAYIAQGLDGLKSLSLCSCHISDDGINRMVRQMHGLRTLNIGQCVRITDKGLELIAEHLSQLTGIDLYGCTRITKRGLERITQLPCLKVLNLGLWQMTDSEKEARGDFSPLFTVRTRGSSRR", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MASSSDHTAKIIDGKAIAHTIRSEIAEEVRGLSEKHGKVPGLAVVIVGSRKDSQTYVNTKRKACAEVGIKSFDVGLPEEVSEADLISKVHELNSNPDVHGILVQLPLPKHINEEHILGAISIDKDVDGFHPLNIGKLAMKGREPLFLPCTPKGCLELLARSGVKIKGQRAVVVGRSNIVGLPVSLLLLKADATVTTVHSHTKDPEAIIREADIVIAACGQAHMIKGNWIKPGAAVIDVGTNAVSDPSKKSGYRLVGDVDFAEASKVAGFITPVPGGVGPMTVAMLLRNTVDGAKRVFGE", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDELQSQTEREARILARRKRIQERLAALREGDHGGGKEGENKEEIGKGKQQIIESKRRLMRVKYRTDQDVSSVRVAGDDRENQHRIQEEQTRQDLRAKLLAEAEQSARQNAAVAMRWADLFSIEVPQDLYNEIESQRQACERIIASKDKLIGEIKGELKKKDDEFVKTLKRQAEDIDTLLQYMSRQFVEVQNAYKEELDEIENAFLQERSDLLESNRREMQELFDKRSRLEQDFMDRYLAAVEAYQSQLEGHRQMDAEEYHILKIRLETDIQNLEQHLEAMRATYQLNTEKLEYNYRVLKEREKENTQTIESQKKKLSRQRDILSSLKQRYAETDRRYRDDNMKLTDEYKRITEQFKDLQSKFRHFELVDTKKYKEVWGMKEADVAALVRQLLQADKVLHEQQLGWDWRPPDDAVFAPVHGDAGSGGGAAAAATGGAAGGAAAAGGVGPNGEEESEEDAAARVREAELAERLRDGRNWGALGLLCDEAGFLIDIKARNMIERLPKDEQGQVKAEAILRSLGIADGSAFDALLEALSADSNIELRAKGMVAPQGRGMAEEKSDRGGTAVLVHPDEAVRRLKAFVEVYGTGPSRGPGGGGGGGGGMSGPMRVQGAMRRAAEREQEFWSRMTHVISDKHTRVWGALEKQLEKYLALLQERAGSLRDVESLQHQNNELRALLNQYLSSRINDELQIPPTQII", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAPDGRVVSRPQRLGQGSGQGPKGSGACLHPLDSLEQKETQEQTSGQLVMLRKAQEFFQTCDAEGKGFIARKDMQRLHKELPLSLEELEDVFDALDADGNGYLTPQEFTTGFSHFFFSQNNPSQEDAGEQVAQRHEEKVYLSRGDEDLGDMGEDEEAQFRMLMDRLGAQKVLEDESDVKQLWLQLKKEEPHLLSNFEDFLTRIISQLQEAHEEKNELECALKRKIAAYDEEIQHLYEEMEQQIKSEKEQFLLKDTERFQARSQELEQKLLCKEQELEQLTQKQKRLEGQCTALHHDKHETKAENTKLKLTNQELARELERTSWELQDAQQQLESLQQEACKLHQEKEMEVYRVTESLQREKAGLLKQLDFLRCVGGHWPVLRAPPRSLGSEGPV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSEEDKAKKLENLLKLLQLNNDDTSKFTQEQKKAMKDHKFWRTQPVKDFDEKVVEEGPIDKPKTPEDISDKPLPLLSSFEWCSIDVDNKKQLEDVFVLLNENYVEDRDAGFRFNYTKEFFNWALKSPGWKKDWHIGVRVKETQKLVAFISAIPVTLGVRGKQVPSVEINFLCVHKQLRSKRLTPVLIKEITRRVNKCDIWHALYTAGIVLPAPVSTCRYTHRPLNWKKLYEVDFTGLPDGHTEEDMIAENALPAKTKTAGLRKLKKEDIDQVFELFKRYQSRFELIQIFTKEEFEHNFIGEESLPLDKQVIFSYVVEQPDGKITDFFSFYSLPFTILNNTKYKDLGIGYLYYYATDADFQFKDRFDPKATKALKTRLCELIYDACILAKNANMDVFNALTSQDNTLFLDDLKFGPGDGFLNFYLFNYRAKPITGGLNPDNSNDIKRRSNVGVVML", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEDLLDLDEELRYSLATSRAKMGRRAQQESAQAENHLNGKNSSLTLTGETSSAKLPRCRQGGWAGDSVKASKFRRKASEEIEDFRLRPQSLNGSDYGGDIPIIPDLEEVQEEDFVLQVAAPPSIQIKRVMTYRDLDNDLMKYSAIQTLDGEIDLKLLTKVLAPEHEVREDDVGWDWDHLFTEVSSEVLTEWDPLQTEKEDPAGQARHT", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTTVKPTSPENPRVFFDITIGGVEAGKVVMELYANTVPKTAENFRALCTGEKGIGKSGKPLSYKGSSFHRVITNFMCQGGDFTMGNGTGGESIYGNKFADENFKLKHFGQGTLSMANAGANTNGSQFFICVAPTDWLDGKHVVFGFVTEGMDVVKKMEAAGSQSGKTTKPVVIANCGQL", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAASLVGKKIVFVTGNAKKLEEVVQILGDKFPCTLVAQKIDLPEYQGEPDEISIQKCQEAVRQVQGPVLVEDTCLCFNALGGLPGPYIKWFLEKLKPEGLHQLLAGFEDKSAYALCTFALSTGDPSQPVRLFRGRTSGRIVAPRGCQDFGWDPCFQPDGYEQTYAEMPKAEKNAVSHRFRALLELQEYFGSLAA", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSDGAAEKQSGTPGFLTPPAPVPKNGSSSDSSVGEKLGATVADSGVGRTEEYRRRRHTMDKDSRGAAATTTPTEHRFFRRSVICDSNATALELPGLPLSIPQPSVPAVVPQSAPPEPHREETLTATVASQVSQQPSAAASPGEQAVVGSATTTVPSSTSKDRPVSQPSLVGSKEEPPPSRSGSGSGGASAKEAQEDRSQQQDDIEELETKAVGMSNDGRFLKFDIEIGRGSFKTVYKGLDTETTVEVAWCELQDRKLTKSERQRFKEEAEMLKGLQHPNIVRFYDSWESTVKGKKCIVLVTELMTSGTLKTYLKRFKVMKIKVLRSWCRQILKGLQFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLKRASFAKSVIGTPEFMAPEMYEEKYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRRVTSGVKPASFDKVAIPEVKEIIEGCIRQNKDERYSIKDLLNHAFFQEETGVRVELAEEDDGEKIAIKLWLRIEDIKKLKGKYKDNEAIEFSFDLERDVPEDVAQEMVESGYVCEGDHKTMAKAIKDRVSLIKRKREQRQLVREEQEKRKQEESSFKQQNEQQASVSQAGIQQLSAASTGIPTAPATSASVSTQVEPEEPEADQHQQLQYQQPSISVLSDGTIDSGQGSSVFTESRVSSQQTVSYGSQHEQAHSTGTAPGHTVSSIQAQSQPHGVYPPSSMAQGQNQGQPSSSLAGVLSSQPIQHPQQQGIQPTVPSQQAVQYSLPQAASSSEGTTAQPVSQPQVSAGTQLPVSQTVATVQGEPHIPVSTQPSVVPVHSGAHFLPMGQPIPTSLLPQYPVSQIPISTPHVSTAQTGFSSVPITMAAGINQPLLTLASSATASSIPGGSPVVPNQLPTLLQPVNQLQSQVHPQLLQPTTVQSIGIPANLGQAAEGPLPSGDVLYQGFPSRLPPQYPGDSNIAPSSNVASVCIHSTVLAPPSMPTEALATQGYFPTVVQPYVESTPLVPMGSVGGQVQVSQPAVSLTQQPPTTSSQQAVLESTQGVSQAAPPEQTPITQSQPTQPVPLVTSADSAHSDVASGMSDGNENAPSSSGRHEGRTTKRHYRKSVRSRSRHEKTSRPKLRILNVSNKGDRVVECQLETHNRKMVTFKFDLDGDNPEEIATIMVNNDFILAIERESFVAQVREIIEKADEMLSEDVSVEPEGDQGLESLQGKDDYGFPGSQKLEGEFKQPIAVSSMPQQIGVPTSSLTQVVHSAGRRFIVSPVPESRLRESKVFTSDISDPVVASTSQAPGMNLSHSASSLSLQQAFSELKHGQMTEGPNTAPPNFNHMAGPTFSPFLASIAGVQTVAASTPSVSVPITSSPLNDISTSVMQSETALPTEKGIVGVTTTSTGVVASGGLTTMSVSESPTSSSAVSSSTVPAVVTVSTPSQPVQASTSGSIASSTGSFPPGTFSTTTATTMGSVVAPDAKPPTVLLQQVASNTAGVAIVTSVSTTTPFPGMASQPSLPLSSSTSAPTLAETMVVSAHSLDKASHSSTAGLGLSFCAPSSSSSSGTAVSTSVSQPGMVHPLVISSAVVSTPGLPQPVVPTSTPLLPQVPNIPPLVQPVVNVPAVQQTLIHSQPQPALLPNQPHTHCPEMDADTQSKAPGIDDIKTLEEKLRSLFSEHSSSGTQHASVSLETPLVVETTVTPGITTTAVAPSKLMTSTTSTCLPPTSLPLGAAGMPVMPVGTPGQVSTPGTHASAPVGTATGVKPGTTPPKPTKTVVPPVGTELSAGTVPCEQLPPFPGPSLIQSQQPLEDLDAQLRRTLSPETITVAPAVGPLSTMSSTTVTEAGTRLQKDGTEGHVTATSSGAGVVKMGRFQVSVTMDDAQKERKNRSEDTKSVHFESSTSESSVLSSSSPESTLVKPEPNGISISGISLDVPDSTHKAPTPEAKSDAGQPTKVGRFQVTTTANKVGRFSVSRTEDKVTELKKEGPVTSPPFRDSEQTVIPAVIPKKEKPELAEPSHLNGPSSDLEAAFLSRGTEDGSGSPHSPPHLCSKSLPVQNLSQSLSNSFNSSYMSSDNESDIEDEDLRLELRRLREKHLKEIQDLQSRQKHEIESLYTKLGKVPPAVIIPPAAPLSGRRRRPTKSKGSKSSRSSSLGNKSPQLSGNLSGQSGTSVLHPQQTLHPAGNTPETGHNQLLQPLKPSPSSDNLYSAFTSDGAISVPSLSAPGQGTSSTNTVGGTVSSQAAQAQPPAMTSSRKGTFTDDLHKLVDNWARDAMNLSGRRGSKGHMNYEGPGMARKFSAPGQLCVPMTSNLGGSTPISAASATSLGHFTKSMCPPQQYGFPPAPFGTQWSGTGGPAPQPLGQFQPVGTASLQNFNISNLQKSISNPPGSNLRTT", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAVEFDEETMQELYTWVDTIPLSRPKRNIARDFSDGVLTAELVKFYFPKLVEMHNYVPANSTTQKLSNWTILNRKVLSKLSFSVPDDVIRKIVQCSPGVVELVLNTLRQKIEEKQRLHHISADLSQDQATQNNGNTHSDKGYKSNGTELSPRQGARVDPASKTHQGYAQAANADTTLRFQLAEKEQTLILSQETIQILQAKLRRLEQLLLLKNVRIDDLTRRLQELEKK", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MADNLPSDFDVIVIGTGLPESIIAAACSRSGQRVLHVDSRSYYGGNWASFSFSGLLSWLKEYQENNDVVTENSMWQEQILENEEAIPLSSKDKTIQHVEVFCYASQDLHKDVEEAGALQKNHASVTSAQSAEAAEAAETSCLPTAVEPLSMGSCEIPAEQSQCPGPESSPEVNDAEATGKKENSDAKSSTEEPSENVPKVQDNTETPKKNRITYSQIIKEGRRFNIDLVSQLLYSRGLLIDLLIKSNVSRYAEFKNITRILAFREGTVEQVPCSRADVFNSKQLTMVEKRMLMKFLTFCVEYEEHPDEYRAYEGTTFSEYLKTQKLTPNLQYFVLHSIAMTSETTSCTVDGLKATKKFLQCLGRYGNTPFLFPLYGQGELPQCFCRMCAVFGGIYCLRHSVQCLVVDKESRKCKAVIDQFGQRIISKHFIIEDSYLSENTCSRVQYRQISRAVLITDGSVLKTDADQQVSILAVPAEEPGSFGVRVIELCSSTMTCMKGTYLVHLTCMSSKTAREDLERVVQKLFTPYTEIEAENEQVEKPRLLWALYFNMRDSSDISRDCYNDLPSNVYVCSGPDSGLGNDNAVKQAETLFQQICPNEDFCPAPPNPEDIVLDGDSSQQEVPESSVTPETNSETPKESTVLGNPEEPSE", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGVPAFYRWLADRYPKSISDVVEEEPTDGGRGDLIPVDITRPNPNGFEFDNLYLDMNGIIHPCFHPEGKPAPATYDDVFKSMFEYIDHLFTLVRPRKILYLAIDGVAPRAKMNQQRSRRFRAAKDAAEAEAEEERLRKDFEMEGQILSAKEKAETCDSNVITPGTPFMAILSVALQYYIQSRLNHNPGWRYVKVILSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLSLATHEVHFSILREVITYPGQQEKCFVCGQTGHFASDCPGKSGSNNAAADIPIHKKKYQFLNIWVLREYLQYELAIPDPPFMINFERIIDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMHVYRKEFTAMGGYLTDSGEVLLDRVEHFIQAVAVNEDKIFQKRTRIKQSMDNNEEMKQRSRRDPSEVPPEPIDDKIKLGEPGYKERYYAEKFSTTNPEETEQIKQDMVLKYVEGLCWVCRYYYQGVCSWQWFYPYHYAPFASDLKNLPDLEITFFIGEPFKPFDQLMGTLPAASSNALPGEYRKLMTDPSSPILKFYPADFELDMNGKRFAWQGIAKLPFIEEKLLLAATRKLEETLTVEEQQRNSVMLDLLYVHPAHPLGQRILQYYHFYQHMPPHECLPWMIDPNSSQGMNGFLWFSERNGFQTRVDSPVNGLPCIEQNRALNVTYLCPAKHSHISEPPRGAIIPDKILTSVDIKPFPPLWHEDNSNRRRQARDRPQVVGAIAGPSLGEAAHRLIKNTLNMKSSTGAASGLIDPNGYYRNVPGNYSYGGVNRPRAPGPSPYRKAYDDDSSYYYGKYNNSTQGTFNNGPRYPYPSNGSQDYNRNYNSKIVAEQHNRGGLGAGMSGLSIEDNGRSKQLYSSYTEAANANLNPLPSPPTQWIGTQPGGNFVGGYYRDGVGYSETNGKSVKKVIYQAKTQPSHRGANL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MATDSGDPASTEDSEKPDGISFENRVPQVAATLTVEARLKEKNSTFSASGETVERKRFFRKSVEMTEDDKVAESSPKDERIKAAMNIPRVDKLPSNVLRGGQEVKYEQCSKSTSEISKDCFKEKNEKEMEEEAEMKAVATSPSGRFLKFDIELGRGAFKTVYKGLDTETWVEVAWCELQDRKLTKAEQQRFKEEAEMLKGLQHPNIVRFYDSWESILKGKKCIVLVTELMTSGTLKTYLKRFKVMKPKVLRSWCRQILKGLQFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLMRTSFAKSVIGTPEFMAPEMYEEHYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRKVTSGIKPASFNKVTDPEVKEIIEGCIRQNKSERLSIRDLLNHAFFAEDTGLRVELAEEDDCSNSSLALRLWVEDPKKLKGKHKDNEAIEFSFNLETDTPEEVAYEMVKSGFFHESDSKAVAKSIRDRVTPIKKTREKKPAGCLEERRDSQCKSMGNVFPQPQNTTLPLAPAQQTGAECEETEVDQHVRQQLLQRKPQQHCSSVTGDNLSEAGAASVIHSDTSSQPSVAYSSNQTMGSQMVSNIPQAEVNVPGQIYSSQQLVGHYQQVSGLQKHSKLTQPQILPLVQGQSTVLPVHVLGPTVVSQPQVSPLTVQKVPQIKPVSQPVGAEQQAALLKPDLVRSLNQDVATTKENVSSPDNPSGNGKQDRIKQRRASCPRPEKGTKFQLTVLQVSTSGDNMVECQLETHNNKMVTFKFDVDGDAPEDIADYMVEDNFVLESEKEKFVEELRAIVGQAQEILHVHFATERATGVDSITVDSNSSQTGSSEQVQINSTSTQTSNESAPQSSPVGRWRFCINQTIRNRETQSPPSLQHSMSAVPGRHPLPSPKNTSNKEISRDTLLTIENNPCHRALFTSKSEHKDVVDGKISECASVETKQPAILYQVEDNRQIMAPVTNSSSYSTTSVRAVPAECEGLTKQASIFIPVYPCHQTASQADALMSHPGESTQTSGNSLTTLAFDQKPQTLSVQQPAMDAEFISQEGETTVNTEASSPKTVIPTQTPGLEPTTLQPTTVLESDGERPPKLEFADNRIKTLDEKLRNLLYQEHSISSIYPESQKDTQSIDSPFSSSAEDTLSCPVTEVIAISHCGIKDSPVQSPNFQQTGSKLLSNVAASQPANISVFKRDLNVITSVPSELCLHEMSSDASLPGDPEAYPAAVSSGGAIHLQTGGGYFGLSFTCPSLKNPISKKSWTRKLKSWAYRLRQSTSFFKRSKVRQVETEEMRSAIAPDPIPLTRESTADTRALNRCKAMSGSFQRGRFQVITIPQQQSAKMTSFGIEHISVFSETNHSSEEAFIKTAKSQLVEIEPATQNPKTSFSYEKLQALQETCKENKGVPKQGDNFLSFSAACETDVSSVTPEKEFEETSATGSSMQSGSELLLKEREILTAGKQPSSDSEFSASLAGSGKSVAKTGPESNQCLPHHEEQAYAQTQSSLFYSPSSPMSSDDESEIEDEDLKVELQRLREKHIQEVVNLQTQQNKELQELYERLRSIKDSKTQSTEIPLPPASPRRPRSFKSKLRSRPQSLTHVDNGIVATGKSCLINELENPLCVESNAASCQQSPASKKGMFTDDLHKLVDDWTKEAVGNSLIKPSLNQLKQSQHKLETENWNKVSENTPSTMGYTSTWISSLSQIRGAVPTSLPQGLSLPSFPGPLSSYGMPHVCQYNAVAGAGYPVQWVGISGTTQQSVVIPAQSGGPFQPGMNMQAFPTSSVQNPATIPPGPK", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MADKDAQDFLKFLKSNASTDEKTRCLDTLRSFFNKNNIPNADLGLFVECFRLALTTVNPLLLRSSVACFETFLRRLRAQYPTWLKFRVPMLKNLVIDHIASRDLQKRVLNILIDLWHFNPSEIEKSLIHLSTTSKSAETRIQCFKWFVLAHNAHLSFDVKSLRPALYINLENANPSVREEAKEVLLLIYKNLSTSAKMQFITDVETTSGLRREILQSLVEELSLISSSSEVIIVQNSASSFQPAPFMTAVATLYPGVELENVKPLLANFSKQLEQDSASMLPAFEGRETEQNWSVRQDSVLRLRQYLRGNACIDYLPELLSVLKTLLPGILLALLSLRTTLSSSAIQLIKEMAIILKSNIDPFLELILPNLLKVCSVTKKLASQAANVTFAAILVNCGVLSRNLSFISLAAHDTNAQLRVFSSNWIFMLISLSPELKNLASLQTNLKAFEKLICRGLADSNSQVREVYRKSFWKLSEYFPSVQEELTNTLEPSVLKQLHLANPNRQAASFNFSGPKRAPIRPLSNLRSFSKSQKEETSSNSSNSSGTRRLGLPQRATPASRERVLPYTRSQAFHSTSLPPSLPSGHSPSIAIPSKRSVSATIKDESKTFELLKNIQRKYELILSGSSVDLPSAEFLSSNLTDALYSGSSICYSLIFSHSLLDLTFQYVDIASLLSQFLLCVYDPSNVGHSFALASFPYVKSHYDAHKYFPIVFDVLMNISNMAPHVKVFPFNTNQKRLIIHGCLLWLKEISDTKLNQLENKPFFVTDKLRYYSSKILAMTAKTKLTSKNWIPLSGLLFSLRAHDTFMFDGLLDRLNEESRTKLVSSWSKQDAFDYSKSSTHQEHLSKNLPTLNTSSSSNSSQTDLLVPHGKGETKETEMQSPIESKEGLLSKDTHIESPQGTSLEKENEEEGKNPVESNCSEESLDDHNIDQTLVNKKETLAQDSESLLQKNNALNEKGFENQFGLSSSAAKVLNKDTLDHVSGPISNSVSSSFKDFTRTPFKEINGERETGFELTSYVNALSKKDDINVQKTENVDESVGLNAMFMDNVNQDSLNSVDQSSGKDKLLLTSSTPNKPTTFFMPANEEILGSPAKDYDIHDQSYSVHELHSENMRENVGQSSLIYNNRDYMNTPMNDFSLSFSEIKGGILESPVESPMTGTISPIDADESVLHDIPAYESLNKSESNKYQEQAYSTPLHHTLNVLPKNKWILSRMHKMENGSPINVDKNLDDAVAALEAAVKELNDGSVNTKTLKFCIKVCKETPSMLYHSHGLLPAILHYIESNNSAMHISDCLILLHEFLVQGYQGVDMHTYHNIICILIEKAEKCKDEPVILAGIEDNITLIAEIADLQGLYEFTQQRLQSLNTETGEKSAPLLLMLLSAILMRLKDLEFLETKDLLRHVVLKYIDHTNPEIRKATFNVCLAVNTIVNNVDETFSILGGLNEGQRLLFMHYLKMKSDEKN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSKLEALQVFLLEKLNEKNEIPNTSHLEFDGKKLGPQEAQSAILSLAAKNMIEFSRHEIEIYNLTAEGENICANGSHEAKVYNEICASMSGLNIGELKKKLGNSAGIGQGRAFKLGWIKKDGDKLVKNTDSITDETPKVLSEIKEHGTISDSKTLTDLKKRKLVERNKIMYFSLRKGPNFSLQIEKLNTDLTAEMITSRSWESAKFKSYNFAAEGIPPAGGCLHPLMKVREEFRKFFFELGFEEMPTNNFVESGFWNFDALFVPQQHSARDAQDTFFLKVPASTDKLPDPEYVARVKATHENGGETKGIGYRAPFSLEETRKLVLRTHTTAVSANMLYKLAQNGFHPAKYFSIDRVFRNETVDATHLAEFHQVEGVICDRNITLGDLIGFLEVFFGKMNVKNLRFKPAYNPYTEPSLEVFSYHEKLGKWVEVGNSGMFRPEMLEPMGLPKDVRCLGFGLSLERPTMIKYGVADIRQLIGPKVNLDLIEASPAVRLDKEE", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLIALFALAHLPIFRKTPLSASSSPPPSVHRSPRCGKASTAYHPPPADACISITASAIDAASGMSNSAEQDKPIAANGNAAEPQEIEVIHFQAQEKQCCRVIRSNKTKVLTSGGVHTRGSSKVKLKNIVDYKWERKYYYPGHLVAVHRDGKHLAYAINVNNKATGMEGMVRVCNIATSMRALIKGMSGEVLDLQFAHTDCERILAVIDVSSLFVYKVDQIEGNLLCNLVLKVEDPIANYVPEYDMVSWCPYVCSSSATVPINDDDDENQLLIWSRSSQFQCFQVKMIVSEHGRGKIQPAALESGYLKIEEDSLITCAALSPDGTTVAAACADGLVRFYQIYLFDVRNHRCLHEWKPHDGKKVCSLFFLDNINKPVEESYWQHVITTSDANTEIKLWNCSLWKCLQTINVVASPSSLQPRNFIAGIDRSANYLVLSCLDSLAVYVMQIGSTGGADSENRSSDSEGEGCDTSKRIQNVAEFKLSSGILSFSIVNASMRRVKNSIESYYPIEEPDDFDDDSNSTSALVLHMFVVQAKSLQECQIIYQPCVAEKTERSSLNSKRSQTPEDNLLIKEEPESPNSGTVGAVQLDALFAKSAKRASTGSSSAMVAVAAAAAAAPSAILQDATKEAAKSESPQLSSAYTQQVNLMTPDAFSASGTAAAAAVFVSTSTTTSIGTDSSTTTSGQDRSIDSAVLQTIRMLATVTSKTSENPNAEVLLNLMNNTLIEDREQQKLKEKLDARKKFIAIDRNPERNVAENLASGSSSPSREVQEIMATQDDADAYEAELENLDDDDDDEEEELANSSPLPEAVDGTWPIVKLSSHSAELQNAAQIMSQAVQNTNNGNVPPTLGGGHNNNTSVGSNSNNNTATTLSTSNTSSSNNAGGTCVDSSGTGELNAKMELLIDLVKAQSKQINKLENEVNKLQKQQEAAAALHSKQDTSLEPKNLSQLAYKIEMQLSKLMEQYLKRYENEHKKKLTEFLAARESQNRELRDSVLQVLNQYVMNHFTDIIGNVLNMELQRQLLPRVNANMDQLQAQMQVEIVQKLSVFDKTVKENIAQVCKSKQFLDTFGKSVLIGVQTSLQTAFIESMSSTLIPAYEKSSQNMFKQLHDAFSVGIKDFMVQFNTYLQHMPQPQAGSGNTEEINNKLSMLKQLVESSLHKHRTELTDAMLETQREVKSLEILLARQVQETIRAELRKHMEAQNVAMRSQAATPAPPYDLRDSIKQLLMAGQINKAFHQALLANDLGLVEFTLRHTDSNQAFAPEGCRLEQKVLLSLIQQISADMTNHNELKQRYLNEALLAINMADPITREHAPKVLTELYRNCQQFIKNSPKNSQFSNVRLLMKAIITYRDQLK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLVYQDLLTGDELLSDSFPYKEIENGILWEVEGKWVTVGAVDVNIGANPSAEEGGEDEGVDDSTQKVVDIVDTFRLQEQPTYDKKGFIAYIKKYIKLLTPKLSEEDQAVFKKGIEGATKFLLPRLSDFQFFVGEGMHDDSTLVFAYYKEGSTNPTFLYFAHGLKEVKC", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSGYVGVVVSDPWLQSQFTQVELRTLNSKYVSVKNQNGKVTIEDLPPLFAKLKALSATFKEDEIKGMLGELGSDTSTDVSFEEFLKIYLNLLSKAAEKSGGHHKNSSSFLKACTTTLLHTIYQSEKGPFVQHINRYLGDDPFLKQFLPLDPHSNQLYELVKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAVGCSVVNIGTQDLAEGRPHLVLGLISQLIKIQVLADLNLKKTPQLVELLEDSDDVEELLRLPPEKVLLKWMNFHLKKGGYKKTVSNFSADLKDAQAYAFLLNVLAPEHCDPATLDAKDPLERAELVLSHAERMNCKRYLTAEEIVEGSSTLNLAFVAQIFHERNGLNKDGKYAFAEMMTEDVETCRDERCYRLWINSLGIDSYVNNVFEDVRNGWILLEVLDKVSPSSVNWKHASKPPIKMPFRKVENCNQVIKIGKQLKFSLVNVAGNDIVQGNKKLILGLLWQLMRFHMLQLLKSLRSRTLGKEMTDADILSWANRKVRTMGRKLQIESFKDKSLSSGLFFLNLLWAVEPRVVNWNLVTKGETDDEKRLNATYIVSVARKLGCSVFLLPEDIVEVNQKMILILTASIMYWSLQRHSRESSDSSSTQSTTTTCTSTASSPAPSVTEEEEVSSLSGEVTSLAVGDAVSEITTVSEEASIE", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDPLQEANGTFALNLLKILGEDSSKNVFLSPMSISSALAMVFMGAKGTTASQMAQALALDKCSGNGGGDVHQGFQSLLTEVNKTGTQYLLRTANRLFGDKTCDLLASFKDSCLKFYEAELEELDFQGATEESRQHINTWVAKKTEDKIKEVLSPGTVNSDTSLVLVNAIYFKGNWEKQFNKEHTREMPFKVSKNEEKPVQMMFKKSTFKMTYIGEIFTKILLLPYVSSELNMIIMLPDEHVELSTVEKEVTYEKFIEWTRLDKMDEEEVEVFLPKFKLEENYNMNDALYKLGMTDAFGGRADFSGMSSKQGLFLSKVVHKAFVEVNEEGTEAAAATAGMMTVRCMRFTPRFCADHPFLFFIHHVKTNGILFCGRFSSP", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MATIRNLKIKTSTCKRIVKELHSYEKEVEREAAKTADMKDKGADPYDLKQQENVLGESRMMIPDCHKRLESALADLKSTLAELEETDEKEGPEIEDAKKTVADVEKQFPTEDA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSSKIKELREGLMSSSKWSMAPGMMMQQNQPRPATTTPPISRGNEDADEGLNTARSFSSFQDLKGMSGDAKEQESATLVHLTKGRAHPRSRRPPRQISIDSAKKEETKNTGSTKAADTKSSVEATISPLKDTKSPSNASVFPIKPTESKTSTTKDSETAKEEDDASSSTTKAVEATTSKASSAHTDTLATSASNSDRGASTPEMVVKAEKREGSTSPIPYSSLSIAERIKQAQNTPFLESKVLPQNNETSDEENVDVKPAAGTVKNVMQAFLQPATPAKDTASKEPSKSSQQPVRTKPRIAQSPFLAQDAKENGGNVEVSSPLSFSASKSPAAVDSSTKTPTEQVNVVSKQAPTTSSTSVISPDPLQTAAPSANVNEVIASLESKVVTRRTGSGNNYRVGLRNVSGSERTKSLSKESPVEPEKPALPDATSSSTPTTENKESWTNQGIKSSQQRSANASPATSPSNQASIHASFTKESSTHSSPSFTLESLFSGAPRVVELTRFSQPSPACSRALLARWKEEYRTSIH", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPSLNQEETPKKTKNPYRKGHSRRQSRHSIPSDELNSNSSKSLNNDRISRTIENSLQKETNSDNKIKKLSKTKSNPTNPKSEEDPSQICQSFDESNIITPSKYLFPLSKDYSPNEGLFQTDMNEKNPHDLWNPTVPMAYLSRSLQGLTISKINETEQKFPLSSVHAYVEIGEGMFSMEIVTEQLRLLGATVHASLQMTEENPVSHAVLYDCSQETLKKISSAQVPIVCVSPKWVLACYSSKYLVDEEMYLVDPQDILQTKCLQTDDSELLNPLDPFTDTQMIQDPSDAFFFDTQAENLEPFVNGSPDSHCFNADSFLDQTLSDDYEFGLITCSDSNESSMSNDAQRENNPETISQISLSPTADLFSSFKITTDLEMTHLESAKKKYLAYKPLIGSPLKKKVSLIDF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTTRNLTDEQIAEFREAFSLFDRDQDGNITSNELGVVMRSLGQSPTAAELQDMINEVDADGNGTIDFTEFLTMMARKMKDTDNEEEVREAFKVFDKDGNGYITVEELTHVLTSLGERLSQEEVADMIREADTDGDGVINYEEFSRVISSK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEENTAQKQSNATGLQRHVSGRWISNVPSYEGNEWSSEESESEDKESNLTSSENIGKNPERELNSDGTDRIIEEEEEEDDIENEASSFSEQSENENYDFSYPGTFVYRKAASSSHETLATKVVSADESARLWAEKRRFLLQKLGKDPNSKPIDYKSSMASSSTRSSQSSQVSAIQPQSQDDNRVSDIRQMENRRELNVKRRSVSSPAEDLAIRPLSFSRYKKAEEIPESLPPIKGRDSFSSVRELNESNVFARAPNKNRFSFASVAETGSGSNFSRATSSRSSKTRSVVYEPNHRHTSFFGDTLSNLSSSLNDKKSPNDDHAVLDSELMEQTLPDSAEAVEIANNVKEDIVSTANDDANELDNTSEKATLETSSKPVTEQAIEQSEVVQEVEEGDTNMTTNTILKPTDEIINKGYAYSITSSHSNHSNEKFEQIPSPDEKLSRTDIDTHVKQLQTTKSTDSLSIPFVESPQQNPEEELSDSLSNDFGIDAEKEKDENLSKPEHHPSITSVNSPFLYSPSKQPSGELDEENLKLRLQDSDVDDEVATIRSLKQNNATNSEVETVTNDPSFSQQPGTHSRILRNFDAISSIDSIPDSFSDSAVDLPVDSSKYTLVGKTQSNSNLLQDNAEKHISKSNLEKVDVKGDTSPNSVEQGIEPQAASVVGSPRSSLVSNNSLEEGALLKNSESLESIYGYLSEEPDPALTKLNTEDPFWTKGSSDDEHTIASVEQDVYPYKTSLPTIVEATTSHTESSNSKAPAIEETTTTKVEVVAAHDAVSISSNSSTHKSHSEPLNRDELEVAALSRGSLPEPPKPNKQIVVQEEDQRDTLSLKTSTTGLSSHSKSAENNSTQQSTTSPSINSGASADAVSSGISKKADNSETNLNYFATLDAFVKSPLMSFDGLGELGSTDQRYNFFNQKIQEYSAYDSGLDNWIQFCLEKDGEAPKEAPPPPRSEPVSTTTKLAKRITQPSLSPARSTVTITHNIATEAKKKSKSAANDILHLFKKKAKSEQSNAKSSSSSIKESKKMKTLFGKKLGFKS", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDNCVNSFEDQKDDLVHKKKSQNFGYVCGSINLGTNVIAQSPTKPLNFFHSSRWSPDGSTILSLTEDQCLNCWNVPFSDLSKKADGPLNFSKHLSYKYQSPETVYSYSWYSRMKLDDPSSNLFAVSSRDQPIKLINFTTGKNKASYHMIDHQERYQGSHCLQFTNDGEYLIAGDKNCLHHFNIRTGCKEPVMTTVTHGYKVPLWEFSLKGIQSCFSLNPMDSKTLAVGTYSNRVGIYNDCGRRPCQLEFSIERGNGVTHLQWCEDGEKLYVGSRCSDKIEVWDIRYVRDMVYALEGHRGDTNQRILFDTDKKDEILAGGTDGSIRRWRNKDLVEETHVTGNYDLTVNTVQANPINMQIKCVCYGNRIYKYEKDESEEEDESKEKDLWTGTVSALQVWMD", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSVTPPNVQFNLNGDSDHKSDNSSSSLENKLDTELKITSPPRNPPQRLHPVDFSEHADTDDDMNHPLPRVQSPVHIKNHIDPKLAEDRYRSSAARHFEPISIPPSAITSEDEDDYHGSANSSTVLPPRTENALHAASPKPSGSTGYTSPALSQNSGSGGEGESDEGSFNTQHHRSPIFQAYPSSEDLVGDPNDPYRRTRRAPIKTNPHDIPSQFIFRKLGLHHGKHGHHGHSGSLSLKSLVPNHHDKHDKHDKHEKHHSSLDLRRFFKSHQKTDKEKKPSVSKSKSSANLQDDHFGLFKKYGKFGRMLGSGAGGSVRIMKRSSDGKIFAVKEFRARRPTETEREYARKVTAEFCIGSALHHTNIIETLDIVEENKKFYEVMEYAPYDMFSIVMSGKMTMPEVYCCFKQLLSGVAYLHSMGLAHRDLKLDNLVVDSNCFVKIIDFGSAVVFKYPFEADIVEATGVVGSDPYLAPETLVRKLYDPRAVDIWSSAIIFCCMALRRFPWKYPKLSDNSFRLFCMKQPSNDAESPSDILADIKKQRLVEQGCEPIRKTDESHSPNSKTDNSSTHKQELYGPWRLLRLLPRETRAVIAHMLELDPVKRYDIHRVFADNWINDISMCHMENGKVIHSPTHVHNLVASEESPAPPAKH", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVANFNPSVGSFVWVEDPDEAWIDGEVVQVNGDEIKVLCTSGKHVVTKISNAYPKDVEAPASGVDDMTRLAYLHEPGVLQNLHSRYDINEIYTYTGSILIAVNPFRRLPHLYSSHMMAQYKGASLGELSPHPFAVADAAYRQMINDGVSQSILVSGESGAGKTESTKLLMRYLAYMGGRAAAEGRSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDEKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPQEDVKKFKLEEPKKYHYLNQSKCLELDSINDAEEYHATRRAMDVVGISTEEQDAIFSVVAAILHIGNIEFAKGEEIDSSIPKDDKSLFHLKTAAELLSCDEKALEDSLCKRIMVTRDETITKTLDPEAATLSRDALAKVMYSRLFDWLVDKINSSIGQDHDSKYLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEINWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKTHKRFTKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVIAEHQALLNSSSCSFVASLFPPMSDDSKQSKFSSIGTRFKQQLVSLLEILNTTEPHYIRCIKPNNLLKPGIFENENILQQLRCGGVMEAIRISCAGYPTRKHFDEFLARFGILAPEVLVKNSDDPAACKKLLDKVGLEGYQIGKTKVFLRAGQMADLDTRRTEVLGRSASIIQRKVRSYLAKKSFIVLRNSAKQIQSVCRGYLARSVYEGMRREAAALKIQRDLRRFLARKAYTELYSAAVSVQAGMRGMVARKELCFRRQTKAAIIIQTWCRGYLARLHYRKLKKAAITTQCAWRSKVARGELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRIRTDLEEAKKQESAKAQSSLEELQLKCKETEALLIKEREAAKKIAETAPIIKEIPVVDQELMDKITNENEKLKSMVSSLEMKIGETEKKLQETTKISQDRLNQALEAESKLVKLKTAMQRLEEKILDMEAEKKIMHQQTISTPVRTNLGHPPTAPVKNLENGHQTNLEKEFNEAEFTTPVDGKAGKSAAERQIMNVDALIDCVKDNIGFSNGKPVAAFTIYKCLLHWKCFESEKTNVFDRLIQMIGSAIENEDDNSHLAYWLTSTSALLFLLQKSLKTNGSGATQSKKPPASTSLFGRMAMSFRSSPASGNLAAAAEAAALAVVRPVEAKYPALLFKQQLAAYVEKMFGMVRDNLKRELSTLLSLCIQAPRSSKGGMLRSGRSFGKDSPAVHWQSIIDGLNSLLVTLKENHVPLVLIQKIYSQTFSYINVQLFNSLLLRKECCTFSNGEFVKSGLAELELWCCQAKEYSGPSWEELKHIRQAVGFLVIHQKYRISYDEIANDLCPVLSVQQLYRICTLYWDDSYNTRSVSQEVISSMRTLMTEESNDADSDSFLLDDDSSIPFSIDDISSSMEEKDFVGIKPAEELLENPAFVFLH", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKIFSESHKTVFVVDHCPYMAESCRQHVEFDMLVKNRTQGIIPLAPISKSLWTCSVESSMEYCRIMYDIFPFKKLVNFIVSDSGAHVLNSWTQEDQNLQELMAALAAVGPPNPRADPECCSILHGLVAAVETLCKITEYQHEARTLLMENAERVGNRGRIICITNAKSDSHVRMLEDCVQETIHEHNKLAANSDHLMQIQKCELVLIHTYPVGEDSLVSDRSKKELSPVLTSEVHSVRAGRHLATKLNILVQQHFDLASTTITNIPMKEEQHANTSANYDVELLHHKDAHVDFLKSGDSHLGGGSREGSFKETITLKWCTPRTNNIELHYCTGAYRISPVDVNSRPSSCLTNFLLNGRSVLLEQPRKSGSKVISHMLSSHGGEIFLHVLSSSRSILEDPPSISEGCGGRVTDYRITDFGEFMRENRLTPFLDPRYKIDGSLEVPLERAKDQLEKHTRYWPMIISQTTIFNMQAVVPLASVIVKESLTEEDVLNCQKTIYNLVDMERKNDPLPISTVGTRGKGPKRDEQYRIMWNELETLVRAHINNSEKHQRVLECLMACRSKPPEEEERKKRGRKREDKEDKSEKAVKDYEQEKSWQDSERLKGILERGKEELAEAEIIKDSPDSPEPPNKKPLVEMDETPQVEKSKGPVSLLSLWSNRINTANSRKHQEFAGRLNSVNNRAELYQHLKEENGMETTENGKASRQ", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEPAPELLFYVNGRKVVEKQVDPETMLLPYLRKKLRLTGTKYGCGGGGCGACTVMISRYNRVTKKIRHYPVNACLTPICSLYGAAVTTVEGIGSTTTRLHPVQERIAKFHGTQCGFCTPGMVMSMYALLRNHPEPTLDQLADALGGNLCRCTGYRPIIEAYKTFCKTSDCCQNKENGFCCLDQGINGLPEVEEENQTRPNLFSEEEYLPLDPTQELIFPPELMTMAEKQPQRTRVFSGERMMWISPVTLKALLEAKSTYPQAPVVMGNTSVGPGVKFKGIFHPVIISPDSIEELNVVSHTHSGLTLGAGLSLAQVKDILADVVQKVPEENAQTYRALLKHLGTLAGSQIRNMASLGGHIISRHLDSDLNPLLAVGNCTLNVLSKEGERQIPLDEQFLSRCPEADLKPQEILASVHIPYSRKWEFVLAFRQAQRKQNALAIVNSGMRVFFGEGDGIIRELAISYGGVGPTIICAKNSCQKLIGRSWNEEMLDTACRLILDEVSLPGSAPGGKVEFKRTLIISFLFKFYLEVSQILKRMAPGLSPHLADKYESALQDLHARYSWSTLKDQDVDARQLSQDPIGHPVMHLSGVKHATGEAIYLDDMPAVDQELFMAFVTSPRAHAKIVSTDLLEALSLPGVVDIVTAEHLQDGNTFYTEKLLAADEVLCVGQLVCAVIAESEVQAKQAAKQVKIVYEDLEPVILSIEEAIEQKSFFEPERKLEYGNVDEAFKVVDQILEGEIHMGGQEHFYMETQSVLVVPKGEDQEMDVYASTQFPKYIQDMVAAVLKLPVNKVMCHVKRVGGAFGGKVFKASIMAAIAAFAANKHGRAVRCILERGEDMLITGGRHPYLGKYKAGFMNDGRIVALDVEHYSNGGCSLDESLLVIEMGLLKMENAYKFPNLRCRGWACRTNLPSNTAFRGFGFPQAGLITECCITEVAAKCGLSPEKVRAINFYKEIDQTPYKQEINAKNLTQCWNECLAKSSYFQRKVAVEKFNAENYWKQRGLAIIPFKYPRGLGSVAYGQAAALVHVYLDGSVLVTHGGIEMGQGVHTKMIQVVSRELKMPMSNVHLRGTSTETVPNTNASGGSVVADLNGLAVKDACQTLLKRLEPIINKNPQGTWKEWAQAAFDKSISLSATGYFRGYDSNIDWDKGEGHPFEYFVYGAACSEVEIDCLTGDHKTIRTDIVMDVGYSINPALDIGQVEGAFIQGMGLYTIEELHYSPQGILYSRGPNQYKIPAICDIPAELNVTFLPPSEKSNTLYSSKGLGESGVFMGCSVFFAIREAVCAARQARGLSAPWKLSSPLTPEKIRMACEDKFTKMIPRDKPGSYVPWNVPV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MARRSQSSSQGDNPLAPGYLPPHYKEYYRLAVDALAEGGSEAYSRFLATEGAPDFLCPEELEHVSRHLRPPQYVTREPPEGSLLDVDMDGSSGTYWPVNSDQAVPELDLGWPLTFGFQGTEVTTLVQPPPPDSPSIKDEARRMIRSAQQVVAVVMDMFTDVDLLSEVLEAAARRVPVYILLDEMNAQHFLDMADKCRVNLQHVDFLRVRTVAGPTYYCRTGKSFKGHVKEKFLLVDCAVVMSGSYSFMWSFEKIHRSLAHVFQGELVSSFDEEFRILFAQSEPLVPSAAALARMDAYALAPYAGAGPLVGVPGVGAPTPFSFPKRAHLLFPPPREEGLGFPSFLDPDRHFLSAFRREEPPRMPGGALEPHAGLRPLSRRLEAEAGPAGELAGARGFFQARHLEMDAFKRHSFATEGAGAVENFAAARQVSRQTFLSHGDDFRFQTSHFHRDQLYQQQYQWDPQLTPARPQGLFEKLRGGRAGFADPDDFTLGAGPRFPELGPDGHQRLDYVPSSASREVRHGSDPAFAPGPRGLEPSGAPRPNLTQRFPCQAAARPGPDPAPEAEPERRGGPEGRAGLRRWRLASYLSGCHGEDGGDDGLPAPMEAEAYEDDVLAPGGRAPAGDLLPSAFRVPAAFPTKVPVPGPGSGGNGPEREGPEEPGLAKQDSFRSRLNPLVQRSSRLRSSLIFSTSQAEGAAGAAAATEKVQLLHKEQTVSETLGPGGEAVRSAASTKVAELLEKYKGPARDPGGGAGAITVASHSKAVVSQAWREEVAAPGAVGGERRSLESCLLDLRDSFAQQLHQEAERQPGAASLTAAQLLDTLGRSGSDRLPSRFLSAQSHSTSPQGLDSPLPLEGSGAHQVLHNESKGSPTSAYPERKGSPTPGFSTRRGSPTTGFIEQKGSPTSAYPERRGSPVPPVPERRSSPVPPVPERRGSLTLTISGESPKAGPAEEGPSGPMEVLRKGSLRLRQLLSPKGERRMEDEGGFPVPQENGQPESPRRLSLGQGDSTEAATEERGPRARLSSATANALYSSNLRDDTKAILEQISAHGQKHRAVPAPSPGPTHNSPELGRPPAAGVLAPDMSDKDKCSAIFRSDSLGTQGRLSRTLPASAEERDRLLRRMESMRKEKRVYSRFEVFCKKEEASSPGAGEGPAEEGTRDSKVGKFVPKILGTFKSKK", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAPSAQPLPVSVSDEKYANVKWEELAFKFVRTDYMYVAKCNHGESFQEGKILPFADLQLNPCAAVLQYGQGLYEGLKAYRTEDGRILLFRPDQNGLRLQAGADRLYMPYPSVDQFVSAIKQVALANKKWIPPPGKGTLYIRPILFGSGPILGSFPIPETTFTAFACPVGRYHKDNSGLNLKIEDQFRRAFPSGTGGVKSITNYCPVWIPLAEAKKQGFSDILFLDAATGKNIEELFAANVFMLKGNVVSTPTIAGTILPGVTRNCVMELCRDFGYQVEERTIPLVDFLDADEAFCTGTASIVTSIASVTFKDKKTGFKTGEETLAAKLYETLSDIQTGRVEDTKGWTVEIDRQG", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGLRAGGTLGRAGAGRGAPEGPGPSGGAQGGSIHSGRIAAVHNVPLSVLIRPLPSVLDPAKVQSLVDTIREDPDSVPPIDVLWIKGAQGGDYFYSFGGCHRYAAYQQLQRETIPAKLVQSTLSDLRVYLGASTPDLQ", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTDRFWDQWYLWYLRLLRLLDRGSFRNDGLKASDVLPILKEKVAFVSGGRDKRGGPILTFPARSNHDRIRQEDLRKLVTYLASVPSEDVCKRGFTVIIDMRGSKWDLIKPLLKTLQEAFPAEIHVALIIKPDNFWQKQKTNFGSSKFIFETSMVSVEGLTKLVDPSQLTEEFDGSLDYNHEEWIELRLSLEEFFNSAVHLLSRLEDLQEMLARKEFPVDVEGSRRLIDEHTQLKKKVLKAPVEELDREGQRLLQCIRCSDGFSGRNCIPGSADFQSLVPKITSLLDKLHSTRQHLHQMWHVRKLKLDQCFQLRLFEQDAEKMFDWISHNKELFLQSHTEIGVSYQYALDLQTQHNHFAMNSMNAYVNINRIMSVASRLSEAGHYASQQIKQISTQLDQEWKSFAAALDERSTILAMSAVFHQKAEQFLSGVDAWCKMCSEGGLPSEMQDLELAIHHHQTLYEQVTQAYTEVSQDGKALLDVLQRPLSPGNSESLTATANYSKAVHQVLDVVHEVLHHQRRLESIWQHRKVRLHQRLQLCVFQQDVQQVLDWIENHGEAFLSKHTGVGKSLHRARALQKRHDDFEEVAQNTYTNADKLLEAAEQLAQTGECDPEEIYKAARHLEVRIQDFVRRVEQRKLLLDMSVSFHTHTKELWTWMEDLQKEMLEDVCADSVDAVQELIKQFQQQQTATLDATLNVIKEGEDLIQQLRSAPPSLGEPSEARDSAVSNNKTPHSSSISHIESVLQQLDDAQVQMEELFHERKIKLDIFLQLRIFEQYTIEVTAELDAWNEDLLRQMNDFNTEDLTLAEQRLQRHTERKLAMNNMTFEVIQQGQDLHQYITEVQASGIELICEKDIDLAAQVQELLEFLHEKQHELELNAEQTHKRLEQCLQLRHLQAEVKQVLGWIRNGESMLNASLVNASSLSEAEQLQREHEQFQLAIESLFHATSLQKTHQSALQVQQKAEVLLQAGHYDADAIRECAEKVALHWQQLMLKMEDRLKLVNASVAFYKTSEQVCSVLESLEQEYRRDEDWCGGRDKLGPAAEIDHVIPLISKHLEQKEAFLKACTLARRNAEVFLKYIHRNNVSMPSVASHTRGPEQQVKAILSELLQRENRVLHFWTLKKRRLDQCQQYVVFERSAKQALDWIQETGEFYLSTHTSTGETTEETQELLKEYGEFRVPAKQTKEKVKLLIQLADSFVEKGHIHATEIRKWVTTVDKHYRDFSLRMGKYRYSLEKALGVNTEDNKDLELDIIPASLSDREVKLRDANHEVNEEKRKSARKKEFIMAELLQTEKAYVRDLHECLETYLWEMTSGVEEIPPGILNKEHIIFGNIQEIYDFHNNIFLKELEKYEQLPEDVGHCFVTWADKFQMYVTYCKNKPDSNQLILEHAGTFFDEIQQRHGLANSISSYLIKPVQRITKYQLLLKELLTCCEEGKGELKDGLEVMLSVPKKANDAMHVSMLEGFDENLDVQGELILQDAFQVWDPKSLIRKGRERHLFLFEISLVFSKEIKDSSGHTKYVYKNKLLTSELGVTEHVEGDPCKFALWSGRTPSSDNKTVLKASNIETKQEWIKNIREVIQERIIHLKGALKEPLQLPKTPAKQRNNSKRDGVEDIDSQGDGSSQPDTISIASRTSQNTVDSDKLSGGCELTVVLQDFSAGHSSELTIQVGQTVELLERPSERPGWCLVRTTERSPPLEGLVPSSALCISHSRSSVEMDCFFPLVKDAYSHSSSENGGKSESVANLQAQPSLNSIHSSPGPKRSTNTLKKWLTSPVRRLNSGKADGNIKKQKKVRDGRKSFDLGSPKPGDETTPQGDSADEKSKKGWGEDEPDEESHTPLPPPMKIFDNDPTQDEMSSLLAARQASTEVPTAADLVNAIEKLVKNKLSLEGSSYRGSLKDPAGCLNEGMAPPTPPKNPEEEQKAKALRGRMFVLNELVQTEKDYVKDLGIVVEGFMKRIEEKGVPEDMRGKDKIVFGNIHQIYDWHKDFFLAELEKCIQEQDRLAQLFIKHERKLHIYVWYCQNKPRSEYIVAEYDAYFEEVKQEINQRLTLSDFLIKPIQRITKYQLLLKDFLRYSEKAGLECSDIEKAVELMCLVPKRCNDMMNLGRLQGFEGTLTAQGKLLQQDTFYVIELDAGMQSRTKERRVFLFEQIVIFSELLRKGSLTPGYMFKRSIKMNYLVLEENVDNDPCKFALMNRETSERVVLQAANADIQQAWVQDINQVLETQRDFLNALQSPIEYQRKERSTAVMRSQPARLPQASPRPYSSVPAGSEKPPKGSSYNPPLPPLKISTSNGSPGFEYHQPGDKFEASKQNDLGGCNGTSSMAVIKDYYALKENEICVSQGEVVQVLAVNQQNMCLVYQPASDHSPAAEGWVPGSILAPLTKATAAESSDGSIKKSCSWHTLRMRKRAEVENTGKNEATGPRKPKDILGNKVSVKETNSSEESECDDLDPNTSMEILNPNFIQEVAPEFLVPLVDVTCLLGDTVILQCKVCGRPKPTITWKGPDQNILDTDNSSATYTVSSCDSGEITLKICNLMPQDSGIYTCIATNDHGTTSTSATVKVQGVPAAPNRPIAQERSCTSVILRWLPPSSTGNCTISGYTVEYREEGSQIWQQSVASTLDTYLVIEDLSPGCPYQFRVSASNPWGISLPSEPSEFVRLPEYDAAADGATISWKENFDSAYTELNEIGRGRFSIVKKCIHKATRKDVAVKFVSKKMKKKEQAAHEAALLQHLQHPQYITLHDTYESPTSYILILELMDDGRLLDYLMNHDELMEEKVAFYIRDIMEALQYLHNCRVAHLDIKPENLLIDLRIPVPRVKLIDLEDAVQISGHFHIHHLLGNPEFAAPEVIQGIPVSLGTDIWSIGVLTYVMLSGVSPFLDESKEETCINVCRVDFSFPHEYFCGVSNAARDFINVILQEDFRRRPTAATCLQHPWLQPHNGSYSKIPLDTSRLACFIERRKHQNDVRPIPNVKSYIVNRVNQGT", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAGIRVTKVDWQRSRNGAAHHTQEYPCPELVVRRGQSFSLTLELSRALDCEEILIFTMETGPRASEALHTKAVFQTSELERGEGWTAAREAQMEKTLTVSLASPPSAVIGRYLLSIRLSSHRKHSNRRLGEFVLLFNPWCAEDDVFLASEEERQEYVLSDSGIIFRGVEKHIRAQGWNYGQFEEDILNICLSILDRSPGHQNNPATDVSCRHNPIYVTRVISAMVNSNNDRGVVQGQWQGKYGGGTSPLHWRGSVAILQKWLKGRYKPVKYGQCWVFAGVLCTVLRCLGIATRVVSNFNSAHDTDQNLSVDKYVDSFGRTLEDLTEDSMWNFHVWNESWFARQDLGPSYNGWQVLDATPQEESEGVFRCGPASVTAIREGDVHLAHDGPFVFAEVNADYITWLWHEDESRERVYSNTKKIGRCISTKAVGSDSRVDITDLYKYPEGSRKERQVYSKAVNRLFGVEASGRRIWIRRAGGRCLWRDDLLEPATKPSIAGKFKVLEPPMLGHDLRLALCLANLTSRAQRVRVNLSGATILYTRKPVAEILHESHAVRLGPQEEKRIPITISYSKYKEDLTEDKKILLAAMCLVTKGEKLLVEKDITLEDFITIKVLGPAMVGVAVTVEVTVVNPLIERVKDCALMVEGSGLLQEQLSIDVPTLEPQERASVQFDITPSKSGPRQLQVDLVSPHFPDIKGFVIVHVATAK", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRQSVTSSSSCSKLYAIKATISLPRLFYRRLRTMAPRVASHFLGGNSLDKAPAGKVKDYIASHGGHTVITSILIANNGIAAVKEIRSIRKWAYETFNNERAIKFTVMATPDDLKVNADYIRMADQYVEVPGGSNNNNYANVELIVDIAERMNVHAVWAGWGHASENPKLPEMLSASSKKIVFIGPPGSAMRSLGDKISSTIVAQSARVPCMSWSGNELDQVRIDEETNIVTVDDDVYQKACIRSAEEGIAVAEKIGYPVMIKASEGGGGKGIRQVTSTEKFAQAFQQVLDELPGSPVFVMKLAGQARHLEVQILADQYGNNISLFGRDCSVQRRHQKIIEEAPVTIAPAATFHEMERAAVRLGELVGYASAGTIEYLYEPENDRFYFLELNPRLQVEHPTTEMVSGVNLPAAQLQVAMGLPLSRIPHIRELYGLPRDGDSEIDFFFQNPESFKVQKVPTPKGHCVACRITSEDPGEGFKPSSGMIKDLNFRSSSNVWGYFSVGTAGGIHEFADSQFGHIFSFAESRESSRKSMVVALKELSIRGDFRTTVEYLVRLLETKEFSENEFTTGWLDRLIAQKVTSARPDKMLAVVCGALVRAHATADTQYRAFKSYLERGQVPSREFLKNVYDIEFIYDNTRYRFTASRSSPGSYHLFLNGSRCTAGVRSLTDGGLLVLLNGHSYTVYYRDEVTGTRISIDNLSCMLEQENDPTQLRTPSPGKLVRFLVETGEHIKAGEAYAEVEVMKMIMPLVATEDGVVQLIKQPGASLDAGDILGILTLDDPSRVTHALPFDGQLPNWGEPQIAGNKPCQRYHALLCILLDILKGYDNQIILNSTYNEFVEVLRNHELPYSEWSAHYSALVNRISPVLDKLFVSIIEKARSRKAEFPAKQLEVAIQTYCDGQNLATTQQLKVQIAPLLKIISDYKDGLKVHEYNVIKGLLEEYYNVEKLFSGINKREEDVILRLRDENKDDVDKVIALALSHSRIGSKNNLLITILDLMKSEPSTFVSLYFNDILRKLTDLDSRVTSKVSLKARELLITCAMPSLNERFSQMEHILKSSVVESHYGDAKFSHRTPSLDILKELIDSKYTVFDVLPAFFCHTDPWVSLAALEVYVRRAYRAYSVLEINYHTEAGTPYVLTWRFQLHSSGAPGLGANSTNGSNFPASTTPSYENSNRRLQSVSDLSWYVNKTDSEPFRFGTMIAAETFDELENNLALAIDRLPLSRNYFNAGLTLDGNSSSANDNTQELTNVVNVALTSTGDLDDSAIVSKLNQILSDFRDDLLEHNVRRVTIVGGRINKSAYPSYYTYRVSAEQKDGNLVHYNEDERIRHIEPALAFQLELGRLSNFNIEPVFTDNHNIHVYRATAKNMDTDKRFFTRALVRPGRLRDEIPTAEYLISETHRLINDILDALEVIGHEQTDLNHIFINFTPAFGLAPKQVEAALGGFLERFGRRLWRLRVTAAEIRIICTDPSTNTLFPLRVIISNVSGFVVNVEIYAEVKTENNSWIFKSIGQPGSMHLRPISTPYPTKEWLQPRRYKAQLMGTTFVYDFPELFRRAFTDSWKKVPNGRSKVTIPQNMFECKELVADEHGVLQEVNREPGTNSCGMVAWCITVKTPEYPNGRKIIVVANDITFQIGSFGPQEDEYFYKVTQLARQRGIPRIYLAANSGARIGVADEIVPLFNIAWVDPDSPEKGFDYIYLTPEAYERLQKENPNILTTEEVVTETGELRHKITTIIGSSEGLGVECLRGSGLIAGVTSRAYNDIFTCTLVTCRAVGIGAYLVRLGQRAVQIEGQPIILTGAPALNKVLGREVYTSNLQLGGTQVMHRNGISHLTSQDDFDGISKIVNWISYIPDKRNNPVPISPSSDTWDRDVEFYPSQNGYDPRWLIAGKEDEDSFLYGLFDKGSFQETLNGWAKTVVVGRARMGGIPTGVIAVETRTIENTVPADPANPDSTEQVLMEAGQVWYPNSAFKTAQAINDFNHGEQLPLFILANWRGFSGGQRDMFNEVLKYGSYIVDALASYKQPVFVYIPPFSELRGGSWVVVDPTINEDQMEMYADEESRAGVLEPEGMVSIKFRREKLLSLMRRCDHKYASLCNELKRDDLSADDLSTIKVKLMEREQKLMPIYQQISIHFADLHDRVGRMVAKKVVRKPLKWTEARRFFYWRLRRRLNEHYALQKITQLIPSLTIRESREYLQKWYEEWCGKQDWDESDKSVVCWIEEHNDDLSKRTQELKSTYYSERLSKLLRSDRKGMIDSLAQVLTELDENEKKELAGKLASVN", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDEKVELILVPCHSIWKSSSHPSDNSVNLGQLPEYWHLAPFQYEGNDHLAFIKHGLTAIKLLLQRFDTATVIFSGSQTKKEAGAISEAQSYYFLFEKLIRYVMSNDNIDVPNFDNELRLLLKEVKNLLSSQNVNVDELFYGGSITTEEFSLDSFDNLIYSIYRFEEVNKKFPQKITIIGFAFKMPRFISCHAKAIDYPQSNITYIGIDPKPANYNQTQLSKYYDDLVQMEDKNALSLFSSDWYATKDRLLTKKRSRNPFNRTAPYAQNIFCKENGKRIEGIEDDEEYFETKIKCKMPWSSPRQ", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSSASMFGSLKTNAVDESQLKARIGVLALQGAFIEHINIMNSIDGVISFPVKTAKDCENIDGLIIPGGESTTIGKLINIDEKLRDRLEHLVDQGLPIWGTCAGMILLSKKSRGGKFPDPYLLRAMDIEVTRNYFGPQTMSFTTDITVTESMQFEATEPLHSFSATFIRAPVASTILSDDINVLATIVHEGNKEIVAVEQGPFLGTSFHPELTADNRWHEWWVKERVLPLKEKKD", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNENSIFVSIISYRDSECQWTIKNLIELAKYKENIFIGVCLQYSMNDDSDNKCFQFNFEEEYGKNQIRIIRMNHTEAKGPCYARALVQQQLFKGEKYYLQIDSHMRFVKDWDIEMINQLLQCKKPNDDNGGMVIDEKAILTCYPMGYKLPNLIPTHRFPILLVASGFGENDGFLRLGGKIVSKKLIEPCSSLFWVSGFSFSRSDIINSVPYDPNLQYLFFGEEISMSARLFTHGYNFYSPTKTLIFHLWNRDYRSTFRENNSLEIQKLEENSKKRLLILFNQNNNNINDNDDNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNSSSSSSSSNNNNNNNNNNNNSSSTNNNNNNNNNNDDGIKIELKYNLGKIKSLDDYSNYCGVDFKNKTINNKGKFGGYYEERETFFMNEIMEYVIKSQIGI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEYRTNNVPVGNETKSAALNALPKIKISDSPNRHHNLVDAFMQSPSYSTQPKSAVEPLGLSFSPGYISPSSQSPHHGPVRSPSSRKPLPASPSRTRDHSLRVPVSGHSYSADEKPRERRKVIGNYVLGKTIGAGSMGKVKVAHHLKTGEQFAIKIVTRLHPDITKAKAAASAEATKAAQSEKNKEIRTVREAALSTLLRHPYICEARDVYITNSHYYMVFEFVDGGQMLDYIISHGKLKEKQARKFVRQIGSALSYLHQNSVVHRDLKIENILISKTGDIKIIDFGLSNLYRRQSRLRTFCGSLYFAAPELLNAQPYIGPEVDVWSFGIVLYVLVCGKVPFDDQNMSALHAKIKKGTVEYPSYLSSDCKGLLSRMLVTDPLKRATLEEVLNHPWMIRNYEGPPASFAPERSPITLPLDPEIIREMNGFDFGPPEKIVRELTKVISSEAYQSLAKTGFYSGPNSADKKKSFFEFRIRHAAHDIENPILPSLSMNTDIYDAFHPLISIYYLVSERRVYEKGGNWNRIAKTPVSSVPSSPVQPTSYNRTLPPMPEVVAYKGDEESPRVSRNTSLARRKPLPDTESHSPSPSATSSIKKNPSSIFRRFSSRRKQNKSSTSTLQISAPLETSQSPPTPRTKPSHKPPVSYKNKLVTQSAIGRSTSVREGRYAGISSQMDSLNMDSTGPSASNMANAPPSVRNNRVLNPRGASLGHGRMSTSTTNRQKQILNETMGNPVDKNSTSPSKSTDKLDPIKPVFLKGLFSVSTTSTKSTESIQRDLIRVMGMLDIEYKEIKGGYACLYKPQGIRTPTKSTSVHTRRKPSYGSNSTTDSYGSVPDTVPLDDNGESPASNLAFEIYIVKVPILSLRGVSFHRISGNSWQYKTLASRILNELKL", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPNSHGNVLNNISLNSKQNPRSISKSCPNDKDARQKSFKTISAQALVRVQGAGYKLGDVKLKDAEVKEKNSLKKYDCKNATQEKKEQEQVFEKTVAKGSVQKYITKTSKTNSLFIGNLKSTVTEEMLRKIFKRYQSFESAKVCRDFLTKKSLGYGYLNFKDKNDAESARKEFNYTVFFGQEVKIMPSMKNTLFRKNIGTNVFFSNLPLENPQLTTRSFYLIMIEYGNVLSCLLERRKNIGFVYFDNDISARNVIKKYNNQEFFGNKIICGLHFDKEVRTRPEFTKRKKMIGSDIVIEDELLASNNLSDNARSKTILVKNLPSDTTQEEVLDYFSTIGPIKSVFISEKQANTPHKAFVTYKNEEESKKAQKCLNKTIFKNHTIWVGPGKDKPVHNQIGTNKKTKVYLKNLSFNCNKEFISQLCLQEKIRFSEIKITNYNSLNWTFCGHVECFSRSDAERLFNILDRRLIGSSLVEASWSKNNDNILNEIDYDDGNNNENYKKLINISSMMRFRTQELSAHQKGLTSQFQQVVSPFSSYSNSYTNMNSLVATPMKPHPAFNLITNTVDEKLHQPKRTKQENAEILESLKKIINRNLQRISISGLNKEENLRSISEFIFDVFWEHDSERLSHFLLMTNTSLESQKILQKQVTRAAESLGFTV", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAQKSALILLPPEDAEEIEVIVTGDVLVRGGLQVLYAGSSTEPVKCAKGARIVPDVALKDVKNKTFDIIIIPGGPGCSKLAECPVIGELLKTQVKSGGLIGAICAGPTVLLAHGIVAERVTCHYTVKDKMTEGGYKYLDDNVVISDRVITSKGPGTAFEFALKIVETLEGPEKTNSLLKPLCLAK", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSSSSVSNTLSIETKSDPKDPAFVASQESTECNEHDTTQLSGSSSEPLENNSSLTRSTDDPSVEIRSKLVSPDNEANLLSDQNITISNENNNENDTTEEAETSSGNEAADDEDSSSDAQSSVPSFSEIHDGMSEEELDKERKTLTHLRRISLQGADDPEIPTDWSVAMSPPETEQDASTLFWVPANLHPELNPTGWKSFLDLQVKNLKSPTATDTSSSPLEHIRSLRRRKSLLSRQVKADDAVINYQDGSPIVEKAYLKRHRSLRLNELEHLESLARDPHRMVSLVDGMSNGSPEDSPLLVSPNHFLQRSSRTTIRRTGASIRTIHRGKTSTLSGNRSHSILQKPTDTSPLHKIEPISADELVESDDSRTSALSNSQNPSDDVENQSDQALEVLSLTNPPKIDNASADTTLHKETNKIDKLYVSENKAESAVASESSLSEGTLALKAPAPENKPEKSSTSKPPVPENKAEDSVVLKSSVPEDKSENSIASKPSATEGIPENAIALQSSVPENKAEDSVVLKSSVPEDKSEDSVPSKSSVLEDKHENSVEIDKKADDSLPSNNKTEGYTPSVVREEKNYSEPNASPSVIPPRVPTPVPGRTLSPKPTRIPTPIPSSLNVSLESSKKPEIFHERHIPTPETGPNKPSKNNILKSTQVPVTPKQKSSTANKGSTSSPSPPSSESKKTKRSWGRLFVSGDSDKEHKEHKKDKQKKKNDQISSSSKSASSFKKDRDKESIFGSLFGSKKKQTEIPPVSSSPPHNDAPPKAKPISAPSELPNTTSVAEAKCQTVTDDEGTDQQSDEKSTEPKTFIPDKDYYWSRFPICTERAIYRLSHIKLSNAHRPLFQQVLLSNFMYSYLDLISRISSNRPMNNVQQSTAKPIRKDINGQQRRSEFSAENVKNELENLSYQFGDQRKRNLNRKGSTIHTVSQNIQKVSKNAK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKYSRIVVMGPPGCGKGTQSSLISEKYEIPHVSSGDIIREEMKKSSKEATVIREMVNSGRLAPDEIVNELVLKKIRSMSKYILDGYPRRIEQAGMLGDDVDLVIFIDVDEDTCISRICGRNEGRDDDDEEVGRKRCMVYNKETAPVLEFYKRHGKLLTINGCASPGTVFEEIRRSIE", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAIKPTKSFQNCLEAEVPGYNDCPTVLFSIDPNSGPRSKSKQRTKSKRCVSGRLATEVLDLYGNTKTATTPPPVLRRPSVTAAQQESACEGVLVKDQGDRQLQPILCSKEELVAKINDLCVCGSKLSSKELEFYKKKLDSNITKILQNEHTKTVLSQIFNEKDKNMAVKTIKHWMVTDTTISNWCPAFLKIFENAMPN", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTIKTPGTPVSKMDRTPAVTPGGSSRSREEKIVVTVRLRPMNKRELLAKDQVAWECVNDHTIVSKPQVQERLHHQSSFTFDKVFGPESLTENVYEDGVKNVALSALMGINATIFAYGQTSSGKTYTMRGVTEKAVNDIYNHIIKTPERDFTIKISGLEIYNENVRDLLNSDSGRALKLLDDPEKGTVVEKLVEETANNDNHLRHLISICEAQRQVGETALNDTSSRSHQIIRLTIQSTHRENSDCVRSYMASLNFVDLAGSERASQSQADGTRLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALAHVEQSRNTLYFANRAKEVTNNAHVNMVVSDKQLVKHLQKEVARLEAERRTPGPSTEKDFKIQQMEMEIGELRRQRDDAQIQLEELRQKLQGDQQQNKGLNPFESPDPPVRKCLSYSVAVTPSSENKTLNRNERARKTTMRQSMIRQSSTAPFTLMHEIRKLEHLQEQLGEEATKALEVLQKEVACHRLGNQDAAQTIAKLQAEIREMRTVKPSAMLKEVGDVIAPNKSVSANLKEEITRLHSQGSTIANLEEQLESVQKSIDKLVMSLPSNISAGDETPKTKNHHHQSKKKKLLPLTPSSASNRQNFLKSPCSPLSASRQVLDCDAENKAPQENNSSAARGATTPQGSEKETPQKGEESGDVSSREGTPGYRRSSSVNMKKMQQMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANDGAGYSVENEENTIMEDEEQNQVAWHITFIEERQQIIELWHVCHVSIIHRTQFYLLFKGDQADQIYMEVELRRLTWLEQHLAEVGNATPARNCDESVVSLSSSIKALRREREFLAKRVNSRLTPEEREELYMKWDVPLEGKQRKLQFVNKLWTDPYDSRHVQESAEIVAKLVGFCESGNISKEMFELNFAVPSDKRQWNIGWDNISNLLHL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAHRFPALTQEQKKELSEIAQSIVANGKGILAADESVGTMGNRLQRIKVENTEENRRQFREILFSVDSSINQSIGGVILFHETLYQKDSQGKLFRNILKEKGIVVGIKLDQGGAPLAGTNKETTIQGLDGLSERCAQYKKDGVDFGKWRAVLRIADQCPSSLAIQENANALARYASICQQNGLVPIVEPEVIPDGDHDLEHCQYVTEKVLAAVYKALNDHHVYLEGTLLKPNMVTAGHACTKKYTPEQVAMATVTALHRTVPAAVPGICFLSGGMSEEDATLNLNAINLCPLPKPWKLSFSYGRALQASALAAWGGKAANKEATQEAFMKRAMANCQAAKGQYVHTGSSGAASTQSLFTACYTY", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPLEKLKDLHLDEQNMQPETREVNGILMSKMMSENWDKIWNFQAKPDDLLIATYAKAGTTWTQEIVDMIQNDGDVQKCQRANTYDRHPFIEWTLPPPLNSGLDLANKMPSPRTLKTHLPVQMLPPSFWKENSKIIYVARNAKDCLVSYYYFSRMNKMLPDPGTLGEYIETFKAGKVLWGSWYDHVKGWWDVKDKHRILYLFYEDMKEDPKREIKKIVKFLEKDISEEVLNKIIHHTSFDVMKQNPMANYTTLPSSIMDHSISPFMRKGMPGDWKNYFTVAQSEDFDEDYRKKMAGSTITFRTEI", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSASVTAFEKEHLWMYLQALGFEPGPATIACGKIVSHTHLGVNMFDKLNRDAFHIISYFLFQVLDQSLTKEVFKFCWPPFDQKSDTEFRKHCCEWIKRISGECGSSFPQVVGSLFLSPGGPKFIHLMYHFARFVAMKYIKSNSKNSSHHFVETFNIKPQDLHKCIARCHFARSRFLQILQRQDCVTQKYQENAQLSVKQVRNLRSECIGLENQIKKMEPYDDHSNMEEKIQKVRSLWASVNETLMFLEKEREVVSSVLSLVNQYALDGTNVAINIPRLLLDKIEKQMFQLHIGNVYEAGKLNLLTVIQLLNEVLKVMKYERCQADQARLTVDLHYLEKETKFQKERLSDLKHMRYRIKDDLTTIRHSVVEKQGEWHKKWKEFLGLSPFSLIKGWTPSVDLLPPMSPLSFDPASEEVYAKSILCQYPASLPDAHKQHNQENGCRGDSDTLGALHDLANSPASFLSQSVSSSDRNSVTVLEKDTKMGTPKEKNEAISKKIPEFEVENSPLSDVAKNTESSAFGGSLPAKKSDPFQKEQDHLVEEVARAVLSDSPQLSEGKEIKLEELIDSLGSNPFLTRNQIPRTPENLITEIRSSWRKAIEMEENRTKEPIQMDAEHREVLPESLPVLHNQREFSMADFLLETTVSDFGQSHLTEEKVISDCECVPQKHVLTSHIDEPPTQNQSDLLNKKVICKQDLECLAFTKLSETSRMETFSPAVGNRIDVMGGSEEEFMKILDHLEVSCNKPSTNKTMLWNSFQISSGISSKSFKDNDFGILHETLPEEVGHLSFNSSSSSEANFKLEPNSPMHGGTLLEDVVGGRQTTPESDFNLQALRSRYEALKKSLSKKREESYLSNSQTPERHKPELSPTPQNVQTDDTLNFLDTCDLHTEHIKPSLRTSIGERKRSLSPLIKFSPVEQRLRTTIACSLGELPNLKEEDILNKSLDAKEPPSDLTR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASHIVGYPRMGPKRELKFALESFWDGKSTAEDLQKVSADLRSSIWKQMSAAGTKFIPSNTFAHYDQVLDTTAMLGAVPPRYGYTGGEIGLDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPEVNFSYASHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKAAKGVDKSFELLSLLPKILPIYKEVITELKAAGATWIQLDEPVLVMDLEGQKLQAFTGAYAELESTLSGLNVLVETYFADIPAEAYKTLTSLKGVTAFGFDLVRGTKTLDLVKAGFPEGKYLFAGVVDGRNIWANDFAASLSTLQALEGIVGKDKLVVSTSCSLLHTAVDLINETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEALFSANAAALASRRSSPRVTNEGVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREYKAKKVSEEDYVKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPVIYGDVSRPKAMTVFWSAMAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRHETCYQIALAIKDEVEDLEKGGIGVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDSTQIHTHMCYSHFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSSEEIADRVNKMLAVLEQNILWVNPDCGLKTRKYTEVKPALKNMVDAAKLIRSQLASAK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSTLAKIAEIEAEMARTQKNKATAHHLGLLKARLAKLRRELITPKGGGGGGPGEGFDVAKTGDARIGFVGFPSVGKSTLLSNLAGVYSEVAAYEFTTLTTVPGVIRYKGAKIQLLDLPGIIEGAKDGKGRGRQVIAVARTCNLILIVLDVLKPLGHKKIIENELEGFGIRLNSKPPNIGFKKKDKGGINLTATCPQSELDAETVKSILAEYKIHNADVTLRSDATADDLIDVVEGNRVYIPCIYVLNKIDQISIEELDIIYKVPHCVPISAHHRWNFDDLLEKIWDYLKLVRIYTKPKGQLPDYTSPVVLPYSRTTVEDFCMKIHKNLIKEFKYALVWGLSVKHNPQKVGKDHTLEDEDVIQIVKK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKEMINLDSSSEPSIVTKQFSVEECLSKLKEQHCYVPMRTIGRLRLRKSSDQTEKKCWKEKLMKIRSELEELWEQSMMYEEQKELTQLGEMLDRLWDKHINSEGSKSETISDTAISGNDDTMEKRLEQFSDDTLQDTLETEKNLNSKTSESLKSPTLSYPFDLDSLDKRIFKLESKIGYADEPLSELLNKCMEKLEIVEQDPQFWQSRIESWKQLLAKDFLKHHERNLCSIEKQTTLKNSSLKELCTEEDIVIMLEICSSQLPFVEQYMPILPLLLERLKSLQNMHTDAAEAISSWQGSKDVMMTMQSELNEWKNTVERLDHSKFYTQSVEEMRRLSDTVTQLEKRVLKLQ", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGDAADPREMRKTFIVPAIKPFDHYDFSRAKIACNLAWLVAKAFGTENVPEELQEPFYTDQYDQEHIKPPVVNLLLSAELYCRAGSLILKSDAAKPLLGHDAVIQALAQKGLYVTDQEKLVTERDLHKKPIQMSAHLAMIDTLMMAYTVEMVSIEKVIACAQQYSAFFQATDLPYDIEDAVMYWINKVNEHLKDIMEQEQKLKEHHTVEAPGGQKSPSKWFWKLVPARYRKEQTLLKQLPCIPLVENLLKDGTDGCALAALIHFYCPDVVRLEDICLKETMSLADSLYNLQLIQEFCQEYLNQCCHFTLEDMLYAASSIKSNYLVFMAELFWWFEVVKPSFVQPRVVRPQGAEPVKDMPSIPVLNAAKRNVLDSSSDFPSSGEGATFTQSHHHLPSRYSRPQAHSSASGGIRRSSSMSYVDGFIGTWPKEKRSSVHGVSFDISFDKEDSVQRSTPNRGITRSISNEGLTLNNSHVSKHIRKNLSFKPINGEEEAESIEEELNIDSHSDLKSCVPLNTNELNSNENIHYKLPNGALQNRILLDEFGNQIETPSIEEALQIIHDTEKSPHTPQPDQIANGFFLHSQEMSILNSNIKLNQSSPDNVTDTKGALSPITDNTEVDTGIHVPSEDIPETMDEDSSLRDYTVSLDSDMDDASKFLQDYDIRTGNTREALSPCPSTVSTKSQPGSSASSSSGVKMTSFAEQKFRKLNHTDGKSSGSSSQKTTPEGSELNIPHVVAWAQIPEETGLPQGRDTTQLLASEMVHLRMKLEEKRRAIEAQKKKMEAAFTKQRQKMGRTAFLTVVKKKGDGISPLREEAAGAEDEKVYTDRAKEKESQKTDGQRSKSLADIKESMENPQAKWLKSPTTPIDPEKQWNLASPSEETLNEGEILEYTKSIEKLNSSLHFLQQEMQRLSLQQEMLMQMREQQSWVISPPQPSPQKQIRDFKPSKQAGLSSAIAPFSSDSPRPTHPSPQSSNRKSASFSVKSQRTPRPNELKITPLNRTLTPPRSVDSLPRLRRFSPSQVPIQTRSFVCFGDDGEPQLKESKPKEEVKKEELESKGTLEQRGHNPEEKEIKPFESTVSEVLSLPVTETVCLTPNEDQLNQPTEPPPKPVFPPTAPKNVNLIEVSLSDLKPPEKADVPVEKYDGESDKEQFDDDQKVCCGFFFKDDQKAENDMAMKRAALLEKRLRREKETQLRKQQLEAEMEHKKEETRRKTEEERQKKEDERARREFIRQEYMRRKQLKLMEDMDTVIKPRPQVVKQKKQRPKSIHRDHIESPKTPIKGPPVSSLSLASLNTGDNESVHSGKRTPRSESVEGFLSPSRCGSRNGEKDWENASTTSSVASGTEYTGPKLYKEPSAKSNKHIIQNALAHCCLAGKVNEGQKKKILEEMEKSDANNFLILFRDSGCQFRSLYTYCPETEEINKLTGIGPKSITKKMIEGLYKYNSDRKQFSHIPAKTLSASVDAITIHSHLWQTKRPVTPKKLLPTKA", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSEHNYQSDREVAEDPFLNYEASANQLSSNSRESTPRGSPWRAGMRSASLMTEPLEDSMYSDNNYLDNGVSFTKDENPLYSPSWPSLADANVNSMKSNNAIQEHKAAKFVSEKSLEKVSTADNNLVLQELENLRERLNQVELQLSERPSSYLGYHNNLSPYRSPNSYPSLLPSTHSPHSPAPLSTMQTALMRLRTYHPSPIILKPVEQAVNHAITLVNTSPSSVVDALCRSLAELCLGLVQEAIDASILSQQESSNSLDLVRHTPPLNYTSSVDSSPQRMASDSYGRPSLHLNDPFPSVDLQSNELSHHNVRTTLFSDDSRFHSKIHTHSTPPSQMYSAASHFRYRSDPSTRHVSNSTNKSSLHPSPTSLRVAHPIIPQRASPASQSFPSLQDTPSP", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTSGSPKITNLLTRVKRIIPPLLDTFHKGQAGRVGVFGGCQHYTGAPYYSSMSSMLFGSDQSHIFCEKEAANVIKSYSPDLIVHPFLREKDKAGPEDSVDKCFELIKPMMGRLHAIVIGPGLGRDEWMQEIMAKVIEYARKNDMPMVIDADGLWLIQQRPELVSGYHNVILTPNVIEFKRLCDKLDIKSDGPDACNQLAGKLNLLIIQKGQSDIISDGATAYACSVPGGLKRCGGQGDILTGILATFLAWRHAYLSKEWDTEGNMDAKECLFLAAFGASACTRWCSRLAFKECGRATQSTDLVRHVGKAYNALMEDEIPSVEEKIKD", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTGAATAAENSATQLEFYRKALNFNVIGRYDPKIKQLLFHTPHASLYKWDFKKDEWNKLEYQGVLAIYLRDVSQNTNLLPVSPQEVDIFDSQNGSNNIQVNNGSDNSNRNSSGNGNSYKSNDSLTYNCGKTLSGKDIYNYGLIILNRINPDNFSMGIVPNSVVNKRKVFNAEEDTLNPLECMGVEVKDELVIIKNLKHEVYGIWIHTVSDRQNIYELIKYLLENEPKDSFA", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAEESKAAEYFDPLDPLSFNELRYVVNLVRKSYPEKQISFDVVTLSEPHKEEYVHWRYSSAHEGIPDRRAYVIVLEKEVPGVFEGIVNLTTGKIEKWEHSVDTCPIITADLLAITDEIVRNDANVIEQCKICGVPESGLSNVYCDPWTIGYDERYGSGRRLQQALMYYKPGDSGHLRSIPLDFCPIIDVDQKKVIAIDIPKVRRPIPQDVNSDNNLKKLEQEMEAMKMLKPLRITQPEGVNFRIKGRYIEWQNFCFHIGFNYREGIVLSDVVFNEDGHLRPLFYRISLTEMAVPFGAKGHSHHRKHAYDLGEYGVGYRTNPLSFTCGCEGVIHYMDADFVNYRGEITTIKNAISIHEEDDGVLFKYSDLRDRNANISARSIKLVVSQVFTAANYEYLVYWIFRMDGVIECEIRLTGILNTNAINEDEDLKGHGTQVYPKISAENHEHLFCLRINPMLDGLRNSVATVDALRDKNGTLVSKYIIPETVTEAISNYDSSTGRTWDICNLNKLHPYSGKPVSYKLISRDTSPVLSQPGTTNSDCSGFAENNIYVTPYMDDQIFPTGDYAPQASDDTPKGLSKWISDDPNAQIKNTDIVVWHTFGMIHFPAPEDFPIMPAESIHLFLQPRNFFKHNPALDTSSSVNSTSEATSPNTHHENLRDTSQKRESHSTPHDYEPHVSDKNDKSVEDKLHFVQKDESRPKEPVVDAAQKHEGRSETLAQPGQQNANQSEEKQGGQNGSNGGHHHHHHHHYITGHVYGGYHKHSGSGGHLVDMMKNISDVTHDFAMGNFRYHKYD", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQDPNADTEWNDILRKKGILPPKESLKELEEEAEEEQRILQQSVVKTYEDMTLEELEDHEDEFNEEDERAIEMYRRRRLAEWKATKLKNKFGEVLEISGKDYVQEVTKAGEGLWVILHLYKQGIPLCALINQHLSGLARKFPDVKFIKAISTTCIPNYPDRNLPTIFVYLEGDIKAQFIGPLVFGGMNLTRDELEWKLSESGAIMTDLEENPKKPIEDVLLSSVRRSVLMKRDSDSEGD", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAELTVEVRGSNGAFYKGFIKDVHEDSLTVVFENNWQPERQVPFNEVRLPPPPDIKKEISEGDEVEVYSRANDQEPCGWWLAKVRMMKGEFYVIEYAACDATYNEIVTFERLRPVNQNKTVKKNTFFKCTVDVPEDLREACANENAHKDFKKAVGACRIFYHPETTQLMILSASEATVKRVNILSDMHLRSIRTKLMLMSRNEEATKHLECTKQLAAAFHEEFVVREDLMGLAIGTHGSNIQQARKVPGVTAIELDEDTGTFRIYGESADAVKKARGFLEFVEDFIQVPRNLVGKVIGKNGKVIQEIVDKSGVVRVRIEGDNENKLPREDGMVPFVFVGTKESIGNVQVLLEYHIAYLKEVEQLRMERLQIDEQLRQIGSRSYSGRGRGRRGPNYTSGYGTNSELSNPSETESERKDELSDWSLAGEDDRDSRHQRDSRRRPGGRGRSVSGGRGRGGPRGGKSSISSVLKDPDSNPYSLLDNTESDQTADTDASESHHSTNRRRRSRRRRTDEDAVLMDGMTESDTASVNENGLVTVADYISRAESQSRQRNLPRETLAKNKKEMAKDVIEEHGPSEKAINGPTSASGDDISKLQRTPGEEKINTLKEENTQEAAVLNGVS", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQAEGRGTGGSTGDADGPGGPGIPDGPGGNAGGPGEAGATGGRGPRGAGAARASGPGGGAPRGPHGGAASGLNGCCRCGARGPESRLLEFYLAMPFATPMEAELARRSLAQDAPPLPVPGVLLKEFTVSGNILTIRLTAADHRQLQLSISSCLQQLSLLMWITQCFLPVFLAQPPSGQRR", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKSASSEQSVERIENGHKKKRNRPQKQNRRSKQSSVPIEDAHVEESLDGRDSSRSKAKDSTSSSKQQRPNTDELEAMRASNVAFNSMPPMRAESGYPRRSASPLLSSPEVSKQLLSKSCPDPRACEQSPGMNGELFQQIEGSSQRKIFSSHWSLDAVTEALEKGEAFKALFRVNAHNRNEAYCKIDGVPTDILINGNVCQSRAVEGDTVVIKLDPLSLWPKMKGFVTESAAKPEGTNSPPEKDDKKARQKNGIDVVEGFEDGFSKNKSSVIGKGAKNGVTPSSPPSLDSCLGSFCEQKGNCSAVDKLCGILSSFPHKRPTGQVVAVVEKSLVRDSIVGLLDVKGWIHYKESDPKRCKSPLSLSDDEYVQLMPADPRFPKLIVPFHVLPGSIRARLENLDPNLEAELVAAQIVDWGEGSPFPVAQITHLFGRGSELEPQINAILYQNSVCDSDFSPGSLTSLPRVPWEVPEEEVQRRKDLRDLCVLTIDPSTATDLDDALSVQSLPGGFFRVGVHIADVSYFVLPETALDTEARFRSTSVYLMQRKISMLPPLLSENVGSLSPGADRLAFSILWDLNREGDVIDRWIGRTIIRSCCKLSYDHAQDIIDGKSDVAENGWPALHGSFKWCDVTRSVKQLSEISTTLRQKRFRNGALQLENSKPVFLFDEHGVPYDFVTCSRKGSNFLVEEFMLLANMTAAEVISQAYRASSLLRRHPEPNTRKLKEFEGFCSKHGMDLDISSSGQLQDSLEKITGNLKDDSVFVDILNNYAIKPMQLASYFCTGNLKDSVAEWGHYALAVPLYTHFTSPLRRYPDIVVHRALAAALEAEELYSKQKQTAIDEGRSCFTGIHFNKDAAESIEGKEALSVAALKHGVPSTEILSDVAAYCNERKLAARKVRDACDKLYTWFVLKQKEIFPCEARVMNLGSRFMTVYISKLGIERRIYYDQIEGLCADWLEATSTLIVDKLYSKRGGRGFFKPMKEAVYLVSPCEVCVAKCSALSVHDTESPEAVSIDEVAPAVFPLTIQLFSTIPVVLHAVGGDDGPLDIGARLYMSSYY", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNQRAPSPPKEPPPPPSLSSSSSLPSSFSSASVPGHTADDSSSPQVPAYNLHSPQISRGDVSPTPIYLRRARAQGIVKEIPLYLPHSPMLESTEDCLVEPGRESLRSPEEISSSEGCQEARALGNTRSIQHPILGKDQYLPNQNLALGAAGNPGDPREESRMGQPGGPELSKERKLGLKKLVLTEEQKNKLLDWSDCTQEHKTGEQLSQESAENIRGGSLKPTCSSTLSQAVKEKLLSQKKALGGMRTPAVKAPQEREVPPPKSPLKLIANAILRSLLHNSEAGKKTSPKPESKTLPRGQPHARSFSLRKLGSSKDGDQQSPGRHMAKKASAFFSLASPTSKVAQASDLSLPNSILRSRSLPSRPSKMFFSTTPHSKVEDVPTLLEKVSLQDATHSPKTGASHISSLGLKDKSFESFLQECKQRKDIGDFFNSPKEEGPPGNRVPSLEKLVQPVGSTSMGQVAHPSSTGQDAHPVAPVTEATSSPTSSSAEEEADSQLSLRIKEKILRRRRKLEKQSAKQEELKRLHKAQAIQRQLEEVEERQRTLAIQGVKLEKVLRGEADSGTQDEAQLLQEWFKLVLEKNKLMRYESELLIMAQELELEDHQSRLEQKLRQKMLKDEGQKDENDLKEEQEIFEEMMQVIEQRNKLVDSLEEQRVKERTQDQHFENFVLSRGCQLSRT", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNLEKLSKPELLTLFSILEGELEARDLVIEALKAQHRDTFIEERYGKYNISDPLMALQRDFETLKEKNDSEKQPVCTNPLSVLKAVMKQCKNMQERMLSQLAAAESRHRKVILDLEEERQRHAQDTAEGDDVTYMLEKERERLTQQLEFEKSQVKKFEKEQKKLSSQLEEERTRHKQLSSMLVLECRKATSKAAEEGQKAGELSLKLDKEKSRASKLEEELAAERKRGLQTEAQVEKQLSEFDIEREQLRAKLNREENRTRALKEEVESLKKLVKDLEAAQQHRSTSEQGREPVTMSRGTATEPPMRVSAFCQTESVQTERSHGSVITKLTDTGLPGPTTAAYSYAKANGHCDPEIQTTRELTSDSSTENQGPPREKSAVAAQEKPVENGGCPVGTETPVTMPSHLPSSGSSLSPSSTASSSLTSSPCSSPVLTKRLLGSAASSPGYQSSYQVGINQRFHAARHKFQSQADQDQQASGLQSPPSRDLSPTLLDNSAAKQLARNTVTQVLSRFTNQGPIKPVSPNSSPFGTDYRNLASTASPRGDTSHSPTPGKVSSPLSPLSPGIKSPTIPRAERGNPPPIPPKKPGLTPSQSATTPVTKTHSQASSLAATEDLASSCSPSAVVANGKDVEILLPTSS", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "METKLPPASTPTSPSSPGLSPVPPPDKVDGFSRRSLRRARPRRSHSSSQFRYQSNQQELTPLPLLKDVPASELHELLSRKLAQCGVMFDFLDCVADLKGKEVKRAALNELVECVGSTRGVLIEPVYPDIIRMISVNIFRTLPPSENPEFDPEEDEPNLEPSWPHLQLVYEFFLRFLESPDFQPSVAKRYVDQKFVLMLLELFDSEDPREREYLKTILHRVYGKFLGLRAYIRKQCNHIFLRFIYEFEHFNGVAELLEILGSIINGFALPLKTEHKQFLVRVLIPLHSVKSLSVFHAQLAYCVVQFLEKDATLTEHVIRGLLKYWPKTCTQKEVMFLGEMEEILDVIEPSQFVKIQEPLFKQVARCVSSPHFQVAERALYFWNNEYILSLIEDNCHTVLPAVFGTLYQVSKEHWNQTIVSLIYNVLKTFMEMNGKLFDELTASYKLEKQQEQQKAQERQELWQGLEELRLRRLQGTQGAKEAPLQRLTPQVAASGGQS", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLANALRLVSNSKKIKYDYDIQKENSVQVGPWTVYSASKKGTNEEVSVFTFDKKNLSTLLKRGSIDSNLKTNYVLELLRKDVSSLSRLRHPSLLQVVEPLEESKSSMSFVTRRIQSMLQDFIKSSNGGFSNYGSSANGKSSGNALEEVEIQKGLLQIIDGLVFLHGSAKVIHYNIRPSSVVVDAKGDWKLCGFSFSQSVESARYEFNDYDFGIPSSLQQSMDFLAPEYITHEIAGPESDVFSFGCLIYSIFNKNQSIINANNHLLSYEKEITSLNSPTFIESKNLPSENLKSLLKETLAVDPKQRASMFELERSPYFTGSAIAALRFLESFPEKLPSEKVSFMESLSKNLTTFPYRIQSQKILPTLLDHLNDQKLVPSLLPCIFEISKGLDSSIFSSKVFTAIFPIISAANSYPERVPLCIFQYMDCLKSKLPSGEFLSKIVPFIYGCFENSSLNVQTTSIQILGTLLDIIDVTTVKSSICPKLYHSFSVTNQLDVKVAILDTFNVFINQKFLDSFAIVDKLLPVLEKVKTREPTVVMGMVTVYISAGAIIPEETVHEQVIPRLWILSVSPSLSLEQYNKCMREIRSLSDAVQKSHAKKLQSKPSSVVPNRITTDPFSSQTKEATSKPSSISPNKATTNIFTSQASLSSQGVARETSSASSYRSYSQRASTPAVTAKSSFHYATPTSGLSNFNSVTPSSSASLYPPLIPSEARTPSVQPANRRVTTPVVNQNTVTSDSSNDLGGWKSLL", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAKKVAICTLLYSRDYLPGALTLAYQLQKLLKHAVVEDEITLCLLIEKKLFGDEFKPQEIALIRSLFKEIIIIEPLKDQEKSIEKNKANLELLKRPELSHTLLKARLWELVQFDQVLFLDADTLPLNKEFFEILRLYPEQTRFQIAAVPDIGWPDMFNTGVLLLIPDLDMATSLQDFLIKTVSIDGADQGIFNQFFNPICNYSKEVLHKVSPLMEWIRLPFTYNVTMPNYGYQSSPAMNFFQQHIRLIHFIGTFKPWSRNTTDYDDHYYQLWRSTQRELYSECHLSNYFTHLQLGNIETETNFYHEPPCLQDLLNHGKRENQKHVDLDITSVDRNASQKSTAEKHDIEKPTSKPQSAFKFDWESTDYLDRVQRAFPKPDT", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSGGKSGSRLSAYSHLKEIGKGGFGVVYAAQRENGEKVAIKRIDKKVPKNRVWTEIQTMKELKKSKYVVEFYEDFVEDGYTYIVMELCEGGSLQAYVREHGALDDATAVHVLRQLISAVSFMHRVNVIHRDLSAGNVFIKDSKKKKMTVKLGDFGLATTLGRGETTCTIVGTPGFIAPQVYDQEYTQSADVYSLGAVLYTMLTARNPPPKGLPPTCGMSPNAARLVEQMMDTDAKKRIPLTQIVLSEFMYENTNENAVIFSREHSRDGRRQRSREPVRSSRDDRSRDGRALIRSSSQPAHSGRAPLSNRPIHDRMPSTSSRGFDSERGRERDRDSGRGTVPPSREDRNRSQLWPIRMDRLEGQRVCTAGGRYIVELDTRCRFEVAAQGNFVKRILIVEVDEMVQTVYVHRIPDRTVRGRNGEEELITLTNNPFVYTSYSQMPKEVQNDYMRLQKMVAVTISGRVAKVTFRRPSQFPDAQAQLMENGDLRIKLPRSVIVRKMDNGEIFNCIDGIATQKQAVSGITLTKVNEVYKYLIRFEQCLNGMDRGMVCFPIVFSAGTNMVGSSPSSLMPSGSSQTSRFPFSNLSNNQPSLVPHSAPFLTKPTSSQRASSANVQRRVSTDENSSPSVAPSKYKIKIDPTTQKVRSIQATDGRVLRCSTSKADQFIFTDPAIRPDDQRFMRTDRVPDRASEMLHTLCERMRKLHQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MATTAELFEEPFVADEYIERLVWRTPGGGSRGGPEAFDPKRLLEEFVNHIQELQIMDERIQRKVEKLEQQCQKEAKEFAKKVQELQKSNQVAFQHFQELDEHISYVATKVCHLGDQLEGVNTPRQRAVEAQKLMKYFNEFLDGELKSDVFTNSEKIKEAADIIQKLHLIAQELPFDRFSEVKSKIASKYHDLECQLIQEFTSAQRRGEISRMREVAAVLLHFKGYSHCVDVYIKQCQEGAYLRNDIFEDAGILCQRVNKQVGDIFSNPETVLAKLIQNVFEIKLQSFVKEQLEECRKSDAEQYLKNLYDLYTRTTNLSSKLMEFNLGTDKQTFLSKLIKSIFISYLENYIEVETGYLKSRSAMILQRYYDSKNHQKRSIGTGGIQDLKERIRQRTNLPLGPSIDTHGETFLSQEVVVNLLQETKQAFERCHRLSDPSDLPRNAFRIFTILVEFLCIEHIDYALETGLAGIPSSDSRNANLYFLDVVQQANTIFHLFDKQFNDHLMPLISSSPKLSECLQKKKEIIEQMEMKLDTGIDRTLNCMIGQMKHILAAEQKKTDFKPEDENNVLIQYTNACVKVCAYVRKQVEKIKNSMDGKNVDTVLMELGVRFHRLIYEHLQQYSYSCMGGMLAICDVAEYRKCAKDFKIPMVLHLFDTLHALCNLLVVAPDNLKQVCSGEQLANLDKNILHSFVQLRADYRSARLARHFS", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRRDVNGVTKSRFEMFSNSDEAVINKKLPKELLLRIFSFLDVVTLCRCAQVSRAWNVLALDGSNWQRIDLFDFQRDIEGRVVENISKRCGGFLRKLSLRGCLGVGDNALRTFAQNCRNIEVLSLNGCTKTTDATCTSLSKFCSKLRHLDLASCTSITNMSLKALSEGCPLLEQLNISWCDQVTKDGIQALVRGCGGLKALFLKGCTQLEDEALKYIGAHCPELVTLNLQTCLQITDEGLITICRGCHKLQSLCASGCSNITDAILNALGQNCPRLRILEVARCSQLTDVGFTTLARNCHELEKMDLEECVQITDSTLIQLSIHCPRLQVLSLSHCELITDDGIRHLGNGACAHDQLEVIELDNCPLITDASLEHLKSCHSLERIELYDCQQITRAGIKRLRTHLPNIKVHAYFAPVTPPPSVGGSRQRFCRCCIIL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAAGVVSGKIIYEQEGVYIHSSCGKANDQDSLISGILRVLEKDAEVIVDWRPLDDALDSSSILCAGKDSSSVVEWTQAPKERAHRGSDQQSSYEAEWDMVTTVSFKKKPHTNGDAPGHRNGKSKWSFLFSLADLKSVKQSKEGMGWSYLVFCLKDDVMLPALHFHQGDSKLLIESLEKYVVLCESPQDSRTLLVNCQNKSLSQSFENLLDEPAYGLIQKIKKDPYTATMVGFSKVTNYIFDSLRGSDPSTHQRPPSEMADFLSDAIPGLKINQQEEPGFEVITRIDLGERPVVQRREPVSLEEWNKSLDPEGRLVAVESMKQKIFRGGLSHSLRKQAWKFLLGYFPWDSTKEERTQLQKQKTDEYFRMKLQWKSVSEAQEKRNSRLRDYRSLIEKDVNRTDRTNKFYEGQDNPGLILLHDILMTYCMYDFDLGYVQGMSDLLSPLLYVMENEVDAFWCFASYMDQMHQNFEEQMQGMKTQLIQLSTLLRLLDSGFCSYLESQDSGYLYFCFRWLLIRFKREFSFLDILRLWEVMWTELPCKNFHLLLCCAILESEKQQIMAKHYGFNEILKHINELSMKIDVEDILCKAEAISLQMAQCKELPQAVCEILGLQDSEITTPDSDTDENVGSPCPVSAFPSSTLPILAASEAKDDSPTQTLASPNACRLTPA", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MASNGVDEQIKLVEGPAGYVLEDVPHLSDYILDLPTYPNPLQSNAAYSVVRQYFVDEDDTVQEKIVVHKDSPRGTHFRRAGPRQKVYFKPSDVRACIVTCGGLCPGLNTVIREIVCGLHFMYGVTEVIGVDCGFRGFYSKNTVALTPKTVSDIHKRGGTILGTSRGGHDTSKIVDNIQDREINQVYIIGGDGTQKGANAIYKEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEATSVENGIGIVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLYEFIAKRLRENGHMVIVIAEGAGQDLVAESIEQQDASGNKLLKDVGLWMSLKIKEYFAKHNVMDITLKYIDPTYMIRAIPANASDNVYSTLLAQSAVHGAMAGYTGFVSGLVNGRHTYIPFNRITERQNKVVITDRMWARMLSSTNQPSFMNPPKGTTEFTD", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEPGEVKDRILENISLSVKKLQSYFAACEDEIPAIRNHDKVLQRLCEHLDHALLYGLQDLSSGYWVLVVHFTRREAIKQIEVLQHVATNLGRSRAWLYLALNENSLESYLRLFQENLGLLHKYYVKNALVCSHDHLTLFLTLVSGLEFIRFELDLDAPYLDLAPYMPDYYKPQYLLDFEDRLPSSVHGSDSLSLNSFNSVTSTNLEWDDSAIAPSSEDYDFGDVFPAVPSVPSTDWEDGDLTDTVSGPRSTASDLTSSKASTRSPTQRQNPFNEEPAETVSSSDTTPVHTTSQEKEEAQALDPPDACTELEVIRVTKKKKIGKKKKSRSDEEASPLHPACSQKKCAKQGDGDSRNGSPSLGRDSPDTMLASPQEEGEGPSSTTESSERSEPGLLIPEMKDTSMERLGQPLSKVIDQLNGQLDPSTWCSRAEPPDQSFRTGSPGDAPERPPLCDFSEGLSAPMDFYRFTVESPSTVTSGGGHHDPAGLGQPLHVPSSPEAAGQEEEGGGGEGQTPRPLEDTTREAQELEAQLSLVREGPVSEPEPGTQEVLCQLKRDQPSPCLSSAEDSGVDEGQGSPSEMVHSSEFRVDNNHLLLLMIHVFRENEEQLFKMIRMSTGHMEGNLQLLYVLLTDCYVYLLRKGATEKPYLVEEAVSYNELDYVSVGLDQQTVKLVCTNRRKQFLLDTADVALAEFFLASLKSAMIKGCREPPYPSILTDATMEKLALAKFVAQESKCEASAVTVRFYGLVHWEDPTDESLGPTPCHCSPPEGTITKEGMLHYKAGTSYLGKEHWKTCFVVLSNGILYQYPDRTDVIPLLSVNMGGEQCGGCRRANTTDRPHAFQVILSDRPCLELSAESEAEMAEWMQHLCQAVSKGVIPQGVAPSPCIPCCLVLTDDRLFTCHEDCQTSFFRSLGTAKLGDISAVSTEPGKEYCVLEFSQDSQQLLPPWVIYLSCTSELDRLLSALNSGWKTIYQVDLPHTAIQEASNKKKFEDALSLIHSAWQRSDSLCRGRASRDPWC", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPCPGAPCCSLVATGSRVPFSGLKEEEEEDGEDDEEEEEEGFFQKVLTPLLSWLLSRRLWLGPQCSKLPLPSCCRQPPPAGPPVEGDGWLKSFQRSRRMCFTSKSFRPEPDMLYAQKAKGWQLTQDSGGWEVQDQCTRIWSKENLLALNTHSRRQKGKRENKVCVSTWQKSRGDRTYSSMATTPSMTKILEGCMYRKLKC", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEANSNSAVPLCTPYKLGRFKLTHRIVFPALTRNRSQNNTPQSHLTEYYSQRATNGGLIISEAAAASDISKECPNLPGIWNEEQVEAWKPVVNGVHEKGGVFFCQIWHSGRLSVPTVSALFFSIGIGWSTRPDDKVYAKPTPLPLESDKIPCIVNDFRIAARNAIKAGFDGIEINASSGGYLIDEFMNDQVHGWTDEYDESIKDRCRLALEIVEAVANEIGADKIGIKLSPFDGKKDSNSEALATYMANELSKLGVLYLHVMEPRETVNRSLLPIRKAFKGTLIASGGYGKSDGEKAIDENYADLISFGRMFLANPDLPKRFEVNAPLNKYNRSTFYTNDPIIGYTDYPFLEVAS", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTKKAVLIGINYPGTKAELRGCVNDVRRMYKCLVERYGFSEENITVLIDTDESSTQPTGKNIRRALADLVESADSGDVLVVHYSGHGTRLPAETGEDDDTGFDECIVPCDMNLITDDDFRDLVDKVPPGCRMTIISDSCHSGGLIDEAKEQIGESTKKEAEDEDESEESSSRFGFRKFLRSKVEGAIESRGFHIGGNKKDEDEAEEIETKEIELEDGETIHAKDKSLPLQTLIDILKQQTGNDNIEVGKIRPSLFDAFGDDSSPKVKKFMKVILGKLQAGNGEEGGLMGMLGKLASGFLEGKLNDEDYVKPAMQTHVGSKEEVYAGGSRGSVPLPDSGILISGCQTDQTSADATPAGKPTEAYGAMSNSIQTILEETDGEISNREMVTRARKALKKQGFTQQPGLYCHDGYANAPFIC", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDEHNVHHFWGISPAVDLGTLLPTGDGAADLPVDQPVRFLQVAPYDARHTLTTLSRACRHPALMRQLPPGQPACRLYVWEDSPEGLARHVLLAAVLLDGGVPAAQRGQLLLELHGNAVLRRRAAEYLDEKARQLESLFVGLAAGQPPPAGPEAEARGLAALAALLDLSLLKFQEKDLIVEALQRWRLPNPTSSTSTSAASASAAAAPYDMVAAWDGRCRKVYGERYDFRRNMVDWDYHMRLQPAGTPGCDPASGSIIHFHHFRHWRLHGVAHELRDSAYNSANRCRGEGGVGEFKDRTGRDVGRSVSAWGFWADVLNSPYHAFGTACEQPEFYRITNKQFVRTAVDVAEHNIAALLHELRTGRRLELGEGQEAHRAQAARGPTTLEDLTAAAEEAAAAASGAGAEAGAGAGPGGEAAAGASSSSGKEEAAAAAAAGKEQGQGEGQGEDWTAGSGSGAPGAGTGQAVAEGREGPGGPQDSDPAAAASTAAPAAAAAAASSSSTSVPTYSSGGRAQVAKAMAAAAAAAGAGAGAGAEASSSGAASAASAAPTAGASSGAADGKAAEAAAAPLDEAAREQMAAEEAAVRAAEAALDAAARQRAGRFRLVLVTGDLAKTLTGRAKYAGAFSGLSLGHRHTHMLEPQYKLAAAAAPGARLVAENARHVLQLSQEQAALFAAKMDELAAAGGWRPLPAAQRPPGITEAAAVYVRAA", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MENLCPPPPSQMKDFSTPPRNRHRHKRSFAISGDFEFLKQPASAPVLPSAYDSPTFENTPRRVSGMSVTMPDESQNESALLNSPSPRFFISEASTYSSPIKGVPDAIINLDDVLINKPKMCRSHRKTKSVPVKLDEFYSSHKCSSVPELTINEEIDEDDTNPQLLEPVKPLSSTSLSTDMNEDKKMTLKNARSHNSLKIQAQKQRYYNSARYLPLNSEDRATDPQILTKQSSVTSLFSSRSITPVSCNINNAGRINAISGNYLDDVLYDLDTPATTLIQDIDNLQTSINERVRLSPQSSSIKKYFSKDGKSVSSFNFQSQECDMVSFTEDFAHVTSLSSSILDSEKQTDDEEEESIPEEILRGEPLHVYNETSGSDKSVILPTKQKSAPINKDSKHSSTQYEEKSFKKNRKFKIFAKLFCTRK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTTDNAKAQLTSSSGGNIIVVSNRLPVTITKNSSTGQYEYAMSSGGLVTALEGLKKTYTFKWFGWPGLEIPDDEKDQVRKDLLEKFNAVPIFLSDEIADLHYNGFSNSILWPLFHYHPGEINFDENAWLAYNEANQTFTNEIAKTMNHNDLIWVHDYHLMLVPEMLRVKIHEKQLQNVKVGWFLHTPFPSSEIYRILPVRQEILKGVLSCDLVGFHTYDYARHFLSSVQRVLNVNTLPNGVEYQGRFVNVGAFPIGIDVDKFTDGLKKESVQKRIQQLKETFKGCKIIVGVDRLDYIKGVPQKLHAMEVFLNEHPEWRGKVVLVQVAVPSRGDVEEYQYLRSVVNELVGRINGQFGTVEFVPIHFMHKSIPFEELISLYAVSDVCLVSSTRDGMNLVSYEYIACQEEKKGSLILSEFTGAAQSLNGAIIVNPWNTDDLSDAINEALTLPDVKKEVNWEKLYKYISKYTSAFWGENFVHELYSTSSSSTSSSATKN", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDVQSEEFRGAQAQIWSQSCSFITSASLKCAVKLGIPDTIDNHGKPITLSELTNALVPPVHPSKAPFIYRLMRVLAKNGFCSEEQLDGETEPLYSLTPSSRILLKKEPLNLRGIVLTMADPVQLKAWESLSDWYQNEDDSSTAFETAHGKNFWGYSSEHMEHAEFFNEAMASDSQLISKLLIGEYKFLFEGLASLVDIGGGTGTIAKAIAKNFPQLKCTVFDLPHVVANLESKENVEFVAGDMFEKIPSANAIFLKWILHDWNDEDCVKILKSCKKAIPAKGGKVIIIDMVMYSDKKDDHLVKTQTSMDMAMLVNFAAKERCEKEWAFLFKEAGFSDYKIYPKLDFTRSLIEVYP", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASKTLRVLFLGPKGTYSHQAALQQFQSTSDVEYLPAASIPQCFNQLENDTSIDYSVVPLENSTNGQVVFSYDLLRDRMIKKALSLPAPADTNRITPDIEVIAEQYVPITHCLISPIQLPNGIASLGNFEEVIIHSHPQVWGQVECYLRSMAEKFPQVTFIRLDCSSTSESVNQCIRSSTADCDNILHLAIASETAAQLHKAYIIEHSINDKLGNTTRFLVLKRRENAGDNEVEDTGLLRVNLLTFTTRQDDPGSLVDVLNILKIHSLNMCSINSRPFHLDEHDRNWRYLFFIEYYTEKNTPKNKEKFYEDISDKSKQWCLWGTFPRNERYYHK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAPKKKGTKKESKKDAVATGDIEGASVEELNQKIGTLEKEKNKEEEYRNYMQLERDKINAFWEITKKDLEDRRAELRNKDREMEEMEERHQVEIKVYKQKVKHLLYEHQNNITTLKSDGELALKLQQDEYRKREGDLGKDKRNLKLELKEQELAHQDIIRQLKLEHAKEITKLRQEFEQQAKDLQSKYEKKMKMLRDDMELRRKQEIHEIEERKNTHINELMKKHERAFAEIKNYYNDITHNNLDLIKTLKEDVAEMKRREAANEKLMYEIAQDNKKLSEPLSRALKEVELLRQQLANYDKDKLSLAQTKARLLNAERQIKNLEWENEVLSQRFSKVQTERDELYGKFEASIYDVQQKTGLKSALLEKKVEALGEALEMKEAQLAEVLTAANLDPGTLAAINQRLEEVLDNKNQIIKALQYDVAKVSKAHNDLIRVYEAKLTEFGIPVDELGFRPLVTNTSTGPAGLVVGA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAALKPSAPEIRKAAQEFINYLNKAVTPFHATQEVKDRLLQAGFTELPESGHWDIQPTSKYFVTKNRSAILAFAVGGSYKPGSGFSIVVGHTDSPCLRVKPISHQKSDKFLQVGVSTYGGGIWRTWFDRDLSVAGLVIVKNGEKLQHKLIDVKKPVLFIPNLAIHLETDRTTFKPNTETELRPILETFAAAGINAPQKPESTGFADPRNITNNHHPQFLGLIAKEAGCQPEDIVDLDLYLYDTNKAAIVGMEDEFISGARLDNQVGTYTAISGLLESLTGESFKNDPQIRIAACFDNEEVGSDSAMGASSSFTEFVLRRLSAGGSTTAFEEAIGKSMLISADQAHATHPNYSAKHEENHRPAFHGGVVVKVNVNQRYATTSTTHAALKQVAFEAQVPLQVVVVRNDSPCGSTVGPILATKLGLQTVDVGCPQLAMHSIREFADTSSIYQATTLYSTFYERLSTVLSNMQ", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSLVHNQTNLNESKFLIERAFSSSSETVPLSKEATYPMPTAYSFSAVRSNSETNIKRENPQGFAKEPIMTSMLHNLTMSTGKGNGNDVNSLAPHDVDVGPYCLLLRNLPKDITLRECYCIFSLATGVSSIELKRDDREPFNDNEKVVVVKFGSLSLVTHYANILNSKSEIFGPSFPFRSHIDVVNEQTQLPVSFQEHVSSGTTNSSPKNYQLSSSAQNEIQNQSFNTISYGKTSSSPLGPSAAKPRPSLLSERSLRFSFNDPFGLETISQRKESVPFLRNSISQHDLSNVTTTPVPAGMPPQKDAGKSLLLLEKDEINESIWNGDELVNDVGNSSFGASLQEPPMSSTPVMEWNASSTANIPLFQLSSQENHQSNLLPPSHHSISQDVPHIQSQPNLNNSGVIHSATSLPHYHLLNQINASTKTQSIQQSVSNVPSNLDLNLQTENGHPQSSAPNGSSIFNNQKVNQGFLVSEQDTSTISRQKECSSTASASAFSKNNETNVAGSTTISQADLSLLAKVPPPANPADQNPPCNTLYVGNLPPDATEQELRQLFSNQQGFRRLSFRNKMNSHGHGNGHGHGPICFVEFEDVSFATRALAELYGSQLPHPRPSLNNKGGIRLSFSKNPLGVRGSNSRSKSGYSFNGSYGKS", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEPDIIRMYSSSPPPLDNGAEDDDDDEFGEFGGFSEVSPSGVGFVDFDTPDYTRPKEEFVPSNHFMPIHEFSENVDSLTSFKSIKNGNDKDITAELSAPVKGQSDVLLSTTSKEIISSEMLATSIDGMERPGNLNKVVEQRQNVGTLESFSPGDFRTNMNVVHQNKQLESCNGEKPPCLEILTNGFAVLETVNPQGTDDLDNVADSKGRKPLSTHSTEYNLDSVPSPAEEFADFATFSKKERIQLEEIECAVLNDREALTIRENNKINRVNELNSVKEVALGRSLDNKGDTDGEDQVCVSEISIVTNRGFSVEKQGLPTLQQDEFLQSGVQSKAWSLVDSADNSEAIRREQCKTEEKLDLLTSKCAHLCMDSVKTSDDEVGSPKEESRKFTNFQSPNIDPTEENDLDDSLSVKNGDSSNDFVTCNDINEDDFGDFGDFGSASGSTPPFVTGTQDSMSDATFEESSEHFPHFSEPGDDFGEFGDINAVSCQEETILTKSDLKQTSDNLSEECQLARKSSGTGTEPVAKLKNGQEGEIGHFDSVPNIQDDCNGFQDSDDFADFSSAGPSQVVDWNAFEDEQKDSCSWAAFGDQQATESHHRKEAWQSHRTDENIDTPGTPKTHSVPSATSKGAVASGHLQESATSVQTALLNRLERIFEACFPSILVPDAEEEVTSLKHLLETSTLPIKTREALPESGELLDVWTELQDIHDAHGLRYQWGGSHSNKKLLSSLGIDTRNILFTGNKKQPVIVPMYAAGLGMLEPTKEPLKPLSAAEKIASIGQTATMSPDMNTCTSDQFQESLPPVQFDWSSSGLTNPLDASGGSTLLNLDFFGPVDDSSSSSSTTIPGVDPELYELTTSKLEISTSSLKVTDAFARLMSTVEKTSTSTSRKPKREEHLSEEAIKVIAGLPDLTFMHAKVLMFPATLTPSTSSQEKADG", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSDKSELKAELERKKQRLAQIREEKKRKEEERKKKETDQKKEAAVSVQEESDLEKKRREAEALLQSMGLTTDSPIVFSEHWVPPPMSPSSKSVSTPSEAGSQDSGDGAVGSRTLHWDTDPSALQLHSDSDLGRGPIKLGMAKITQVDFPPREIVTYTKETQTPVTAQPKEDEEEEDDVAAPKPPVEPEEEKILKKDEENDSKAPPHELTEEEKQQILHSEEFLSFFDHSTRIVERALSEQINIFFDYSGRDLEDKEGEIQAGAKLSLNRQFFDERWSKHRVVSCLDWSSQYPELLVASYNNNEEAPHEPDGVALVWNMKYKKTTPEYVFHCQSAVMSATFAKFHPNLVVGGTYSGQIVLWDNRSNKRTPVQRTPLSAAAHTHPVYCVNVVGTQNAHNLISISTDGKICSWSLDMLSHPQDSMELVHKQSKAVAVTSMSFPVGDVNNFVVGSEEGSVYTACRHGSKAGISEMFEGHQGPITGIHCHAAVGAVDFSHLFVTSSFDWTVKLWSTKNNKPLYSFEDNSDYVYDVIGSPTHPALFACVDGMGRLDLWNLNNDTEVPTASISVEGNPALNRVRWTHSGREIAVGDSEGQIVIYDVGEQIAVPRNDEWARFGRTLAEINASRADAEEEAATRIPA", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTETEQTTAPIYPLKRNWTWWYLNDERNKSWEDRLKKVYTFNTVSEFWALYDAIRPPSGLNALCDYNVFRDDIQPMWEVPENSNGGRWLIVIDKGKTPEMVDAIWLEILMALVGEQFGKDMESICGLVCNVRGKGSKISVWTKDCNDDETNMRIGVVLKEKLMAASKDHSKPLFDVIRYEDHESCQKKTSSVVKAKLSLHSSDAPVAEKSAV", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAAAAASHLNLDALREVLECPICMESFTEEQLRPKLLHCGHTICRQCLEKLLASSINGVRCPFCSKITRITSLTQLTDNLTVLKIIDTAGLSEAVGLLMCRGCGRRLPRQFCRSCGVVLCEPCREADHQPPGHCTLPVKEAAEERRRDFGEKLTRLRELTGELQRRKAALEGVSRDLQARYKAVLQEYGHEERRIQEELARSRKFFTGSLAEVEKSNSQVVEEQSYLLNIAEVQAVSRCDYFLAKIKQADVALLEETADEEEPELTASLPRELTLQDVELLKVGHVGPLQIGQAVKKPRTVNMEDSWAGEEGAASSASASVTFREMDMSPEEVAPSPRASPAKQRSSEAASGIQQCLFLKKMGAKGSTPGMFNLPVSLYVTSQSEVLVADRGNYRIQVFNRKGFLKEIRRSPSGIDSFVLSFLGADLPNLTPLSVAMNCHGLIGVTDSYDNSLKVYTMDGHCVACHRSQLSKPWGITALPSGQFVVTDVEGGKLWCFTVDRGAGVVKYSCLCSAVRPKFVTCDAEGTVYFTQGLGLNVENRQNEHHLEGGFSIGSVGPDGQLGRQISHFFSENEDFRCIAGMCVDARGDLIVADSSRKEILHFPKGGGYSVLIREGLTCPVGIALTPKGQLLVLDCWDHCVKIYSYHLRRYSTP", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKHLEGDIVWVPHTVNGYCRGKIIGYNEKNQVTVRLLELNEEIKINEQLIQNYNQSDDKDFSDMVEIQDLSEAIILNNLGLRYKSDQIYTYIGNVLISINPYKEIKDIYSLNILNKYKDINTIKSNPPHIYAVALRAYQSMVSEKKNQSIIISGESGSGKTEASKTILQYLINTSNSNSNNNTNINNNNNSIEKDILNSNPILEAFGNSRTTKNHNSSRFGKFLKIEFRSSDMKIDGASIETYLLEKSRISHRPDVNNLSYHIFYYLVMGASKEERERLGLDNDPSKYRYLDASTSVIESFKKQSNGGSGGGSGNNDLSESLQLVKQSLESMSIAKEQCDDIFLTLAAILHLGNIEFEVDQTENEQTSGFSKISEQKASVKKSLSMVSKLLGYPEQVFKQTLLNRNLKGGGRGSVYCRPMEVYQSEQTRDALSKALYVRLFASIVEKINVKFIQNTGSKDLQGGYSSKRNNLFIGVLDIFGFENLSSNSLDQLLINFTNEKLQQQFNLNVFENEQKDYLQEGIPWSTSNFIDNKECIELFEKKSYGLLSLLDDECMMPKGSEVTLLEKYNKQYHNTNQYYQRTLAKGTLGIKHFAGDVTYQTDGWLEKNRDSIPTEVEQLLSASSNNLIKSLFNLKELNKSNDNNSNNNNSNNNSSSSSSSQSTASITAKASPPRERFNSGSGSGTTSPLNLSGSSSPLSGSGSYSIIGGNSNSNSNNNNSSNNKKSQSVSVAGQFIEQLNKLISTINSTSVHYIRCIKPNVTMDCNNFNNSHVLSQLRNVGVLNTVKVRKMGYSYRRDFIQFYSRYNCILNSLNIKINLTNINHSNLCKEILENVNSQYKNNNNNKNNNNQIVKITTNSKPTFQIGKTKIFISDELYIYLEKKRYDSLVDSVLKIQAFFKMIKIRNQYKRNKESSLFLQTLIRAQRAKKDFEQLVILENKRKEEERKKELERQRKEEEERQKELERQRREEEKELERKRKEEERELERQRKEEEKEQERKRKEEEKEQERKKKEEKEIEKKRKEEEKKKKKNEQNLSLPSLDITNSPSLINTTTTTTTTTTTTTNTSSPPLSPPISPRPSTPSSTSSSSSTTSSPSTKKQLLFKFNSISNLLSKSLHGSSHSDKNSKEDNNSNNNNNGDSTIILSSDSSFGQPTPKATSTPTPPPPPPLKTQPVPISSGVENNSSPNLWSHRNSPNFNGLVREKSRARIGRLTIRSASPLDLTYLPDPSKNEGSPQFTSQSLDFTPNIPPIITNSIVEQQSSLSGINKPIPQRTISSSENSPLSRANSSISSSLLILTPTLTSLSTSTTPSTPTTPKTPTTLSSSSVSTSTSLSSVSSSVSSSSSSSIPTPIIESTPSNSNEDLITTLSSPISTGHTGESIEEKNKRFRIKIINELIETERDYVRDLNIVVEVFLNPIREKQLLSAKDINSLFSNIEILFSINMNVLKALEKDKDPLCENISVGQTFLDMSHYLKMYTTYCSNQQNALKILEEEKIKNQPFREYLEFCMNDSVCRGLPLNSFIIKPVQRICKYPLLIKETIKFTPNDHPDKPALEEVDKKISDIVQSINEAKRTLELFQKIVDLQNSIDGLEDTNLMEQGRTLLMEGTVSAVKELNSEDSLSRTLFLFNNLILICSFGTNVLSTAINQFKTKKLKLKAKIPISDSRLIFVSDTDSVKYALEIVNIKEDSNYILCFNNDQDRSKWFKQIKALIQEQKLSNAKKAATIGNSRLIQTTS", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKPAHTFSLIFSLLFVINPCYSATLPLAIRRELTWGQVNILHTTDIHGWLAGHARDKRYSGDLGDFASFVQHMKHLAKQKDVDLLLVDTGDLHDGTGLSDLTDPPGSWTDSLLANMDYDILVAGNHELYLSTVANDTYHNFIPLWEGRYLAANIDIYDEHVSSFVPFGDRYAIFSTPHNVKILAFGFVNSFSGATDTVRVLPVSQFSLQPWWNDMVSNKDIDLILIPAHVPVHNASELDIVLSELRIHFPTTPIQVLGGHSHIRDYAIYDEKAVGIESGRYCETVGWVSINGIPSFKSLVKLSGISSLMGVESYLAERLRFIGESIRRSSQQIFSSLQSAKSASVSFSRSYIDWNPEGFMFHSKTKKSSFNTSLGEFISNGIYEARKALGLLTPIGCSPKKFAFSEVPFNDSNSIYHLFQSELFPKIVVNESRHHIPHYIIVNSGGIRGGLNSGAFGLDEVFQVCPFKSNIFYVLKDVPWSITKYLPQALQHSGYLIAEDTLQINTPQVSDAKFEDFYEHSIRKTYGYTTHDDLGDDGDDTAHLTTPHYEPLRFICSQVGFDDSFSGDDKQVVDVVAPSFVIPRLDDILNKIADKPLYSPDDWELYFTRPDGKHSMTDLLPLYADLYWDHDCLYK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEGESVKLSSQTLIQAGDDEKNQRTITVNPAHMGKAFKVMNELRSKQLLCDVMIVAEDVEIEAHRVVLAACSPYFCAMFTGDMSESKAKKIEIKDVDGQTLSKLIDYIYTAEIEVTEENVQVLLPAASLLQLMDVRQNCCDFLQSQLHPTNCLGIRAFADVHTCTDLLQQANAYAEQHFPEVMLGEEFLSLSLDQVCSLISSDKLTVSSEEKVFEAVISWINYEKETRLEHMAKLMEHVRLPLLPRDYLVQTVEEEALIKNNNTCKDFLIEAMKYHLLPLDQRLLIKNPRTKPRTPVSLPKVMIVVGGQAPKAIRSVECYDFEEDRWDQIAELPSRRCRAGVVFMAGHVYAVGGFNGSLRVRTVDVYDGVKDQWTSIASMQERRSTLGAAVLNDLLYAVGGFDGSTGLASVEAYSYKTNEWFFVAPMNTRRSSVGVGVVEGKLYAVGGYDGASRQCLSTVEQYNPATNEWIYVADMSTRRSGAGVGVLSGQLYATGGHDGPLVRKSVEVYDPGTNTWKQVADMNMCRRNAGVCAVNGLLYVVGGDDGSCNLASVEYYNPVTDKWTLLPTNMSTGRSYAGVAVIHKSL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSTATGPEAAPKPSAKSIYEQRKRYSTVVMADVSQYPVNHLVTFCLGEDDGVHTVEDASRKLAVMDSQGRVWAQEMLLRVSPDHVTLLDPASKEELESYPLGAIVRCDAVMPPGRSRSLLLLVCQEPERAQPDVHFFQGLRLGAELIREDIQGALHNYRSGRGERRAAALRATQEELQRDRSPAAETPPLQRRPSVRAVISTVERGAGRGRPQAKPIPEAEEAQRPEPVGTSSNADSASPDLGPRGPDLAVLQAEREVDILNHVFDDVESFVSRLQKSAEAARVLEHRERGRRSRRRAAGEGLLTLRAKPPSEAEYTDVLQKIKYAFSLLARLRGNIADPSSPELLHFLFGPLQMIVNTSGGPEFASSVRRPHLTSDAVALLRDNVTPRENELWTSLGDSWTRPGLELSPEEGPPYRPEFFSGWEPPVTDPQSRAWEDPVEKQLQHERRRRQQSAPQVAVNGHRDLEPESEPQLESETAGKWVLCNYDFQARNSSELSVKQRDVLEVLDDSRKWWKVRDPAGQEGYVPYNILTPYPGPRLHHSQSPARSLNSTPPPPPAPAPAPPPALARPRWDRPRWDSCDSLNGLDPSEKEKFSQMLIVNEELQARLAQGRSGPSRAVPGPRAPEPQLSPGSDASEVRAWLQAKGFSSGTVDALGVLTGAQLFSLQKEELRAVSPEEGARVYSQVTVQRSLLEDKEKVSELEAVMEKQKKKVEGEVEMEVI", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSRPSSRAIYLHRKEYSQNLTSEPTLLQHRVEHLMTCKQGSQRVQGPEDALQKLFEMDAQGRVWSQDLILQVRDGWLQLLDIETKEELDSYRLDSIQAMNVALNTCSYNSILSITVQEPGLPGTSTLLFQCQEVGAERLKTSLQKALEEELEQRPRLGGLQPGQDRWRGPAMERPLPMEQARYLEPGIPPEQPHQRTLEHSLPPSPRPLPRHTSAREPSAFTLPPPRRSSSPEDPERDEEVLNHVLRDIELFMGKLEKAQAKTSRKKKFGKKNKDQGGLTQAQYIDCFQKIKHSFNLLGRLATWLKETSAPELVHILFKSLNFILARCPEAGLAAQVISPLLTPKAINLLQSCLSPPESNLWMGLGPAWTTSRADWTGDEPLPYQPTFSDDWQLPEPSSQAPLGYQDPVSLRRGSHRLGSTSHFPQEKTHNHDPQPGDPNSRPSSPKPAQPALKMQVLYEFEARNPRELTVVQGEKLEVLDHSKRWWLVKNEAGRSGYIPSNILEPLQPGTPGTQGQSPSRVPMLRLSSRPEEVTDWLQAENFSTATVRTLGSLTGSQLLRIRPGELQMLCPQEAPRILSRLEAVRRMLGISP", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTSTVLVDIRDEVTCPICLELLTEPLSIDCGHSFCQVCIIGNSNNSVFGQGGRSSCPVCRTSYQPGNLRPNRHLAAIVKRLREVALCPGKQLEVIFCALHGEKLQLFCKEDGKLICWLCERSQEHRGHHTFLMEEVAQEYQDMFQESLKKLRREQQEAEKLKALIQEKRESWKSQVEPEKRRIQTEFKQLRSILDREEQRELKKLEVEERKGLSIIEKAEGDLIHQSQSLKDLISDLEHRCQGSTVELLQDVGDVTKRSEFWTLRKPQALPTKLKSLFRAPDLRKMLKVFRELTDVQSYWVDVTLNPQTANLNLVLSKNRRQVRFVGAQLSEPSSLEEHYDCSVLGSQHFSSGKYYWEVDVSKKTAWILGVCSTPVDPMFSFSQYSSKQGAYSRYQPQCGYWVIGLQCKHEYRAYEDSSPSLLLSMTVPPRRIGIFLDCEAGTVSFYNVTNHGLPIYTFSKYYFPSALCPYFNPCSCIVPMTLRRPTS", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MARGPKKHLKRVAAPKHWMLDKLTGVFAPRPSTGPHKLRECLPLIIFLRNRLKYALTGDEVKKICMQRFIKIDGKVRTDITYPAGFMDVISIDKTGENFRLIYDTKGRFAVHRITPEEAKYKLCKVRKIFVGTKGIPHLVTHDARTIRYPDPLIKVNDTIQIDLETGKITDFIKFDTGNLCMVTGGANLGRIGVITNRERHPGSFDVVHVKDANGNSFATRLSNIFVIGKGNKPWISLPRGKGIRLTIAEERDKRLAAKQSSG", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MATTLEEFSAKLDRLDAEFAKKMEEQNKKFFADKPDESTLSPEMKEHYEKFEKMIQEHTDKFNKKMHEHSEHFKAKFAELLEQQKNAQFPGK", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MADFEDRVSDEEKVRIAAKFITHAPPGEFNEVFNDVRLLLNNDNLLREGAAHAFAQYNMDQFTPVKIEGYDDQVLITEHGDLGNSRFLDPRNKISFKFDHLRKEASDPQPEDVDGGLKSWRDSCDSALRAYVKDHYSNGFCTVYAKTIDGQQTIIACIESHQFQPKNFWNGRWRSEWKFTITPPTAQVVGVLKIQVHYYEDGNVQLVSHKDVQDSVTVSNEVQTAKEFIKIIESAENEYQTAISENYQTMSDTTFKALRRQLPVTRTKIDWNKILSYKIGKEMQNA", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTRSSIELGDVTPHNIKQLKKLNTVVFPVSYNDKFYVDVLEAGELAKLAYYNDIVVGAVCCRIDNTENQRRLYIMTLGCLSPYRRLGIGTVMFEHIMNFAEKDGNFDSIFLHVQINNNGAIEFYKKFGFEIVDTKEQYYKRIEPADAHVLQKTLRRTAPNSNSTATSTTANSNSRSKARQFTFV", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MALGPFVLPFRGDQYSFGINFKSSPEEKLNFDLSCVAFDVKGQLHDTLHARKPTALDGALVKGFEKQALPEETVQVEGDDVIYMFPKKFERQVEVLLFVASAPSIPGKKHDLDSSSKLEFAVSYSDVGGQAFNQSFDLKPLAAQGGVSSIIVAVMYLQAEGGWTLRSVGDCHPFDSPGLIVPELKQTILNLRDHHGVQLDAADAIQAIDPAERVPVTRQFQDQSLDEASAGRAAEPAPVKKLRIDLSWTFWPPPPPTEEGEEPPEEPALEYNLVMYNKDGEEVQSISTGNREATGARAGRPEPEEDEEEEKEEEKEEPEEGEEGEEGEGGEPKEPPPPPPAPKVDPYEFKERDVIYLDVPDLPAEVRSMVLLVTNYDEENGFTRVRTVRCRLVDVSNGEAPLPGSKAAVAAAAAAAEQGLAAPPNPERVLADYGVLSKYEDDKATTQVALMKLYKEYADSAFNVFRGAGVDNVAAFIGQEPDTIINQLKAYLEATKKQKAAEAAAAAAAEESGEEITADPKPHVWRFRALGLNFGGDSLEAIEHDLKNLFAFDGDLAPGAARDSDTSRSSFPNGDTYFGSYADDVKHGPGLYAFATGAGYAGEYAGGKRHGRGVMVFPDGGTYVGEFVADKFEGQGQYRYPDGSVYTGSWAAGQKHGPGVYWDTARGCLRGEWKKGLLVGKGTYEQPALRFEGEFVRGMPAGTATYTLTGHRTLDMPCFAAQHIQAEEGPTLALPCAYGIPPGSGDEPQLDEEGQPIEDTDKPPLPAHPKYEGLTFTAEQLPGAAPDTVFPPEEGKPVPITAVPAFSVSTGLVA", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MENIKVAKVGNVKFVNKGNELNGTLHLTAYHSIFSISENGKEIWTAYSMINNVKLCSNEKSFCIRIQCRDFMFFCWRFQSTEDAMDVYDTLQELMSINSINMLYAFYYMPSGDEEKLPSSWKSFLLENEYRRMGVGDSTQADGAGGNWRITKINENYSECHSYPQALAVPASISDSVIYYGCKYRSKNRFPTLTYLHKNSFSITRASQPLVGIRQNRSAQDEKLVEAIFATSIIPGKENLIVDARPSTNAMANIAVGAGSENMDHYRFAKKIYLGIDNIHVMRDSLNKIVNALKNTDISAAPPLIELLNRSSWLKHLANILQGAVLIVKTVHFRHAHVLVHCSDGWDRTSQLCALPQLCLDPYYRTIEGFFALVEKDWLSFGHRFAERCCHLPGKRIFTIDSSYSEEPPQSSPSSTLQYTFSTVRSALSGFSIDHSEKMMSPVFHQFLDCVWQIMDQFPNCFEFNERFLRRLLYHLYSCQYGSFLYNSERERAQASVSTHTRCIWDYFLSRKDEFKNPNYVPYDDVIMPDPSSLRWWSASFAQPDENMNIPSPSESPSL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSEPGEEPVAAPAGPAPDPVLNELYGSERPAVELLPGVPLSPIVNSCWLPADAKAMLAESWIPVPPEDAGEEAGPPPPAFEAAAPEYNELVRRLAKTAPFRKWNELTIQAKQLEQEVAGLKGPDAEAKQAELENVKVQIADAEAAVAEVKQSFSDDPLSLTGWMQALTDLADGGMTTFEVSGQGWPYCSLRQLFGEMPSAAPPAGFFDGVERVLGTFKRRYEKERGPGSVQLMLKLAPNVFSDAWSTGGAPAAVAAVEAYVERARANVFGPDGGVTPEGVPEPLDLVQLVWWDFAAADPLPVLKALQRMATDQLQVDEDSGEVSVSEPKKIRGIGLVDFPADRLKAAIQAGVPITCVQVEHSVLVRSAQPVLDLCAKYGIKVLARGGTLGGLLSAKYLGAPPPDPVRGDADLDSVPGCLDAVNNVGGWARLQAALAVIKGIADKHGVKPETVALRWQIDAGCFPLVTTRWSSRVWRQFGYEGWSSFEVSGGRPGVDGPLFQVESFLDVEDVRALAGLAAVHLGPKAG", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MREENKGMPSGGGSDEGLASAAARGLVEKVRQLLEAGADPNGVNRFGRRAIQVMMMGSARVAELLLLHGAEPNCADPATLTRPVHDAAREGFLDTLVVLHRAGARLDVRDAWGRLPVDLAEERGHRDVAGYLRTATGD", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEPGTIPSPVSAPVAAPVTPSAVAAPVQVVSPAAVAPAPAAPIAVTPVAPPPTLASVQPATVTIPAPAPIAAASVAPVASVAPPVVAAPTPPAASPVSTPPVAVAQIPVAVSAPVAPPVAATPTPVAPIPVAAPVIATPPVAASAPTPAAVTPVVSPVIATPPVVPANTTVPVAAPVAAVPAAVPVVAPVLAPAVAPAVAPVVAETPAPPPVAEIPVATIPECVAPLIPEVSVVATKPLAAAEPVVVAPPATETPVVAPAAASPHVSVAPAVETAVVAPVSASTEPPVAAATLTTAPETPALAPVVAESQVAANTVVATPPTPAPEPETIAPPVVAETPEVASVAVAETTPPVVPPVAAESIPAPVVATTPVPATLAVTDPDVTASAVPELPPVIAPSPVPSAVAETPVDLAPPVLPPVAAEPVPAVVAEETPETPAPASAPVTIAALDIPEVAPVIAAPSDAPAEAPSAAAPIVSTPPTTASVPETTAPPAAVPTEPIDVSVLSEAAIETPVAPPVEVTTEVAVADVAPPEAAADLIIEPVEPPAPIPDLLEQTTSVPAVEAAESTSSPIPETSLPPPNEAVASPEVAVAPITAPEPIPEPEPSLATPTEPIPVEAPVVIQEAVDAVEVPVTETSTSIPETTVEYPVAEKVLDPAITEAPVTTQEPDVANINDGAPATEITTPAVEIVTAAAEVSDTAIPLIDPPVPQEIAVAEIPETETKPAEVIVEQSTIPIEAPVPEVSKYAEPVISEAPAAEVPITAGDNPDNTSVGISEVVPTIAEKAVEEVPTSEIPEQSSSPSDSVPVAKITPLLRDLQTTDVSLLAIAATLDAIGEKLKDQKARNQQVMDRLCEIEKILGPPKSN", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVEELKNDAQTNEEESEYSDFSDGSDNDYFREDDIDWNQASSNYSARQENFGNNSSKINSVNDHVSTLSRYVNNIKLNDRFEAEDKSSIKDKSDRATSEQVLDPRTRMILLKLINNGTISEINGCISTGKEANVYHATNEDGKHFAIKIYKTSILVFKDRDRYVSGEFRFRHGYNKRNPRKMVRLWAEKEIRNLKRVAAAGIPCPEPILLKQHVLLMSFLGDKKGWAYPKLKDIDMTPGEATKLYQLVARNMRILFHVCHLVHADLSEYNLLYHKGKVYFIDVSQSVEHDHPQSIDFLRMDILNISTFFRRLNAGCLSLPQLFKFITEEGSCEKEAMKTRLNAIYEEEPTTEEYEEEFLKTYVPRTLDEVYDIDRDTEIVNAGGVNSLVYKHLLNTDFQKLDLNDTTKNQNDQILPNETSESDDDANSISSMENEEERTSDSKSSAKQGKGNGRAKETPEEKRARKKKTKEDKAEKRKSKIPKYEKKRKLKQSGRKK", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSDYGFANIEEAKADAIFKLNAQYHQDEDPKKVNMSVGAYRDDTGKPWILPAVKKASKIVEEQASFNHEYLPIAGLPRFTKAAAEVLFRPNPHLLSEDRVASMQSVSGTGANFLAASFIETFYVKHTGAHVYISNPTWPVHRTLWEKLGVTVDTYPYWDAKNRSFDYEGMLSTIKSAPEGSIFLLHACAHNPTGIDPTREQWLSIFESLLSRKHLVVFDIAYQGFASGDLNRDSWALNEFVKYNKDFFVCQSFAKNMGLYGERTGCMHYVAKDASTKNKVLSQLCIVQRNTISNPPAYGARIAAEILNSPQLFAEWEQDLKTMSSRIIEMRKRLRDSLVALKTPGSWDHITQQIGMFSFTGLTPAQVQFCQERYHLYFSANGRISMAGLNNSNVEHVAQAFNHAVRELP", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNYNANVVKFHSTILHKFALKISKFLILCMRRNKRQLACMKCQCENPMAAITYADIEYPHNTHFLLLDSISWIPCTCKILKLKEFELPDRFDIPNLFYDGWLSYVFHTFSGVYLKIGYEMDESGFCLPDQVYHLIDNHSCIQTAVSHLLRNHQALFKYLCDYLRSGEFPLTVLIHHVMLYQYYPKSLQEALWAAVEHYVNNSGEAYSTVQKLAVQKKIGNIRMYLVNPRDIFALGSTCNCIVVSSSNFQSYVQLRKPLLENNLPYEIDGFDKILQCANSEADIGWIAMIHCIGSNGYAFPIHLYLNMKKNIFLGKLPESTLLLYNSDGAIFKNPPSSKKECDFYNQLLLDLCKCRQFNAEMECNMKKLFNNPTGLHSLPNLPNFSEAGSAKSSNFCSSKDNCLTNRLTLNCLDTPSDENGEDIAIQLIIPAE", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPSFADLTTENGLVELNKFVSDKTYIVGFVPSSADVQAFNLVKTAPCATKYPHAARWFNTIASYSAAEQGQFEKVTETVTIAAPAAPKADDDVDLFGSDDEDDEEYERQLEERRKKAMEHKKPKETVIAKSSILLDVKPWDDETDMVELEKCVRSIEMDGLVWGASKLVAVGYGIKKLVINLVVEDLKVSTDELEEKIKDFEDYVQSVDVAAFNKI", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MATSSAASVDMEDIQTVDLMSELLRRMKCASKPDKRLVFIGPPGSGKGTQSPVIKDEFCLCHLSTGDMLRAAVAAKTPLGVKAKEAMDKGELVSDDLVVGIMDEAMNRPKCQKGFILDGFPRTVTQAEKLDEMLNRRGAQIDKVLNFAIDDSVLEERITGRWIHPSSGRSYHTKFAPPKVPGVDDLTGEPLIQRKDDNADVLRSRLDAFHKQTQPVIDYYAKKENLVNIPAEKAPEEVTKVVKKVVST", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPQNVVLPGPAPWGFRLSGGIDFNQPLVITRITPGSKAEAANLCPGDVILAIDGFGTESMTHADAQDRIKAASYQLCLKIDRAETRLCPAVSEDGKAHPFKINLEAEPQDVNYFEHKHNIRPKPFIIPGRTSGCSTPSGIDCGSGRSTPSSVSTVSTICPGDLKVAAKMAPNIPLEMELPGVKIVHAQFNTPMQLYSDDNIMETLQGQVSTALGETPSMSEPTASVPPQSDVYRMLHDNRDEPAAPRQSGSFRVLQELVNDGSDDRPAGTRSVRPVTKVHGGAGGAQRMPLCDKCGSGIVGAVVKARDKYRHPECFVCADCNLNLKQKGYFFVEGELYCEMHARARTRPPEGYDTVTLYPKA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEAADASRSNGSSPEARDARSPSGPSGSLENGTKADGKDAKTTNGHGGEAAEGKSLGSALKPGEGRSALFAGNEWRRPIIQFVESGDDKNSNYFSMDSMEGKRSPYAGLQLGAAKKPPVTFAEKGELRKSIFSESRKPTVSIMEPGETRRNSYPRADTGLFSRSKSGSEEVLCDSCIGNKQKAVKSCLVCQASFCELHLKPHLEGAAFRDHQLLEPIRDFEARKCPVHGKTMELFCQTDQTCICYLCMFQEHKNHSTVTVEEAKAEKETELSLQKEQLQLKIIEIEDEAEKWQKEKDRIKSFTTNEKAILEQNFRDLVRDLEKQKEEVRAALEQREQDAVDQVKVIMDALDERAKVLHEDKQTREQLHSISDSVLFLQEFGALMSNYSLPPPLPTYHVLLEGEGLGQSLGNFKDDLLNVCMRHVEKMCKADLSRNFIERNHMENGGDHRYVNNYTNSFGGEWSAPDTMKRYSMYLTPKGGVRTSYQPSSPGRFTKETTQKNFNNLYGTKGNYTSRVWEYSSSIQNSDNDLPVVQGSSSFSLKGYPSLMRSQSPKAQPQTWKSGKQTMLSHYRPFYVNKGNGIGSNEAP", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQTLPSSETVLLGSNSAPPVLRSPGGDDVDIDFGDVFGGPPKRRSKVTSNEVTRHSFSESALRRRDVIVDVGDLLPQDEKPVFGEDTSSVRRRFTTDDFFDDIFRVNESSSLPGSRILSPAHKPESSSGTSSPSQFSLPAKATEIPTFNLAATRSLNKNKETVSSSPLSRTSSKADVVSTAKSYSDDCDDPPQVFVTGKGRQFHFSIYKWPNKGVPVVIWGSSRLSSMSKAEETTPVPLSDYRKTSVVEKLGKNEEGDGKSGLSGLKDVKKTSLKRPGVQTKEEKTETDLKSEQAFFGVSKAREANVKPLDSVESEQAFSGVSKAHEATTVKPLHSIFHEEDERQDEKIVSEREVRKGKSKAKNTRSFTEDSRTKKKSQGTKSSLDSSPIPDKSSFASSSAAPEVGKDGVKGKVSDFVKIFSKGASVGAGGESLGQSSRWRAKETPKTDIIHDGSNAKETVNIPDQQKKSTPDIPAMNRDQKPSQSTQKKDSDRESMNYKAPGDTVQEERQEPSTTHTTSEDIDEPFHVNFDVEDITQDENKMEEANKDAEEIKNIDAKIRKWSSGKSGNIRSLLSTLQYILWSGSGWKPVPLMDMIEGNAVRKSYQRALLILHPDKLQQKGASANQKYMAEKVFELLQEAWDHFNTLGPV", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MATASPSVFLLMVNGQVESAQFPEYDDLYCKYCFVYGQDWAPTAGLEEGISQITSKSQDVRQALVWNFPIDVTFKSTNPYGWPQIVLSVYGPDVFGNDVVRGYGAVHVPFSPGRHKRTIPMFVPESTSKLQKFTSWFMGRRPEYTDPKVVAQGEGREVTRVRSQGFVTLLFNVVTKDMRKLGYDTGPSDTQGVLGPSPPQSFPQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASQPNSSAKKKEEKGKNIQVVVRCRPFNLAERKASAHSIVECDPVRKEVSVRTGGLADKSSRKTYTFDMVFGASTKQIDVYRSVVCPILDEVIMGYNCTIFAYGQTGTGKTFTMEGERSPNEEYTWEEDPLAGIIPRTLHQIFEKLTDNGTEFSVKVSLLEIYNEELFDLLNPSSDVSERLQMFDDPRNKRGVIIKGLEEITVHNKDEVYQILEKGAAKRTTAATLMNAYSSRSHSVFSVTIHMKETTIDGEELVKIGKLNLVDLAGSENIGRSGAVDKRAREAGNINQSLLTLGRVITALVERTPHVPYRESKLTRILQDSLGGRTRTSIIATISPASLNLEETLSTLEYAHRAKNILNKPEVNQKLTKKALIKEYTEEIERLKRDLAAAREKNGVYISEENFRVMSGKLTVQEEQIVELIEKIGAVEEELNRVTELFMDNKNELDQCKSDLQNKTQELETTQKHLQETKLQLVKEEYITSALESTEEKLHDAASKLLNTVEETTKDVSGLHSKLDRKKAVDQHNAEAQDIFGKNLNSLFNNMEELIKDGSSKQKAMLEVHKTLFGNLLSSSVSALDTITTVALGSLTSIPENVSTHVSQIFNMILKEQSLAAESKTVLQELINVLKTDLLSSLEMILSPTVVSILKINSQLKHIFKTSLTVADKIEDQKKELDGFLSILCNNLHELQENTICSLVESQKQCGNLTEDLKTIKQTHSQELCKLMNLWTERFCALEEKCENIQKPLSSVQENIQQKSKDIVNKMTFHSQKFCADSDGFSQELRNFNQEGTKLVEESVKHSDKLNGNLEKISQETEQRCESLNTRTVYFSEQWVSSLNEREQELHNLLEVVSQCCEASSSDITEKSDGRKAAHEKQHNIFLDQMTIDEDKLIAQNLELNETIKIGLTKLNCFLEQDLKLDIPTGTTPQRKSYLYPSTLVRTEPREHLLDQLKRKQPELLMMLNCSENNKEETIPDVDVEEAVLGQYTEEPLSQEPSVDAGVDCSSIGGVPFFQHKKSHGKDKENRGINTLERSKVEETTEHLVTKSRLPLRAQINL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPVARSWVCRKTYVTPRRPFEKSRLDQELKLIGEYGLRNKREVWRVKFTLAKIRKAARELLTLDEKDPRRLFEGNALLRRLVRIGVLDEGKMKLDYILGLKIEDFLERRLQTQVFKLGLAKSIHHARVLIRQRHIRVRKQVVNIPSFIVRLDSQKHIDFSLRSPYGGGRPGRVKRKNAKKGQGGAGAGDDEEED", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MENMDDFSPENVLAPPPPPPPMKKSTDLFMQRSNSFVSKATRDSWDRMFDEAHGADVLIHTDDNGLIYAHSNVIGMASDVIRGMMKQHKRKSHRKSISILGVPHHALRVFIRFLYSSCYEKQDMEDFAIHLLVLSHVYVVPHLKRVCESEFESSLLNKENVIDVFQLALLCDAPRLGLLCHRMILNNFEEVSTSEGWQAMKESHPRLQKELLRSVAYELNSLKQRNRKQKEIQTYTQLYEAMEAFVHICRDGCREIGPTKTETPHMSCGFQACNGLEQLLKHLAGCKLRSIPGGCSRCKRMWQLLELHSRICVDSEQCKVPLCSSLKERMKTQSRKDEKRWKLLVRNVLSTKRIGGSPFFLQAIDVTL", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLDRCEMPIQLDNEKLRRDVRLSGSRLDLPQLCNGSRRLDGHNNHVAANENTVTTTSLNGNGNGNGNSNSNNNNNIGSPVSSSTTNSSNGGNERGSSTKSNSSSGSGSSGNSASSTGSGELKCNTPMTPSELVKKFRNYLTDLEFEELKVYKEVWYFGQHASKNYNKPAPTANTTNLGYDDDNGNYKIIEHDHIAFRYEILEVIGKGSFGQVIRALDHKTNTHVAIKIIRNKKRFLNQAVVELNILDELREKDADGSHNVIHMLDYTYFRKHLCITFELMSLNLYELIKKNNYNGFSMSLIRRFCNSIVKCLRLLYKENIIHCDLKPENILLKQRGSSSIKVIDFGSSCYVDRKIYTYIQSRFYRSPEVILGLQYGTAIDMWSLGCILAELYTGFPLFPGENEVEQLACIMEVLGLPPKVLISVARRRRLFFDSRDAPRCITNTKGRKRSPGSKSLAHILHCQDRYFIDFLQRCLEWDPAERMTPDEAAHHEFLQPSASSRHRSCRMSSSSSSSGLNSVSQKSSCYSFSEISPGTNGPVVASITSTTAVHNAAIATTTKSRQQPPSQSHGHAQSNGHLPDIKLSASDKYNSMQKVAVRSKITSSVSDLESVQQYSLHRIYGGVGSGSTHHVSSAATRKHLPGTGSGIVGAMSSKYGSSTLAHNHHNVTHHNASTATIATTTHHHHHHGGQQQQQSSSGASTMAMSHSQSTGDVSDRAIFGRA", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSAEVPEAASAEEQKEMEDKVTSPEKAEEAKLKARYPHLGQKPGGSDFLRKRLQKGQKYFDSGDYNMAKAKMKNKQLPAAAPDKTEVTGDHIPTPQDLPQRKPSLVASKLAG", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASKLNEEAQSEFKEGFALYDGNKDGKLEAAELANTLRWLGQNPSQSEINEILREFGSNNQMGVDGLFNYLGRKVVDDFDEKEIIEAFQVFDKDGKGMIGASDLRHILTNLGERLPEEQVEEMLRQAVGSGDGAINYEPFVRNMLKK", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSSFLVSSNNLPEREIPGDYGFPIISAIKDRYDYFYKQGEDVWFHSKAEKYNSTVVKINMAPGPFTSNDYKLVAFLDANSFVYMFDNSLIDKTDTLGGTFKPGKEYYGGYRPVAFVDTSDPNHAALKNYILTSFAKRHNLFIPLFRNSVSDHLFQNLEKQVSDQGKSDFNALLPNMTFGFIFRLLCDQTNPSDTVLGAQGPEHLRKWLFPQLIPSLSARKLPSFIEDLLFHNFLIPFGLVKSDYNKLVDAFSKNAGSMLDEAEKLGIKREEAVHNILFLVGINMFAGLNAFFPHLIRFVGEAGPTLHARLAKEIRTAIKEEGGAVTLSAINKMSLVESIVYETLRLRPPVPLQYGKAKKDFMVQSHDASYMIKKGQFLVGYQPMASRDPKIFDKPDDFIPDRFMGEGVKMLKHVLWSNGRETENPAPDNKQCAGKDLVHLLGRLMLVEFFLRYDTFTVEITPLFRAPNVAIKTLTKAT", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSLEDKFDSLSVSQGASDHINNQLLEKYSHKIKTDELSFSRAKTSKDKANRATVENVLDPRTMRFLKSMVTRGVIADLNGCLSTGKEANVYHAFAGTGKAPVIDEETGQYEVLETDGSRAEYAIKIYKTSILVFKDRERYVDGEFRFRNSRSQHNPRKMIKIWAEKEFRNLKRIYQSGVIPAPKPIEVKNNVLVMEFLSRGNGFASPKLKDYPYKNRDEIFHYYHTMVAYMRLLYQVCRLVHADLSEYNTIVHDDKLYMIDVSQSVEPEHPMSLDFLRMDIKNVNLYFEKMGISIFPERVIFQFVISETLEKFKGDYNNISALVAYIASNLPIKSTEQDEAEDEIFRSLHLVRSLGGLEERDFDRYTDGKFDLLKSLIAHDNERNFAASEQFEFDNADHECSSGTEEFSDDEEDGSSGSEEDDEEEGEYYDDDEPKVLKGKKHEDKDLKKLRKQEAKDAKREKRKTKVKKHIKKKLVKKTKSKK", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKSLVNLWGIYPFIRNNSLHGFAKIPRIVSTIPRQLRFSSLRHPTRQMDLIHDTVVVENLNTFAVVGQDQWKRKEPQPVQIDVYMRNNVQLAGEKDELKSTIHYGIASKLLRKEIEGSFFTTPKDLVNKIASLCFEDVIDTSHVSIKLTLPKCVLRSKNGLHYYAERERNSTSNFVDRIEFSDLELATILGIHAFERQEKQRVCLNISFANTEVEALEIARAIAEYVEQSAFLTIEALVVNLSKYLCFTKNLDDISIKAEKPSAITFANASAVQIYRTRSYFLQESLHKYESTKNKIAYLSFGSNIGDKFEQIQTALSMLHKIEGIRVLDVSPLYETEPMYYKDQPSFLNGVCKIETRMSPINLLRACQSIEQEMGRIKTILKGPRCIDLDIVLYEDCVYESEVLTIPHLGLQEREFVLRPLLALSPDLVHPYTHQPLQEALDKLPSQGIRLYSSFDNKKIINGALTMGILNVTPDSFSDGGKVSQNNILEKAKSMVGDGASILDIGGQSTKPGADPVSVEEELRRVIPMISLLRSSGITVPISIDTYYSKVAKLAIEAGANIINDVTGGMGDEKMLPLAASLQVPICIMHMRGTPETMKALSIYEKDIVEEVAVELSSRVEAAVQSGVHRYNIILDPGFGFAKTPKQSAGLLGRLHELMKKPQFKDMHWLSGPSRKGFTGYFTGDASPKDRIWGTSACVTASVLQGVSIVRVHDTKEMSKVVGMANAIRYVP", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASRQPEVPALAPSGPLGKMSLPIGMCRRAFSYDDALEDPAPMTPPPSDMGSIPWKPVIPERKYQHLDKTEEGAASVSSLAVTPSPATDSSDKAPVVKAKATHVIMSSLITKQTQESIQRFEQQAGLRDAGYTPHKGLTTEETKYLRVAEALHKLKLQSGETAKEEKHPASAQSTPSSTPHASPKQKSRGWFPSGSSTALPAPNPHTMDPGSGNDRNSADKWSLFGPRPLQKSDSGFAIQAYKGAPRPSPMEVMRAQATRVGEDPATFKPPKMDVPMVEGKKQPLRTHNLKPRDLNVLTPTGF", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAFPAGFGWAAATAAYQVEGGWDADGKGPCVWDTFTHQGGERVFKNQTGDVACGSYTLWEEDLKCIKQLGLTHYRFSLSWSRLLPDGTTGFINQKGIDYYNKIIDDLLKNGVTPIVTLYHFDLPQTLEDQGGWLSEAIIESFDKYAQFCFSTFGDRVKQWITINEANVLSVMSYDLGMFPPGIPHFGTGGYQAAHNLIKAHARSWHSYDSLFRKKQKGMVSLSLFAVWLEPADPNSVSDQEAAKRAITFHLDLFAKPIFIDGDYPEVVKSQIASMSQKQGYPSSRLPEFTEEEKKMIKGTADFFAVQYYTTRLIKYQENKKGELGILQDAEIEFFPDPSWKNVDWIYVVPWGVCKLLKYIKDTYNNPVIYITENGFPQSDPAPLDDTQRWEYFRQTFQELFKAIQLDKVNLQVYCAWSLLDNFEWNQGYSSRFGLFHVDFEDPARPRVPYTSAKEYAKIIRNNGLEAHL", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSKGKVCLAYSGGLDTSVILAWLLDQGYEVVAFMANVGQEEDFDAAKEKALKIGACKFVCVDCREDFVKDILFPAVQVNAVYEDVYLLGTSLARPVIAKAQIDVAKQEGCFAVSHGCTGKGNDQIRFELSFYALKPDVKCITPWRMPEFFERFAGRKDLLDYAAQKGIPVAQTKAKPWSTDENQAHISYEAGILEDPDTTPPKDMWKLIVDPMDAPDQPQDLTIDFERGLPVKLTYTDNKTSKEVSVTKPLDVFLAASNLARANGVGRIDIVEDRYINLKSRGCYEQAPLTVLRKAHVDLEGLTLDKEVRQLRDSFVTPNYSRLIYNGSYFTPECEYIRSMIQPSQNSVNGTVRVRLYKGNVIILGRSTKTEKLYDPTESSMDELTGFLPTDTTGFIAIQAIRIKKYGESKKTKGEELTL", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDVSYYDGPKDEVAEAMLKSAVTAMRLGQYEDGKGRLEEIMEFGTSNFQLLGTIYMYYGRVCRHLNHDAKALEFFEHELNMFKLIFNYPEACDSTRRIVEQALKMGKFPKARRFAEDLIDYTSNKKNGEKYIGQARILFASVCLEGCERDVESNQDEKKKLLSICAEQIAAVKLFNENNTEGAVSETKIMLLEAKCLSLDEKYEESRRKYQECIDFAIKTDQFEAVHIAYYDKALYAETDLLFFIIRDLRSALFYATKFGKERDVVKYKSKLSEEMLRNGEFHEAYLYGLEALVSIRKLGLNEYIGDVLLTIAKCLIALGKRRQAAYFIILGSVLTINQNSFKLFYEQIDVAMNQERSETATDQDVCLAIDSSPDPTSSNDMINKFVVELEHATNVETWEMIVNGIIDDQKKPVAIEKKENEEPVDMMDLIFSMSSRMDDQRTELPAARFIPPRPVSSASKKTTKSHRILPGLRANWTKVQSMKFDGHTMNRILKRSKKSKSSLDSTNSMQGDDTRSDDVTMTSK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MELSSPSKKTTTSPINIPGGNRDNLIIGPHSHSFKTDPFSSNNSSLLSKISTNPSLESPFSSKSLLDCSPVQAVKKSLESEAKTHSLDEETNEQTDVKILNIADFPTDELILMISALLNRIITANDETTDVSQQVSDETEDELLTPILAFYGKNVPEIAVVQYLERIQKYCPTTNDIFLSLLVYFDRISKNYGHSSERNGCAKQLFVMDSGNIHRLLITGVTICTKFLSDFFYSNSRYAKVGGISLQELNHLELQFLILCDFKLLVSVEEMQKYANLLYKFWNDQ", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "AFSGTWQVYAQENYEAFLKVIGVAEDIIPHAKEIKPTIEIQQSGNSFTVTSTAQKKSTTNTFTIGKEAEITTMNGNKLRCTINMEDGKLVCKTEKFSHIQEVQGEEMIETLTSGSATLIRRSRKV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDCGMENCNGGISNGDVLGKHEKLIIDTDPGIDDSMAILMAFQTPELEILGLTTVFGNVSTQDATRNALLLCEIAGFPDVPVAEGSSEPLKGGIPRVADFVHGKNGLGDVSLPPPSRKKSEKSAAEFLDEKVEEYPGEVTILALGPLTNLALAIKRDSSFASKVKKIVILGGAFFSLGNVNPAAEANIYGDPEAADVVFTSGADITVVGINITTQLKLSDDDLLELGNCKGKHSKLISDMCKFYRDWHVKSDGVYGVYLHDPVSFVAVVRPDLFTYKKGVVRVETQGICVGHTLMDQGLKRWNGSNPWVGYSPISVAWTVDVEGVLEYVKAKLMKP", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSGTRASNDRPPGAGGVKRGRLQQEAAATGSRVTVVLGAQWGDEGKGKVVDLLATDADIISRCQGGNNAGHTVVVDGKEYDFHLLPSGIINTKAVSFIGNGVVIHLPGLFEEAEKNEKKGLKDWEKRLIISDRAHLVFDFHQAVDGLQEVQRQAQEGKNIGTTKKGIGPTYSSKAARTGLRICDLLSDFDEFSSRFKNLAHQHQSMFPTLEIDIEGQLKRLKGFAERIRPMVRDGVYFMYEALHGPPKKILVEGANAALLDIDFGTYPFVTSSNCTVGGVCTGLGIPPQNIGDVYGVVKAYTTRVGIGAFPTEQINEIGGLLQTRGHEWGVTTGRKRRCGWLDLMILRYAHMVNGFTALALTKLDILDVLGEVKVGVSYKLNGKRIPYFPANQEMLQKVEVEYETLPGWKADTTGARRWEDLPPQAQNYIRFVENHVGVAVKWVGVGKSRESMIQLF", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGDDSEWLKLPVDQKCEHKLWKARLSGYEEALKIFQKIKDEKSPEWSKFLGLIKKFVTDSNAVVQLKGLEAALVYVENAHVAGKTTGEVVSGVVSKVFNQPKAKAKELGIEICLMYIEIEKGEAVQEELLKGLDNKNPKIIVACIETLRKALSEFGSKIILLKPIIKVLPKLFESREKAVRDEAKLIAVEIYRWIRDALRPPLQNINSVQLKELEEEWVKLPTSAPRPTRFLRSQQELEAKLEQQQSAGGDAEGGGDDGDEVPQIDAYELLEAVEILSKLPKDFYDKIEAKKWQERKEALESVEVLIKNPKLEAGDYADLVKALKKVVGKDTNVMLVALAAKCLTGLAVGLRKKFGQYAGHVVPTILEKFKEKKPQVVQALQEAIDAIFLTTTLQNISEDVLAVMDNKNPTIKQQTSLFIARSFRHCTASTLPKSLLKPFCAALLKHINDSAPEVRDAAFEALGTALKVVGEKAVNPFLADVDKLKLDKIKECSEKVELIHGKKAGLAADKKEFKPLPGRTAASGAAGDKDTKDISAPKPGPLKKAPAAKAGGPPKKGKPAAPGGAGNTGTKNKKGLETKEIVEPELSIEVCEEKASAVLPPTCIQLLDSSNWKERLACMEEFQKAVELMDRTEMPCQALVRMLAKKPGWKETNFQVMQMKLHIVALIAQKGNFSKTSAQVVLDGLVDKIGDVKCGNNAKEAMTAIAEACMLPWTAEQVVSMAFSQKNPKNQSETLNWLSNAIKEFGFSGLNVKAFISNVKTALAATNPAVRTAAITLLGVMYLYVGPSLRMFFEDEKPALLSQIDAEFEKMQGQSPPAPTRGISKHSTSGTDEGEDGDEPDDGSNDVVDLLPRTEISDKITSELVSKIGDKNWKIRKEGLDEVAGIINDAKFIQPNIGELPTALKGRLNDSNKILVQQTLNILQQLAVAMGPNIKQHVKNLGIPIITVLGDSKNNVRAAALATVNAWAEQTGMKEWLEGEDLSEELKKENPFLRQELLGWLAEKLPTLRSTPTDLILCVPHLYSCLEDRNGDVRKKAQDALPFFMMHLGYEKMAKATGKLKPTSKDQVLAMLEKAKVNMPAKPAPPTKATSKPMGGSAPAKFQPASAPAEDCISSSTEPKPDPKKAKAPGLSSKAKSAQGKKMPSKTSLKEDEDKSGPIFIVVPNGKEQRMKDEKGLKVLKWNFTTPRDEYIEQLKTQMSSCVAKWLQDEMFHSDFQHHNKALAVMVDHLESEKEGVIGCLDLILKWLTLRFFDTNTSVLMKALEYLKLLFTLLSEEEYHLTENEASSFIPYLVVKVGEPKDVIRKDVRAILNRMCLVYPASKMFPFIMEGTKSKNSKQRAECLEELGCLVESYGMNVCQPTPGKALKEIAVHIGDRDNAVRNAALNTIVTVYNVHGDQVFKLIGNLSEKDMSMLEERIKRSAKRPSAAPIKQVEEKPQRAQNISSNANMLRKGPAEDMSSKLNQARSMSGHPEAAQMVRREFQLDLDEIENDNGTVRCEMPELVQHKLDDIFEPVLIPEPKIRAVSPHFDDMHSNTASTINFIISQVASGDINTSIQALTQIDEVLRQEDKAEAMSGHIDQFLIATFMQLRLIYNTHMADEKLEKDEIIKLYSCIIGNMISLFQIESLAREASTGVLKDLMHGLITLMLDSRIEDLEEGQQVIRSVNLLVVKVLEKSDQTNILSALLVLLQDSLLATASSPKFSELVMKCLWRMVRLLPDTINSINLDRILLDIHIFMKVFPKEKLKQCKSEFPIRTLKTLLHTLCKLKGPKILDHLTMIDNKNESELEAHLCRMMKHSMDQTGSKSDKETEKGASRIDEKSSKAKVNDFLAEIFKKIGSKENTKEGLAELYEYKKKYSDADIEPFLKNSSQFFQSYVERGLRVIEMEREGKGRISTSTGISPQMEVTCVPTPTSTVSSIGNTNGEEVGPSVYLERLKILRQRCGLDNTKQDDRPPLTSLLSKPAVPTVASSTDMLHSKLSQLRESREQHQHSDLDSNQTHSSGTVTSSSSTANIDDLKKRLERIKSSRK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSLVVQEQGSFQHILRLLNTNVDGNIKIVYALTTIKGVGRRYSNLVCKKADVDLHKRAGELTQEELERIVQIMQNPTHYKIPAWFLNRQNDITDGKDYHTLANNVESKLRDDLERLKKIRAHRGIRHFWGLRVRGQHTKTTGRRRA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTAELKASDTEMTKPEERHLLQLLAQLEKVPHHQLEQKFVAYFKKVGKGDNQSNCTLEDFATYFLGALRQSTKRYYYSQNSETPVFPLTPIHQLKPPVADVVKEEEQQQLNESSILSRSSCSMSSTSNTTPAPQQPQGRRSTPGSGAQFCSTPNRSGGGGAGGGHSICLGNFLVNTPIQSHQRSKKKITPQKQQQNQHASLGSGTGAGATPQSKPRRRVLPMTISKNVSASSSFGDTSSFSNENNFWRISQSSEIFDRSQEAALEMEARKFLLLKKQEIKSEAPVNVSQQERTPEDEVFPEEAISLEGVANANHLQLLSTIYSLLMDLNLVPNVLRELSFVVHLLNVRDFGQSPVKSYVPSALEGLTQHKSCVYFAAKLLENQKKLLLQLDKRTLSVLLQNERLSLLPQGVVQELETHCQRRQDSTTPFAMDTSSSSQQNVYYHVENDSRDNFPSQNEFGAFKSQRDLFYKALKRWEVSHLNRGFNFPSELGPRIMDIYKKSEHPVNMTHLAKLFVNQLLISATETTESPEELGLKLDPLRHNKLAQRLVTSSSSVEGQFPRSQAFFRDFIAVCSSVAFLVHLKLELFVQLMRNNDSTFDLLQLTDDVAVEEQSAQQGPYIVRVQTMANMLILAKFLGYVTVMPFSGTTQHGNPTPPYLCPQQLQLRNHFRPDFNLREILERSMRQGKLLITLPWLVQYLAMLDLVSLHLPDAVATLELLYGLYADIRMAKLQPAAVFIARSCIGWLLDAQPQLVNGYYNYRAQEAGVGSISAIVDICLKDLSCHDKSHAPLLDELLPVACPFLQEFRVSITPSRQAKSGRFRYITTRLEQLQQNSSSISKDAIVASELSPAEQQQRKLVDAYLQSQNASTRRLIEFVTERTFKCVVKDAQQEILLPSKASADAKVNEIKSTMLEEVFQELHEIFQDARENACQRWKEHVHQMLDRRIEHSLDGLLPSSTNAVLRSTYAHLIRVQAQTQLQQWLQSSVLQSTFYHGDLQELATKVCNCNRNKADAAAAGGGGSSELQLSPDIGFSLSEFLYQLQQWLHCLSLRPEYVGSQEDLAELLRKAQKAVLLPKMPTVFYHLIGSGLVHLLQLLITRKPNFLDKDIISASCSVWRSQQLMDSKASPGIFDGLISISFVQEMANSADSFRMLETILRSMLQSGAIRADHLNELFMPLFAENWSPKVWCMLSELLQQLSLSGKDSEAHYASGDSPEDEAKSHLFMEMLADLSRDLDNF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MARWIPTKRQKYGVAIYNYNASQDVELSLQIGDTVHILEMYEGWYRGYALQNRSKKGIFPETYIHLKEATVEDGGQHETVIPGELPLVQELTNTLREWAVIWRKLYVNNKVTLFRQLQQMTYSLIEWRSQILSGTLPKDELAELKKKVTAKIDHGNRMLGLDLVVRDDNGNILDPDETSTVALFRAHEVASKRIEEKIQEEKSILQNLDLRGQAIFSTVHTYGLYVNFKNFVCNIGEDAELFIALYDPDQSTFISENYLIRWGSNGMPKEIEKLNNLQAVFTDLSSTDLIRPRISLVCQIVRVGRMELKEGKKHTCGLRRPFGVAVMDISDIVHGKVDDEEKQHFIPFQQIAMETYIRQRQLIMSPLITSHVIGENEPLTSVLNKVIAAKEVNHKGQGLWVSLKLLPGDLTQVQKNFSHLVDRSTAIARKMGFPEIILPGDVRNDIYVTLIHGEFDKGKKKTPKNVEVTMSVFDEEGNLLEKAIHPGAGYEGVSEYKSVVYYQVKQPCWYETVKVFIAIEEVTRCHIRFTFRHRSSQESRDKSERAFGVAFVKLMNADGTTLQDGRHDLVVYKGDNKKMEDAKYYLTLPGTKAELEEKELQASKNPSVFTPSKDSTKDSFQIATLICSTKLTQNVDLLGLLNWRSNSQNIKHNLKKLMEVDGGEIVKFLQDTLDALFNIMMEMSDNETYDFLVFDALVFIISLIGDIKFQHFNPVLETYIYKHFSATLAHVKLSKVLNFYVANAEDPSKTELLFAALKALKYLFRFIIQSRVLYLRFYGQSEDGDEFNDSIRQLFLAFNTLMDRPLEEAVKIKGAALKYLPSIINDVKLVFDPMELSVLFCKFIQSIPDNQLVRQKLNCMTKIVESSLFQQAECREVLLPLLTDQLSGQLDDHSTKPDHEASSQLLSNILEVLDRTDVGPTSAHVQLIMERLLRRINRTVIGMSRQSPHIGSFVACMIAVLRQMEDSHYSHYISTFKTRQDIIDFLMETFIMFKDLIGKNVYAKDWMVMNMTQNRVFLRAINQFAEVLTKSFMDQASFELQLWNNYFHLAVAFLTHESLQLETFSEAKRNKIVKKYGDMRKEIGFRIRDMWYNLGPHKIKFIPSMVGPILEVTLTPEVELRKATIPIFFDMMQCEFNLSGNGNFHMFENELITKLDQEVEGGRGDEQYKVLLEKLLLEHCRKHKYLANSGEAFAFLVSSLLENLLDYRTIIIHDESKENRMSCTVNVLNFYKDKKREDIYIRYLYKLRDLHRDCENYTEAAYTLLLHAELLQWSDKPCVPHLLQRDSYYVYTQQELKEKLYQEIISYFDKGKMWEKAIKLSKELAETYESKVFDYEGLGSLLKKRALFYENIIKAMRPQPEYFAVGYYGQGFPSFLRNKIFIYRGKEYERREDFSLRLLTQFPNAEKMTSTTPPGEDIKSSPKQYLQCFTVKPVMSLPPSYKDKPVPEQILNYYRANEVQQFSYSRPFRKGEKDPENEFATMWIERTTYRTAYTFPGILKWFEAKEISVEEISPLENAIETMELTNERVSNCVQQHAWDHSLSVHPLSMLLSGIVDPAVMGGFSNYEKAFFTEKYLQEHPEDQEKVELLKRLIALQIPLLTEGIRIHGEKLTEQLKPLHARLSSCFRELKEKVEKLYGVITLPPSMTERKPSRAGSMVLPYILSSTLRRLSVTSVASSVISTSSNSSDNASSRPGSDGSILEPLFERRASSGARVEDLPPKEDSENRISKFKRKDWNLSKSQVIAEKAPEPDVMSPGKKTQRPKSLQLVDSRLTPFHSPSPLQSTALSPPPLTPKATRTLSSPSLQTDGLTASVPPPPPPKSKPYESSQRNSAEIAPPLPVRRDSKAPPPPPPKARKSGILSSEPGSQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNQYHIYEAIGHGKCSTVYKGRKKKTIEYFACKSVDKSRKNKVLQEVRILHSLNHPNVLKFYAWYETSAHMWLVLEYCVGGDLRTLLQQDCKLPEESIYGLAYDLVIALQYLHSKGIIYCDLKPSNILLDENGHIKLCDFGLSRKLDDISKSPSTGKRGTPYYMAPELYEDGGIHSFASDLWALGCVLYECYTGRPPFVAREFTQLVKSIHSDPTPPLPGNASRSFVNLIESLLIKDPAQRIQWADLCGHAFWKSKINLVQLPTQPAFDDMIGINTKPCLSERNGDRPNKTPPKYREKDRKGGSKQNENSIQGSKGHETPIKGTPGGSKAQAKLPSRATEEKHGGRPAANRQVNILRLSRIAKANLQKENEKENYRRPLPNSNENCAEVKIDNTDMELDFDENNDDEGPDESEGTENTSCAQEERVMSHNENHRRQRVVSSNVPDENSSANETPTLGEARDCHEDQSEPMDMSAAPPSASPQLKTHRGRETSGVAVNHDSSKAPTSLTDVFWHISDLSVRPVMPSRKSDKEAVHSLSFETPQPSDFSKKGKQELEPLNNRIITVLSGSSSGLSEKQNLIRYLETLSTNADAANILTNGPIMLVLVKVLRLSKTPAFRVQIASLIGLLIRHSTSIEDDLANSGILDSLTNGLRDKHEKVRRFSMAALGELLFYISTQNEHKDFKPPESPSKETRSASGWQVSNALISLVSSVLRKGEDDLTQVYALRTIENICSQGAYWATRFSSQDLISNLCYIYKATGKQESMRQTAGSCLVRLARFNPPCIQTVVEKLSLKEIASSFVKGSAREQQVCLNLLNMAMIGSHTFTSFGRHLVTLTEEKNLFPSLLSIIEQGTEVLRGKALLFVAFLCKNSRRWLTNFFCNARFLPVVDRLAKEKDSYLQQCLEAFVNVIASIIPGMLDTITNDIQQLMTGRRHGPVSPLNSRAPVKTNAHLFPVVLHLLGSSSFKNKMVTPQVLRQLANLTKLVEASFQGRDDFRVTLLQVLECITGDAPLVTQNGEIIIREILPSLAAIYNGNKDGDARFLCLKIWFDSLTILLTECTEIEQQISEDLKSISNSHFLPLYPALIQDEDPIPAYAQKLLVMLVEFDYIKISNLLRHNTVSQCFEFLLGDLSSANVNNVKLCLALASAPEMESKLLSQLKVVRRIGNLLEFVNAKDMEDFLEPTLSLCRAFLLRSLGNKKGLSSNYTKEPTLLSEASFTFEVDPQECIRDIADFGSNIGLFLHFAGLDDDTSIAVADIASECVVLLLKAASREATTGFLTNLPKITPILDSWRRRKSTELHLLVLKRVLHCLGYACKQYLSQAMILSISGHDVSKINAIVSEMKNSDAAGLNSIASLVAMELQRLPR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSRRRKHDDSPSPKKTPHKTVAAEECGSVVEPGRRRLRSARGSWPCGAREGPPGPVRQREQPPTAALCSKSNPEERYETPKRALKMDSLSSSFSSPNDPDGQNDIFWDQNSPLTKQLGKGRKKQIYTTDSDEISHIVNRIAPQDEKPTTNSMLDMWIGETAIPCTPSVAKGKSRAKISCTKLKTQSQEEELMKLAKQFDKNMEELDVIQEQNKRNYDFTQMISETEILSNYKDNIQMWSLHNIVPEIDNATKKPIKGNTKISVANNQNSSQKPFDQIAEAAFNAIFDGSTQKCSGQLSQELPEAFWSTSNTTFVKTNALKEEKIITNETLVIEKLSNKTPRSLSSQVDTPIMTKSCVTSCTKEPETSNKYIDAFTTSDFEDDWENLLGSEPFAMQNIDMPELFPSKTAHVTDQKEICTFNSKTVKNTSRANTSPDARLGDSKVLQDLSSKTYDRELIDAEYRFSPNSNKSNKLSTGNKMKFENSSNKIVIQDEIQNCIVTSNLTKIKEDILTNSTEASERKSALNTRYSNEQKNKCILNQSIKAPVNTDLFGSANLGSKTSVSNPNQTSASKVGSFFDDWNDPSFANEIIKACHQLDNTWEADDVDDDLLYQACDDIERLTQQQDIRKDSKTSESICEINNNSEHGAKLTQQQDIRKDSKTSESICEINNNSEHGAKNMFAISKQGSNLVQSKHLNPGSISVQTSLTNSSQIDKPMKMEKGEMYGNSPRFLGATNLTMYSKISNCQINNLHVSYTNTDVPIQVNSSKLVLPGSSSLNVTSDHMNTEITTYKKKLSTNQPCHKTVTDEAQSNLNTTVGFSKFTFTRMKNSQILSQFNQNCITGSMSDTKITQGVEKKKGVNPLLEEAVGQQSLVKLSESLKQSSKEEEEKNRKCSPEEIQRKRQEALVRRMAKARASSVNAAPTSFL", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "METEHLKRLEAKEADHIPAILDEFNTKNADLLVFDSFRTDNLWHELWLAIFGILDDQRLSHLHTQCLNTVRILTRDEFSLQTNYIEQEVNTLLKLARIEAGSLKLPATPDELKQEEREEPQLEPSQAQSEVIAEALKCLCNLVYQSSDCRRQCLRQHCLDAILKRVASSMRHPCALEYYDMKLLFLLTALEPAARSRLQIDLNGLTYMTKWLDDKLGEDSVGEEQLNIICELLKVMFNVTSAPDKSPNEYEIQSLHLTGVLRELLLRFGDLATEKDRAVVTHAINLLTNISGSCLTELTLRCSNAELESHKEREQDNEKEKDTEAGAGAKPRECCSQCFEKRNVRSLDVLLRYLRQSLAQQEAEASSHELLSPVLTVLVKCARSDRVMRHYLRQEILPPLRDVSQRPEVGQELRNHLCRFLTLPAMILRDLSAELLFVLCKENVGRMIKYTGYGNAAGLFAKRGILDCRRVEGTDYSSDSEDSDTEEYKQQQQGINPVLGCVEPRSKSHLDDISEEQKEYEAMQLVNLIEQLRQGGIVKPAMIDKDGRPQPLEHILQLQEELPQQQLDQKRKT", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNILKKFMESGNKPELITIPSGQFNLLRSKNSPKAALECIYNNATLSVRKIGKFDYELAVYRVEDDSEGGTGDEAENFEDDTISVLSTQSKKKEEEWSVEISDKIMFHKTWDKQGNVALVWENLRGDEQDEKVQFVVAADVSFSDVEQFIQTVYRCQFEVRNKKSSLTASADDLKEIEHRSTRLFVQDDDDELDSSSDDFQDAKDTSFEHEKESEILERTPSPLKKVPEGEYCCLVMSSLYMYDPIQEKFILQEPVVKVAIIDTGKYEFWLAIEGKDNRLGTQVAPNINPTFELATDAFLFNYTLQNITLSYMLKFKDLDKCIQFRFAWVKCLWMTLNKETWTDVPEKEKDYILDSSSVPLEKQFDDILHIDDRSNEERDKESSESENDSEDEDDENDHSKRIISSEAFEEPRRATSKGNSSLTVAFRNNRSYVTRDNRIGVFKTDDEDDSLEFVAAIKNISNLGGKSIDPHKPMLYMEDRNLILTDGENENKLYKMDIERGKVIEEWSTGDKNVVQYGPTKKFDQMTPEQTIVGVSQKGVFKIDPRINGKNKIAVDESKDYVGKYNFSSIGTTESGYIAIGSEKGDIKLYDRLGIRAKTAIPSLGQAIKFITTSADGKWLLATCESTLLLMDLKIKDGKNAGNIGFLKSFPASENVKTYVLKIRPEHSASILTYTKKPIRFTKAYFNTGIGQQEQTIVTSTGPYAISWSLKGILNQDGSNNYPYRIRRYNADVVADNFEFGSDKKVIVALKDDVSLSKVKSFKQPSKGVLMPSASLQDFYG", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKALILVGGYGTRLRPLTLSTPKPLVDFCNKPILLHQVEALAAAGVDHVILAVSYMSQVLEKEMKAQEQRLGIRISMSHEEEPLGTAGPLALARDLLSETADPFFVLNSDVICDFPFQAMVQFHRHHGQEGSILVTKVEEPSKYGVVVCEADTGRIHRFVEKPQVFVSNKINAGMYILSPAVLQRIQLQPTSIEKEVFPIMAKEGQLYAMELQGFWMDIGQPKDFLTGMCLFLQSLRQKQPERLCSGPGIVGNVLVDPSARIGQNCSIGPNVSLGPGVVVEDGVCIRRCTVLRDARIRSHSWLESCIVGWRCRVGQWVRMENVTVLGEDVIVNDELYLNGASVLPHKSIGESVPEPRIIM", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MFGSAPQRPVAMTTAQRDSLLWKLAGLLRESGDVVLSGCSTLSLLTPTLQQLNHVFELHLGPWGPGQTGFVALPSHPADSPVILQLQFLFDVLQKTLSLKLVHVAGPGPTGPIKIFPFKSLRHLELRGVPLHCLHGLRGIYSQLETLICSRSLQALEELLSACGGDFCSALPWLALLSANFSYNALTALDSSLRLLSALRFLNLSHNQVQDCQGFLMDLCELHHLDISYNRLHLVPRMGPSGAALGVLILRGNELRSLHGLEQLRNLRHLDLAYNLLEGHRELSPLWLLAELRKLYLEGNPLWFHPEHRAATAQYLSPRARDAATGFLLDGKVLSLTDFQTHTSLGLSPMGPPLPWPVGSTPETSGGPDLSDSLSSGGVVTQPLLHKVKSRVRVRRASISEPSDTDPEPRTLNPSPAGWFVQQHPELELMSSFRERFGRNWLQYRSHLEPSGNPLPATPTTSAPSAPPASSQGPDTAPRPSPPQEEARGPQESPQKMSEEVRAEPQEEEEEKEGKEEKEEGEMVEQGEEEAGEEEEEEQDQKEVEAELCRPLLVCPLEGPEGVRGRECFLRVTSAHLFEVELQAARTLERLELQSLEAAEIEPEAQAQRSPRPTGSDLLPGAPILSLRFSYICPDRQLRRYLVLEPDAHAAVQELLAVLTPVTNVAREQLGEARDLLLGRFQCLRCGHEFKPEEPRMGLDSEEGWRPLFQKTESPAVCPNCGSDHVVLLAVSRGTPNRERKQGEQSLAPSPSASPVCHPPGHGDHLDRAKNSPPQAPSTRDHGSWSLSPPPERCGLRSVDHRLRLFLDVEVFSDAQEEFQCCLKVPVALAGHTGEFMCLVVVSDRRLYLLKVTGEMREPPASWLQLTLAVPLQDLSGIELGLAGQSLRLEWAAGAGRCVLLPRDARHCRAFLEELLDVLQSLPPAWRNCVSATEEEVTPQHRLWPLLEKDSSLEARQFFYLRAFLVEGPSTCLVSLLLTPSTLFLLDEDAAGSPAEPSPPAASGEASEKVPPSGPGPAVRVREQQPLSSLSSVLLYRSAPEDLRLLFYDEVSRLESFWALRVVCQEQLTALLAWIREPWEELFSIGLRTVIQEALALDR", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTPVSSDYRPNHIFLPKFRPDKITSECNTPQQQNVIAEGLYNVSEPFPIRNTDEERYLDTKEIHHTWDNTIKNVVRSIVSIKGSALRSFDTESAGSFCATGFVVNKTLGLILSNRHVVSPGPISARASFINYEEIDIYPIYRDPVHDFGFFRYDPSSIRFHDVTEISLSPESAKVGIDIRIIGNDAGEKLSILSSTLARLDRPAPNYGIDNYNDFNTFYYQAASGTSGGSSGSPVLDISGAAVALNSGGSNSSASSFYLPLDRVVRALRCIENNTPITRGTLLTEFLHWSYDELSRIGLPREFEYDCRTRVPSSTGLLVVSRVLRNSEVSKALEPGDILIAFKTDSHKSTYIVDFVSLFEVLDEMVGKTIELHVYRPKRGFLTFQLTVQDLHNVTPSRFLEVGGAVLHDLSYQLARSYQFSLNSGTYVASSGMLNWSSGTRDFLVTRLANKPTPTLDAFIDVLVQLTDNARVPMHFRVLGKYEEEFTIVTVDRHFFLASIFSRNDEKGTWDRQSLPPPQPSISRRPSVIPRPQEGSKSMEAIQNALVLVHCRMPYSINGFSSTKLYSGTGVIVSVVPPLIVVDRSVIPVDICDIRLTFQSMSAMGHLTFLDNRIAVVSCDYLPSNSVQLNFVADFLRTGDECTLAALDEDLQLLTKKTTVRSVSVVETERSSPPRFRYVNCEVISLMDSLASTGGLVFREVGDDREIVALWISVVHQDVGGKDYTTKYGLSMSYILPVLERLKLPPSARAQHVPTTAGVEWSHITLAGASTLGLSQTRSSEFYMKSRENGTIPRPLYVISHLRPLLHKTSLGVGDILLEVNGKMITRLSDLHEFETESEIKAVILRDGIEMEITIPLYPEYPTFSSRAICWMGAIIHPTHSSVFEQVEPDVDLPGPEGVYVGSILYGSPALNMLRAAHWIVAVDGHDINTFDDFYHMLLEKPTDTFVQVKQMNRRGATSIVSVRPDPLFWPTCIIERDSNGRWCTKHLQRKTKEVCS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEEVFRFYSNSRNIFIHKSLSLKPSTIDDPKSGYGLFVEPSKFKNDELKSETIQLLRIPKRCTFNINTLLALLGDEDEFSSKEEFQRTNDKIKIALREIMAHPNFSVFLTETNLLIIYFMIFQTIRSRYEIPENIQYYLENVLMSIEVETAMDSIENLATDYGHYPQIFGLRETLNLFKELFHDVLNLSDIKHLYSAIISRCLEIPERADTKSEEFTVHSTLVPIVDFANHEGTQKNAYFDIDPSNNDVLLLLDTKAVQSELTKPIEVFISYSPTEDLFSMLVTYGFTPDFRGNSQFWTVSFDRCFLRNYDGPDKTTNLRLFYKWMHINPVVPLVKYEHNGKTRWFLNDTTPEFDMLLLPFIPSIDDGKIARWAYDSTCHLMFTKIHCLINPEANEHALMIAENYRSLIKEKESNGDDFINLPPLAWSLRYKDTENDCVRQRHICSEDAVAVLKQEEMQDSTKTKSQFTSFFRKFLEFRRSKIIRPTSDSKVASILYQQELEIIADLAKAIDSSSTIFFSDLNVTLDTEPERLPPLRFLDDYIEISADKQEPSPICEDLSYYTPSRFTDFFQEEVSQYAAFFQDD", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSRSICTLTCILPTFSMDYWNQECVELSQVENLFTELASRLELLEMNSKNVLYRLTIGTNPDRNWEAIAFIREFFDSAKHGYVIPKHEIRNRIRLLSEASLISCLRWLLHRIPGGVITWSTYKLFDEAETRANYPVRGFDIFMKHATKHSCHFNILKCFLKLLMSLSAKLAVSSNSSTVSSLELVSQIASIWAFDWPMMNLQETFIYWDRCTNACLRLLLCYIRYTNKSSETGFSCLPSALQSQLQSFNYPPSLKKLNDAKAHVFTFSMNYYMTRDPLEMIQIVTKMNIPDHLTATLPRSSDDIQNDCLFALRQVSKRSSYHSVFSAQESAWTDFIKNGFDHPILPTCTQETVYSLLTGNDTACVYPFPNKPYRLPDVDFEIFSHCSFESLTTVTTNTNIWWVWAESRCTEIPESKRTVFPNCTMLIDKTGRLIILQQTVPQKPVALTASSNKRKNRIFGKIRRSFKRILKPRKINKTVKIMSNSQRRSCQSVLSEGNRTILLHLADQMNACSLQTKSRESIKTLKLIEEKDEYWEPETAGYFNTIVGWADQRKSLYDEAVIKINHSNMLNTLPPTSQGATSTTVSSASSNFLSSSCTPIDDTNSVTGSTLSCSFDEMKLSDKIDDANSLKDDDFIQGSKKDFFEMNLNHSSYQNKDELKPFQLLVKHAFKPPSYRLIRPPLRDWQSSDTLSSEMSKSISSSRSSPFSLEQTISKIQNKL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTKSVEGYLKEQELAAETDSEKDDDKISIRLTNFVGPNAHSFSFDPLVRYWNRKQNNLPIYIGRYTERYNGGDVSAIVFRSKVVSRRHAQIFYENNTWYIQDMGSSSGTFLNHVRLSPPSKTSKPYPISNNDILQLGADYRGGHEVNYRCVRARVELNNSWKIKLSPYNLNEFKRMQELVLCGSSESGPPECCICLMPVLPCQALFVAPCSHSYHYKCIRPTLNESHPYFSCFICRKYHDLEAPVEEGDESLNDLLRNATVKDDASE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASPAAGDVLIVGSGLVGRSWAMLFASGGFRVKLYDIEPRQITGALENIRKEMKSLQQSGSLKGSLSAEEQLSLISSCTNLAEAVEGVVHIQECVPENLDLKRKIFAQLDSIVDDRVVLSSSSSCLLPSKLFTGLAHVKQCIVAHPVNPPYYIPLVELVPHPETSPATVDRTHALMRKIGQSPVRVLKEIDGFVLNRLQYAIISEAWRLVEEGIVSPSDLDLVMSDGLGMRYAFIGPLETMHLNAEGMLSYCDRYSEGMKRVLKSFGSIPEFSGATVEKVNQAMCKKVPADPEHLAARREWRDECLKRLAKLKRQMQPQ", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAGAGGGGCPTGGNDFQWCFSQVKGAVDEDVAEADIISTVEFNYSGDLLATGDKGGRVVIFQREQENKGRAHSRGEYNVYSTFQSHEPEFDYLKSLEIEEKINKIRWLPQQNAAHFLLSTNDKTIKLWKISERDKRAEGYNLKDEDGRLRDPFRITALRVPILKPMDLMVEASPRRIFANAHTYHINSISVNSDHETYLSADDLRINLWHLEITDRSFNIVDIKPANMEELTEVITAAEFHPHQCNVFVYSSSKGTIRLCDMRSSALCDRHAKFFEEPEDPSSRSFFSEIISSISDVKFSHSGRYMMTRDYLSVKVWDLNMEGRPVETHHVHEYLRSKLCSLYENDCIFDKFECCWNGSDSAIMTGSYNNFFRMFDRNTRRDVTLEASRENSKPRASLKPRKVCSGGKRKKDEISVDSLDFNKKILHTAWHPMESIIAVAATNNLYIFQDKIN", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDSGMRATCTVPEHFLPRKLSKQNLDRFIPNRSAKDFDFANYALTQGSKRNLDEVTSASRKAYMTQLAVVMNQNRTRILAFRNKPKSLLSTNHSDSPHQNPKPVKPRRYIPQNSERVLDAPGLRDDFSLNLLDWGSANVLAIALGDTVYLWDASSGSTSELVTIDEDKGPVTSINWTQDGLDLAVGLDNSEVQLWDCVSNRQVRTLRGGHESRVGSLAWDNHILTTGGMDGKIVNNDVRIRSSIVETYLGHTEEVCGLKWSESGNKQASGGNDNVVHIWDRSLASSKQTRQWLHRFEEHTAAVRALAWCPFQASLLATGGGVGDGKIKFWNTHTGACLNSVETGSQVCSLLWSQSERELLSSHGFTQNQLTLWKYPSMSKMAELNGHTSRVLFMAQSPNGCTVASAAGDENLRLWNVFGEPPKTTKKAASKKYPELFSHVNSLR", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSRHRDVKNLDLDDYELDEEPGEEELTEEQEEEFRSAVATVRETLLGVPISEKEIADTVWYYYFDVEKSVNYLLQKASSKAGAKEKQNTDSQKEKKQNKSKEALADAKDPLDESSNGIKNLSLNKNDEPAFQTNGEVKMKNSSESDNQPEKKKIKKQNPTDLVSVPEIFEQSNPKPVVHLVVTGHVDSGKSTMLGRIMFELGEINSRSMQKLHNEAANSGKGSFSYAWLLDTTEEERARGVTMDVASTTFESDKKIYEIGDAPGHRDFISGMIAGASSADFAVLVVDSSQNNFERGFLENGQTREHAYLLRALGISEIVVSVNKLDLMSWSEDRFQEIKNIVSDFLIKMVGFKTSNVHFVPISAISGTNLIQKDSSDLYKWYKGPTLLSALDQLVPPEKPYRKPLRLSIDDVYRSPRSVTVTGRVEAGNVQVNQVLYDVSSQEDAYVKNVIRNSDPSSTWAVAGDTVTLQLADIEVNQLRPGDILSNYENPVRRVRSFVAEIQTFDIHGPILSGSTLVLHLGRTVTSVSLKIVTVNNKRSRHIASRKRALVRISFLDGLFPLCLAEECPALGRFILRRSGDTVAAGIVKELC", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPGSPSQEPLAEAESNMLQRLEQLQMQTSNILKELHSTSIFTDSTTSQLHNGGENSVMDTEESSAIDKLSASLQQVNISSPSVPTSQSRVTQGQSLGNTQISNPTSKTNNVRAKARNIRNPSQRLRPSTSLARLSNNAPRIPKEASLHENSISSKESPSVTTSKHVATITKPSTSSIARMSSNARIAAIPRAKSSMAVRSPSRLGNGPNVRSPKVGFNAKSDDSPVVKSPGSNDKPSASPRISVRSLGNSSVVRPPTRTSTTRPLSRVNVTNASGSISKNSTSPSKVKVNASTKIVRPVSAAQTVRPGSRIFRENSASNTQRPNVSATSNSTVRVASSLAVRPVSRNAQARTPSRLEQREVNVKNSSAKIVRPGTSLGVRSPSRIQSTLSSRTTTGNVRTKAANIVRPSSSINRRPPSSINQRPPSNLRILAPSRSRATIHERPSSSILHRHAHSLTSSSFSTKTLATTKEIQNSPTLVESSTVVHHDPSYLQNQTSEINDTNHSSHSSPLDLNRMI", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MFLTVKLLLGRRCSLKVSGKESVATLKKLVSQHLQVPEEQQHLLFRGQLLADDKYLSDYSIGPNASINVIMRPPEDAALDKTHQTQPLWLQLGQVLDKHFGAKDAKTVLGFLRQEHEERLQRLSLEALEQLVGQLLAQQQLDELAEEKEAPAVASELEQNNGGGGGGGGTGGEGGGKKEEEEGEEADQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPARIGYYEIDRTIGKGNFAVVKRATHLVTKAKVAIKIIDKTQLDEENLKKIFREVQIMKMLCHPHIIRLYQVMETERMIYLVTEYASGGEIFDHLVAHGRMAEKEARRKFKQIVTAVYFCHCRNIVHRDLKAENLLLDANLNIKIADFGFSNLFTPGQLLKTWCGSPPYAAPELFEGKEYDGPKVDIWSLGVVLYVLVCGALPFDGSTLQNLRARVLSGKFRIPFFMSTECEHLIRHMLVLDPNKRLSMEQICKHKWMKLGDADPNFDRLIAECQQLKEERQVDPLNEDVLLAMEDMGLDKEQTLQSLRSDAYDHYSAIYSLLCDRHKRHKTLRLGALPSMPRALAFQAPVNIQAEQAGTAMNISVPQVQLINPENQIVEPDGTLNLDSDEGEEPSPEALVRYLSMRRHTVGVADPRTEVMEDLQKLLPGFPGVNPQAPFLQVAPNVNFMHNLLPMQNLQPTGQLEYKEQSLLQPPTLQLLNGMGPLGRRASDGGANIQLHAQQLLKRPRGPSPLVTMTPAVPAVTPVDEESSDGEPDQEAVQSSTYKDSNTLHLPTERFSPVRRFSDGAASIQAFKAHLEKMGNNSSIKQLQQECEQLQKMYGGQIDERTLEKTQQQHMLYQQEQHHQILQQQIQDSICPPQPSPPLQAACENQPALLTHQLQRLRIQPSSPPPNHPNNHLFRQPSNSPPPMSSAMIQPHGAASSSQFQGLPSRSAIFQQQPENCSSPPNVALTCLGMQQPAQSQQVTIQVQEPVDMLSNMPGTAAGSSGRGISISPSAGQMQMQHRTNLMATLSYGHRPLSKQLSADSAEAHSLNVNRFSPANYDQAHLHPHLFSDQSRGSPSSYSPSTGVGFSPTQALKVPPLDQFPTFPPSAHQQPPHYTTSALQQALLSPTPPDYTRHQQVPHILQGLLSPRHSLTGHSDIRLPPTEFAQLIKRQQQQRQQQQQQQQQQEYQELFRHMNQGDAGSLAPSLGGQSMTERQALSYQNADSYHHHTSPQHLLQIRAQECVSQASSPTPPHGYAHQPALMHSESMEEDCSCEGAKDGFQDSKSSSTLTKGCHDSPLLLSTGGPGDPESLLGTVSHAQELGIHPYGHQPTAAFSKNKVPSREPVIGNCMDRSSPGQAVELPDHNGLGYPARPSVHEHHRPRALQRHHTIQNSDDAYVQLDNLPGMSLVAGKALSSARMSDAVLSQSSLMGSQQFQDGENEECGASLGGHEHPDLSDGSQHLNSSCYPSTCITDILLSYKHPEVSFSMEQAGV", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MMTRMELRPLEIGFSKALTEVAPVTCQCECWDHNLCSSQASEMDLIYQSQDTHSCASKQDAVFQLLSETKIPVPNRYRKISHRLSTLSNKKTLKSQLDRFLSSSKKLHNDDVNRGDYCFLLSTPVECSASTNSHSYDCLWNFSCNSFPEYSSYSASETSSVASYSYYSGPNPATPSSSSCNLVNANSLDIYLNINNLKKSKSVPRLRGQFMEPVEHNHPLSKSLEEQSSFLEQSKDASSNLTACNRSGSSLSSNFYSSRLSKKTSLASLNKSRASLQHKIMSLSRNIIRRVFHKPEVHLDPSASILNLSSSHGESNLTNGLLCQNFKLFQDDWLMEDCAPDANFTLYTPLQPWEKRSVKPEIRRPRLNPNFFRVFVLEAQMRRAGKLSANTAGRAQLIYLPKPAVTFSTSPLHVEL", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDTSRDDDFTFSKVSPPDSEVKDLASDVGSITLKDGLDQQKSNGLIWKDKSLPPKEETIGSLSFTVTDSSSSKKQSNESSETFKTPARKPITRTKVPFEKGYSQMDWLKLTRTHPDLAGLKGESNKRLIPMDEVKKHRTGDSMWTVLKGRVYNISPYMNFHPGGVDMLMKAVGRDGTLLFNKYHAWVNVDILLEKCLVGVLDDTKVKKQEP", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVVEVDSMPAASSVKKPFGLRSKMGKWCCRCFPCCRESGKSNVGTSGDHDDSAMKTLRSKMGKWCRHCFPCCRGSGKSNVGASGDHDDSAMKTLRNKMGKWCCHCFPCCRGSSKSKVGAWGDYDDSAFMEPRYHVRGEDLDKLHRAAWWGKVPRKDLIVMLRDTDVNKQDKQKRTALHLASANGNSEVVKLLLDRRCQLNVLDNKKRTALIKAVQCQEDECALMLLEHGTDPNIPDEYGNTTLHYAIYNEDKLMAKALLLYGADIESKNKHGLTPLLLGVHEQKQQVVKFLIKKKANLNALDRYGRTALILAVCCGSASIVSLLLEQNIDVSSQDLSGQTAREYAVSSHHHVICQLLSDYKEKQMLKISSENSNPEQDLKLTSEEESQRFKGSENSQPEKMSQEPEINKDGDREVEEEMKKHESNNVGLLENLTNGVTAGNGDNGLIPQRKSRTPENQQFPDNESEEYHRICELLSDYKEKQMPKYSSENSNPEQDLKLTSEEESQRLKGSENGQPEKRSQEPEINKDGDRELENFMAIEEMKKHRSTHVGFPENLTNGATAGNGDDGLIPPRKSRTPESQQFPDTENEEYHSDEQNDTQKQFCEEQNTGILHDEILIHEEKQIEVVEKMNSELSLSCKKEKDILHENSTLREEIAMLRLELDTMKHQSQLREKKYLEDIESVKKRNDNLLKALQLNELTMDDDTAVLVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRQQGMMGGMHQKESYVGKEAQSKRGILTLKYPMEHGIITNWDDMEKIWHHTFYNELRVAPEEHPVLLTEATLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYTSGRTTGIVMDSGDGVTHTVPIYEGNALPHATLRLDLAGRELPDYLMKILTEHGYRFTTMAEREIVRDIKEKLCYVALDFEQEMATVASSSSLEKSYELPDGQVITIGNERFRCPEALFQPCFLGMESCGIHETTFNSIMKSDVDIRKDLYTNTVLSGGTTMYPGMAHRMQKEIAALAPSMMKIRIIAPPKRKYSVWVGGSILASLSTFQQMWISKQEYDESGPSIVHRKCL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFSRKKPEPAKRRQHDLSQFGLTEIPDDFDPSAGYGEDDGGDSDLEAELAAITGGEGAKPKPKPKAKLLPASDLDKMIADSLRDVSDDDDDDNLESDPDLLGELSGIGGLEEAEEEEPVAQPPAASEEPVQTFLPTTTVDTLSIIKQRLEMYKQAEANAKTAGDSGKARRFGRGLKTLKDLHRQAAAGKSINVDDIPPEVSVKPIGGQAPPVPAEESPAPSTPASPPPVPSRAAPDPPTPGTPVEPTTSVAPTSPPNPLVTQMRSRQTDYKAAALQSKRSGDISTALQFLKVVKQFDVVIKMCEDGQEVDLSDMPPPPAEFLEFLKKMQEEAAAEAVAEPTAAPEPTPVAPAPVLAAATNMLEALQQRLEKYQSVEAAAKAENNSGKARRFGRIVKQYEDAIKLYKAGKPVPYDELPVPPGFGPLPTADAAPVAPTPSLPTSPTSPPPTASTSAGGTPSSSSATTPTAPRKAPSPPKPKELTTRTSGNQQKNNIAEQQMKLLLERQKEFKLAAIEAKKAGEIDQAKEYLKIFKGFDSLLNAASSGLPVDLSTLPVPPSQRDNLEASFAIVSAEECDPTDDICEIGVRMEEQLAKQLMMCKNTRDHHKAMGDVAGMNRFENLALTVQKDLDLVRYSKRKNEPLPKFHYEKRSFNIVHCNTDLTDSELEIVVVRGISYNVANPKDVDTYVRVEFPLLNDESFKTKTNVIRDTSSPDYDERFKVDIQRTNRQFQRIFKRHGVKFEIYSRGGFLRSDTLIGTVNVKLQPLETKCEIHDTYDLMDGRKQVGGKLEVKIRVRNPILTKQMEHITEKWLVLDA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAVRDYKTALEFAKSLPRLDGLSVQELMDSKTRGGLTYNDFLVLPGLVDFPSSEVSLQTKLTRNITLNTPFVSSPMDTVTESEMAIFMALLGGIGFIHHNCTPEDQADMVRRVKNYENGFINNPIVISPTTTVGEAKSMKERFGFSGFPVTEDGKRNGKLMGIVTSRDIQFVEDNSLLVQDVMTKNPVTGAQGITLSEGNEILKKIKKGKLLIVDDNGNLVSMLSRTDLMKNQNYPLASKSATTKQLLCGAAIGTIDADKERLRLLVEAGLDVVILDSSQGNSIFQLNMIKWIKETFPDLEIIAGNVATREQAANLIAAGADGLRIGMGSGSICITQEVMACGRPQGTAVYNVCEFANQFGIPCMADGGVQNIGHITKALALGSSTVMMGGMLAGTTESPGEYFYQDGKRLKAYRGMGSIDAMQKTGTKGNASTSRYFSESDSVLVAQGVSGAVVDKGSIKKFIPYLYNGLQHSCQDIGYKSLTLLKENVQSGKVRFEFRTASAQLEGGVHNLHSYEKRLHN", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVSSAQMGFNLQALLEQLSQDELSKFKYLITTFSLAHELQKIPHKEVDKADGKQLVEILTTHCDSYWVEMASLQVFEKMHRMDLSERAKDEVREAALKSFNKRKPLSLGITRKERPPLDVDEMLERFKTEAQAFTETKGNVICLGKEVFKGKKPDKDNRCRYILKTKFREMWKSWPGDSKEVQVMAERYKMLIPFSNPRVLPGPFSYTVVLYGPAGLGKTTLAQKLMLDWAEDNLIHKFKYAFYLSCRELSRLGPCSFAELVFRDWPELQDDIPHILAQARKILFVIDGFDELGAAPGALIEDICGDWEKKKPVPVLLGSLLNRVMLPKAALLVTTRPRALRDLRILAEEPIYIRVEGFLEEDRRAYFLRHFGDEDQAMRAFELMRSNAALFQLGSAPAVCWIVCTTLKLQMEKGEDPVPTCLTRTGLFLRFLCSRFPQGAQLRGALRTLSLLAAQGLWAQTSVLHREDLERLGVQESDLRLFLDGDILRQDRVSKGCYSFIHLSFQQFLTALFYTLEKEEEEDRDGHTWDIGDVQKLLSGVERLRNPDLIQAGYYSFGLANEKRAKELEATFGCRMSPDIKQELLRCDISCKGGHSTVTDLQELLGCLYESQEEELVKEVMAQFKEISLHLNAVDVVPSSFCVKHCRNLQKMSLQVIKENLPENVTASESDAEVERSQDDQHMLPFWTDLCSIFGSNKDLMGLAINDSFLSASLVRILCEQIASDTCHLQRVVFKNISPADAHRNLCLALRGHKTVTYLTLQGNDQDDMFPALCEVLRHPECNLRYLGLVSCSATTQQWADLSLALEVNQSLTCVNLSDNELLDEGAKLLYTTLRHPKCFLQRLSLENCHLTEANCKDLAAVLVVSRELTHLCLAKNPIGNTGVKFLCEGLRYPECKLQTLVLWNCDITSDGCCDLTKLLQEKSSLLCLDLGLNHIGVKGMKFLCEALRKPLCNLRCLWLWGCSIPPFSCEDLCSALSCNQSLVTLDLGQNPLGSSGVKMLFETLTCSSGTLRTLRLKIDDFNDELNKLLEEIEEKNPQLIIDTEKHHPWAERPSSHDFMI", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASPKIASLSWGQMKVQGSTLTYKDCKVWPGGSRAWDWRETGTEHSPGVQPADVKEVAEKGVQTLVIGRGMSEALKVPPSTVEYLEKQGIDVRVLQTEQAVKEYNALVAQGVRVGGVFHSTC", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSNSHHTSQGRRNKLSVWVKKIINTTTTTNASVSSSKPRRGTRAGPTRVKRAELDPDGTTISSSLRPLVDRNSLHSSESDDEGDRRVAWDEPPTGKVRQQQQQQQQQQNDNASVIPLVSFCSSSVKSSTFSDIHSIQSTRPTIFSNRTFETNSSVLAIPPQSILDRSRTLPPSNASNTTTRRP", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDLFGDLPEPERSPRPAAGKEAQKGPLLFDDLPPASSTDSGSGGPLLFDDLPPASSGDSGSLATSISQMVKTEGKGAKRKTSEEEKNGSEELVEKKVCKASSVIFGLKGYVAERKGEREEMQDAHVILNDITEECRPPSSLITRVSYFAVFDGHGGIRASKFAAQNLHQNLIRKFPKGDVISVEKTVKRCLLDTFKHTDEEFLKQASSQKPAWKDGSTATCVLAVDNILYIANLGDSRAILCRYNEESQKHAALSLSKEHNPTQYEERMRIQKAGGNVRDGRVLGVLEVSRSIGDGQYKRCGVTSVPDIRRCQLTPNDRFILLACDGLFKVFTPEEAVNFILSCLEDEKIQTREGKSAADARYEAACNRLANKAVQRGSADNVTVMVVRIGH", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPSTNRAGSLKDPEIAELFFKEDPEKLFTDLREIGHGSFGAVYFARDVRTNEVVAIKKMSYSGKQSTEKWQDIIKEVKFLQRIKHPNSIEYKGCYLREHTAWLVMEYCLGSASDLLEVHKKPLQEVEIAAITHGALQGLAYLHSHTMIHRDIKAGNILLTEPGQVKLADFGSASMASPANSFVGTPYWMAPEVILAMDEGQYDGKVDVWSLGITCIELAERKPPLFNMNAMSALYHIAQNESPTLQSNEWSDYFRNFVDSCLQKIPQDRPTSEELLKHIFVLRERPETVLIDLIQRTKDAVRELDNLQYRKMKKLLFQEAHNGPAVEAQEEEEEQDHGVGRTGTVNSVGSNQSIPSMSISASSQSSSVNSLPDVSDDKSELDMMEGDHTVMSNSSVIHLKPEEENYREEGDPRTRASDPQSPPQVSRHKSHYRNREHFATIRTASLVTRQMQEHEQDSELREQMSGYKRMRRQHQKQLMTLENKLKAEMDEHRLRLDKDLETQRNNFAAEMEKLIKKHQAAMEKEAKVMSNEEKKFQQHIQAQQKKELNSFLESQKREYKLRKEQLKEELNENQSTPKKEKQEWLSKQKENIQHFQAEEEANLLRRQRQYLELECRRFKRRMLLGRHNLEQDLVREELNKRQTQKDLEHAMLLRQHESMQELEFRHLNTIQKMRCELIRLQHQTELTNQLEYNKRRERELRRKHVMEVRQQPKSLKSKELQIKKQFQDTCKIQTRQYKALRNHLLETTPKSEHKAVLKRLKEEQTRKLAILAEQYDHSINEMLSTQALRLDEAQEAECQVLKMQLQQELELLNAYQSKIKMQAEAQHDRELRELEQRVSLRRALLEQKIEEEMLALQNERTERIRSLLERQAREIEAFDSESMRLGFSNMVLSNLSPEAFSHSYPGASGWSHNPTGGPGPHWGHPMGGPPQAWGHPMQGGPQPWGHPSGPMQGVPRGSSMGVRNSPQALRRTASGGRTEQGMSRSTSVTSQISNGSHMSYT", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLSEVLLVSAPGKVILHGEHAVVHGKVALAVSLNLRTFLRLQPHSNGKVDLSLPNIGIKRAWDVARLQSLDTSFLEQGDVTTPTSEQVEKLKEVAGLPDDCAVTERLAVLAFLYLYLSICRKQRALPSLDIVVWSELPPGAGLGSSAAYSVCLAAALLTVCEEIPNPLKDGDCVNRWTKEDLELINKWAFQGERMIHGNPSGVDNAVSTWGGALRYHQGKISSLKRSPALQILLTNTKVPRNTRALVAGVRNRLLKFPEIVAPLLTSIDAISLECERVLGEMGEAPAPEQYLVLEELIDMNQHHLNALGVGHASLDQLCQVTRARGLHSKLTGAGGGGCGITLLKPGLEQPEVEATKQALTSCGFDCLETSIGAPGVSIHSATSLDSRVQQALDGL", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTAILNWEDISPVLEKGTRESHVSKRVPFLQDISQLVRQETLEKPQLSEIAFVLLNTFTIYEDNRSKSLVTSILLDILNLEPCLLENFIRFISDVVISNPATKAVADYLNLLDWINSFLIFVSHNSNLFEEYIPKLLVAHSYATFGVETILDNQEEGKKSQDKQNQHRKRIRYCIFQTTVKAFLKCLKDNDDSISFMKISIKTVLESYSKLKITSVGVVMIMGALTQAALQLLSRQPALHSVLKENSAEKYCEYLGKEVFLGKNPPSSFCLEIGLKPFLKEFVSQELFIKFFIPNIEKAVLRSPEVGFSILSELYAGVSPEKVNLLNAFASSKLINQYFSSFKSSKEVVRSVSLQSMIILLRKISNTDTTLEDLTKLIDEIFKNIKSNLNADYKSLISKILIEIPLTHYEVSEKICKGLSPYIGKEGNEAALTLMLNAFFVHYFSLGKPIEDLDKIISAGFADKKPALKKCWFAAFLNNSNAASEEVILNFIDGCLEFVKDSIIHYQTHGHACILASIEFTNKILALDNTELNDRVMQLIETLPENSSIGDAILTAALSTELSIENRIHAVNLLQELFYKKPEFIGFSVIDAIERRMRVQELIPQQNTSFKYVTSVLLAITSELPDKEASIKVLINALVIAQWNIFNIKNGWAGLVLRARLDPAEVVKEHASVIMEKILEITGSCEWIDTIYGACGLQAAAYAAFIQPNEFTPILCKTIEADLTADDFSRLSEEDFEIFAGEEGVLVVDVLEESMNKKLSNKNSKEYETLMWEQKIRKEQAKKNVKKLSKEEQELVNEQLAKESAVRSHVSEISTRLKRGIRLVSELSKAACLVQNGIATWFPLAVTKLLYLCSEPNISKLTEDVNNVFLQLSQNVSERLGNIRLFLGLATLRVHNANGISQDYLQEPLVELLTRVLFRIKFVSNQAAIDSISLTYILPLLINVLEKGKAIALKNADKPVVKAEFVEEDEEEEHLLLAMEIISVHAEAFEDPSIPRISIVEVLLSLLSLPSKAKIAKDCFNALCQSISVAPNQEDLDMILSNLLSPNQFVRSTILETLDNEFELEPFMKYSPEVFICRFDSDPSNREIADFIWEFNKFVVNDELLKSLFPLFNQDDSGLRLFAANAYAFGAVSLFTSEENSSKDYLNDLLNFYKEKAKPLEPILDQFGLVLVSASEQKDPWQGRSTVAITLKIMAKAFSAEDDTVVNIIKFLVDDGGLVDREPIVRQEMKEAGVELITLHGSQNSKDLIPIFEEALSSSTDSALKENVIILYGTLARHLQQSDARIHTIIERLLSTLDTPSADIQQAVSACIAPLVFQFKQKVGDYLGILMEKLLNPTVASSMRKGAAWGIAGLVKGYGISALSEFDIIRNLIEAAEDKKEPKRRESVGFCFQYLSESLGKFFEPYVIEILPNILKNLGDAVPEVRDATARATKAIMAHTTGYGVKKLIPVAVSNLDEIAWRTKRGSVQLLGNMAYLDPTQLSASLSTIVPEIVGVLNDSHKEVRKAADESLKRFGEVIRNPEIQKLVPVLLQAIGDPTKYTEEALDSLIQTQFVHYIDGPSLALIIHIIHRGMHDRSANIKRKACKIVGNMAILVDTKDLIPYLQQLIDEVEIAMVDPVPNTRATAARALGALVERLGEEQFPDLIPRLLDTLSDESKSGDRLGSAQALAEVISGLGLTKLDEMLPTILAGVTNFRAYIREGFMPLLLFLPVCFGSQFAPYINQIIQPILSGLADNDENIRDTALKAGKLIVKNYATKAVDLLLPELERGMFDENDRIRLSSVQLTGELLFQVTGISSRNEFSEEDGDHNGEFSGKLVDVLGQDRRDRILAALFVCRNDTSGIVRATTVDIWKALVPNTPRAVKEILPTLTGMIVTHLASSSNVLRNIAAQTLGDLVRRVGGNALSQLLPSLEESLIETSNSDSRQGVCIALYELIESASTETISQFQSTIVNIIRTALIDESATVREAAALSFDVFQDVVGKTAVDEVLPYLLHMLESSDNSDFALLGLQEIMSKKSDVIFPILIPTLLAPPIDAFRASALGSLAEVAGSALYKRLSIIINALVDAIIGTSEDESTKGALELALDRVFLSVNDDEGLHPLLQQIMSLLKSDNIEKRIAVLERLPNFFDKTVLDFDVYIPNFVSHAILSLDDEDQRVVNGNFNALSTLLKKVDKPTLEKLVKPAKQSLALTGRQGQDVAAFKLPRGPNCVLPIFLHGLMYGSNDEREESALAIADVVSKTPAANLKPFVSVITGPLIRVVGERFSSDIKAAILFALNVLFIKIPMFLRPFIPQLQRTFVKSLSDATNETLRLRAAKALGALIEHQPRVDPLVIELVTGAKQATDEGVKTAMLKALLEVIMKAGSKLNENSKTNIVNLVEEEMLGSNDKLAVAYAKLIGSLSEILSNDEAHKILQDKVLNADLDGETGKFAILTLNSFLKDAPTHIFNTGLIDEFVSYILNAIRSPDVYFGENGTIAAGKLLLLEGEKRSPFVKKDAAEPFKIGDENINLLINELSKAVLQPASNSTDVRRLALVVIRTLARFKFDECIKQYFDVVGPSVFSCLRDPVIPIKLAAEKAYLALFKLVEEDDMHTFNEWFAKISDRGNSIETVTGTTIQLRSVGDYTKRVGKRLANVERERIAAGGDAETMFSDRFEDEREIWAVGGVELTTDI", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVGPVNIIVGSHVWIEDPGAAWIDGEVVKINGEEVHAHTTNGKTVVANIANVFPKDTEAPPGGVDDMTKLSYLHEPGVLNNLAMRYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAIAEVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTLRNNNSSRFGKFVELQFDNCGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCAAPPEEREKFKLGDPKLFHYLNQSKCYKLDGVDDTEEYLATRRAMDIVGISEEEQDAIFRVVAAILHLGNVNFAKGKEIDSSVLKDEKSRYHLDVCAELLRCDAKKMEDALIKRVMVTPEEVITRTLDPDSATGSRDALAKTIYSRLFDWLVDKINNSIGQDPNSKTIIGVLDIYGFESFKINSFEQFCINFTNEKLQQHFNQHVFKMEQEDYTKEEINWSYIEFVDNKDVLELIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFKNYKRFTKPKLSRTSFAISHYAGEVTYQADLFLDKNKDYVVAEHQDLLIASSDTFVAGLFPRLPEETSSKTKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNVLKPAIFENVNVIQQLRCGGVLEAIRISCAGYPTKRTFYEFLNRFGVLAPEVLEGNYDDKVACKMLLDKIGLKGYELGKTKVFLRAGQMAELDARRAEVLGNAARRIQRQSRTFIACKEFRALRGAAIVLQSNCRGKLACNLYEEMRRQAAAVKIQKIFRRHIARESYLRIRHSTITVQTALRGMVARNEFRFRKQMKAATIIQARLRSHLTHSYYKQLQKAALSTQCGWRSRVARKELRTLKMAARDTGALREAKDKLEKRVEELTWRLQLEKRQRTELEEAKTQEYAKQQEALETMRLQVEEANAAVIREREAARKAIEEAPPVIKETPVLVEDTEKINSLTSEVEALKASLQAERQAAENLRKAFSEAEARNSELATELENATRKADQLHESVQRLEEKLSNSESEIQVLRQQALAISPTSRTMATRSKTMLLPRTPENGNYLNGGTKTTPDMTLAVREPESEEKPQKHLNEKQQENQDLLVKCISQNLGYNGDKPVAACVIYKCLLHWRSFEVERTSVFDRIIQTIATAIEVPDNNEVLAYWLSNSATLLLLLQRTLKATGAASLTPQRRRTTSASLFGRMSQGLRGSPQSAGLSFLNRQGLTKLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRAQANAVAQQALIAHWQSIRKSLNSYLNLMKANNAPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIEATDEYAGSAWDELRHIRQAVGFLVIHQKPKKTLDEITRELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIANMRVMMTEDSNNAVSSSFLLDDDSSIPFTVEDISKSMQQVDVNDIEPPQLIRENSGFGFLLTRKEGSTS", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAQPQPPAYENKNIYATLPRTQRGQPIVLGADPKGKNFLYTNGNSVIIRNIENPAIADVYTEHSCAVNVAKYSPSGFYIASGDASGKIRIWDTVNKEHLLKNEFQPIAGPIKDISWSPDNQRIVAVGEGRERFGHVFMSETGTSVGEISGQSKSINSADFRPARPFRIVTGSEDNTIAVFEGPPFKFKMTKQDHSRFVQAVRYSPDGKFFASAGFDGKVFLYDGTSSELVGEFGSPAHKGGVYALAWKPDSTQLLTCSGDKTCRLWTVESRELVSEFVMGTTVDDQQVSCLWQGDNLITVSLSGVITYLNVADPSKPLRVVKGHNKPITVLGLSDDRSTIYTGSHDGVVTNWNSGSGTNDRITGTGHGNQINGIAAWGDFVYTCGIDDSLRQFSVEGNSYTDYVVKLNCQPRGLAILRNENIIALACIKELTLVQDQKKIFSLPIKYEASSIAVNADTSDVAVGGDDQKLHIYTLKGGVLEPKVELDHLGAVTDVSYSPDLKYLVACDAHRKVVLYSVEEYKPAHNKEWGFHSARVNTVAWSPNSLLVASGSLDTTIIIWSVANPAKHTIIKNAHPQSQITRLVWLDNNTVISTGQDCNTKVWHVENI", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATGTRYAGKVVVVTGGGRGIGAGIVRAFVNSGARVVICDKDESGGRALEQELPGAVFILCDVTQEDDVKTLVSETIRRFGRLDCVVNNAGHHPPPQRPEETSAQGFRQLLELNLLGTYTLTKLALPYLRKSQGNVINISSLVGAIGQAQAVPYVATKGAVTAMTKALALDESPYGVRVNCISPGNIWTPLWEELAALMPDPRATIREGMLAQPLGRMGQPAEVGAAAVFLASEANFCTGIELLVTGGAELGYGCKASRSTPVDAPDIPS", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MASLGPAAAGEQASGAEAEPGPAGPPPPPSPSSLGPLLPLQREPLYNWQATKASLKERFAFLFNSELLSDVRFVLGKGRGAAAAGGPQRIPAHRFVLAAGSAVFDAMFNGGMATTSAEIELPDVEPAAFLALLRFLYSDEVQIGPETVMTTLYTAKKYAVPALEAHCVEFLTKHLRADNAFMLLTQARLFDEPQLASLCLDTIDKSTMDAISAEGFTDIDIDTLCAVLERDTLSIRESRLFGAVVRWAEAECQRQQLPVTFGNKQKVLGKALSLIRFPLMTIEEFAAGPAQSGILSDREVVNLFLHFTVNPKPRVEYIDRPRCCLRGKECCINRFQQVESRWGYSGTSDRIRFTVNRRISIVGFGLYGSIHGPTDYQVNIQIIEYEKKQTLGQNDTGFSCDGTANTFRVMFKEPIEILPNVCYTACATLKGPDSHYGTKGLKKVVHETPAASKTVFFFFSSPGNNNGTSIEDGQIPEIIFYT", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPMNNFLDEFNLFDSIITMMKNDPCCVEDYEPIVENLNRIFQRTFNDEEHRKSMANSQLFWERLRDTLEAMLLPASLNENSSIPYTRTVRGLILMMRNLAAENQEIPQKLLLQNLVIRGFLHATSEYVVDTPLIKHLYIACLTCLFNIQQNYSTVDMTTFPALLQFLQYPYGIKLEDGEEEEHFWLPYLFLFKTYLNNDEFSNEFFRDNDTPQKDYYCVRDRIFFDIVTAKFIQDQENSFLIEKGRNYLDDSKLEITSIDLSVLECISKSLTTASFGKYLNGLEERQPGKFTTLLQILQLVVTSKEDWNTYELTAIMSWCYPILQRLACKDIPAFFNKSCNDYAPSVAIQLHSTLLSCLDIISDLCKFNHVRKFLISYDSVKILVSLLDTFQKNLLRINFLKGNGDTVNEIKITDHEGNKIEDRLLIFNRVNTNESFIRADNFPHCKLVIIEILASLVYAHPEIQDQIRELGGLALILSNCVIDDNDPFIKERSIVCLKFLLKNNAKNQEYVKKMEAQDVVQDDALSKAGFEISVEKGGKVRLVSKEEDPGNENSEIISIDED", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDQETIDTDYDVIVLGTGITECILSGLLSVDGKKVLHIDKQDHYGGEAASVTLSQLYEKFKQNPISKEERESKFGKDRDWNVDLIPKFLMANGELTNILIHTDVTRYVDFKQVSGSYVFKQGKIYKVPANEIEAISSPLMGIFEKRRMKKFLEWISSYKEDDLSTHQGLDLDKNTMDEVYYKFGLGNSTKEFIGHAMALWTNDDYLQQPARPSFERILLYCQSVARYGKSPYLYPMYGLGELPQGFARLSAIYGGTYMLDTPIDEVLYKKDTGKFEGVKTKLGTFKAPLVIADPTYFPEKCKSTGQRVIRAICILNHPVPNTSNADSLQIIIPQSQLGRKSDIYVAIVSDAHNVCSKGHYLAIISTIIETDKPHIELEPAFKLLGPIEEKFMGIAELFEPREDGSKDNIYLSRSYDASSHFESMTDDVKDIYFRVTGHPLVLKQRQEQEKQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVYFYESKPTEYSTPYQIVMGKDKFENDLLIKWSYRELNYVWFHADKYSSGHVYLKLRPNEKTIDDIPQEVICDCLQLCKSESIQGNKMPQCTILITPWHNLRKNRYMNPGEVSFKSLRQCRKMECGARDNKILNRLAKTRVELFNNVEATLNEAKKTKNGDFFVNYIESNRSNLIEEEKLRKVAKKNQKKKNKQSKDEVTDDMQLEV", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAGALWEIEKELFTKLPAPSSAINSHLQPAKPKVPQKKPSKWDPPAEFKVDLSTAVSYNDIGDINWKNLQQFKGIERSEKGTEGLFFVETESGVFIVKRSTNIESETFCSLLCMRLGLHAPKVRVVSSNSEEGTNMLECLAAIDKSFRVITTLANQANILLMELVRGITLNKLTTTSAPEVLTKSTMQQLGSLMALDVIVNNSDRLPIAWTNEGNLDNIMLSERGATVVPIDSKIIPLDASHPHGERVRELLRTLIAHPGHESSQFHSIRDIITLYTGYDVGTEGSISMQEGFLATVRECASFDLDAFERELLSWQESLQKCHNLSISPQAIPFILRMLRIFHDAIHNPSPSSPSPSPSSSSSTSHPTPASSSTSSTLPSSIPSSSNTSPPPASSSESLVGVEECAWLKVVVPNEKPAPRRYHSGVLYEGKLYVFGGVCIKTASNDFYVFDFAKKKWSIVVAQGEAPSPRCGHSATVYGGKMWIFGGHNNNKQPYSDLYTFDFAKSTWEKIEPTKDGPWPSPRYHHSATLVGASLYIFGGAEHKSKYHNDVYVYKFDANQWELLNATGETPEPRAGQMTVEWNNSLFTFGGHGGEGGYTSFVDAHVFEIATNTFHEVDCSGTFPRTARPLSYVPYYYGSGDKREGAVFSFGGSDGKSPLGSLYQWNLKTHKWKIIKAWMAVEDNTIGSMAAIASGKLDPIPRYGHCTVLDDTGVISIFGGSGSLFLDDIVQFDMTES", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSQSGAVSCCPGATNGSLGRSDGVAKMSPKDLFEQRKKYSNSNVIMHETSQYHVQHLATFIMDKSEAITSVDDAIRKLVQLSSKEKIWTQEMLLQVNDQSLRLLDIESQEELEDFPLPTVQRSQTVLNQLRYPSVLLLVCQDSEQSKPDVHFFHCDEVEAELVHEDIESALADCRLGKKMRPQTLKGHQEKIRQRQSILPPPQGPAPIPFQHRGGDSPEAKNRVGPQVPLSEPGFRRRESQEEPRAVLAQKIEKETQILNCALDDIEWFVARLQKAAEAFKQLNQRKKGKKKGKKAPAEGVLTLRARPPSEGEFIDCFQKIKLAINLLAKLQKHIQNPSAAELVHFLFGPLDLIVNTCSGPDIARSVSCPLLSRDAVDFLRGHLVPKEMSLWESLGESWMRPRSEWPREPQVPLYVPKFHSGWEPPVDVLQEAPWEVEGLASAPIEEVSPVSRQSIRNSQKHSPTSEPTPPGDALPPVSSPHTHRGYQPTPAMAKYVKILYDFTARNANELSVLKDEVLEVLEDGRQWWKLRSRSGQAGYVPCNILGEARPEDAGAPFEQAGQKYWGPASPTHKLPPSFPGNKDELMQHMDEVNDELIRKISNIRAQPQRHFRVERSQPVSQPLTYESGPDEVRAWLEAKAFSPRIVENLGILTGPQLFSLNKEELKKVCGEEGVRVYSQLTMQKAFLEKQQSGSELEELMNKFHSMNQRRGEDS", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDALVEDDICILNHEKAHKRDTVTPVSIYSGDESVASHFALVTAYEDIKKRLKDSEKENSLLKKRIRFLEEKLIARFEEETSSVGREQVNKAYHAYREVCIDRDNLKSKLDKMNKDNSESLKVLNEQLQSKEVELLQLRTEVETQQVMRNLNPPSSNWEVEKLSCDLKIHGLEQELELMRKECSDLKIELQKAKQTDPYQEDNLKSRDLQKLSISSDNMQHAYWELKREMSNLHLVTQVQAELLRKLKTSTAIKKACAPVGCSEDLGRDSTKLHLMNFTATYTRHPPLLPNGKALCHTTSSPLPGDVKVLSEKAILQSWTDNERSIPNDGTCFQEHSSYGRNSLEDNSWVFPSPPKSSETAFGETKTKTLPLPNLPPLHYLDQHNQNCLYKN", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MIEVVCNDRLGKKVRVKCNTDDTIGDLKKLIAAQTGTRWNKIVLKKWYTIFKDHVSLGDYEIHDGMNLELYYQ", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MMKFKPNQTRTYDREGFKKRAACLCFRSEQEDEVLLVSSSRYPDQWIVPGGGMEPEEEPGGAAVREVYEEAGVKGKLGRLLGIFENQDRKHRTYVYVLTVTEILEDWEDSVNIGRKREWFKVEDAIKVLQCHKPVHAEYLEKLKLGCSPANGNSTVPSLPDNNALFVTAAQTSGLPSSVR", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MESKTTQNGSEVVELTEFEKTQKKYQDFIATLPKSKGWRPDEILTQYGGHWWQECLLEGLFHAKDHFEARPTDFLVCSYPKTGTTWLKALTYAIVNRSRYDDAANPLLKRNPHEFVPYVEIDFAFYPTVDVLQDRKNPLFSTHIPNGLLPDSIVNSGCKMVYIWRDPKDTFISMWTFLHKEKSQEGQLASLEDSFDMFCKGLSVYGPYLDHVLGYWKAYQENPDRILFLRYETMRANPLPFVKRLAEFMGYGFTDEEEENGVAEKVVKLCSFETLKNLEANKGDKEREDRPAVYANSAYFRKGKVGDWANYLTPEMAARIDGLVEEKFKDTGLLQHDN", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMEITRETMSFTSTTPSARAPLGGRTTGNVTSQDRMLKIVTEMRSGAGSGLSPFGQNAASTIRDSREREKKEMSDLNDRLASYIEKVRFLEAQNRKLAADLDALRSKWGKDTHNIRNMYEGELVDAQKLIDETNKQRKDMEGQLKKMQDELAEMRRKLEDATKGREQDRAKIDALLVTLSNLEAEISLLKRRIAQLEDEVKRIKQENQRLLSELQRARTDLDQETLNRIDYQNQVQTLLEEIDFLRRVHDNEIKELQTLASRDTTPENREFFKNELSSAIRDIREEYDQVNNVHRNDMESWYRLKVQEIQTQSARQNMEQGYAKEEVKRLRTQLSDLRGKLADLESRNSLLEKQIQELNYQLEDDQRSYEAALNDRDSQIRKMREECQALMVELQMLLDTKQTLDAEIAIYRKMLEGEENRAGLKQLVEQVVKTHAITQETDTETMRVVKGETASRQSFQRSAKGNVSIHEASPDGKFIVLQNTHRAKDEAIGEWKLKRRIDGKRENVYTLPRDFVLRAGKTLKIFARNQGVASPPDQLVYDAEDSFGSGNNVQTILFNKEGEERATHIQRQSTA", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEKNGNNRKLRVCVATCNRADYSKLAPIMFGIKTEPAFFELDVVVLGSHLIDDYGNTYRMIEQDDFDINTRLHTIVRGEDEAAMVESVGLALVKLPDVLNRLKPDIMIVHGDRFDALALATSAALMNIRILHIEGGEVSGTIDDSIRHAITKLAHYHVCCTRSAEQHLISMCEDHDRILLAGCPSYDKLLSAKNKDYMSIIRMWLGDDVKCKDYIVALQHPVTTDIKHSIKMFELTLDALISFNKRTLVLFPNIDAGSKEMVRVMRKKGIEHHPNFRAVKHVPFDQFIQLVAHAGCMIGNSSCGVREVGAFGTPVINLGTRQIGRETGENVLHVRDADTQDKILQALHLQFGKQYPCSKIYGDGNAVPRILKFLKSIDLQEPLQKKFCFPPVKENISQDIDHILETLSALAVDLGGTNLRVAIVSMKGEIVKKYTQFNPKTYEERISLILQMCVEAAAEAVKLNCRILGVGISTGGRVNPQEGVVLHSTKLIQEWNSVDLRTPLSDTLHLPVWVDNDGNCAAMAERKFGQGKGQENFVTLITGTGIGGGIIHQHELIHGSSFCAAELGHLVVSLDGPDCSCGSHGCIEAYASGMALQREAKKLHDEDLLLVEGMSVPKDEAVGALHLIQAAKLGNVKAQSILRTAGTALGLGVVNILHTMNPSLVILSGVLASHYIHIVRDVIRQQALSSVQDVDVVVSDLVDPALLGAASMVLDYTTRRIH", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKNDHINYQQNLSQSPILNSNKNQTQQNQQQQQQQQQQNPQQQQQFQHQQVPQLSPQQIPFSEPLKNNLTLQHQQQQQQHQQLAGGQHGSLLRKSYSSRFHEKPQGELTKIANFQDVSPEERPSLFLLKLKQCCYVYDFSDNTYMVSKGVKQEALLQCVNFLSTNDQPLHESIYKMVFEMVAVNLFRPLPPRINPYGVMYDPEEDEPILEAAWPHIQVVYEVLLRFIDSPTFNTHIAKNYVDDRFVLQMLDLFDSEDPRERDYLKTTLHRIYGKFLGLRGFIRTAIRNLFCTFVYESHQHNGISEILEVLGSIINGFLVPLKDEHKQFLIKVLIPLHKPKSYSVYCSHLGYCMSQFIEKEPSLAEPIFKSILRLWPCGNSQKEVLFLSEMEDLLGLVSDEQFAKFRNQFFRQMTKCFQSEHFQVAERALYLFSNENIVLLIASKNNFTLALETFYKPLHENSISHWNRSIRNLSISSLKLFMEIDMDLFNKISEKYKESKKKQQQIQQREKFKQNAPETQKSKQINQNNNNNNNNINNNNNNNNNNNGSTETKADKPSMIRRKSLLPVDPSTIAALSSHRSLEDIMSTNSNSGNDDDDENNHTNHDSEIENEVKEDFRVPVNNRYTFT", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKYIQTEQQIEVPEGVTVSIKSRIVKVVGPRGTLTKNLKHIDVTFTKVNNQLIKVAVHNGGRKHVAALRTVKSLVDNMITGVTKGYKYKMRYVYAHFPINVNIVEKDGAKFIEVRNFLGDKKIRNVPVRDGVTIEFSTNVKDEIVLSGNSVEDVSQNAADLQQICRVRNKDIRKFLDGIYVSHKGFITEDL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTKSDLLFDKFNDKHGKFLVFFGTFVDTPKLGELRIREKTSVGVLNGIIRFVNRNSLDPVKDCLDHDSSLSPEDVTVVDIIGKDKTRNNSFYFPGFVDTHNHVSQYPNVGVFGNSTLLDWLEKYTFPIEAALANENIAREVYNKVISKTLSHGTTTVAYYNTIDLKSTKLLAQLSSLLGQRVLVGKVCMDTNGPEYYIEDTKTSFESTVKVVKYIRETICDPLVNPIVTPRFAPSCSRELMQQLSKLVKDENIHVQTHLSENKEEIQWVQDLFPECESYTDVYDKYGLLTEKTVLAHCIHLTDAEARVIKQRRCGISHCPISNSSLTSGECRVRWLLDQGIKVGLGTDVSAGHSCSILTTGRQAFAVSRHLAMRETDHAKLSVSECLFLATMGGAQVLRMDETLGTFDVGKQFDAQMIDTNAPGSNVDMFHWQLKEKDQMQEQEQEQGQDPYKNPPLLTNEDIIAKWFFNGDDRNTTKVWVAGQQVYQI", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLKQILSEMYIDPDLLAELSEEQKQILFFKMREEQIRRWKEREAAMERKESLPVKPRPKKENGKSVHWKLGADKEVWVWVMGEHHLDKPYDVLCNEIIAERARLKAEQEAEEPRKTHSEEFTNSLKTKSQYHDLQAPDNQQTKDIWKKVAEKEELEQGSRPAPTLEEEKIRSLSSSSRNIQQMLADSINRMKAYAFHQKKESMKKKQDEEINQIEEERTKQICKSWKEDSEWQASLRKSKAADEKRRSLAKQAREDYKRLSLGAQKGRGGERLQSPLRVPQKPERPPLPPKPQFLNSGAYPQKPLRNQGVVRTLSSSAQEDIIRWFKEEQLPLRAGYQKTSDTIAPWFHGILTLKKANELLLSTGMPGSFLIRVSERIKGYALSYLSEDGCKHFLIDASADAYSFLGVDQLQHATLADLVEYHKEEPITSLGKELLLYPCGQQDQLPDYLELFE", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MALGLEQAEEQRLYQQTLLQDGLKDMLDHGKFLDCVVRAGEREFPCHRLVLAACSPYFRARFLAEPERAGELHLEEVSPDVVAQVLHYLYTSEIALDEASVQDLFAAAHRFQIPSIFTICVSFLQKRLCLSNCLAVFRLGLLLDCARLAVAARDFICAHFTLVARDADFLGLSADELIAIISSDGLNVEKEEAVFEAVMRWAGSGDAEAQAERQRALPTVFESVRCRLLPRAFLESRVERHPLVRAQPELLRKVQMVKDAHEGRITTLRKKKKGKDGAGAKEADKGTSKAKAEEDEEAERILPGILNDTLRFGMFLQDLIFMISEEGAVAYDPAANECYCASLSNQVPKNHVSLVTKENQVFVAGGLFYNEDNKEDPMSAYFLQFDHLDSEWLGMPPLPSPRCLFGLGEALNSIYVVGGREIKDGERCLDSVMCYDRLSFKWGESDPLPYVVYGHTVLSHMDLVYVIGGKGSDRKCLNKMCVYDPKKFEWKELAPMQTARSLFGATVHDGRIIVAAGVTDTGLTSSAEVYSITDNKWAPFEAFPQERSSLSLVSLVGTLYAIGGFATLETESGELVPTELNDIWRYNEEEKKWEGVLREIAYAAGATFLPVRLNVLCLTKM", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MFPLGSVVEVITGERGFVRYAGEVENRKGVYVGLELLPEFAEFGKNRGVVDGREYFKTKNNEKTGIFVPFDKCKLASSISSSPSPKIDGTAASIGMGFPPMSPNLQSSIPRLTNVSSSSNLSMNTISSTALTPTEKILQKRIEDLLYERQNHQQQLEEVLATVDQLQSLVTNFNDQQDEVDELRERITLKEERIQQMRNEASQRRFEFKTTIECLEESSNRAIETYENRIAELEAQLEMYMSGKSEDDLLFSLQQERDYALNQVEILQERVDTLMKQKANSSTANEKLSHMESSSPTLTNASFESPKRGKGSNDLPENHPQRRQTLEFYEIEIEVLREKVEKLQALSDEKDFYISKLEKSLDRNDTTPVPSDEKLSNYAAEKENLVSRISELEHTIEQLTINNERDNERMSPAEFELETTQEVEENDSDSHDDEETWCEVCETNNHSLQECPTVFGSTDEA", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKIGPVGKHDARSTTIVNWDEGSHDGFISQIFLSHGVAGIMSIQFQFVMDGKLVLSDRHGPFSGNMFDVIELNYPHEYITGISGEYYKYEANNPHMRSLKFNTNTSEYGPFGTSGSSNDKFAFKLGKSPQFGGFHGTYDASGLQYIGVYLRPKTVLPKIDTGNAEETESKIVLG", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDEHEEAVIFGICLVDFHHKRGPEIEYWYGLPEGTQSAELWPNLPFQALPDGSHSFEETFTYFTLLYDERRQRSPPNGATDLSDDSINDNTTLFAISCSRQIKSDELVTKDKDVTRSTVQKAIVVISRQPIFGQIKDKLSIVTNAFFLQHDFGDRKIIQSLYENLKSIYTPASLVRNAENRLYIGLCLRKILHDFKRNALVLLKAIMLEKKIIVYGNDVEALCNLQFGLISLIPDLMSNLQDSGSPQLFQDISKLNVVDSFKSSNRESVLRFLGFPLPIFEKGGLFSPYTPLQQMNDIRSERTLFFMIGSSNTLLAEQKEELCHIFVNTDNSTVDILDKTLNPVLQLSSHDKKWIESISGIVSDTWNENDDETPKNSQFEGSEDFIRWQFEDYLTGLLSSVKLSDYLDLHKENDQALKTIPEDMLNSNPVHLFNLNWVQSWKETQNFLIFNSRTDDRLFDLFPPKHIYNGADTLSLLQQRFLATFHNLKRSSSNSSSNKNGHQSEEDIKDQESIESKKSVSQISVNPGKNTDKPAANLWNSWKEYFNKPKNTANEDVTESTEDLKNRSKTSNAIQKAMMGLGLHYKPDAETDQQSEEVGNSEDNEDDDTDEDSEDDDDDGGDDDDSEDDDDDDDGEGDENGDDGEGDENGDDGEGDENGDKEDSQDFSNGFTDVTNINTDHDKENEQNFEGNAENFNEDETVADKDIEGGPESNKNSDSKTDIYENDRNVEDSSKTRNTVKKSNEEGGANDAAIGNCVQDEEKL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGQGSSKHADSKLDSYPSFSRSDTQGSIKSLKSLKTVLGKGKDSNHDRRTSTDTTHSRHRYPETPPSLPPPPSPGILATSPAVLQKHQQEDSGNSSQSPTSPHPSNQPAMLSPSTAASQHHHHHSSSSSYAVSPTSPTSPTSSGPIGSNFDSASEHNGPVYPQDQQGPVIIPNSAISSTDPDDPETVVSLNVDEMIQRLIHVGYSRKSSKSVCLKNAEITSICMAVREIFLSQPTLLELTPPVKIVGDVHGQYSDLIRLFEMCGFPPSSNYLFLGDYVDRGKQSLETILLLFLYKIRYPENFFLLRGNHECANITRVYGFYDECKRRCNIKIWKTFINTFNCLPIASVVAGKIFCVHGGLSPSLSHMDDIREIPRPTDVPDYGLLNDLLWSDPADTENDWEDNERGVSFVFNKNVIRQFLAKHDFDLICRAHMVVEDGYEFFNDRTLCTVFSAPNYCGEFDNWGAVMSVNSELLCSFELIKPLDQAAIRRELKKSKRSGMAIYQSPPAEQVTQSV", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSNSNSKKPVANYAYRQQQDYNGMNAMVGNPMMYHPVDFVNGAGQYGPSQHPAYYTNSPLPNIPPTPFDTAYGASLFPSHLLMGSPFVSSPNMQSGYNSARSSNLKRKAYSRPVSNHNGYNGNSNSNQNNTNNGMVTPSNYYRMGRNSFSRNNNSTRNVTHNNNKGCDTRNNSGRRTFARNNIFDDILPEMLLQRPFCINYKVLPTGDDAYRTRSLLIENVDHSIDLHSIVKNFVKSNTLESAYLIEGGKSDDSKDVETKNLSILISFLTKGDCLNFYNNILQRLSEFKTFLKSEALNLKFVCLNYDPKCLPTFIESEALTENAEEADITNGSTMISASLHHNIANKDATRSIIIEFKSPVEKSDLFKKKLQFLDRSKNKRYILESIDLVNTDVPSNQFPENYAVLTFLNISMAIEVLDYLKKYSKNLGISKCFYVSLAPLVVSSARSSVANIYEGKTSTHRLSVPSVTAGNNNDSNNNGNNNKSNMSGITTLNNNSSIGVSVYGHSNMSLTSLSSSVSLNEEIDMLATKLQGVELDGTYLEINYRDYQTPTIEEHSTHLSNVKISKTTENSRQFSQDIPSPLPLNEHMFMNDSNQSNGAIIPQQLIATPSPVSPNLQMNQRVLPNPITQSLEQNFNVSAKVASSMGSDIGNRTIYIGNINPRSKAEDICNVVRGGILQSIKYIPEKKICFVTFIEAPSAVQFYANSFIDPIVLHGNMLRVGWGHYSGPLPKLISLAVTIGASRNVYVSLPEFAFKEKFIHDPQYKKLHETLSLPDAEQLREDFSTYGDIEQINYLSDSHCCWINFMNISSAISLVEEMNKESTVQNESGEVTLKRATEEKFGGRYKGLLINYGKDRCGNINKNLIAGKNSRFYKKVKRPSYNIRLSKLEEKRRQNEIDEKEKAFDKPLNLESLGISLDAHKDNGGGETGTANNTGHENESELEAENENGNETGSFGGLGLAVASSDVKRATSDETDYEDIFNKSSGSSDSSSDVEVIMHSPSDPEYALKSQTLRSSSQTVINSKRPVKIEDEEEAVGMSQLNYRSSLRQAPPRAPSTLSYNHSKNNETPMQDIFTNGETANNRKKKRGSFARHRTIPGSDVMAQYLAQVQHSTFMYAANILGASAEDNTHPDE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSELLDSFETEFAKFYTDSNLEETNLQKCLDHTHEFKSQLKKLKAHLNKHIQESKPEVYNKLSDKEKQKFKRKRELIIEKLSKSQRQWDHSVKKQIKYVSQQSNRFNKSTLNKLKEFDIDSVYVNKLPKETMENVNEAIGYHILRYSIDNMPLGNKNEAFQYLKDVYGITNKESTEFIEMGQIVHDLKKGDTESCLKWCSNEMESLSSNHTALSSLKFDLYTLSAMQIVKHGNPVELYYQITQNAPLDCFRHREKELMQNVVPLLTKSLIGQPIEDIDSKVNKELKECTSLFIKEYCAAKHIFFDSPLFLIVLSGLISFQFFIKYKTIRELAHVDWTTKDELPFDVKLPDFLTHFHPIFICPVLKEETTTENPPYSLACHHIISKKALDRLSKNGTITFKCPYCPVNTSMSSTKKVRFVML", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNTTPGTVGSDPVILATAGYDHTVRFWQAHSGICTRTVQHQDSQVNALEITPDRSMIAATGYQHIRMYDLNSNNPNPIISYDGVSKNIASVGFHEDGRWMYTGGEDCTARIWDLRSRNLQCQRIFQVNAPINCVCLHPNQAELIVGDQSGTSHIWDLKTDHNEQLIPEPEFSITSAHIDPDASYMAAVNSAGNCFVWNLTGGIGDEVTQLIPKTKIPAHTRYALQCRFSPDSTLLATCSADQTCKIWRTSNFSLMTELSIKSSNPGESSRGWMWGCAFSGDSQYIVTASSDNLARLWCVETGEIKREYGGHQKAVVCLAFNDSVLG", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSEIHVKTALSRLADKYLQNSKNPSVPYTIETIVSFFQEIIHSISPDTFQLDIDDILYKIYSKIPPEENNDALFSKLSNLVSRLKSQTVIHNKSQILYFLYLLSPISQSSRDVSSHLLDESISNPINIPSTEVESSNFGQTRYDQVPENPQITDWDEGLENESSISIAHDSSRLNRSTETSSVQHTLITEADLLSSISYVLQGISTEYVQFKNELALLSKRIPVQYLLQMRALSETGLLYQELKVFSNYDPSVSQSIDGDNVSKAFINDQSLALQSLKSVISKELTNFLALIASLDSQIRADASLEKPMVTIRRCIAWTQVAKLKLRILSSVVNDNMNQENKKRLIQVVSKYNVHGDPLIQELSDKILTEITGPLYEMIENWIYKGELVDPYQEFFVKEKNGSESHDHQGQGDVVWKGKYFLDKELIPSFLSEELVDKIFLIGKSLNFARYGCGDFDWAQEHYQKLVKKLSYRDPHSLETVVDKAYTESINHLVYLMEEVFHLTDHLKAIKKYLLLGQGDFVDLLMESLGNSLDQPANTLFRHNLTASLESAIRSSNASYEPEYVLKRLDARLLELSHGETGWDVFTLEYKVDSPINVIITPYCSRQYLKIFNFLWRLKRIEFALAHSWRRVNLGERNVFRNLDYTKFEWHFVSCHLAEMIHFVCQLQYYILFEVIEISWQELQLAMEKPNATLDTYIEAHEKYVTSITHKGLLGGGKSRNEDSFLHQLHDILKVILNFHDAIELLYNFSCSLSNRIRINVPISTDALAAQYTPIKNELSNFTEEFQVRLQKLLHGLASHKDPEMRFLSVRLNYNEFYVSHRRRHDKDVTSQ", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAYNHFSIPKNIEEKENSFFDVTFQDEPDETTSTATGIAKVSIPTPKPSTPLSTLTNGSTIQQSMTNQPEPTSQVPPISAKPPMDDATYATQQLTLRALLSTREAGIIIGKAGKNVAELRSTTNVKAGVTKAVPNVHDRVLTISGPLENVVRAYRFIIDIFAKNSTNPDGTPSDANTPRKLRLLIAHSLMGSIIGRNGLRIKLIQDKCSCRMIASKDMLPQSTERTVEIHGTVDNLHAAIWEIGKCLIDDWERGAGTVFYNPVSRLTQPLPSLASTASPQQVSPPAAPSTTSGEAIPENFVSYGAQVFPATQMPFLQQPKVTQNISIPADMVGCIIGRGGSKISEIRRTSGSKISIAKEPHDETGERMFTITGTHEENEKALFLLYQQLEMEKDRRSH", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MQCYLKRKERSVAFITEKYAAIIQKPSVKDSDCIITFTCISLEEFEKIKEYELLFGGEKILGTLGLFNYVCEDMKEGVFLCVARKAKLVLRIGNRDPISKLENVSFISLDQELWDEELFEPMPRNSSPSSTFSTSTSDLNNIEKDNLVNSEYSSKYSSTTRIYPYHSLSQLTDLLTDGSFYVSTNISCFSRFQSEQPYGPKDIYCWNRFLITELDNHFSEAHILEKFPNLLLHCFRGYVERMFIDSMSMSIISKVSSYGSRQTYPPSGIDDSSYCSMFVETEFIVEIAQTVFSFVQVRGTVPCFWEEQFSSWYGPSISFLRSSQASQSLFNFHFSKLYKAYGDIYVIDLLQTKGFEASLYEAYKHHLMLLPFPAVVKKFHFTPDPARPDIDPRLETDLADDLKEMGYTQKSIENDMLESFQKGVFRINDLDCLGRTNVIQYQISRLVLKDIFFNLQIGVTFNILEYLRHLWSNNGDAIAKLITGVGSIGSSATRRGRKSIAGSLSDISKSFGRMYVGRYPDVESQNAILLLLGCFPNQSPVLISESVSSYIQGVLRQRRSEYRVERDFSIFSSTFNANGKVPSTDEFKRLLLPFGERTSAYDLYVVAVQEIITLNMSHLVSSSNQKLRIWEEKILMILNSRDSNNKYMLISSIQMAGVFLGVFIRKDDHLVVSKVTKTTRKTGFGGFSANKGAVAIEMNVCDSDFCFVSSHFAPKVNNISERNMEYTSISDNLVFPSGMKIYDHTNILWMGDFNYRIDSDNEEVRKLVELDDLDKLASYDQLCTEMKKGTVFHGLVEPQLTFLPTYKFDNGTNDYDTSDKQRVPSWTDRILATKSFTRNCYKSCDIRCSDHRPVFATFSLKIFSTCMDKKAGIIRDARIAYIKSKNSNK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MIRNSEDFSHHLSRESKAREKGPFQMLGRIKSSTGIDAISFSSGLPHPNKFAIRELSIKFPQLGCFKEENGTYAKEVNVTFNIKADPSEGLLNFSQSLQYGQCQGISELVGFIKEHIRRIHAPRYENWDIKMSNGNTSGLEYCLRLLVNYGDHVLTEKYTYPAAITAMRALGVQFVSVDMDSEGMLPESLEEIMRDWDISLGPRPHVLYTVPTGQNPTGSTLSLSRRKKLLALARKYDIIIVEDEPYYFLQMEDYNGSLNPAQQKCDGSTFLKSLVPSLLSLDTEGRVLRLDSFSKLIAPGTRLGYITGNSMFIDHITRIAEVCTESPSGICQSVLYAMLHNWGQEGFCAWLQELQYSYTVRRNAFLNVANKYLPNSVCIYHVPRAGLFLWVELNLNHYRFSDTKKSVSQIEMEIFLALVEKGVKTVCGQFFMANPERSTKIFFRFAYSIADFEDFEEGIKRFTSVINEHFNVESRVRICP", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSNLLNKFADKLHGNDHDERYEDDNDDQTRQQRHEKHQQREFRNQGSKADPYGEENQGNFPQRQQPQSNLGGNTQFGGNDFQQQTTDYTAGTGGGTYTQTYRETNTQGQLDDDEDDDFLTSGQQQKQGRTRGAQSNRYQSSNIGSGRRDLSGSGNDEYDDDSGNQGVW", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASEGASIPSPVVRQIDKQFLICSICLERYKNPKVLPCLHTFCERCLQNYIPAHSLTLSCPVCRQTSILPEKGVAALQNNFFITNLMDVLQRTPGSNGEDSSILETVTAVAAGKPLSCPNHDGNVMEFYCQSCETAMCRECTEGEHAEHPTVPLKDVVEQHKASLQVQLDAVNKRLPEIDSALQFISEIIHQLTNQKASIVDDIHSTFDELQKTLNVRKSVLLMELEVNYGLKHKVLQSQLDTLLQGQESIKSCSNFTAQALNHGTETEVLLVKKQMSEKLNELADQDFPLHPRENDQLDFIVETEGLKKSIHNLGTILTTNAVASETVATGEGLRQTIIGQPMSVTITTKDKDGELCKTGNAYLTAELSTPDGSVADGEILDNKNGTYEFLYTVQKEGDFTLSLRLYDQHIRGSPFKLKVIRSADVSPTTEGVKRRVKSPGSGHVKQKAVKRPASMYSTGKRKENPIEDDLIFRVGTKGRNKGEFTNLQGVAASTSGKILIADSNNQCVQIFSNDGQFKSRFGIRGRSPGQLQRPTGVAVHPSGDIIIADYDNKWVSIFSNDGKFKTKIGSGKLMGPKGVSVDRNGHIIVVDNKACCVFIFQPNGKIVTRFGSRGNGDRQFAGPHFAAVNSNNEIIITDFHNHSVKVFNQEGEFMLKFGSNGEGNGQFNAPTGVAVDSNGNIIVADWGNSRIQVFDGSGSFLSYINTSADPLYGPQGLALTSDGHVVVADSGNHCFKVYRYLQ", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAPGKKVAPAPFGAKSTKSNKTRNPLTHSTPKNFGIGQAVQPKRNLSRYVKWPEYVRVQRQKKILSIRLKVPPTIAQFQYTLDRNTAAETFKLFNKYRPETAAEKKERLTKEAAAVAEGKSKQDASPKPYAVKYGLNHVVALIENKKAKLVLIANDVDPIELVVFLPALCKKMGVPYAIVKGKARLGTLVNQKTSAVAALTEVRAEDEAALAKLVSTIDANFADKYDEVKKHWGGGILGNKAQAKMDKRAKNSDSA", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLQKREKVLLLRTFQGRTLRIVREHYLRPCVPCHSPLCPQPAACSHDGKLLSSDVTHYVIPDWKVVQDYLEILEFPELKGIIFMQTACQAVQHQRGRRQYNKLRNLLKDARHDCILFANEFQQCCYLPRERGESMEKWQTRSIYNAAVWYYHHCQDRMPIVMVTEDEEAIQQYGSETEGVFVITFKNYLDNFWPDLKAAHELCDSILQSRRERENESQESHGKEYPEHLPLEVLEAGIKSGRYIQGILNVNKHRAQIEAFVRLQGASSKDSDLVSDILIHGMKARNRSIHGDVVVVELLPKNEWKGRTVALCENDCDDKASGESPSEPMPTGRVVGILQKNWRDYVVTFPSKEEVQSQGKNAQKILVTPWDYRIPKIRISTQQAETLQDFRVVVRIDSWESTSVYPNGHFVRVLGRIGDLEGEIATILVENSISVIPFSEAQMCEMPVNTPESPWKVSPEEEQKRKDLRKSHLVFSIDPKGCEDVDDTLSVRTLNNGNLELGVHIADVTHFVAPNSYIDIEARTRATTYYLADRRYDMLPSVLSADLCSLLGGVDRYAVSIMWELDKASYEIKKVWYGRTIIRSAYKLFYEAAQELLDGNLSVVDDIPEFKDLDEKSRQAKLEELVWAIGKLTDIARHVRAKRDGCGALELEGVEVCVQLDDKKNIHDLIPKQPLEVHETVAECMILANHWVAKKIWESFPHQALLRQHPPPHQEFFSELRECAKAKGFFIDTRSNKTLADSLDNANDPHDPIVNRLLRSMATQAMSNALYFSTGSCAEEEFHHYGLALDKYTHFTSPIRRYSDIVVHRLLMAAISKDKKMEIKGNLFSNKDLEELCRHINNRNQAAQHSQKQSTELFQCMYFKDKDPATEERCISDGVIYSIRTNGVLLFIPRFGIKGAAYLKNKDGLVISCGPDSCSEWKPGSLQRFQNKITSTTTDGESVTFHLFDHVTVRISIQASRCHSDTIRLEIISNKPYKIPNTELIHQSSPLLKSELVKEVTKSVEEAQLAQEVKVNIIQEEYQEYRQTKGRSLYTLLEEIRDLALLDVSNNYGI", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGGNLSSELKSTKYRKREIVDLRKMNIDKLPPTIGALQCKELLLSENDLITIPEEIGKLSKVEIIDFAKNRINYIPPEIGSLATLKQLFLSNNKLFYTPITPNIGALKNLTRLDLSSNQLDDLPVEISNCEALEYLDISDNQLQSFPLEFGKLYNLQVFNCSKNSLKSLPSEISGWVKLEELNVSNNQLAFLPNQICLLGLLSTLNVGFNKLQQLPEELSSMVSLTNLDLKVNPPLQYVPQLSNLRQLKILSIRNLQITHLPLGLGLLSELIELDIRDNPQLKEIPYDIATLINLQKLDLFGNNMRIVPREVGNLINLQTLDLRQNKLTIDNIPSEIGKLVNLKKLLLSNNLLIALPPEIASMKALKEFEASNNQLQAIPTEIGELSGLTKINLSGNKLTSIPASFGNLSELQICDLKSNEIAELPTTLDGLKSCTKIDLSHNMLTELPWEFGDLIGLTILDVGHNPLTIPPNPIVMKGTESIIQWLKKNEKEGRKGKVSGLGIQQDNEK", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAAKPTLTDSLSFCLAQLAAAAGEALGGEKDPATNETPLSRALLALRTRHIKAAGGIERFRARGGLRPLLALLRRAAAAGSAPSQAGPGSAPSSAASGASSPAPASGPAPSAVSSSSPTPPVRLRKTLDLALSILADCCTEGACRTEVRRLGGILPLVTILQCMKTDSIQNRTARALGNLAMEPESCGDIHCAGAVPLLVESLTACQDSQCLQSVVRALRNLADSPQHRLALAQQGAVRPLAELLATAPDAALTLALVRALLELSRGCSRACAEQLSLGGGLGPLVSLASHPKRAVREGTILILANLCAQGLIRPALGNAGGVEVLVDELRQRRDPNGASPTSQQPLVRAVCLLCREAINRARLRDAGGLDLLMGLLRDPRASAWHPRIVAALVGFLYDTGALGRLQALGLVPLLAGQLCGEAGEEEEEGREAASWDFPEERTPERAQGGSFRSLRSWLISEGYATGPDDISPDWSPEQCPPEPMEPASPAPTPTSLRAPRTQRTPGRSPAAAIEEPWGREGPALLLLSRFSQAPDPSGALVTGPALYGLLTYVTGAPGPPSPRALRILSRLTCNPACLEAFVRSYGAALLRAWLVLGVAPDDWPAPRARPTLHSRHRELGERLLQNLTVQAESPFGVGALTHLLLSGSPEDRVACALTLPFICRKPSLWRRLLLEQGGLRLLLAALTRPAPHPLFLFFAADSLSCLQDLVSPTVSPAVPQAVPMDLDSPSPCLYEPLLGPAPVPAPDLHFLLDSGLQLPAQRAASATASPFFRALLSGSFAEAQMDLVPLRGLSPGAAWPVLHHLHGCRGCGAALGPVPPPGQPLLGSEAEEALEAAGRFLLPGLEEELEEAVGRIHLGPQGGPESVGEVFRLGRPRLAAHCARWTLGSEQCPRKRGLALVGLVEAAGEEAGPLTEALLAVVMGIELGARVPA", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLYLIGLGLSYKSDITVRGLEAIKKCSRVYLEHYTSILMAASQEELESYYGKEIILADRELVETGSKQILNNADKEDVAFLVVGDPFGATTHTDLVLRAKREAIPVEIIHNASVMNAVGACGLQLYNFGQTVSMVFFTDNWRPDSWYDKIWENRKIGLHTLVLLDIKVKEQSIENMARGRLIYEPPRYMSIAQCCEQLLEIEEKRGTKAYTPDTPAVAISRLGSSSQSFKSGTISELANYDSGEPLHSLVILGRQCHELELEYLLEFADDKEKFGKDVANDQEYFKPAAWVPPTEDDSDE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDFDKKGGKGETEEGRRMSKAGGGRSSHGIRSSGTSSGVLMVGPNFRVGKKIGCGNFGELRLGKNLYTNEYVAIKLEPIKSRAPQLHLEYRFYKQLSATEGVPQVYYFGPCGKYNAMVLELLGPSLEDLFDLCDRTFTLKTVLMIAIQLITRMEYVHTKSLIYRDVKPENFLVGRPGTKRQHAIHIIDFGLAKEYIDPETKKHIPYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHMFMYFLRGSLPWQGLKADTLKERYQKIGDTKRATPIEVLCENFPEEMATYLRYVRRLDFFEKPDYDYLRKLFTDLFDRSGFVFDYEYDWAGKPLPTPIGTVHTDLPSQPQLRDKTQPHSKNQALNSTNGELNADDPTAGHSNAPITAPAEVEVADETKCCCFFKRRKRKSLQRHK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNMNESISKDGQGEEEQNNFSFGGKPGSYDSNSDSAQRKKSFSTTKPTEYNLPKEQPESTSKNLETKAKNILLPWRKKHNKDSETPHEDTEADANRRANVTSDVNPVSADTKSSSGPNATITTHGYSYVKTTTPAATSEQSKVKTSPPTSHEHSNIKASPTAHRHSKGDAGHPSIATTHNHSTSKAATSPVTHTHGHSSATTSPVTHTHGHASVKTTSPTNTHEHSKANTGPSATATTHGHINVKTTHPVSHGHSGSSTGPKSTAAAQDHSSTKTNPSVTHGHTSVKDNSSATKGYSNTDSNSDRDVIPGSFRGMTGTDVNPVDPSVYTSTGPKSNVSSGMNAVDPSVYTDTSSKSADRRKYSGNTATGPPQDTIKEIAQNVKMDESEQTGLKNDQVSGSDAIQQQTMEPEPKAAVGTSGFVSQQPSYHDSNKNIQHPEKNKVDNKNISERAAEKFNIERDDILESADDYQQKNIKSKTDSNWGPIEYSSSAGKNKNLQDVVIPSSMKEKFDSGTSGSQNMPKAGTELGHMKYNDNGRDNLQYVAGSQAGSQNTNNNIDMSPRHEAEWSGLSNDATTRNNVVSPAMKDEDMNEDSTKPHQYGLDYLDDVEDYHENDIDDYSNAKKNDLYSKKAYQGKPSDYNYEQREKIPGTFEPDTLSKSVQKQDEDPLSPRQTTNRAGMETARDESLGNYEYSNTSGNKKLSDLSKNKSGPTPTRSNFIDQIEPRRAKTTQDIASDAKDFTNNPETGTTGNVDTTGRMGAKSKTFSSNPFDDSKNTDTHLENANVAAFDNSRSGDTTYSKSGDAETAAYDNIKNADPTYAKSQDITGMTHDQEPSSEQKASYGSGGNSQNQEYSSDDNIDVNKNAKVLEEDAPGYKREVDLKNKRRTDLGGADASNAYAAEVGNFPSLIDPHVPTYGFKDTNTSSSQKPSEGTYPETTSYSIHNETTSQGRKVSVGSMGSGKSKHHHNHHRHSRQNSSKGSDYDYNNSTHSAEHTPRHHQYGSDEGEQDYHDDEQGEEQAGKQSFMGRVRKSISGGTFGFRSEI", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MCSSVTGKLWFLTDRRIREDYPQKEILRALKAKCCEEELDFRAVVMDEMVLTVEQGNLGLRISGELISAYPQVVVVRVPTPWVQSDSDITVLRHLEKMGCRLMNRPQAILNCVNKFWTFQELAGHGVPLPDTFSYGGHENFAKMIDEAEVLEFPMVVKNTRGHRGKAVFLARDKHHLADLSHLIRHEAPYLFQKYIKESHGRDVRVIVVGGRVVGTMLRCSTDGRMQSNCSLGGVGMMCSLSEQGKQLAIQVSNILGTDVCGIDLLMKDDGSFCVCEANANVGFIAFDKACNLDVAGIIADYAASLLPAGRLTRRMSLLSVVSTASETSEPELGPPASAAVDNMSASSSSVDSDPESTTEREMLTKLPGGLFNMNQLLANEIKLLVE", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEPCEDKCKRGLSLNFFEEDGPSLRLNSDSLDFLARDFKVEGMSQDNFDQKTKLYITEESLQKEVNIFLTKIYIRESEREPPQSHNSVFQLLSKIRNSVPNVSAFRNNLSILSKELSFFSFARHIHNRRLCWAEFIYCIRRGIKAIFKTTVQFLPTRLAKIFEKKASEVLKDNLLQTCNSKREGEVCDVKEPAVASSESSDCFNDMQELNNIVDLRDYSNSRFQQNRLLDRNLKGWIQGESEKALKGRRTTKRNDKENYNYPDFSNDNELLFSLATLIVENNPKKENIIPKYYLRYLQRLSRTEINKEIIEIEKLELEVVQFQMSIANLINTQVEVTNTIEELGLRCRPLPNENE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFYIQSSEALQILKNSLRKHLPESLKVYGTVFHMNQGNPFKLKAVVDKWPDFNTVVIRPQEQDMTDDLDHYNNTYLIYSKDPKHCQEFLGSSDVINWKQHLQIQSSQADLGKVIENLGATNLGKVKHKQCFLYMVSHTAKKLTPSLVDAKHLVVSSEKPTPFDHQLFKFARLDVKHAALVNSIWYFGGNEKSQKFIERCIFTFPSVCIMGPEGTPVSWALMDHTGELRMAGTLPKYRHQNLIYHVAFHQVHTLEKLGFPMYLHVDKVNLTIQRMSAVLGHVPMPCTWNQWNWVPL", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MFSRRSHGDVKKSTQKVLDPKKDVLTRLKHLRALLDNVDANDLKQFFETNYSQIYFIFYENFIALENSLKLKGNNKSQREELDSILFLFEKILQFLPERIFFRWHYQSIGSTLKKLLHTGNSIKIRCEGIRLFLLWLQALQTNCAEEQVLIFACLVPGFPAVMSSRGPCTLETLINPSPSVADVKIYPEEITPLLPAISGEKIAEDQTCFFLQILLKYMVIQAASLEWKNKENQDTGFKFLFTLFRKYYLPHLFPSFTKLTNIYKPVLDIPHLRPKPVYITTTRDNENIYSTKIPYMAARVVFIKWIVTFFLEKKYLTATQNTKNGVDVLPKIIQTVGGGAVQERAPELDGGGPTEQDKSHSNSSTLSDRRLSNSSLCSIEEEHRMVYEMVQRILLSTRGYVNFVNEVFHQAFLLPSCEIAVTRKVVQVYRKWILQDKPVFMEEPDRKDVAQEDAEKLGFSETDSKEASSESSGHKRSSSWGRTYSFTSAMSRGCVTEEENTNVKAGVQALLQVFLTNSANIFLLEPCAEVPVLLKEQVDACKAVLIIFRRMIMELTMNKKTWEQMLQILLRITEAVMQKPKDKQIKDLFAQSLAGLLFRTLMVAWIRANLCVYISRELWDDFLGVLSSLTEWEELINEWANIMDSLTAVLARTVYGVEMTNLPLDKLSEQKEKKQRGKGCVLDPQKGTTVGRSFSLSWRSHPDVTEPMRFRSATTSGAPGVEKARNIVRQKATEVEECQQSENAPAAGSGHLTVGQQQQVLRSSSTSDIPEPLCSDSSQGQKAENTQNSSSSEPQPIQENKGHVKREHEGITILVRRSSSPAELDLKDDLQQTQGKCRERQKSESTNSDTTLGCTNEAELSMGPWQTCEEDPELNTPTDVVADADARHWLQLSPTDASNLTDSSECLTDDCSIIAGGSLTGWHPDSAAVLWRRVLGILGDVNNIQSPKIHARVFCYLYELWYKLAKIRDNLAISLDNQSSPSPPVLIPPLRMFASWLFKAATLPNEYKEGKLQAYRLICAMMTRRQDVLPNSDFLVHFYLVMHLGLTSEDQDILNTIIRHCPPRFFSLGFPGFSMLVGDFITAAARVLSTDILTAPRSEAVTVLGSLVCFPNTYQEIPLLQSVPEVNEAITGTEDVKHYLINILLKNATEEPNEYARCIAVCSLGVWICEELAQCTSHPQVKEAINVIGVTLKFPNKIVAQVACDVLQLLVSYWEKLQMFETSLPRKMAEILVATVAFLLPSAEYSSVETDKKFIVSLLLCLLDWCMALPVSVLLHPVSTAVLEEQHSARAPLLDYIYRVLHCCVCGSSTYTQQSHYILTLADLSSTDYDPFLPLANVKSSEPVQYHSSAELGNLLTVEEEKKRRSLELIPLTARMVMAHLVNHLGHYPLSGGPAILHSLVSENHDNAHVEGSELSFEVFRSPNLQLFVFNDSTLISYLQTPTEGPVGGSPVGSLSDVRVIVRDISGKYSWDGKVLYGPLEGCLAPNGRNPSFLISSWHRDTFGPQKDSSQVEEGDDVLDKLLENIGHTSPECLLPSQLNLNEPSLTPCGMNYDQEKEIIEVILRQNAQEDEYIQSHNFDSAMKVTSQGQPSPVEPRGPFYFCRLLLDDLGMNSWDRRKNFHLLKKNSKLLRELKNLDSRQCRETHKIAVFYIAEGQEDKCSILSNERGSQAYEDFVAGLGWEVDLSTHCGFMGGLQRNGSTGQTAPYYATSTVEVIFHVSTRMPSDSDDSLTKKLRHLGNDEVHIVWSEHSRDYRRGIIPTAFGDVSIIIYPMKNHMFFIAITKKPEVPFFGPLFDGAIVSGKLLPSLVCATCINASRAVKCLIPLYQSFYEERALYLEAIIQNHREVMTFEDFAAQVFSPSPSYSLSGTD", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVDVQKRKKLLAKAAASASIPAIKGSVPLDSYDIKIIQYKNALYKLNELNRLLNVLVPHLKKKRDNDESYKIIPLVNFILSLCEGPIFNVSPVLAKRYHLLCRFQLIKLSEVQQRLSTNFIDVEGWMFPEEVPLDHYKSCIYNNSLQWKILNSLSCIAQNAIKIYNAKLRQILLERDAYKARSLPFDTSIIEDLLNPVEMTLILDLAVLINDPVRDKSTHSFYKLQWQVMEKLNSCVHSKIFPILRTYYNQLQKFSETRPTSLSNLQKDLPHWEWTLHRIYTFHLRVFSVLCVIISFSRQIFLPNKQHFLDIKTRLSSENVYHYDLIICELMALLSPECDDVTALFELQENLKFWTQTARTDNNSSRTPIFHLQPGLVVELFNNHICKIIPKLRSIMGLLSNWMDCWKYIEKNYKTFDETNDLRENLKEKLERDKALYLEVKNAKSKLKKKPSITKLPASSSPSPSPTSSASPSRQASLESIRTRARAHLASNSSRSPSVSPVRTTFNNKNAETKKSVVSPEKRKLINGRRPRSSSLQSYTNKQQTSYLNSTRHPSIAPPSKLNNQRSNSLQSSTMTLNQKIVQDTVRHLMNKSASTPNPSASSSLAPSPKVSSINNTSSGKSSSTLIANSSDTLAIETLTLDPESNSSELSIKRVRFAGVPPMTEAENPKPTKVGWYKKPAVLHYPPIPASAMIKPLQHKSKYNTLRQEEGFTFRKSLRDGLEWENGESGSETTMMPFGIEIKESTGHRIASKIRSKLR", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAELQQLQEFEIPTGREALRGNHSALLRVADYCEDNYVQATDKRKALEETMAFTTQALASVAYQVGNLAGHTLRMLDLQGAALRQVEARVSTLGQMVNMHMEKVARREIGTLATVQRLPPGQKVIAPENLPPLTPYCRRPLNFGCLDDIGHGIKDLSTQLSRTGTLSRKSIKAPATPASATLGRPPRIPEPVHLPVVPDGRLSAASSAFSLASAGSAEGVGGAPTPKGQAAPPAPPLPSSLDPPPPPAAVEVFQRPPTLEELSPPPPDEELPLPLDLPPPPPLDGDELGLPPPPPGFGPDEPSWVPASYLEKVVTLYPYTSQKDNELSFSEGTVICVTRRYSDGWCEGVSSEGTGFFPGNYVEPSC", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNVFGKKEEKQEKVYSLQNGFPYSHHPYASQYSRPDGPILLQDFHLLENIASFDRERVPERVVHAKGGGCRLEFELTDSLSDITYAAPYQNVGYKCPGLVRFSTVGGESGTPDTARDPRGVSFKFYTEWGNHDWVFNNTPVFFLRDAIKFPVFIHSQKRDPQSHLNQFQDTTIYWDYLTLNPESIHQITYMFGDRGTPASWASMNAYSGHSFIMVNKEGKDTYVQFHVLSDTGFETLTGDKAAELSGSHPDYNQAKLFTQLQNGEKPKFNCYVQTMTPEQATKFRYSVNDLTKIWPHKEFPLRKFGTITLTENVDNYFQEIEQVAFSPTNTCIPGIKPSNDSVLQARLFSYPDTQRHRLGANYQQLPVNRPRNLGCPYSKGDSQYTAEQCPFKAVNFQRDGPMSYYNFGPEPNYISSLPNQTLKFKNEDNDEVSDKFKGIVLDEVTEVSVRKQEQDQIRNEHIVDAKINQYYYVYGISPLDFEQPRALYEKVYNDEQKKLFVHNVVCHACKIKDPKVKKRVTQYFGLLNEDLGKVIAECLGVPWEPVDLEGYAKTWSIASAN", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTDTPETLSGTECNGDRPPENGQQPSSQTRQETTDADETQAYYKVEPSLEDLPAKENQEETGNTKGNILPKGPEDEKILNENPEENLFVVHQAIKDLSLQEISAEDMAFREGHPWKKIPPNSSNLEVSRQKERTAQQQLEQRGDASTTEIEWLGFQKSRPVDILHSKCDEEEEEEEEVWNEEINEEDVDECAEEEDEVRVIEFKRKHREGSPLKEESLAREDSPLGSPGSQPGTPDEQPVFGKKGDIARNSYSRYNTISYRKIRKGNTKQRIDEFESMMHL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MARVVKIDSAESWNFYVSQAKNQNCPIVAHFTALWCIPSVFMNSFFEELAFNYKDALFLIVDVDEVKEVASQLEVKAMPTFLFLKDGNAMDKLVGANPDEIKKRVDGFVQSSRVVHIA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETQMNLASSRSHCLYIFSVQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGKPNHVPYRDSKLTRILQDALGGNSRAALLCCCSPSASNAPESLSTVRFGTRTKLIKTTPKSISPEVDSIKKPIPDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSTVVSEGRNDGNNRYSPQDEVEDRLPDVVDNRLTENMRVPSFERLPSPTPRYFGSCKWFNVSKGYGFVIDDITGEDLFVHQSNLNMQGFRSLDEGERVSYYIQERSNGKGREAYAVSGEVEGQGLKGSRIHPLGRKKAVSLRCFRCGKFATHKAKSCPNVKTDAKVCYTCGSEEHVSSICPERRRKHRPEQVAAEEAEAARMAAEKSSPTTSDDDIREKNSNSSDE", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKFLGGNDDRNGRGGVGVGTDAIVGSRGGVSQDAADAAGAAAAAAVGYVFQQRPSPGGVGVGVGGVGGGVPGVGAVGSTLHEAAAAEYAAHFAQKQQQTRWACGDDGHGIDNPDKWKYNPPMNPANAAPGGPPGNGSNGGPGAIGTIGMGSGLGGGGGGGAGGGNNGGSGTNGGLHHQSMAAAAANMAAMQQAAALAKHNHMISQAAAAVAAQQQHQHPHQQHPQQQQQQQQAQNQGHPHHLMGGGNGLGNGNGLGIQHPGQQQQQQQQQQQQQHPGQYNANLLNHAAALGHMSSYAQSGGSMYDHHGGAMHPGMNGGMPKQQPLGPPGAGGPQDYVYMGGQTTVPMGAAMMPPQNQYMNSSAVAAANRNAAITTSTAKKLWEKSDGKGVSSSTPGGPLHPLQIPGIGDPSSVWKDHTWSTQGENILVPPPSRAYAHGGASDTSNSGNAGILSPRDSTCAKVVEYVFSGSPTNKDSSLSGLEPHLRNLKFDDNDKSRDDKEKANSPFDTNGLKKDDQVTNSNGVVNGIDDDKGFNRTPGSRQPSPAEESQPRPPNLLFPPLPFNHMLMDHGQGMGGGLGGVVGSGNGVGGGSGGGGAGGAYAAHQQMAAQMSQLQPPMMNGVGGGMPMAAQSPMLNHQAAGPNHMESPGNLLQQQNFDVQQLFRSQNPGLAAVATNAAAAAAAAAAATSAASAAAAVGAPPVPNGSLQQSQQQQQQQQQQQQQQQMHMAAASQQFLAAQQQAQNAAYAAQQATSYVINPGQEAAPYMGMIAAAQMPYYGVAPWGMYPGNLIPQQGTQPRRPLTPSQQGAENQPYQVIPAFLDHTGSLLMGGPRTGTPMRLVSPAPVLVPPGATRAGPPPPQGPQLYQPQPQTAQQNLYSQQNGSSVGGLALNTSSLTGRRDSFDRSTSAFSPSTMDYTSSGVAAAANAVNSTVAQAAAAAAAAAAARGKWPGAMSGAASGAYGALGAGNASASPLGAPITPPPSAQSCLLGSRAPGAESRQRQQQQQQLAAVGLPATAAAAQAAVAAAANNMFGSNSSIFSNPLAIPGTAAVAAAAAAAAAANSRQVAATAAAAAAVAAAAGGVGGAPQPGRSRLLEDFRNQRYPNLQLRDLANHIVEFSQDQHGSRFIQQKLERATAAEKQMVFSEILAAAYSLMTDVFGNYVIQKFFEFGTPEQKNTLGMQVKGHVLQLALQMYGCRVIQKALESISPEQQQEIVHELDGHVLKCVKDQNGNHVVQKCIECVDPVALQFIINAFKGQVYSLSTHPYGCRVIQRILEHCTAEQTTPILDELHEHTEQLIQDQYGNYVIQHVLEHGKQEDKSILINSVRGKVLVLSQHKFASNVVEKCVTHATRGERTGLIDEVCTFNDNALHVMMKDQYANYVVQKMIDVSEPTQLKKLMTKIRPHMAALRKYTYGKHINAKLEKYYMKITNPITVGTGAGGVPAASSAAAVSSGATSASVTACTSGSSTTTTSTTNSLASPTICSVQENGSAMVVEPSSPDASESSSSVVSGAVNSSLGPIGPPTNGNVVL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSSMWYIMQSIQSKYSLSERLIRTIAAIRSFPHDNVEDLIRGGADVNCTHGTLKPLHCACMVSDADCVELLLEKGAEVNALDGYNRTALHYAAEKDEACVEVLLEYGANPNALDGNRDTPLHWAAFKNNAECVRALLESGASVNALDYNNDTPLSWAAMKGNLESVSILLDYGAEVRVINLIGQTPISRLVALLVRGLGTEKEDSCFELLHRAVGHFELRKNGTMPREVARDPQLCEKLTVLCSAPGTLKTLARYAVRRSLGLQYLPDAVKGLPLPASLKEYLLLLE", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSNEIELLQKQVSELQDLVKKQSLIISKTGERVLELQLDKQKHDVTDFDSKFSKSISKKSGSATQFDATDFATNEDLVELVKELQGELNFIEERSIRRLVNSLKKDDDDVIAPLPNADGDIPAISDGVFPKSLKEFKDIPDLKLVRLAKFYERLPPTLKEQEDFENFLEGKVEAFHINETTDEEISKELEKFSKDELDDAFNDVARYLGLSLRRGTEIW", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MASKGAGMSFSRKSYRLTSDAEKSRVTGIVQEKLLNDYLNRIFSSSEHAPPAATSRKPLNFQNLPEHLDQLLQVDNEEEESQGQVEGRLGPSTVVLDHTGGFEGLLLVDDDLLGVIGHSNFGTIRSTTCVYKGKWLYEVLISSQGLMQIGWCTISCRFNQEEGVGDTHNSYAYDGNRVRKWNVTTTNYGKAWAAGDIVSCLIDLDDGTLSFCLNGVSLGTAFENLSRGLGMAYFPAISLSFKESVAFNFGSRPLRYPVAGYRPLQDPPSADLVRAQRLLGCFRAVLSVELDPVEGRLLDKESSKWRLRGQPTVLLTLAHIFHHFAPLLRKVYLVEAVLMSFLLGIVEKGTPTQAQSVVHQVLDLLWLFMEDYEVQDCLKQLMMSLLRLYRFSPIVPDLGLQIHYLRLTIAILRHEKSRKFLLSNVLFDVLRSVVFFYIKSPLRVEEAGLQELIPTTWWPHCSSREGKESTEMKEETAEERLRRRAYERGCQRLRKRIEVVEELQVQILKLLLDNKDDNGGEASRYIFLTKFRKFLQENASGRGNMPMLCPPEYMVCFLHRLISALRYYWDEYKASNPHASFSEEAYIPPQVFYNGKVDYFDLQRLGGLLSHLRKTLKDDLASKANIVIDPLELQSTAMDDLDEDEEPAPAMAQRPMQALAVGGPLPLPRPGWLSSPTLGRANRFLSTAAVSLMTPRRPLSTSEKVKVRTLSVEQRTREDIEGSHWNEGLLLGRPPEEPEQPLTENSLLEVLDGAVMMYNLSVHQQLGKMVGVSDDVNEYAMALRDTEDKLRRCPKRRKDILAELTKSQKVFSEKLDHLSRRLAWVHATVYSQEKMLDIYWLLRVCLRTIEHGDRTGSLFAFMPEFYLSVAINSYSALKNYFGPVHSMEELPGYEETLTRLAAILAKHFADARIVGTDIRDSLMQALASYVCYPHSLRAVERIPEEQRIAMVRNLLAPYEQRPWAQTNWILVRLWRGCGFGYRYTRLPHLLKTKLEDANLPSLQKPCPSTLLQQHMADLLQQGPDVAPSFLNSVLNQLNWAFSEFIGMIQEIQQAAERLERNFVDSRQLKVCATCFDLSVSLLRVLEMTITLVPEIFLDWTRPTSEMLLRRLAQLLNQVLNRVTAERNLFDRVVTLRLPGLESVDHYPILVAVTGILVQLLVRGPASEREQATSVLLADPCFQLRSICYLLGQPEPPAPGTALPAPDRKRFSLQSYADYISADELAQVEQMLAHLTSASAQAAAASLPTSEEDLCPICYAHPISAVFQPCGHKSCKACINQHLMNNKDCFFCKTTIVSVEDWEKGANTSTTSSAA", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTAEETVNVKEVEIIKLILDFLNSKKLHISMLALEKESGVINGLFSDDMLFLRQLILDGQWDEVLQFIQPLECMEKFDKKRFRYIILKQKFLEALCVNNAMSAEDEPQHLEFTMQEAVQCLHALEEYCPSKDDYSKLCLLLTLPRLTNHAEFKDWNPSTARVHCFEEVCVMVAEFIPADRKLSEAGFKASNNRLFQLVMKGLLYECCVEFCQSKATGEEITESEVLLGIDLLCGNGCDDLDLSLLSWLQNLPSSVFSCAFEQKMLNIHVDKLLKPTKAAYADLLTPLISKLSPYPSSPMRRPQSADAYMTRSLNPALDGLTCGLTSHDKRISDLGNKTSPMSHSFANFHYPGVQNLSRSLMLENTECHSIYEESPERSDTPVEAQQPVSSEAMCQGSGLEKEPANGAQNPVPAKQEKNELRDSTEQFQEYYRQRLRYQQHLEQKEQQRQMYQQMLLEGGVNQEDGPDQQQNLTEQFLNRSIQKLGELNIGMDSLGNEVPVLNQQCSGSKNNGSNNSSVTSFSTPPQDSSQRLIHDTANIHTSTPRNPGSTNHIPFHEDSPCGSQNSSEHSVIKPSPGDSSGNLSRSKGEEDDKSKKQFVCINTLEDTQAVRAVAFHPSGSLYAVGSNSKTLRVCAYPEKMDASAHDNPKQPVVRFKRNKHHKGSIYCVAWSPCGQLLATGSNDKYVKVLPFNAETCNATGPDLEFSMHDGTIRDLAFMEGPESGGAILISAGAGDCNIYTTDCQRGQGLHALSGHTGHILALYTWSGWMIASGSQDKTVRFWDLRVPSCVRVVGTTFHGTGSAVASVAVDPSGRLLATGQEDSSCMLYDIRGGRMVQSYHPHSSDVRSVRFSPGAHYLLTGSYDMKIKVTDLQGDLTKQLPLMVVGEHKDKVIQCRWHTQDLSFLSSSADRTVTLWTYSG", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKFGHDFKRALENDMPPGWGEAAIQYKALKKCIKRFVFELSSLGLSAETISKLMAPTTVDPQQAITLSYSLGKEGHIVVPKIIINVNFDKLKTDKFAASMFKQLNASNMITTVRSNYASNVPSTPSDSTQQPPTNTLPSVSASSQSVETCETVDEDIDTQTMSSDMSEVQSMEISLNCDHEFFEKLTSELQSVEGLQREQRKILFNAIDILSHEISLIASPNSKKKYKSLYCWRKIFEIYMDSDIFISCKEADQSHERTPELAERHLKWFDDQVRLAKCLPSSSKHRDRILYAKFLELNESLLKVASFQQMNKLAVTKIMKKFDKRTSLTAQPLFFQVIESDPLLLVDNASKAICFSLSSKLFSIIPQLRDFECAICSNVAYKPVRLGCSHVFCLHCLIILQKQKVDFCPLCRAKEVMKADSRNIDHALMNFMKTYFPREIKEKFEENENDTFTPSSISVVSGQNNCVIM", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVLSTEENRSVDLVNLPSVPLPDGEAGVGENNKDSLNNLCSQYEEKVRPCIDLIDSLRALGVEQDLALPAIAVIGDQSSGKSSVLEALSGVALPRGSGIVTRCPLVLKLKKLNQGEEWKGKVTYDDIEVELSDPSEVEEAINTGQNHIAGVGLGISDKLISLDVSSPHVPDLTLIDLPGITRVAVGNQPADIGRQIKRLITNYIQKQETINLVVVPSNVDIATTEALSMAQKVDPDGDRTIGILTKPDLVDRGTEDKVVDVVRNLVCHLKKGYMIVKCRGQQDIQEQLSLAEALQKEQVFFKEHPQFRALLEDGKATVPCLAERLTMELISHICKSLPLLENQIKESHQSTSEELQKYGADIPEDENEKTLFLIEKINAFNQDITAIVEGEEIVREKECRLFTKLRKEFFLWSEEIERNFQKGSDALYKEVYTFEMQYRGRELPGFVNYKTFENIIRRQIKTLEEPAMEMLHKVTEIVRAAFTTVSEKNFSEFFNLHRTTKSKLEDIRLEQETEAEKSIRLHFQMEQIIYCQDQIYRKALQKVREEEAEEEERKHGKSRSSQSKNLQTSSMDEIFQHLNAYRQEAHNRISSHIPLIIQYFILKMFAEKLQKGMLQLLQDKDSCSWLLKEHSDTSEKRRFLKERLARLAQAQRRLAKFPG", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAESSSESDHFRCRDRLSPWAARSTHRGTRSLPTVEVTEKVNTITSTLQDTSRNLRQVDQMLGRYREYSNGQAGAIEHLKESLEQSIDQLRSQRLLRNSGGRSISVTSLSASDLDGGTGSELHHFPPTSPLKDYGDPQGIKRMRSRTGVRFVQETDDMTQLHGFHQSLRDLSSEQIRLGDDFNRELSRRSRSDAETKRALEELTEKLNEAQKQEVVSDRVERRLQELEREMRTERELVERRQDQLGLMSLQLQEALKKQEAKADEHEGAIKNKLRQTETEKNQLEQELELSRRLLNQSEGSRETLLHQVEELRTQLTKAEGDRKGLQHQVSQISKQQSNYQDEQGEDWRFRRGVEREKQDLEKQMSDLRVQLNFSAMASELEEVKRCMERKDKEKAHLASQVENLTRELENGEKQQLQMLDRLKEIQNHFDTCEAERKHADLQISELTRHAEDATKQAERYLSELQQSEALKEEAEKRREDLKLKAQESIRQWKLKHKKLERALEKQSETVDELTGKNNQILKEKDELKTQLYAALQQIENLRKELNDVLTKRALQEEELHSKEEKLRDIKSHQADLELEVKNSLDTIHRLESELKKQSKIQSQMKVEKAHLEEEIAELKKSQAQDKAKLLEMQESIKDLSAIRADLANKLAEEERAKKAVLKDLSDLTAQAKSRDEETATIITQLKLERDVHQRELKDLTSSLQSVKTKHEQNIQELMKHFKKEKSEAENHIRTLKAESLEEKNMAKIHRGQLEKLKSQCDRLTEELTQNENENKKLKLKYQCLKDQLEEREKHISIEEEHLRRMEEARLQLKDQLLCLETEQESILGVIGKEIDAACKTFSKDSVEKLKVFSSGPDIHYDPHRWLAESKTKLQWLCEELKERENREKNLRHQLMLCRQQLRNLTENKESELQCLFQQIERQEQLLDEIHREKRDLLEETQRKDEEMGSLQDRVIALETSTQVALDHLESVPEKLSLLEDFKDFRDSCSSSERTDGRYSKYRVRRNSLQHHQDDTKYRTKSFKGDRTFLEGSHTRGLDHSSSWQDHSRFLSSPRFSYVNSFTKRTVAPDSASNKEDATMNGTSSQPKKEEYGS", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MESFATSDKLFESREFVKGLEELKKRREDGEMTCDLLWRMCRFCHELSTTMSGEQRRKMLIEGRDYGLEAMDLDPSSFLAAKWTAIMFGLVVDQLPTKEKINDGGRLKDMLDKALELDPTDFALLHLRARFSYTIANLSWLERKAASMLYSEVPKATIDDALVDFKAAYNQNADWIENLLFLSKCHLAKKEKQQAREMLNKAIVLPAASSNDAQFVTECKSLLQKC", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDELLEKLLNDLKKKEPKACSIICIGEDLDIIQCMNEHGCFSGGSYSELSNDCETVNFRGIAYKCFFTKDQVKVNFWQISHLTGGLFNFLVSQALNEHGLDLLWIIMVVAQTLSALVSFPEKLLNILRNMKTILINTEETVRNRFEESQNKKLVALLDKNSTTLDISSGILLNFTVVLKQLKHALGLHTSVDNSQEFILQFLRTTLLSVPTSSIVSISADPTSWNNLNVLMKYNFMFQKFKPRDFHAQTIQSETMFIPPCWDTISKIQSVNHEFNIALFKQTAKNFYDTLDISLLLGLFDKSISMLNCHSSKISDGDIPYKSHQVFLQELQNKYSEIKTYSSNKLNKSSIKSSLWKDLIQ", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKRKVVNTHKLRLSPNEEAFILKEDYERRRKLRLLQVREQERDIALQIREDIKQRRNQQFTRLAEELRAEWEESQTQKIQNLEKLYLASLRSMGEGHRQAKENEPDLDALAQRAAERKRKADLRHKEALKVQKNQKEILLKQKTWHIKARKEALLVEKERSAKITSLPPPPPTLFENIEVKRISAVKTNSSTYHHLHTFVNRETDTKRPDARLAAEEEAKRLEELQKQAAQERMERFEKAHVRGFQAMKKIHLAQNQEKLMKELKQLQQEDLARRRQTVAQMPPQLVELPYKRSEMKEDWQRELEFAFEDMYNADRKVKGNLILHLEPEPLPTVTNQIQDEELDLSMEQENLGAAEDLPVTEAEICSSETDVPLVMKTQQIPSKVLFKKLLNKIRSQKSLWTIKSMSEDESEMITTVSEIESKAPTVESGTIASKERTLSSGQEQVVESDTLTIESGPLASEDKPLSCGTNSGKEQEINETLPITTVAQSSVLLHPQEAAARIRMSARQKQIMEIEEQKQKQLELLEQIEQQKLRLETDCFRAQLEEEKRKKTQPTGVGIAPASCPVISDEDSHRQMIRNYQHQLLQQNRLHRQSVETARKQLLEYQTMLKGRCPSVSAPSLITDSVISVPSWKSERPTAISEHWDQGQRLKLSPNKYQPIQPIQTSKLEQDHFQVARQNHFPQRQVETTETLRASDILTNQALESQEHLRQFSQTETQQRDYKLVPKDSETLSRALSHDRQLISQDARKISETFGATTFQSLESQQLFSENSENISYHLTEPSSFVPLVPQHSFSSLPVKVESGKIQEPFSAMSKSTVSTSHSIISQMHDRPLLPSENITAQQGNMKALQEQLDLQKKVLQATQEAQEQLLLCKQKEVEQQTGLSVFLPLVTPDSSALLPSAKADLGRIQESSPTKNNIAVSSDHHVISQLQDKRLSLSQPILSQQNNFKFLQEQLNIQKDSLQARREAQEVLYVHKQSELDRRVCSEQAEPSFPFQVAQHTFTSLPSADTKSGKIQEQHSSKSEKGLVSCQSDIPISQDGSLSFLQQFLPLHDSLKLLQEQLTKQRDTLQARHEAQVELLLHRQRDLGDSKSGLVSSSSSPVVVQHSVASQASAKAEPRRIQELYLSEKENVGPSCHLIIPTFQDKSLSFPQHSLAQQENLTILQEQSQIQRVILGAKEGTQEFVHTESELEKRISSEQTGTSSSLSQVDESERFQECISIKSDSTIPLSHPKIPRCQERLLRVSQHMLPLQDNLEEHQAWLDTEKEAFHFSQKTQENTSSEQTGSSSFIPQLVQLSFTSLASAESGTILEPLFTESESKIFSSHLQIPQLQDRLLRISQLIQPQQDNLKALQEQLATQREAIILARQEAREELLLHQSEWEGRISPEQVDTSSLPLVPQHSFASLPLNESERNQEPCSINSDNIVSSGHSEIPTLPDGLLGLSHLVLPQQDNLIALEEHLHAQTDFLPSIEKTQKELVLSKPCKFEEKVSSEHFIQSHHGDLQALQQQLDTQKKAIRSIQEVQEELLLQRLSELEKRVSSEQVCSSSFVSQVPVADSERTQKSFPTKSNDTLPSSHREIPRLQDRLLSLSKPILPQQDNMTAQLDAQREVMYSYEKPQEELSLNKQRKLNKSESAEHTIPSLFLPKETEHSFIPLPFAEAKPKSTCELYSSQNEHAAPPSNPVIPGFQDRLLSFSQSVLTQQDNLGLQKQLDLQREVLHYSQKAQEKLLVQRQTALQQQIQKHEETLKDFFKDSQISKPTVENDLKTQKMGQLRDWFPNTQDLAGNDQENIRHADRNNSDDNHLASEDTSAKQSGEHLEKDLGRRSSKPPVAKVKCGLDLNQHELSAIQEVESPAIGRTSILGKPGIYEDRDPLRVSISREQSFFGSPLAHDPFSCLQLVGQENVCGDDYDEAVKLKESVVENHAVLSYAVEEEHAYLGPTVKPDDKAKTLSYEPLSSATVSTGSLLSYENTDLSLTDPESFSEHMDDSKQESTTSKEEETNIISSIVPSTQDIYQRQNSSDVHKSLLPAVDETTCGHTHFQQMIDKYINEANLIPEKTDLQELEHIFPNLHHQLFKPLEPHPDFDLSSSSSGISPDNRDFYQRSDSSSESHCATGLSKSTVYFTALRRTSMHSSLNTSPNQQPDTNLAHVGAHSFATENIIGGSEQCFEQLQPEYSSQEESQHADLPSIFSIEARDSSQGMKNQNYPSEEHTEILQNKKKIVHFQLSIGNLSSVYSSSDEANVFDQLNVQHSTPCGSNSSECSTKHQLESRKESMGFEELSKRGVVTMLQSQGLIEDNKNETCRVLDINPQVEETDSRLCVRTVEMGTSIQAPYSLTTQNEKYFENSAETDIPKITKKLSQLGESELFASSGSFSLQSSIPVWETETGHGIMEEPELTLISTTDTSIAEMDFANLTLEEKSENEAKCFFQVSEFLPLVSATEASDYPAVSELSIEKPRTASTETPRRLTPVPGSLQEAFIKRKKSFMERSHQRQKEIRNKIHVSENSQIKTVKEKPSISSSVSRLKGVNKVRASFPEDRKTTQALRHQRGLRLYNQLAEVKQQKEEKTKQEAYAQNRARAKEFHKKTLEKLRAKNTC", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVGLISTSETLPKQESKSSSAPVSNFLSPNNLTEQTCSPLRAHSTFKEPVFLLSQRQYSHNSKILTELINSVKRPNKPDQNEEKSAVGIEEKSFKDEHLAQKKGLHHFADLKEIFLNKNLSTLDGLQDASLHDNIQSGKLDNPVISQNRRIVLEKLANPNSSKEYETIPTVENRHPLNKLSKTKTLAYEETINQLDETPGGTNYPMNKKKTLSSETNKYEYPQQSKFHECSQFASPRSSIVNGPRTLGKNSKRADDTARMASRMKPSNFNNNIQSSSYGHASQSTKLTSQRDNDHQKDLNFSPYKSIPLNNRPYSPMSEIVGFSGSTTPLDTYGNRPSGKKFNKNSKFRPPGSTISSYSSASTLRRLPRAPGSKVHAERQNSTFNSGISLRALRKEMGNTAPVSSNQLLKDADLVMENLSTRNTEKVLREVNILKRLRESCVAITAKSYDTLDERKIRSLTTFEYLEIKNFQKIYFTGSENCQKLSKQIPLDECNEALFDDDNGDYKAIQGDHLLYRYEIIDTVGKGSFGQVLKCIDHKRGQVVAIKVIKNRQKFHGQTLVEVGILKRLCEADPADKNNVIRYLSHFDFRGHLCIVTELLGSNLFDVIRENNYKGLPLIVVKSFALQGLQALRLLQGQNIIHCDLKPENLLLSHPLKARIKLIDFGSSCFYNEKVYTYLQSRFYRAPEIILGLEYGKEIDIWSFGCILAELFTGVPLFPGGNETEQLGYIMEVLGPPPMALIRNSTRSKAYFDSEGKPHPITDSHNRLLVPSTRTFSQLLNTKQASFLDFLSKCLKWDPKDRITVDSALQHEFILGKTSQKPMVSKGSHPLPDLPV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFLLNSLSRITRGNRSKRHQNLSDASSSSGSFSKKSSTSQLVRTGSPSVEPTALYLQQPFVRTHLVKGNFSTIVSLPRFVDLDEWVALNVYELFTYLNHFYDVFATFCTVKTCPVMSAAANFDYTWLDNNRKPVHLPAPQYIEYVLAWIENRLHDQNVFPTKAGLPFPSNFLVIVKAIYKQMFRIFAHMYYAHYAEILHLSLEAHWNSFFAHFIAFGKEFQLLDKRDTAPLKDLIVVLENQGNI", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MREALFSQDGWGCQHVNQDTNWEVPSSPEPANKDAPGPPMWKPSINNGTDLWESNLRNGGQPAAQQVPKPSWGHTPSSNLGGTWGEDDDGADSSSVWTGGAVSNAGSGAAVGVNQAGVNVGPGGVVSSGGPQWGQGVVGVGLGSTGGNGSSNITGSSGVATGSSGNSSNAGNGWGDPREIRPLGVGGSMDIRNVEHRGGNGSGATSSDPRDIRMIDPRDPIRGDPRGISGRLNGTSEMWGHHPQMSHNQLQGINKMVGQSVATASTSVGTSGSGIGPGGPGPSTVSGNIPTQWGPAQPVSVGVSGPKDMSKQISGWEEPSPPPQRRSIPNYDDGTSLWGQQTRVPAASGHWKDMTDSIGRSSHLMRGQSQTGGIGIAGVGNSNVPVGANPSNPISSVVGPQARIPSVGGVQHKPDGGAMWVHSGNVGGRNNVAAVTTWGDDTHSVNVGAPSSGSVSSNNWVDDKSNSTLAQNSWSDPAPVGVSWGNKQSKPPSNSASSGWSTAAGVVDGVDLGSEWNTHGGIIGKSQQQQKLAGLNVGMVNVINAEIIKQSKQYRILVENGFKKEDVERALVIANMNIEEAADMLRANSSLSMDGWRRHDESLGSYADHNSSTSSGGFAGRYPVNSGQPSMSFPHNNLMNNMGGTAVTGGNNNTNMTALQVQKYLNQGQHGVAVGPQAVGNSSAVSVGFGQNTSNAAVAGAASVNIAANTNNQPSGQQIRMLGQQIQLAIHSGFISSQILTQPLTQTTLNLLNQLLSNIKHLQAAQQSLTRGGNVNPMAVNVAISKYKQQIQNLQNQINAQQAVYVKQQNMQPTSQQQQPQQQQLPSVHLSNSGNDYLRGHDAINNLQSNFSELNINKPSGYQGASNQQSRLNQWKLPVLDKEINSDSTEFSRAPGATKQNLTANTSNINSLGLQNDSTWSTGRSIGDGWPDPSSDNENKDWSVAQPTSAATAYTDLVQEFEPGKPWKGSQIKSIEDDPSITPGSVARSPLSINSTPKDADIFANTGKNSPTDLPPLSLSSSTWSFNPNQNYPSHSWSDNSQQCTATSELWTSPLNKSSSRGPPPGLTANSNKSANSNASTPTTITGGANGWLQPRSGGVQTTNTNWTGGNTTWGSSWLLLKNLTAQIDGPTLRTLCMQHGPLVSFHPYLNQGIALCKYTTREEANKAQMALNNCVLANTTIFAESPSENEVQSIMQHLPQTPSSTSSSGTSGGNVGGVGTSANNANSGSAACLSGNNSGNGNGSASGAGSGNNGNSSCNNSAAGGGSSSNNTITTVANSNLVGSSGSVSNSSGVTANSSTVSVVSCTASGNSINGAGTANSSGSKSSANNLASGQSSASNLTNSTNSTWRQTSQNQALQSQSRPSGREADFDYISLVYSIVDD", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSPKKAKKRLEGGSSNVFSMFEQTQIQEFKEAFTIMDQNRDGFIDKNDLRDTFAALGRVNVKNEEIDEMIKEAPGPINFTVFLTMFGEKLKGADPEETILNAFKVFDPEGKGSLKADYVREMLTTQAERFSKEEIDQMFAAFPPDVTGNLDYKNLVHIITHGEEKD", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTSDQDAKVVAEPQTQRVQEGKDSAHLMNGPISQTTSQTSSIPPLSQVPATKVSELNPNAEVWGAPVLHLEASSAADGVSAAWEEVAGHHADRGPQGSDANGDGDQGHENAALPDPQESDPADMNALALGPSEYDSLPENSETGGNESQPDSQEDPREVLKKTLEFCLSRENLASDMYLISQMDSDQYVPITTVANLDHIKKLSTDVDLIVEVLRSLPLVQVDEKGEKVRPNQNRCIVILREISESTPVEEVEALFKGDNLPKFINCEFAYNDNWFITFETEADAQQAYKYLREEVKTFQGKPIKARIKAKAIAINTFLPKNGFRPLDVSLYAQQRYATSFYFPPMYSPQQQFPLYSLITPQTWSATHSYLDPPLVTPFPNTGFINGFTSPAFKPAASPLTSLRQYPPRSRNPSKSHLRHAIPSAERGPGLLESPSIFNFTADRLINGVRSPQTRQAGQTRTRIQNPSAYAKREAGPGRVEPGSLESSPGLGRGRKNSFGYRKKREEKFTSSQTQSPTPPKPPSPSFELGLSSFPPLPGAAGNLKTEDLFENRLSSLIIGPSKERTLSADASVNTLPVVVSREPSVPASCAVSATYERSPSPAHLPDDPKVAEKQRETHSVDRLPSALTATACKSVQVNGAATELRKPSYAEICQRTSKEPPSSPLQPQKEQKPNTVGCGKEEKKLAEPAERYREPPALKSTPGAPRDQRRPAGGRPSPSAMGKRLSREQSTPPKSPQ", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEEPKCLQREMYKSVMTENYQCVLSLGYPIRKPEIVSMMEVGEELWSKNDSARPGQKEVEGETPKESDWAAENCKRAQMHKEVLDLDTLAAVKSEPVEEGSNSAKKSHICSHYGKLFSCYAAVVRHQRMHQLQKSHHCPHCKKSFVQRSDFIKHQRTHTGERPYQCVECQKKFTERSALVNHQRTHTGERPYTCLDCQKTFNQRSALTKHRRTHTGERPYRCSVCSKSFIQNSDLVKHLRTHTGEKPYECPLCVKRFAESSALMKHKRTHSTHRPFRCSECSRSFTHNSDLTAHMRKHTEFRNVLNLDSVVGTDPLSSQNVASSPYSCSKCRKTFKRWKSFLNHQQTHSREKPYLCSHCNKGFIQNSDLVKHFRTHTGERPYQCAECHKGFIQKSDLVKHLRTHTGEKPFKCSHCDKKFTERSALAKHQRTHTGEKPYKCSDCGKEFTQRSNLILHQRIHTGERPYKCTLCDRTFIQNSDLVKHQKVHANLPLSDPHTANSPHKCSKCDLTFSHWSTFMKHSKLHSGEKKFQCAECKKGFTQKSDLVKHIRVHTGEKPFKCLLCKKSFSQNSDLHKHWRIHTGEKPFPCYTCDKSFTERSALIKHHRTHTGERPHKCSVCQKGFIQKSALTKHSRTHTGEKPYPCTQCGKSFIQNSDLVKHQRIHTGEKPYHCTECNKRFTEGSSLVKHRRTHSGEKPYRCPQCEKTFIQSSDLVKHLVVHNGENPPAATAFHEILIRRENLTRSEPDPYPCTECGKVFHQRPALLKHLRTHKTEKRYPCNECDKSFFQTSDLVKHLRTHTGERPYHCPECNKGFIQNSDLVKHQRTHTGERPYTCSQCDKGFIQRSALTKHMRTHTGEKPYKCEQCQKCFIQNSDLVKHQRIHTGEKPYHCPDCDKRFTEGSSLIKHQRIHSRIKPYPCGVCGKSFSQSSNLLKHLKCHSEQNPPVALSSELGFVAETQTHPDPVDHIVYGDTASYISPEAAGERSFKCNDCGKCFAHRSVLIKHVRIHTGERPYKCSQCTRSFIQKSDLVKHYRTHTGERPYKCGLCERSFVEKSALSRHQRVHKNESPVLNSAMEQQQVTYWGESKDDPNSLVPQLHVIKEEESPHIVNAYSPLSILQSYFPPILEPKGTPRYSCSECGKCFTHRSVFLKHWRMHTGEQPYTCKECGKSFSQSSALVKHVRIHTGEKPYPCSTCGKSFIQKSDLAKHQRIHTGEKPYTCTVCGKKFIDRSSVVKHSRTHTGERPYKCNECTKGFVQKSDLVKHMRTHTGEKPYGCNCCDRSFSTHSASVRHQRMCNTGRPYQDEEYENSLFYSADITWKGDYAQLLQIPCGLEEPMKAIGWISEVAL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTTLNTNDKDLPIVKKYDSLNGTWDFLKTGVSQIFERLDEGMTITKYMELYTAIHNYCADASKTITVDNFNDQTANVLGEALYNNLVLYLEEYLARLRKECISQTNHEEQLAAYAKYWTRFTTSARFINHLFGYLNRYWVKLKNRFTETLVYDIYTLCLVSWHHHVFSHIRDSLLQNLLYMFTKKRLYEPTDMKYVEVCVDSITSLSFDKTDMTKPNLSSYKTFFETNFIENTKNFYAKESSEYLASHSITDYLKKAEIRLAEEEELVRLYLHESTLKPLLEATEDVLIAQHEEVLHNDFARMLDQNCSEDIIRMYRLMSRTPNGLQPLRQTFEEFVKRSGFAAVAKIVPQVGGEADVDPKEYMEMLLSTYKASKELVNTAFHGDTDFTKSLDTAFRELVNRNVVCQRSSSRSPELLAKYADSILRKSNKNVDIDDVEDCLSSIIIIFRYVEDKDVFQNFYTKLLAKRLVNGTSNSQDAESSMLSKLKEVCGFEYTSKLQRMFQDISLSQEITEAFWQLPQSRAGNIDFSALVLGTSFWPLSPNNVNFHLPEELVPLYEGFQNYYYSCHNGRKLSWLFHLSKGEIKARINPQTNVTYVFQVSTYQMGVLLLYNHRDSYTYEELAKITGLSTDFLTGILNIFLKAKVLLLGDNDKLGDPNSTYKINENFRMKKIRVQLNLPIRSEQKQESLETHKTIEEDRKLLLQSAIVRIMKARRTLKHVVLVKETIDQIKSRFTPKVSDIKQCIDMLIEKEYLERQGRDEYIYLA", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTGRARARARGRARGQETVQHVGAAASQQPGYIPPRPQQSPTEGDLVGRGRQRGMVVGATSKSQELQISAGFQELSLAERGGRRRDFHDLGVNTRQNLDHVKESKTGSSGIIVKLSTNHFRLTSRPQWALYQYHIDYNPLMEARRLRSALLFQHEDLIGRCHAFDGTILFLPKRLQHKVTEVFSQTRNGEHVRITITLTNELPPTSPTCLQFYNIIFRRLLKIMNLQQIGRNYYNPSDPIDIPNHRLVIWPGFTTSILQYENNIMLCTDVSHKVLRSETVLDFMFNLYQQTEEHKFQEQVSKELIGLIVLTKYNNKTYRVDDIDWDQNPKSTFKKADGSEVSFLEYYRKQYNQEITDLKQPVLVSQPKRRRGPGGTLPGPAMLIPELCYLTGLTDKMRNDFNVMKDLAVHTRLTPEQRQREVGRLIDYIHKDDNVQRELRDWGLSFDSNLLSFSGRILQSEKIHQGGKTFDYNPQFADWSKETRGAPLISVKPLDNWLLIYTRRNYEAANSLIQNLFKVTPAMGIQMKKAIMIEVDDRTEAYLRALQQKVTSDTQIVVCLLSSNRKDKYDAIKKYLCTDCPTPSQCVVARTLGKQQTVMAIATKIALQMNCKMGGELWRVDMPLKLAMIVGIDCYHDTTAGRRSIAGFVASINEGMTRWFSRCVFQDRGQELVDGLKVCLQAALRAWSGCNEYMPSRVIVYRDGVGDGQLKTLVNYEVPQFLDCLKSVGRGYNPRLTVIVVKKRVNARFFAQSGGRLQNPLPGTVIDVEVTRPEWYDFFIVSQAVRSGSVSPTHYNVIYDSSGLKPDHIQRLTYKLCHVYYNWPGVIRVPAPCQYAHKLAFLVGQSIHREPNLSLSNRLYYL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MMNNNGNQVSNLSNALRQVNIGNRNSNTTTDQSNINFEFSTGVNNNNNNNSSSNNNNVQNNNSGRNGSQNNDNENNIKNTLEQHRQQQQAFSDMSHVEYSRITKFFQEQPLEGYTLFSHRSAPNGFKVAIVLSELGFHYNTIFLDFNLGEHRAPEFVSVNPNARVPALIDHGMDNLSIWESGAILLHLVNKYYKETGNPLLWSDDLADQSQINAWLFFQTSGHAPMIGQALHFRYFHSQKIASAVERYTDEVRRVYGVVEMALAERREALVMELDTENAAAYSAGTTPMSQSRFFDYPVWLVGDKLTIADLAFVPWNNVVDRIGINIKIEFPEVYKWTKHMMRRPAVIKALRGE", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MELKEKAKVVITVASLVAVTILFVTEYRRRRQRRKQTSSLSSCYLHSELKPQFGFKRVLADNSYSEFKHLKLVDASSSSLEKPSNGHPYETEITVLLENPQIEFGFLRGECSLEMSDSYVWVETESQLKELAEILAKEQVFAVDTEQHSLRSFLGFTALIQISTHEEDFLVDTIALHDVMSILRPVFSDPNICKVFHGADNDVIWLQRDFHIYVVNMFDTAKACEVLSKPQRSLAYLLETVCGVATNKLLQREDWRQRPLSEEMVRYARTDAHYLLYIADSLTTELKQLATEDSSSPDDRFHFLLEASRRSNMTCLQLYTKETEDFPGSAASSSIIYRHLNGHGDKSNISLNAEELVRKLCAWRDLMGRIHDESTRYVLSDQAIVGLSCKQPTTTEEIYDTIAHIDLATESSPSLSSSVQSPYPVICSHLDDIYKMILDKLAKLDDLLPVVLKKCLGTNGTCPISVFNYSLLVNFKTKLSSHSAPKQNGHKNFKQQFTRKASRELFVKKFSCKAPVYHNCRIYANDGRLLCYCDKRKLEWYLNRGLAKLVEENPPAIMLLFEPKGRPEDEGNDFYIQTKRNICVGCGEGKHYLRYRIIPSCYRVHFPEHLKSHRSHDIVLLCVDCHEVAHAAAERYKKQIATEFGIPLFVRRVLDSKEAQGTSSLVEDESTGDSEDAGVSPLHLRSAAMALLRHGNRMPSSRREELLQTVKMYYGGRDLSEEDLEKALLIGLSPHERRKLERKKGVSFKHSAEVAGMDKQEDENNDGEALADFEKIMTVERSTVVDDSGDGTSEGDGAKELNDTQCNGNTLHQQNSKLSLLGHGPHGKQIVEYLLREHGEDGVRDFCQRWRKVFVDAVHPRHLPGGWNVSHSGRRDFGEFSVYNPTKRLSTE", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSGAEQQQIVPANNGDENWKAGLNLPAKDRRFKTADVTDTKGVEFEDFCLGRDLLMGIFEKGWEKPSPIQEASIGVALTGQDILARAKNGTGKTGAYCIPVIEKIQPALKAIQAMVIVPTRELALQTSQICVELSKHIQLKVMVTTGGTDLRDDIMRLNGTVHLVIATPGRILDLMEKGVAKMEHCKTLVLDEADKLLSQDFQGILDRLINFLPKERQVMLYSATFPNTVTSFMQKHMHKPYEINLMEELTLLGVTQYYAFVQEKQKVHCLNTLFRKLQINQSIIFCNSTQRVELLAKKITEIGYSCYYIHSKMAQNHRNRVFHDFRQGNCRNLVCSDLLTRGIDIQAVNVVINFDFPRNAETYLHRIGRSGRFGHLGVAINLITYEDRHTLRRIEQELRTRIEPIPKTVDPKLYVADQQLVDAADETTA", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MHPEPLLNSTQSAPHHFPDSFQATPFCFNQSLIPGSPSNSSILSGSLDYSYSPVQLPSYAPENYNSPASLDTRTCGYPPEDHSYQHLSSHAQYSCFSSATTSICYCASCEAEDLDALQAAEYFYPSTDCVDFAPSAAATSDFYKRETNCDICYS", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAAAPLKVCIVGSGNWGSAVAKIIGNNVKKLQKFASTVKMWVFEETVNGRKLTDIINNDHENVKYLPGHKLPENVVAMSNLSEAVQDADLLVFVIPHQFIHRICDEITGRVPKKALGITLIKGIDEGPEGLKLISDIIREKMGIDISVLMGANIANEVAAEKFCETTIGSKVMENGLLFKELLQTPNFRITVVDDADTVELCGALKNIVAVGAGFCDGLRCGDNTKAAVIRLGLMEMIAFARIFCKGQVSTATFLESCGVADLITTCYGGRNRRVAEAFARTGKTIEELEKEMLNGQKLQGPQTSAEVYRILKQKGLLDKFPLFTAVYQICYESRPVQEMLSCLQSHPEHT", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLGMIKNSLFGSVETWPWQVLSKGDKEEVAYEERACEGGKFATVEVTDKPVDEALREAMPKVAKYAGGTNDKGIGMGMTVPISFAVFPNEDGSLQKKLKVWFRIPNQFQSDPPAPSDKSVKIEEREGITVYSMQFGGYAKEADYVAQATRLRAALEGTATYRGDIYFCTGYDPPMKPYGRRNEIWLLKT", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MQDRFLKENTEIINLSSSIHPNRDSYLDSQSDPLNQNLYNIETENVKDLNIEDVDYYEKLQNFKIVDENIDPGLRTYSKRSVGVNNTFQNPCNRKIEGYIKEIERLSNSNKNLQAAVLQMAVSDTDDPRLKEEYKQTEKELLREISGNHKSKILKLEEELNDLKHSMKEMQLYMTKIIDKAMNNASLENLFSLDENNTKIHDK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPGPTQTLSPNGENNNDIIQDNNGTIIPFRKHTVRGERSYSWGMAVNVYSTSITQETMSRHDIIAWVNDIVSLNYTKVEQLCSGAAYCQFMDMLFPGCISLKKVKFQAKLEHEYIHNFKLLQASFKRMNVDKVIPVEKLVKGRFQDNLDFIQWFKKFYDANYDGKEYDPVEARQGQDAIPPPDPGEQIFNLPKKSHHANSPTAGAAKSSPAAKPGSTPSRPSSAKRASSSGSASKSDKDLETQVIQLNEQVHSLKLALEGVEKERDFYFGKLREIELLCQEHGQENDDLVQRLMDILYASEEHEGHTEEPEAEEQAHEQQPPQQEEY", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKKSYSGGTRTSSGRLRRLGDSSGPALKRSFEVEEVETPNSTPPRRVQTPLLRATVASSTQKFQDLGVKNSEPSARHVDSLSQRSPKASLRRVELSGPKAAEPVSRRTELSIDISSKQVENAGAIGPSRFGLKRAEVLGHKTPEPAPRRTEITIVKPQESAHRRMEPPASKVPEVPTAPATDAAPKRVEIQMPKPAEAPTAPSPAQTLENSEPAPVSQLQSRLEPKPQPPVAEATPRSQEATEAAPSCVGDMADTPRDAGLKQAPASRNEKAPVDFGYVGIDSILEQMRRKAMKQGFEFNIMVVGQSGLGKSTLINTLFKSKISRKSVQPTSEERIPKTIEIKSITHDIEEKGVRMKLTVIDTPGFGDHINNENCWQPIMKFINDQYEKYLQEEVNINRKKRIPDTRVHCCLYFIPATGHSLRPLDIEFMKRLSKVVNIVPVIAKADTLTLEERVHFKQRITADLLSNGIDVYPQKEFDEDSEDRLVNEKFREMIPFAVVGSDHEYQVNGKRILGRKTKWGTIEVENTTHCEFAYLRDLLIRTHMQNIKDITSSIHFEAYRVKRLNEGSSAMANGMEEKEPEAPEM", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MIALPDTDSEDELPPGWEERATDDGTVCYVNQQGKTSQWTHPRTGRSKRITGELPLGWEKYYDEQGKRFMFLNKETQQRTNVDPRLAFAVEEPTQNVAQVRQRFDSCSTALQVLHGKDLHGRTALITGANCGIGYETARSLAHHGCEIIFACRNRSSAEAAIERIAQERPAARSRCRFAALDLSSLRSVQRFVEEIKQSVSHIDYLILNAGVFALPYTRTVDGLETTFQVSHLSHFYLTLQLETLFDYKTRIIVLSSESHRFANLPVENLAVHHLSPPPEKYWSMMAYNNAKLCNVLFAQELAQRWKQRGISVFSLHPGNMVSSDLSRNYWFYRLLFAIVRPFTKSLQQAAATSIYCATANELTGLSGLYFNNCFFCEPSKLSKSAALQQQLWKLSENLIAELVEQEQH", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MQSTSNHLWLLSDILGQGATANVFRGRHKKTGDLFAIKVFNNISFLRPVDVQMREFEVLKKLNHKNIVKLFAIEEETTTRHKVLIMEFCPCGSLYTVLEEPSNAYGLPESEFLIVLRDVVGGMNHLRENGIVHRDIKPGNIMRVIGEDGQSVYKLTDFGAARELEDDEQFVSLYGTEEYLHPDMYERAVLRKDHQKKYGATVDLWSIGVTFYHAATGSLPFRPFEGPRRNKEVMYKIITGKPSGAISGVQKAENGPIDWSGDMPVSCSLSRGLQVLLTPVLANILEADQEKCWGFDQFFAETSDILHRMVIHVFSLQQMTAHKIYIHSYNTATIFHELVYKQTKIISSNQELIYEGRRLVLEPGRLAQHFPKTTEENPIFVVSREPLNTIGLIYEKISLPKVHPRYDLDGDASMAKAITGVVCYACRIASTLLLYQELMRKGIRWLIELIKDDYNETVHKKTEVVITLDFCIRNIEKTVKVYEKLMKINLEAAELGEISDIHTKLLRLSSSQGTIETSLQDIDSRLSPGGSLADAWAHQEGTHPKDRNVEKLQVLLNCMTEIYYQFKKDKAERRLAYNEEQIHKFDKQKLYYHATKAMTHFTDECVKKYEAFLNKSEEWIRKMLHLRKQLLSLTNQCFDIEEEVSKYQEYTNELQETLPQKMFTASSGIKHTMTPIYPSSNTLVEMTLGMKKLKEEMEGVVKELAENNHILERFGSLTMDGGLRNVDCL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDAPHSKAALDSINELPENILLELFTHVPARQLLLNCRLVCSLWRDLIDLMTLWKRKCLREGFITKDWDQPVADWKIFYFLRSLHRNLLRNPCAEEDMFAWQIDFNGGDRWKVESLPGAHGTDFPDPKVKKYFVTSYEMCLKSQLVDLVAEGYWEELLDTFRPDIVVKDWFAARADCGCTYQLKVQLASADYFVLASFEPPPVTIQQWNNATWTEVSYTFSDYPRGVRYILFQHGGRDTQYWAGWYGPRVTNSSIVVSPKMTRNQASSEAQPGQKHGQEEAAQSPYRAVVQIF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAKNYPVVSAEYQEAVEKARQKLRALIAEKSCAPLMLRLAWHSAGTFDVSSKTGGPFGTMKTPAELSHAANAGLDIAVRMLEPIKEEIPTISYADFYQLAGVVAVEVSGGPAVPFHPGREDKPAPPPEGRLPDATKGSDHLRQVFGAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTRNPLQFDNSYFTELLSGDKEGLLQLPSDKALLSDPAFRPLVEKYAADEKAFFEDYKEAHLKLSELGFADA", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSDNVQQQVDSVGSVTEKLQKTNISRPRKYIPPFARDKPSAGAAPAVGDDESVSSRGSSRSQTPSEFSSNYGGRREYNRGGHYGGGEGRQNNYRGGREGGYSNGGGYRNNRGFGQWRDGQHVIGARNTLLERQLFGAVADGTKVSTGINFEKYDDIPVEVSGGDIEPVNEFTSPPLNSHLLQNIKLSGYTQPTPVQKNSIPIVTSGRDLMACAQTGSGKTAGFLFPILSLAFDKGPAAVPVDQDAGMGYRPRKAYPTTLILAPTRELVCQIHEESRKFCYRSWVRPCAVYGGADIRAQIRQIDQGCDLLSATPGRLVDLIDRGRISLANIKFLVLDEADRMLDMGFEPQIRHIVEGADMTSVEERQTLMFSATFPRDIQLLARDFLKDYVFLSVGRVGSTSENITQKVVHVEDSEKRSYLLDILHTLPPEGLTLIFVETKRMADTLTDYLLNSNFPATSIHGDRTQRERERALELFRSGRTSIMVATAVASRGLDIPNVTHVINYDLPTDIDDYVHRIGRTGRAGNTGQAVAFFNRNNKGIAKELIELLQEANQECPSFLIAMARESSFGGNGRGGRYSGRGGRGGNAYGARDFRRPTNSSSGYSSGPSYSGYGGFESRTPHHGNTYNSGSAQSWW", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLVALVVLFLSVFMAMKFLYKRIFVASRLKMIEKPSEDWEPASREAMIERLRSEVFDLVVVGGGSTGAGCALDGATRGLKVALVDAGDFGSGTSSKSTKLVHGGVRYLAKAVSNLDWSQYKLVWQALGERTTMFEISPYLTNSIKIMVPIYSKILIPYYYVGLKLYDWISGFKSLGKSYFIDRKEAVDAFPHINKKNLCGAMVYFDGQQDDARNNVMIVMTAVCHGAVAANHVSARSLMIEGGKIVGVRCRDEITGSEIEIRGTGVINSTGNLADDLRRMDDADAREIIVQSSGTHIVIPKEYAPKEMGFLDPLTSDNRIAFFMPWMGKTIVGSTDIKTKTELSPSPTEEDLEFLIHEVQAYTSMHPKLTRDEVSAVWTGIRPLVKDPDVSDTGSIVRKHFVRIEKNGLLTVTGGKWTIYRKMAEDAIDLAISAFSLKPSGPCVTKYVRILGGDGYTKNTWASIQKELGVPKNVAERLARSYGTRALRLSSYIKKNRKKVLSVKYSYLIEEVEYCIDNEMAVKVCDVLCNRLMIGLMDVKEAYQCIDKVLGVFKKKHGWDADRCNREEADAIRMLDKYGLQILRGCGQDASSLQMECPEEKRHRGERRLPPQEK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MFNKCSFHSSIYRPAADNSASSLCAIICFLNLVIECDLETNSEINKLIIYLFSQNNRIRFSKLLLKILFYISIFSYPELMCEQYVTFIKPGIHYGQVSKKHIIYSTFLSKNFKFQLLRVCW", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKGLILVGGYGTRLRPLTLTVPKPLVEFGNRPMILHQIEALANAGVTDIVLAVNYRPEVMVETLKKYEKEYGVNITFSVETEPLGTAGPLKLAEDVLKKDNSPFFVLNSDVICEYPFKELADFHKAHGGKGTIVATKVDEPSKYGVIVHDIATPNLIDRFVEKPKEFVGNRINAGLYILNPEVIDLIEMKPTSIEKETFPILVEEKQLYSFDLEGFWMDVGQPKDFLSGTVLYLNSLAKRQPKKLATGANIVGNALIDPTAKISSTAKIGPDVVIGPNVTIGDGVRITRSVVLCNSTIKNHSLVKSTIVGWNSTVGQWCRLEGVTVLGDDVEVKDEIYINGGKVLPHKSISDNVPKEAIIM", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGIIDKIKAIEEEMARTQKNKATEHHLGLLKGKLARYRQQLLADEAGSGGGGGSGFEVAKSGDARVVLIGYPSVGKSSLLGKITTTKSEIAHYAFTTLTSVPGVLKYQGAEIQIVDLPGIIYGASQGKGRGRQVVATARTADLVLMVLDATKSEHQRASLEKELENVGIRLNKEKPNIYYKKKETGGVKVTFTSPPKTNLTEQAIKMILRDYRIHNAEVLVRDDQCTIDDFIDVINEQHRNYVKCLYVYNKIDAVSLEEVDKLAREPNTVVMSCEMDLGLQDVVEEIWYQLNLSRVYTKKRGVRPVFDDPLVVRNNSTIGDLCHGIHRDFKDKFKYALVWGSSAKHSPQKCGLNHRIDDEDVVSLFAK", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDNSRKYLLNFKGCNFERTLVDMKILEKLDDFEIRDDDVFVITYPKSGTVWTQQILSLIYFEGHRNRTEKWDTLDRVPFLEYNIRKVDIENRPSPRLFASHLPYYLAPKSLKNNKAKIIYVYRNPKDVLISFFHFSNMVVKLEASNTLENFMEKFLDGKVVGSIWFDHIRGWYEHKNDFNILFMMYEDMKKDLRSSILKISSFLEKDLSEEEVDAIVRQATFENMKFIPQANYNNILSNEIGRRHNEGAFLRKGAVGDWKHHMTVEQSERFDRIFQEEMKDFPLKFIWDLNDEANSNHSAK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAIKEETEESCGSRAVVASITKESPRQHRMKLEVYGEVLQRIQESNYEEANFPGFDDLLWLHFNRLPARYALDVNVERAEDVLTHQRLLKLAEDPATRPVFEVRCVQVSPTLNGNSGDVDPSDPAVNEDAQSSYNSRSLAPPTFGSSPNFEALTQAYKDHAQDDDSAVNAQLPNSRPMHEITFSTIDRPKLLSQLTSMLGELGLNIQEAHAFSTADGFSLDVFVVDGWSQEETEGLKDALKKEIRKFKDQPCSKQKSITFFEHDKSTNELLPACVEIPTDGTDEWEIDMKQLKIEKKVACGSYGELFRGTYCSQEVAIKILKPERVNAEMLREFSQEVYIMRKVRHKNVVQFIGACTRSPNLCIVTEFMTRGSIYDFLHKHKGVFKIQSLLKVALDVSKGMNYLHQNNIIHRDLKTANLLMDEHEVVKVADFGVARVQTESGVMTAETGTYRWMAPEVIEHKPYDHRADVFSYAIVLWELLTGELPYSYLTPLQAAVGVVQKGLRPKIPKETHPKLTELLEKCWQQDPALRPNFAEIIEMLNQLIREVGDDERHKDKHGGYFSGLKKGHR", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAFPADLVGGLPTAAYQVEGGWDADGRGPCVWDTFTHQGGERVFKNQTGDVACGSYTLWEEDLKCIKQLGLTHYRFSISWSRLLPDGTTGFINQKGVDYYNKIIDDLLTNGVTPVVTLYHFDLPQALEDQGGWLSEAIIEVFDKYAQFCFSTFGNRVRQWITINEPNVLCAMGYDLGFFAPGVSQIGTGGYQAAHNMIKAHARAWHSYDSLFREKQKGMVSLSLFCIWPQPENPNSVLDQKAAERAINFQFDFFAKPIFIDGDYPELVKSQIASMSEKQGYPSSRLSKFTEEEKKMIKGTADFFAVQYYTTRFIRHKENKEAELGILQDAEIELFSDPSWKGVGWVRVVPWGIRKLLNYIKDTYNNPVIYITENGFPQDDPPSIDDTQRWECFRQTFEELFKAIHVDKVNLQLYCAWSLLDNFEWNDGYSKRFGLFHVDFEDPAKPRVPYTSAKEYAKIIRNNGLERPQ", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAAEKILTPESQLKKSKAQQKTAEQVAAERAARKAANKEKRAIILERNAAYQKEYETAERNIIQAKRDAKAAGSYYVEAQHKLVFVVRIKGINKIPPKPRKVLQLLRLTRINSGTFVKVTKATLELLKLIEPYVAYGYPSYSTIRQLVYKRGFGKINKQRVPLSDNAIIEANLGKYGILSIDDLIHEIITVGPHFKQANNFLWPFKLSNPSGGWGVPRKFKHFIQGGSFGNREEFINKLVKSMN", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKSASSEQSVERIENGHKKKRNRPQKQNRRSKQSSVPIEDAHVEESLDGRDSSRSKAKDSTSSSKQQRPNTDELEAMRASNVAFNSMPPMRAESGYPRRSASPLLSSPEVSKQLLSKSCPDPRACEQSPGMNGELFQQIEGSSQRKIFSSHWSLDAVTEALEKGEAFKALFRVNAHNRNEAYCKIDGVPTDILINGNVCQSRAVEGDTVVIKLDPLSLWPKMKGFVTESAAKPEGTNSPPEKDDKKARQKNGIDVVEGFEDGFSKNKSSVIGKGAKNGVTPSSPPSLDSCLGSFCEQKGNCSAVDKLCGILSSFPHKRPTGQVVAVVEKSLVRDSIVGLLDVKGWIHYKESDPKRCKSPLSLSDDEYVQLMPADPRFPKLIVPFHVLPGSIRARLENLDPNLEAELVAAQIVDWGEGSPFPVAQITHLFGRGSELEPQINAILYQNSVCDSDFSPGSLTSLPRVPWEVPEEEVQRRKDLRDLCVLTIDPSTATDLDDALSVQSLPGGFFRVGVHIADVSYFVLPETALDTEARFRSTSVYLMQRKISMLPPLLSENVGSLSPGADRLAFSILWDLNREGDVIDRWIGRTIIRSCCKLSYDHAQDIIDGKSDVAENGWPALHGSFKWCDVTRSVKQLSEISTTLRQKRFRNGALQLENSKPVFLFDEHGVPYDFVTCSRKGSNFLVEEFMLLANMTAAEVISQAYPASSLLRRHPEPNTRKLKEFEGFCSKHGMDLDISSSGQLQDSLEKITGNLKDDSVFVDILNNYAIKPMQLASYFCTGNLKDSVAEWGHYALAVPLYTHFTSPLRRYPDIVVHRALAAALEAEELYSKQKQTAIDEGRSCFTGIHFNKDAAESIEGKEALSVAALKHGVPSTEILSDVAAYCNERKLAARKVRDACDKLYTWFVLKQKEIFPCEARVMNLGSRFMTVYISKLGIERRIYYDQIEGLCADWLEATSTLIVDKLYSKRGGRGFFKPMKEAVYLVSPCEVCVAKCSALSVHDTESPEAVSIDEVAPAVFPLTIQLFSTIPVVLHAVGGDDGPLDIGARLYMSSYY", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTAQQAPKWYPSEDVAAPKKTRKAVRPQKLRASLVPGTVLILLAGRFRGKRVVYLKHLEDNTLLVTGPFKVNGVPLRRVNARYVIATSTKVSVEGVNVEKFNVEYFAKEKLTKKEKKEANLFPEQQTKEIKTERVEDQKVVDKALLAEIKKTPLLKQYLSASFSLKNGDKPHLLKF", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAREITDIKQFLELTRRADVKTATVKINKKLNKAGKPFRQTKFKVRGSSSLYTLVINDAGKAKKLIQSLPPTLKVNRL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MHITQLNRECLLCLFSFLDKDSRRSLSRTCSQLRDVFEDPTLWPLLHFHSLAELKKDNFRLSPALRSLSICWHSSRVQVCSIEDWLKSALQRSICSQHESLVNDFLLQVCNRCPNLTSVTLSGCGHVTDDCLARLLLSCPRLRTLRLENCARVTNRTLAAVAAHGRALQTLHVDFCRNVSAAGLLRLRAACPNLRLSAERSAAMIPDQPPRARVCAASAFSAAPGRQSMPHPTGSY", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSKLVDAINEVAVSNKDKIELDGIKDDSFDGHLSITEATYDVDTFLKPSPALSKDWIRKLQKKWDREITYKGLYEYPETLARTQIRFQRHGLEGKIMGYKEVPELIEDLNSKNSSSFLRKPSSKNEFVRGSTSNIPFLADDSDVDAIAGEPSVKMALYGEDGLLQVPPGFSRGLSMTATSTTDNLNDEFDPEKWDTKKVKSSNRNFVTIHELNEHLKNVNSKHSEIDDLLPDKRSIVSLPPSTLNLHKQPDYAHVVDSSAPIENFQQLVPEMALDFPFELDNFQKEAIYHLEMGDSVFVAAHTSAGKTVVAEYAIALAQKHMTKAIYTSPIKALSNQKFRDFKHKFEDVGILTGDVQVNPEGSCLLMTTEILRSMLYRGADLIRDVEFVIFDEVHYVNDLERGVVWEEVIIMLPPHVTLILLSATVPNTKEFASWVGRTKKKNIYVISTLKRPVPLEHYLWVKQNMFKIVDQHGRFLMDGYKSANDALKKPDKPVIAKDNKNSARGRGAARGRGVQTNMMRGRGSAKSVERRDANTWVHLIGHLHKQNLLPVIVFVFSKKRCEEYVDTLTNRDLNNHQEKSEVHVVIEKAVARLKKEDRLLPQIGRMREMLSRGLAVHHGGLLPIIKEIVEILFQRGLVKVLFATETFAMGVNMPAKSVVFSGTQKHDGRNFRDLLPGEYTQCSGRAGRRGLDVTGTVIILSRSELPDTASLRHMIMGPSSKLISQFRLTYNMILNLLRVETLRIEDMIKRSFSENVNQTLVPQHEEKIKSFEEKLSALKKEMSDVDLKEIKSCLLSSESFKEYTKKMHFRAITTANGKRIFKDGRVIVFQQLDFTRTVGVLLGTSIRTNASDCTLEVAYLNPQNNLKRPSDLLAFADAFNDVYDNAIFDESNQFKYGLINLSGIERVCNTILRIDSGGIRDRRGGAFRKLSEQFASIKKFSDLLFEEVNWSKVRDFEFCEAFEKRNFLQNKLSGNPIISTPNFLTHFALAYQEYELESNIDNLSSYISDQNLELLPDYEQRIKVLQELGYIDAERTVLLKGRVACEINSTSELVLTELILENSLADFSCEETIALLSAFVFDEKTEVEPTISPHLQKGKEMILSVAEKVNQIQEHYQVLYFNEGNDFESQPRFGLMEVCYEWARGMSFNRITDLTDVLEGSIVRTIIRLDEVLRECRGAARVVGDSSMYTKMEECQNLIRRNIVFCPSLYM", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSSLTRLLQEKRKNETSNSSPRTSADTLTTTPESQSLDLHSRNKSSSHIGSVSNSSSSDRNRANVPVPGSVTTVTQIYSEEDSSSTAGSSLDDRNQFSSSFLNANFAHTASFYGTSAQSRDRFGSLINDQGTAGLSSHGGSFAAQNRITSRLSTTSHTSGRAIPSLSSSIPYSVPNSNKDNNSSNSNSSSLSSSWLETYAGGMPNNISAIDSNVISSPKVDSVEPRFVISKQKLQKASMDSNNANATQSRSISRSGSFSSQLGNFFFSKNSKESSNSNSAGMSFSANSNGPSPNIKNPNVTNGSTPIPKPIRARQSSIYSASRQPTGSYTDNFYGSPSSVHDHLPPSQSVPRSQHSSIGDLKRFFKKSSNSNLSSNSNNVIPNGSPLSSGIAVPSHSHSSSHFAAGNNSYSTSYNGNGDTIYSHSHGGSGIPFSKRYIKTGADLGAGAGGSVKLAQRISDNKIFAVKEFRTKFENESKRDYVKKITSEYCIGTTLNHPNIIETIEIVYENDRILQVMEYCEYDLFAIVMSNKMSYEEICCCFKQILTGVQYLHSIGLAHRDLKLDNCVINEKGIVKLIDFGAAVVFSYPFSKNLVEASGIVGSDPYLAPEVCIFAKYDPRPVDIWSSAIIFACMILKKFPWKIPKLRDNSFKLFCSGRDCDSLSSLVTRTPDPPSYDESHSTEKKKPESSSNNVSDPNNVNIGPQRLLHSLPEETQHIVGRMIDLAPACRGNIEEIMEDPWIRSIDMCHLVEDGLSFKVVRGEDHHHTQVDQSEAHIAGLEKKKKKQNNQ", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLYVSNLPVGTSSSAIHALFSAYGNVKDIWMLSPDNSAIVSYESLSSAIVARDALHNRPVFENHGPVQVMLAKPSSNYEPGNITAAVSPPASTSSKDGVVCSPTSTGASQLLKSRVDILEVARQFEMRINLDIVDSMIASAIENNKVATEILPPVETLRSRQFEASKLREIRKNIDSGFYTQEEIEVIARSMLDDVAELSSDYLGNTVVQKFFEYCSDPIKEAMLERIAPYLAAIGIHKNGTWAAQKIIDVASTEKQMDLIVKHLRPYTALLYFDQFGNYVAQCCLRFKYPKNTFLFEVMARHCCEIGQSRFGARAIRACLENENATFEQQALVVASIIINSHLLATNSNGMLLLTWLLDNSFFRNRHRLLAIHLATHLHTTCTHKLASTLIFKLINNKQEPESRNLLLKNLFFSEKDNVLTYILQDQAVGPSFIHKVITYPSIGREFLAQFHLVIKRVLINIHAQPNAVYCRLMEEVGMTSKSISPSLSGISAPSASVDSSASRLARDFGSLSLSSNSLLGSLGGLESTPAYPSYPSHIPLGTASLPLKGNLYQISRSDDIKSGAPVLDTSSLVNPTLAKSASLNNSSLLNPSSSLLRREVPAGKLTMPAYPYTTQLMNHTAGADYGLPRLSSKLPQVFPGNYPRLQQSLFPRQGELRFN", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTDDSVPPPSYEEVLRQEGVIDSPNSSNGQTSTSAGHPSSSSSTLPNYAASSLNSRPVSSSGSGNAYSQAPYPPARPTSQRPNSWQPGNASTMYASPPPSSNYNTAKPPYQTSQFYARPQSSYAPPPSGRPRISYPYPPGYMCYKCHNTGYKDSGRPCGRCARRFGRSYDVQFSRPPPGALVVYPGDPRIPGRVCGNCKGSGQLDFIFFTEICPVCNGVGKIPY", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAEKDATASGDDAIRVSGMQFAYEVEDPIFFDFNLDLPAGSRCLLVGANGSGKTTLLKILAGKHMVGGKNVVQVLSRSAFHDTQLVCSGDLSYLGGSWSKTVGSAGEVPLQGDFSAEHMIFGVEGTDPVRREKLIDLLDINLQWRMHKVSDGQKRRVQICMGLLHPFKVLLLDEVTVDLDVVARMDLLEFFKEECDQRGATIVYATHIFDGLETWATHLAYIQDGELNRLSKMTDIEELKTSPNLLSVVESWLRSEIKLVKKKKKPVAPWKPSPFDNSPFRSSRHMAYYR", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSSTQQQQHQLFSAVEQNDVTKVKKLSSKKKISKSNLTSFDQYGQSALTIALKNNNEEMVELLLSLCVTLKADINTFDKNGFSALHQAVSSDDRILMRVLQYENINVDVQNDDLNTPIHYFCQKFRSPNCQEPFQLFIQKGVNVNAQNKNGETPLHKAIFNNSVRLMMVGLLLKNGANVNLATQFQESPLHYAVRLGREDLVSVLLKAGADVDCVGTKERKTPYQLAVEEGNKDMTARIKKYKDLFDWLQKHGFEQYKDAFLKEEMFLDELGEMSEDILNKMGITSTGTRLRILKETSNLANEQTKKPKTPELIIEEDPTPPDTPDISGLRHSLHTLRHVGEVNIINDNELEYTEKLGAGSSGKVYKGLYRGKEVAIKVLKSMTESKEIEEFKKEFQIMSAIRSKHVVHFYGAVLEPKLCMVMENCSRGSLYHVMDNNSLDIGWERTFRFAIETVRGIECLHKWDPPIVHRDLKSLNLLVNDKWEIKVCDFGLSRFNTGSNLETLVKMRGTFAYCAPEVYYGEQFSGKSDVYSIAVILWELVTRCINGRYERPFSEYKNLQHDFQIIIQTAKKNLRPTIPNACPESLVSLIQDCWDPNLENRPTCTDILSRLVTIENEYRSNIQTWNNLIVPLPKNQE", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MATNNDFGAFIEKVTISPTSTSSSPPSLQGLTFAIKDIFDVEGRVTGFGNPDWLRTHSAATSTAPVVSSLLEAGATALGITIMDEMAYSINGENAHYGTPRNPIAFDRVPGGSSSGSAVAVAARLVDFSIGTDTGGSVRVPASYCGIFGFRPSHGAVSTVGLTPMAQSFDTVGWFARDTATLKRVGCVLLQQHHLNPIEPSQLIIADDCFKLCSVPHDLLVQPLVGSVEKSFGGNTVVKKVNLGEYIGQNVPSLKHFMTSDDVTTQQEFCIPSLMALSSSMRLLQRHEFKINHGAWISSVKPEFGPGISERIEEAIRTSDEKIDHCRSVKSELITALSTLLGEKGVLVIPTVPGPPPHLQANVAALESFRSRAFSLLSIAGVSGFCQVSIPLGLHENLPVSVSLVAKYGSDGFLLSLVDSLAAFI", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSLLIDSVPTVAYKDQKPGTSGLRKKTKVFMDEPHYTENFIQATMQSIPNGSEGTTLVVGGDGRFYNDVIMNKIAAVGAANGVRKLVIGQGGLLSTPAASHIIRTYEEKCTGGGIILTASHNPGGPENDLGIKYNLPNGGPAPESVTNAIWEASKKLTHYKIIKNFPKLNLNKLGKNQKYGPLLVDIIDPAKAYVQFLKEIFDFDLIKSFLAKQRKDKGWKLLFDSLNGITGPYGKAIFVDEFGLPAEEVLQNWHPLPDFGGLHPDPNLTYARTLVDRVDREKIAFGAASDGDGDRNMIYGYGPAFVSPGDSVAIIAEYAPEIPYFAKQGIYGLARSFPTSSAIDRVAAKKGLRCYEVPTGWKFFCALFDAKKLSICGEESFGTGSNHIREKDGLWAIIAWLNILAIYHRRNPEKEASIKTIQDEFWNEYGRTFFTRYDYEHIECEQAEKVVALLSEFVSRPNVCGSHFPADESLTVIDCGDFSYRDLDGSISENQGLFVKFSNGTKFVLRLSGTGSSGATIRLYVEKYTDKKENYGQTADVFLKPVINSIVKFLRFKEILGTDEPTVRT", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MALAARLWRLLPFRRGAAPGSRLPAGTSGSRGHCGPCRFRGFEVMGNPGTFKRGLLLSALSYLGFETYQVISQAAVVHATAKVEEILEQADYLYESGETEKLYQLLTQYKESEDAELLWRLARASRDVAQLSRTSEEEKKLLVYEALEYAKRALEKNESSFASHKWYAICLSDVGDYEGIKAKIANAYIIKEHFEKAIELNPKDATSIHLMGIWCYTFAEMPWYQRRIAKMLFATPPSSTYEKALGYFHRAEQVDPNFYSKNLLLLGKTYLKLHNKKLAAFWLMKAKDYPAHTEEDKQIQTEAAQLLTSFSEKN", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLGMIRNSLFGSVETWPWQVLSTGGKEDVSYEERACEGGKFATVEVTDKPVDEALREAMPKIMKYVGGTNDKGVGMGMTVPVSFALFPNEDGSLQKKLKVWFRIPNQFQGSPPAPSDESVKIEEREGITVYSTQFGGYAKEADYVAHATQLRTTLEGTPATYQGDVYYCAGYDPPMKPYGRRNEVWLVKA", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVLTSKQKEELNGSILDYFESSQYKSSFEEFKKETGTELDVKKKGLLEKKWTSVIRLQKKVLDLEAKVAQLEEELNSGGGRGGGRGRGKEDALPRPPEKHILTGHRNCINSVKFHPSFSLMVSASEDATIKVWDFESGEFERTLKGHTNAVQDIDFDKTGNLLASCSADLTIKLWDFQTYDCVKTLHGHDHNVSCVRFTPSGDQLISSSRDKTIKVWEAATGYCIKTLVGHEDWVRKITVSEDGSCIASCSNDQTIKTWNIVKGECLATYREHSHVVECLAFSTANIIDIPGSLLSTPEGKSKVKQGPGGNLVGQCGYLATGSRDKTIKIWELATGRCLATYIGHDNWVRAVRFHPCGKFLLSVGDDKTIRVWDIAQGRCIKTINEAHTHFISCLDFCLHNPHIATGGVDDVIKVWKLQ", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLALKLQKKYPELTNEEILTLTDQFNKLDVDGKGYLDQPTTIKAFEDSKKGSYDEVREAIREVNVDSSGRVEPEDFVGIFNVLKKGVEGTEVKKGRITIKGSSSSVSHTINEEERREFIKHINSVLAGDPDVGSRVPINTETFEFFDQCKDGLILSKLINDSVPDTIDERVLNKQRNNKPLDNFKCIENNNVVINSAKAMGGISITNIGAGDILEGREHLILGLVWQIIRRGLLGKIDITLHPELYRLLEEDETLDQFLRLPPEKILLRWFNYHLKAANWPRTVSNFSKDVSDGENYTVLLNQLAPELCSRAPLQTTDVLQRAEQVLQNAEKLDCRKYLTPTAMVAGNPKLNLAFVAHLFNTHPGLEPLNEEEKPEIEPFDAEGEREARVFTLWLNSLDVTPSIHDFFNNLRDGLILLQAYDKITPNTVNWKKVNKAPASGDEMMRFKAVENCNYAVDLGKNQGFSLVGIQGADITDGSRTLTLALVWQMMRMNITKTLHSLSRGGKTLSDSDMVAWANSMAAKGGKGSQIRSFRDPSISTGVFVLDVLHGIKSEYVDYNLVTDGSTEELAIQNARLAISIARKLGAVIFILPEDIVAVRPRLVLHFIGSLMAV", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASAPLPGPPASAGGDGPNLNNNNNNNNHSVRKCGYLRKQKHGHKRFFVLRGPGTGGDEASAAGGSPPQPPRLEYYESEKKWRSKAGAPKRVIALDCCLNINKRADAKHKYLIALYTKDEYFAVAAENEQEQEGWYRALTDLVSEGRSGEGGSGTTGGSCSASLPGVLGGSAGAAGCDDNYGLVTPATAVYREVWQVNLKPKGLGQSKNLTGVYRLCLSARTIGFVKLNCEQPSVTLQLMNIRRCGHSDSFFFIEVGRSAVTGPGELWMQADDSVVAQNIHETILEAMKALKELFEFRPRSKSQSSGSSATHPISVPGARRHHHLVNLPPSQTGLVRRSRTDSLAATPPAAKCTSCRVRTASEGDGGAAGGAGTAGGRPMSVAGSPLSPGPVRAPLSRSHTLSAGCGGRPSKVTLAPAGGALQHSRSMSMPVAHSPPAATSPGSLSSSSGHGSGSYPLPPGSHPHLPHPLHHPQGQRPSSGSASASGSPSDPGFMSLDEYGSSPGDLRAFSSHRSNTPESIAETPPARDGSGGELYGYMSMDRPLSHCGRPYRRVSGDGAQDLDRGLRKRTYSLTTPARQRQVPQPSSASLDEYTLMRATFSGSSGRLCPSFPASSPKVAYNPYPEDYGDIEIGSHKSSSSNLGADDGYMPMTPGAALRSGGPNSCKSDDYMPMSPTSVSAPKQILQPRLAAALPPSGAAVPAPPSGVGRTFPVNGGGYKASSPAESSPEDSGYMRMWCGSKLSMENPDPKLLPNGDYLNMSPSEAGTAGTPPDFSAALRGGSEGLKGIPGHCYSSLPRSYKAPCSCSGDNDQYVLMSSPVGRILEEERLEPQATPGAGTFGAAGGSHTQPHHSAVPSSMRPSAIGGRPEGFLGQRCRAVRPTRLSLEGLQTLPSMQEYPLPTEPKSPGEYINIDFGEAGTRLSPPAPPLLASAASSSSLLSASSPASSLGSGTPGTSSDSRQRSPLSDYMNLDFSSPKSPKPSTRSGDTVGSMDGLLSPEASSPYPPLPPRPSTSPSSLQQPLPPAPGDLYRLPPASAATSQGPTAGSSMSSEPGDNGDYTEMAFGVAATPPQPIVAPPKPEGARVASPTSGLKRLSLMDQVSGVEAFLQVSQPPDPHRGAKVIRADPQGGRRRHSSETFSSTTTVTPVSPSFAHNSKRHNSASVENVSLRKSSEGSSTLGGGDEPPTSPGQAQPLVAVPPVPQARPWNPGQPGALIGCPGGSSSPMRRETSVGFQNGLNYIAIDVRGEQGSLAQSQPQPGDKNSWSRTRSLGGLLGTVGGSGASGVCGGPGTGALPSASTYASIDFLSHHLKEATVVKE", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSISFSELVAKTLLDLEVKVVFGIVGIPVIEICEAIQASGIRFVGFRNEQSAAYAATAYGYLTQRPGVCVVVGGPGVVHAMAGVFNSKTNRWPLLLLAGSSETFQQNCGAFQELDQVSYLSPHTKLAVRPPSPKMVVDSIRRAYRVSMTGTPGTCYVDLPANYIESTVDDFPKDPLPPIPSSPKCAPDPTQLQKAAYYLKNAKAPLLVVGKGAAYACAEKQLLEFVEHTGIPFLPSPMGKGLLPESHPLNVSSARSAALRNADVVLLAGARLNWIFQYGLPPKWSPNAKFIQIDTNAETLGNNAADLDLAIWADVGLTIDCLFKLVQTWKYSVGISTPYLRTLNETRSKNEKKALESRKSSIPLQMNYALYVVNEELQSLSLKSKRNITWVSEGANTMDRGRQLLEVTHPRGRLDAGTMSTMGVGMGYAIASAFAHSSDKIVVVEGDSAFGFSAMELETAIRNQLDLLVIVINNNGVYHGLDTDAYETLRDNHQLPTTALGTSIRYDQICEACGGKGFFVKNEEDLRSSLRKAWQTSSVSLINVMVDPEAARKLTFAWMSSTKVKPKL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSSEEADLLRLEEVFSTTLARTISLILQPLLLADPEPSDPCGKECLRLLQQLHESAQRLWYVTEQSLLSLRQRLYHPPSKGLEAVLLLSNADHVLQAHMEYIKSYTDCVVAQAFQKVSKKRSEFWRSQRKALRQLLSSGSSEGSVGTTMCQALRQPLSQHVQKYLLLLLSLRDTLDESHPAQELVMHAITLFGNLQSFMGQALDQAVATQALWHSLSSRLRDVLCSPAHRLLQDSQDIPVVVTPLRAERVLLFDDSLVLLQGHNTHTFDLKLVWVKPGQDKCVLHILTPEEEISFCTRDPQGQVVWQWKVTQAVCQALCGKKDFPVLGSGRETSVPPECRCVAYTFCREGRLCQATYDGEWCRAKPHGKGTLKWPDGRNHVGTFYQGLEHGFGICLVPQASEDKFDCYKCHWREGRMCEYGICEYGTDEVYKGYFQAGLRHGFGILESAPQAPQPFRYTGHWERGQRSGYGIEEDRDRGERYIGMWQADQRHGPGVVVTQAGVCYQGTFQGDKMAGPGILLCEDDSLYEGTFTRDLTLLGKGKVTFPNGFTLDGSFSSGTDKGLYTQGVLDTAALPPDPSSTRKRQLGLGTFPVESRWQGVYSPFRDFLRLGCPGEQQEALLGFHTQSSRELRKSQECLCCERSHPEDCVGSMEDTLKELLQHRKPKALQQYLRKALSNSRHPLGQLLRTLMLTFQATYSGIGANKHLQEMAQEEVKQHARELWAAYRGLLKVALQHQGQTLEEENMETRDLQVHGLLLPLILPSFYSELFTLYLLLHEREDGLYSRGITNLSLFPDTKLLEFLDVQKHLWPLKDLKLTSNQRYSLVRDKCFLTATECLQKIITTVHPREKLEVLEKTYGEIEATVSRVLGCKYKLPMDDLLPLLIYVVSRARIQHLGAEIHLIRDMMDPVHTGGLHDFLLTALESCYEHIQKEDMRPHHLPGHWDARDLW", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MCNPEEAALLRLEEVFSATLAHVNSLVLQPLLPAAPDPSDPWGRECLRLLQQLHKSSQQLWEVTEESLHSLQERLRYPDSTGLESLLLLRGADRVLQAHIEYIESYTSCMVVQAFQKAAKRRSEYWRGQRKALRQLLSGVSSEGSVGASLGQALHQPLAHHVQQYVLLLLSLGDTIGEHHPTRELVVNAVTLFGNLQSFMKQELDQAVATQALWHTLRGRLRDVLCTPAHRLLQDSQDVPVTVAPLRAERVLLFDDALVLLQGHNVHTFDLKLVWVDPGQDGCTFHLLTPEEEFSFCAKDSQGQAVWQWKVTWAVHQALHGKKDFPVLGAGLEPSQPPDCRCAEYTFQAEGRLCQATYEGEWCRGRPHGKGTLKWPDGRNHVGNFCQGLEHGFGIRLLPQASEDKFDCYKCHWREGSMCGYGICEYSTDEVYKGYFQEGLRHGFGVLESGPQAPQPFRYTGHWERGQRSGYGIEEDGDRGERYIGMWQAGQRHGPGVMVTQAGVCYQGTFQADKTVGPGILLSEDDSLYEGTFTRDLTLMGKGKVTFPNGFTLEGSFGSGAGRGLHTQGVLDTAALPPDPSSTCKRQLGVGAFPVESRWQGVYSPFRDFVCAGCPRDLQEALLGFDVQSSRELRRSQDYLSCERTHPEDSVGSMEDILEELLQHREPKALQLYLRKALSNSLHPLGKLLRTLMLTFQATYAGVGANKHLQELAQEEVKQHAQELWAAYRGLLRVALERKGQALEEDEDTETRDLQVHGLVLPLMLPSFYSELFTLYLLLHEREDSFYSQGIANLSLFPDTQLLEFLDVQKHLWPLKDLTLTSNQRYSLVRDKCFLSATECLQKIMTTVDPREKLEVLERTYGEIEGTVSRVLGREYKLPMDDLLPLLIYVVSRARIQHLGAEIHLIRDMMDPNHTGGLYDFLLTALESCYEHIQKEDMRLHRLPGHWHSRELW", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSKDTHDDELPSYEDVIKEEERLQSQPPRPPRPAANLAQGHQSRPHQRPSTMPATSSSQTYAHSHSYTPTSSQPRPPPRPQQNPSLPWTYPPRFYCSKCGNTGYKLKNGRSCKSCWRRFAPQNNVVSAPTYYTNYTMPVYTNAWQGNRPLYVQPGDPRLGGVLCGECRGSGRTRFLLDEDICPLCHGVGRIITQPQRY", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEDPRRRTTAPRAKKPSAKRAPTQPSRTRAHAESCGPQRGARSRRAERDGDTTEKPRAPGPRVHPARATELTKDAQPSAMDAAGATARPAVRVPQQQAILDPELPAVREPQPPADPEARKVVRGPSHRRGARSTGQPRAPRGSRKEPDKLKKVLDKLRLKRKDISEAAETVNKVVERLLRRMQKRESEFKGVEQLNTGSYYEHVKISAPNEFDVMFKLEVPRIELQEYYETGAFYLVKFKRIPRGNPLSHFLEGEVLSATKMLSKFRKIIKEEVKEIKDIDVSVEKEKPGSPAVTLLIRNPEEISVDIILALESKGSWPISTKEGLPIQGWLGTKVRTNLRREPFYLVPKNAKDGNSFQGETWRLSFSHTEKYILNNHGIEKTCCESSGAKCCRKECLKLMKYLLEQLKKEFQELDAFCSYHVKTAIFHMWTQDPQDSQWDPRNLSSCFDKLLAFFLECLRTEKLDHYFIPKFNLFSQELIDRKSKEFLSKKIEYERNNGFPIFDKL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASVVVKTIWQSKEIHEAGDTPTGVESCSQLVPEAPRRVTSRAKGIPKKKKAVSFHGVEPQMSHQPMHWCLNLKRSSACTNVSLLNLAAMEPTDSTGTDSTVEDLSGQLTLAGPPASPTLPWDPDDADITEILSGVNSGLVRAKDSITSLKEKTNRVNQHVQSLQSECSVLSENLERRRQEAEELEGYCIQLKENCWKVTRSVEDAEIKTNVLKQNSALLEEKLRYLQQQLQDETPRRQEAELQEPEEKQEPEEKQEPEEKQKPEAGLSWNSLGPAATSQGCPGPPGSPDKPSRPHGLVPAGWGMGPRAGEGPYVSEQELQKLFTGIEELRREVSSLTARWHQEEGAVQEALRLLGGLGGRVDGFLGQWERAQREQAQTARDLQELRGRADELCTMVERSAVSVASLRSELEGLGPLKPILEEFGRQFQNSRRGPDLSMNLDRSHQGNCARCASQGSQLSTESLQQLLDRALTSLVDEVKQRGLTPACPSCQRLHKKILELERQALAKHVRAEALSSTLRLAQDEALRAKNLLLTDKMKPEEKMATLDHLHLKMCSLHDHLSNLPLEGSTGTMGGGSSAGTPPKQGGSAPEQ", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSITMSDSSAYGEELMRERFEHLLKAYEKMALMVAEQEEFNAKIEDMALKLLSEKYDNEAYQAELFYRLSNCVEKVLHNKISITDLKTEYEEILEQTLKKECKAYERSCIENVKLKKRTEQATAYYASSSSEP", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASAVPAQVQSSQASAPQLQRGIVKMVLSGCAIIVRGQPRGGPPPERQINLSNIRAGALARRAIQGQPDTKDTPDEPWAFQAREFMRKKVIGKEVCFTVENKTPQGREYGMVYLGKDTSGENIAESLVAEGLAMVRREGIRGNNPEQVRLCDLEDQAKSSKKGLWSEGGGSHTIRDLKYTIENPRNFVDSLHQKPVNAIIEHVRDGCMVRALLLPDYYLVTVMLSGIKSPTFKREADGSETPEPFAAEAKFFTESRLLQRDVQIILESCPNQVILGTILHPNGNITELLLKEGFARCVDWSMAVYTQGAEKLRAAERSAKERKVRIWKDYVAPTANLDQKDRQFVAKVMQVVNADAIVVKLNSGEYKTIHLSSIRPPRLEGEEKNKDKDKRFRPLYDIPYMFEAREFLRKKLIGKKVNVTVDYIRAATNAMEMGVPAFPERTCATVTIGGINIAEALVSKGLATVIRYRQDDDQRSSHYDELLAAEARAIKNGKGLHSKKEVPIHRVADISGETQKAKQFFPFLQRAGRSEAVVEYVFSGSRLKLYMPKETCLITFLLAGIECPRGSRNMPGGMQVAEPYSEEAMLFTKELVLQREVEVEVESMDIAGNFIDWLHIDGVNLSVALVENALSKVHFTAERSSYYKTLVSAEESARQRKEKLWANYEEKPNEEVAQVTEAKERGRNTDPSTSLEITDGLHFYAQDVETGTKLENLMESMRGEIAAQPPVEGSFAPRRGEFCIAKFADGEWYRARVEKVESPAKVHVFYIDYGNREVLSSTRLAALPPAFSTRTLPPQATEYAFAYIQVPQDEDARADAVDSVVRDIHNTQCLLNVEYSGMVCPQVTLQFADTKEDVGLGLVKEGMVMVDIRKEKYLQKMVTEYLNAQESAKSARLNIWR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEVEQEQRRRKVEAGRTKLAHFRQRKTKGDSSHSEKKTAKRKGSAVDASVQEESPVTKEDSALCGGGDICKSTSCDDTPDGAGGAFAAQPEDCDGEKREDLEQLQQKQVNDHPPEQCGMFTVSDHPPEQHGMFTVGDHPPEQRGMFTVSDHPPEQHGMFTVSDHPPEQRGMFTISDHQPEQRGMFTVSDHTPEQRGIFTISDHPAEQRGMFTKECEQECELAITDLESGREDEAGLHQSQAVHGLELEALRLSLSNMHTAQLELTQANLQKEKETALTELREMLNSRRAQELALLQSRQQHELELLREQHAREKEEVVLRCGQEAAELKEKLQSEMEKNAQIVKTLKEDWESEKDLCLENLRKELSAKHQSEMEDLQNQFQKELAEQRAELEKIFQDKNQAERALRNLESHHQAAIEKLREDLQSEHGRCLEDLEFKFKESEKEKQLELENLQASYEDLKAQSQEEIRRLWSQLDSARTSRQELSELHEQLLARTSRVEDLEQLKQREKTQHESELEQLRIYFEKKLRDAEKTYQEDLTLLQQRLQGAREDALLDSVEVGLSCVGLEEKPEKGRKDHVDELEPERHKESLPRFQAELEESHRHQLEALESPLCIQHEGHVSDRCCVETSALGHEWRLEPSEGHSQELPWVHLQGVQDGDLEADTERAARVLGLETEHKVQLSLLQTELKEEIELLKIENRNLYGKLQHETRLKDDLEKVKHNLIEDHQKELNNAKQKTELMKQEFQRKETDWKVMKEELQREAEEKLTLMLLELREKAESEKQTIINKFELREAEMRQLQDQQAAQILDLERSLTEQQGRLQQLEQDLTSDDALHCSQCGREPPTAQDGELAALHVKEDCALQLMLARSRFLEERKEITEKFSAEQDAFLQEAQEQHARELQLLQERHQQQLLSVTAELEARHQAALGELTASLESKQGALLAARVAELQTKHAADLGALETRHLSSLDSLESCYLSEFQTIREEHRQALELLRADFEEQLWKKDSLHQTILTQELEKLKRKHEGELQSVRDHLRTEVSTELAGTVAHELQGVHQGEFGSEKKTALHEKEETLRLQSAQAQPFHQEEKESLSLQLQKKNHQVQQLKDQVLSLSHEIEECRSELEVLQQRRERENREGANLLSMLKADVNLSHSERGALQDALRRLLGLFGETLRAAVTLRSRIGERVGLCLDDAGAGLALSTAPALEETWSDVALPELDRTLSECAEMSSVAEISSHMRESFLMSPESVRECEQPIRRVFQSLSLAVDGLMEMALDSSRQLEEARQIHSRFEKEFSFKNEETAQVVRKHQELLECLKEESAAKAELALELHKTQGTLEGFKVETADLKEVLAGKEDSEHRLVLELESLRRQLQQAAQEQAALREECTRLWSRGEATATDAEAREAALRKEVEDLTKEQSETRKQAEKDRSALLSQMKILESELEEQLSQHRGCAKQAEAVTALEQQVASLDKHLRNQRQFMDEQAAEREHEREEFQQEIQRLEGQLRQAAKPQPWGPRDSQQAPLDGEVELLQQKLREKLDEFNELAIQKESADRQVLMQEEEIKRLEEMNINIRKKVAQLQEEVEKQKNIVKGLEQDKEVLKKQQMSSLLLASTLQSTLDAGRCPEPPSGSPPEGPEIQLEVTQRALLRRESEVLDLKEQLEKMKGDLESKNEEILHLNLKLDMQNSQTAVSLRELEEENTSLKVIYTRSSEIEELKATIENLQENQKRLQKEKAEEIEQLHEVIEKLQHELSLMGPVVHEVSDSQAGSLQSELLCSQAGGPRGQALQGELEAALEAKEALSRLLADQERRHSQALEALQQRLQGAEEAAELQLAELERNVALREAEVEDMASRIQEFEAALKAKEATIAERNLEIDALNQRKAAHSAELEAVLLALARIRRALEQQPLAAGAAPPELQWLRAQCARLSRQLQVLHQRFLRCQVELDRRQARRATAHTRVPGAHPQPRMDGGAKAQVTGDVEASHDAALEPVVPDPQGDLQPVLVTLKDAPLCKQEGVMSVLTVCQRQLQSELLLVKNEMRLSLEDGGKGKEKVLEDCQLPKVDLVAQVKQLQEKLNRLLYSMTFQNVDAADTKSLWPMASAHLLESSWSDDSCDGEEPDISPHIDTCDANTATGGVTDVIKNQAIDACDANTTPGGVTDVIKNWDSLIPDEMPDSPIQEKSECQDMSLSSPTSVLGGSRHQSHTAEAGPRKSPVGMLDLSSWSSPEVLRKDWTLEPWPSLPVTPHSGALSLCSADTSLGDRADTSLPQTQGPGLLCSPGVSAAALALQWAESPPADDHHVQRTAVEKDVEDFITTSFDSQETLSSPPPGLEGKADRSEKSDGSGFGARLSPGSGGPEAQTAGPVTPASISGRFQPLPEAMKEKEVRPKHVKALLQMVRDESHQILALSEGLAPPSGEPHPPRKEDEIQDISLHGGKTQEVPTACPDWRGDLLQVVQEAFEKEQEMQGVELQPRLSGSDLGGHSSLLERLEKIIREQGDLQEKSLEHLRLPDRSSLLSEIQALRAQLRMTHLQNQEKLQHLRTALTSAEARGSQQEHQLRRQVELLAYKVEQEKCIAGDLQKTLSEEQEKANSVQKLLAAEQTVVRDLKSDLCESRQKSEQLSRSLCEVQQEVLQLRSMLSSKENELKAALQELESEQGKGRALQSQLEEEQLRHLQRESQSAKALEELRASLETQRAQSSRLCVALKHEQTAKDNLQKELRIEHSRCEALLAQERSQLSELQKDLAAEKSRTLELSEALRHERLLTEQLSQRTQEACVHQDTQAHHALLQKLKEEKSRVVDLQAMLEKVQQQALHSQQQLEAEAQKHCEALRREKEVSATLKSTVEALHTQKRELRCSLEREREKPAWLQAELEQSHPRLKEQEGRKAARRSAEARQSPAAAEQWRKWQRDKEKLRELELQRQRDLHKIKQLQQTVRDLESKDEVPGSRLHLGSARRAAGSDADHLREQQRELEAMRQRLLSAARLLTSFTSQAVDRTVNDWTSSNEKAVMSLLHTLEELKSDLSRPTSSQKKMAAELQFQFVDVLLKDNVSLTKALSTVTQEKLELSRAVSKLEKLLKHHLQKGCSPSRSERSAWKPDETAPQSSLRRPDPGRLPPAASEEAHTSNVKMEKLYLHYLRAESFRKALIYQKKYLLLLIGGFQDSEQETLSMIAHLGVFPSKAERKITSRPFTRFRTAVRVVIAILRLRFLVKKWQEVDRKGALAQGKAPRPGPRARQPQSPPRTRESPPTRDVPSGHTRDPARGRRLAAAASPHSGGRATPSPNSRLERSLTASQDPEHSLTEYIHHLEVIQQRLGGVLPDSTSKKSCHPMIKQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKNLVTHLHHVFPNVNGSIKALAFNSISDKIYVVCGLDNENPGIEIYEISENDDVSKLVEFDSPSFVSDNGDIDEIQSMQFLGEPMAICLSLKGGDIVMVKVDPSPEEAPWEIIGNVENGIVASCWSTDEQVFCIITGGDTILFMTKNFDIISETSLSDADLNEFNKHISVGWGRSETQFRGKRVRAKLRDPTLPEKIDEGKLSDVDDGKTYICWRGDSQYVSINRLEKGPRRAIRVYSREGLLDSISEPQDGQQSLLSWKPSGSVLATIKSDSSDNSSKVIFFERNGLRHGEFSLRRREDEKYTGLAWNVSSSILAVSTENSVMLWTTGNYHWYLKKEINIPQNALISWHPEHANTLYITGKNHIEKVVFDLKYVTEFSTSPNDFGLIPVIDGSSLLVTPLSLCNIPPPMCRYKLSLDYNVQMTSINATSDMLFAADDRRLTAFTFNSQEDIAKFGEFDISTYAEGLNFKSLLGLSGNQVLLLADGTNNCSKFFVFQCDEDNESLKLLASESFESCILNASYCSEMLFFQTSSGKLISYNLNVKSIESISLSFPKPCSDFVVVPVHETFVPIGLTSYGRLYAEQRLLSTGVLSFFCTERFVLFTTTKNLLKFVHLVSTVDDLQVVEDDAVDRHDERCRVVERGSKIVASMPSKMAVVLQMPRGNLETIYPRIMVLDGVRTYIKALKYGDAFKVCRTHRLDLNILFDYDPDLFLKNIPVFVDGLYRVDYLDLFLTSLKPENVTTGMYSDTSKSQSQQSVTTIDNKVNLLCKIIREHLTSKYGDTHFQAIITSYLCESPPKIEAALGMISGLIKAQSETVDLAIEHMCFLVDVNMLFDHALGLYDLKLALLIAQQSQKDPREYVPFLHEFQKQESLRRKFNIDCYLKRYERALGHLKEMENAFDEFKNFTIQHKLYPRALELYKYDKEAQKEVLIIFAQYLRENGKSNEAAIAYESVGKISEAIEAYKSAGMWRECLSILQQTTNSEDLIRETAEDLASLCIEKREHCDAGSINLLYLSNPREAVIQMCKGSQYSEAIRIARATGDSSIYKDLLISVLGESFGEASELVADFRNQIKSQTERILVLREKKKEDPISWMEGTMEDQTPDDISLASTSLSTNRSLYTQYTKSSNSSKMTRNTSKNNRRLERKRARGKKGTVFEEEYLVNSLRRLIARVEEIRPEVHRLLEALVRCNMTTQASELQRNFANVIGTIGEKVIPILSVPVSTFETALGEQPQAPVVPNVKPFEKLSILI", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGPFCLGCSHRKCYSPIRNLISQETFKFHFKNLGYAKGRKDTFLCYEVTRKDCDSPVSLHHGVFKNKDNIHAEICFLYWFHDKVLKVLSPREEFKITWYMSWSPCFECAEQIVRFLATHHNLSLDIFSSRLYNVQDPETQQNLCRLVQEGAQVAAMDLYEFKKCWKKFVDNGGRRFRPWKRLLTNFRYQDSKLQEILRPCYIPVPSSSSSTLSNICLTKGLPETRFCVEGRRMDPLSEEEFYSQFYNQRVKHLCYYHRMKPYLCYQLEQFNGQAPLKGCLLSEKGKQHAEILFLDKIRSMELSQVTITCYLTWSPCPNCAWQLAAFKRDRPDLILHIYTSRLYFHWKRPFQKGLCSLWQSGILVDVMDLPQFTDCWTNFVNPKRPFWPWKGLEIISRRTQRRLRRIKESWGLQDLVNDFGNLQLGPPMS", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTRDQRVCIFGEKKSTVRSVTFKAPKSNYDLTSWRIWEQAFRLNVSNSKKCFDTISGHRITLPTNARGLFTGYDYESKRHRIVIRGYDKFFNIDEVPITTWDALSQHTKGPYELTVKENGCIIFIAALPDGQIIVSSKHSLGIVEGQSVSHANVGERWLEKHLQSVGRTKQELAHELLRRDMTAVAELCDDEFEEHILPYTGNSRGLYLHGLNRNCPQFITASSCEVAEFAEQWGFMKVSSFFMDSIHELKAFLENASKDGKWNNRAIEGFVIRCHSDHSSLEQQSSNDFFFKYKFPEPYGMFRQWREVTKMLISGKKPSYTKYKKVTAEYITFCDKKFKEDEDAKRLYMSNKGIISLRDEFLVLSKLDLMHLSVSNDNDCGKEFTLLVPIATIGCGKTTVAKILEKLFGWPVVQNDNLPSGKGGPKRFAKAIIEEFRNGHSVVFADRNNHISNMRSTLQTDILALIDGVRFVALPFKHTPEVPEFVQNRVLQRGDRHQSIKVSEGVDKVKAIMNTFYKQYKPFDPAGNKHDANYDDIIELDPLIGSLENARRIVNYFKKNIPELIPNDPSDDDYAAALNYAVNEYVPTYRKTFGNDSKKIKNKITAEGITGSSTCFKKAPRYFGVLLDRKTVESSLVQVLTIANLQWQEAFSRYTLQDSFHITMIHESQKPVNSRIWEQYLQHMHDKNTTKMGNISFRITHLVWDDRVICFRVTMNENSVWYGKTCNPQLHITLGTSSSDVKAFESNFLLKKLRWQGDEVDSTDGNVRYLTVLPKIIIEGMLEPVY", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKRKVPNIIITGVPGSGKSTLCEELKEIINKELLKRNDMEGFEMTHLNLSNIIKDERLYKEFDDELDASIYSEELLNEYLKKKYKLEKGGYIIDFHDINFVKDVDIIDKIFLLTIQTNFLYERLEKRNYTKEKIKNNIECEIFQVIKEDILDHFPNTNILQEIENNDLQQYDNNLSIIKNWVLSYI", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDSFKVVLEGPAPWGFRLQGGKDFNVPLSISRLTPGGKAAQAGVAVGDWVLSIDGENAGSLTHIEAQNKIRACGERLSLGLSRAQPAQSKPQKALTPPADPPRYTFAPSASLNKTARPFGAPPPTDSALSQNGQLLRQLVPDASKQRLMENTEDWRPRPGTGQSRSFRILAHLTGTEFMQDPDEEFMKKSSQVPRTEAPAPASTIPQESWPGPTTPSPTSRPPWAVDPAFAERYAPDKTSTVLTRHSQPATPTPLQNRTSIVQAAAGGGTGGGSNNGKTPVCHQCHKIIRGRYLVALGHAYHPEEFVCSQCGKVLEEGGFFEEKGAIFCPSCYDVRYAPSCAKCKKKITGEIMHALKMTWHVPCFTCAACKTPIRNRAFYMEEGAPYCERDYEKMFGTKCRGCDFKIDAGDRFLEALGFSWHDTCFVCAICQINLEGKTFYSKKDKPLCKSHAFSHV", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSNGLVILHAGAGLYSGQREIQAKKTCSDACKAAIQALKVGQSALSAAIQAAKIMEDSPVTNAGVGSNLNIDGKVECEAGVMDSESGLTASVACCNCCRHPSEACLYILNKRKVMSQHGLVPPAMLVGNGIEKLLLHSNIKLVPESHLITERSMKTQIKWKEILYQNPINLSSQDTIGVICVDKNGRIAVVSSSGGLLLKPAGRIGSSPIPGHGFWIESFDNKSHSSTCAVATSGTGEHISNTCFACRSSQLLVSEDNVVSSLNKLINDFHEHPSATLYSDLQVGIIFAKVETSNSHNKRIIFGLAHSSPDMVFGFMKGDHSKPTTEISRKGSKRSSVQLYAERL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSGWNAYIDTMTAAAPSIKRCAIVGAADGSVWARTEADNVFKASEEELKTFVALFNDVTQVPAKGADIEGVHYVVPRTEESLIFGKKENTGFFAVKTKSAVLIAVYEGPNEVAAQVRKAVESMQTYLNNAGY", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSSDEEKYSLPVVQNDSSRGSSVSSNLQEEYEELLHYAIVTPNIEPCASQSSHPKGELVPDVRISTIHDILHSQGNNSEVRETAIEVGKGCDFHISSHSKTDESSPVLSPRKPSHPVMDFFSSHLLADSSSPATNSSHTDAHEILVSDFLVSDENLQKMENVLDLWSSGLKTNIISELSKWRLNFIDWHRMEMRKEKEKHAAHLKQLCNQINELKELQKTFEISIGRKDEVISSLSHAIGKQKEKIELMRTFFHWRIGHVRARQDVYEGKLADQYYQRTLLKKVWKVWRSVVQKQWKDVVERACQARAEEVCIQISNDYEAKVAMLSGALENAKAEIQRMQHEKEHFEDSMKKAFMRGVCALNLEAMTIFQNRNDAGIDSTNNKKEEYGPGVQGKEHSAHLDPSAPPMPLPVTSPLLPSPPAAVGGASATAVPSAASMTSTRAASASSVHVPVSALGAGSAATAASEEMYVPRVVTSAQQKAGRTITARITGRCDFASKNRISSSLAIMGVSPPMSSVVVEKHHPVTVQTIPQATAAKYPRTIHPESSTSASRSLGTRSAHTQSLTSVHSIKVVD", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MECPSCQHVSKEETPKFCSQCGERLPPAAPIADSENNNSTMASASEGEMECGQELKEEGGPCLFPGSDSWQENPEEPCSKASWTVQESKKKKRKKKKKGNKSASSELASLPLSPASPCHLTLLSNPWPQDTALPHSQAQQSGPTGQPSQPPGTATTPLEGDGLSAPTEVGDSPLQAQALGEAGVATGSEAQSSPQFQDHTEGEDQDASIPSGGRGLSQEGTGPPTSAGEGHSRTEDAAQELLLPESKGGSSEPGTELQTTEQQAGASASMAVDAVAEPANAVKGAGKEMKEKTQRMKQPPATTPPFKTHCQEAETKTKDEMAAAEEKVGKNEQGEPEDLKKPEGKNRSAAAVKNEKEQKNQEADVQEVKASTLSPGGGVTVFFHAIISLHFPFNPDLHKVFIRGGEEFGESKWDSNICELHYTRDLGHDRVLVEGIVCISKKHLDKYIPYKYVIYNGESFEYEFIYKHQQKKGEYVNRCLFIKSSLLGSGDWHQYYDIVYMKPHGRLQKVMNHITDGPRKDLVKGKQIAAALMLDSTFSILQTWDTINLNSFFTQFEQFCFVLQQPMIYEGQAQLWTDLQYREKEVKRYLWQHLKKHVVPLPDGKSTDFLPVDCPVRSKLKTGLIVLFVVEKIELLLEGSLDWLCHLLTSDASSPDEFHRDLSHILGIPQSWRLYLVNLCQRCMDTRTYTWLGALPVLHCCMELAPRHKDAWRQPEDTWAALEGLSFSPFREQMLDTSSLLQFMREKQHLLSIDEPLFRSWFSLLPLSHLVMYMENFIEHLGRFPAHILDCLSGIYYRLPGLEQVLNTQDVQDVQNVQNILEMLLRLLDTYRDKIPEEALSPSYLTVCLKLHEAICSSTKLLKFYELPALSAEIVCRMIRLLSLVDSAGQRDETGNNSVQTVFQGTLAATKRWLREVFTKNMLTSSGASFTYVKEIEVWRRLVEIQFPAEHGWKESLLGDMEWRLTKEEPLSQITAYCNSCWDTKGLEDSVAKTFEKCIIEAVSSACQSQTSILQGFSYSDLRKFGIVLSAVITKSWPRTADNFNDILKHLLTLADVKHVFRLCGTDEKILANVTEDAKRLIAVADSVLTKVVGDLLSGTILVGQLELIIKHKNQFLDIWQLREKSLSPQDEQCAVEEALDWRREELLLLKKEKRCVDSLLKMCGNVKHLIQVDFGVLAVRHSQDLSSKRLNDTVTVRLSTSSNSQRATHYHLSSQVQEMAGKIDLLRDSHIFQLFWREAAEPLSEPKEDQEAAELLSEPEEESERHILELEEVYDYLYQPSYRKFIKLHQDLKSGEVTLAEIDVIFKDFVNKYTDLDSELKIMCTVDHQDQRDWIKDRVEQIKEYHHLHQAVHAAKVILQVKESLGLNGDFSVLNTLLNFTDNFDDFRRETLDQINQELIQAKKLLQDISEARCKGLQALSLRKEFICWVREALGGINELKVFVDLASISAGENDIDVDRVACFHDAVQGYASLLFKLDPSVDFSAFMKHLKKLWKALDKDQYLPRKLCDSARNLEWLKTVNESHGSVERSSLTLATAINQRGIYVIQAPKGGQKISPDTVLHLILPESPGSHEESREYSLEEVKELLNKLMLMSGKKDRNNTEVERFSEVFCSVQRLSQAFIDLHSAGNMLFRTWIAMAYCSPKQGVSLQMDFGLDLVTELKEGGDVTELLAALCRQMEHFLDSWKRFVTQKRMEHFYLNFYTAEQLVYLSTELRKQPPSDAALTMLSFIKSNCTLRDVLRASVGCGSEAARYRMRRVMEELPLMLLSEFSLVDKLRIIMEQSMRCLPAFLPDCLDLETLGHCLAHLAGMGGSPVERCLPRGLQVGQPNLVVCGHSEVLPAALAVYMQTPSQPLPTYDEVLLCTPATTFEEVALLLRRCLTLGSLGHKVYSLLFADQLSYEVARQAEELFHNLCTQQHREDYQLVMVCDGDWEHCYLPSAFSQHKVFVTPQAPLEAIQAYLAGHYRVPKQTLSAAAVFNDRLCVGIVASERAGVGKSLYVKRLHDKMKMQLNVKNVPLKTIRLIDPQVDESRVLGALLPFLDAQYQKVPVLFHLDVTSSVQTGIWVFLFKLLILQYLMDINGKMWLRNPCHLYIVEILERRTSVPSRSSSALRTRVPQFSFLDIFPKVTCRPPKEVIDMELSALRSDTEPGMDLWEFCSETFQRPYQYLRRFNQNQDLDTFQYQEGSVEGTPEECLQHFLFHCGVINPSWSELRNFARFLNYQLRDCEASLFCNPSFIGDTLRGFKKFVVTFMIFMARDFATPSLHTSDQSPGKHMVTMDGVREEDLAPFSLRKRWESEPHPYVFFNDDHTTMTFIGFHLQPNINGSVDAISHLTGKVIKRDVMTRDLYQGLLLQRVPFNVDFDKLPRHKKLERLCLTLGIPQATDPDKTYELTTDNMLKILAIEMRFRCGIPVIIMGETGCGKTRLIKFLSDLRRGGTNADTIKLVKVHGGTTADMIYSRVREAENVAFANKDQHQLDTILFFDEANTTEAISCIKEVLCDHMVDGQPLAEDSGLHIIAACNPYRKHSEEMICRLESAGLGYRVSMEETADRLGSIPLRQLVYRVHALPPSLIPLVWDFGQLSDVAEKLYIQQIVQRLVESISLDENGTRVITEVLCASQGFMRKTEDECSFVSLRDVERCVKVFRWFHEHSAMLLAQLNAFLSKSSVSKNHTERDPVLWSLMLAIGVCYHASLEKKDSYRKAIARFFPKPYDDSRLLLDEITRAQDLFLDGVPLRKTIAKNLALKENVFMMVVCIELKIPLFLVGKPGSSKSLAKTIVADAMQGPAAYSDLFRSLKQVHLVSFQCSPHSTPQGIISTFRQCARFQQGKDLQQYVSVVVLDEVGLAEDSPKMPLKTLHPLLEDGCIEDDPAPHKKVGFVGISNWALDPAKMNRGIFVSRGSPNETELIESAKGICSSDILVQDRVQGYFASFAKAYETVCKRQDKEFFGLRDYYSLIKMVFAAAKASNRKPSPQDIAQAVLRNFSGKDDIQALDIFLANLPEAKCSEEVSPMQLIKQNIFGPSQKVPGGEQEDAESRYLLVLTKNYVALQILQQTFFEGDQQPEIIFGSGFPKDQEYTQLCRNINRVKICMETGKMVLLLNLQNLYESLYDALNQYYVHLGGQKYVDLGLGTHRVKCRVHPNFRLIVIEEKDVVYKHFPIPLINRLEKHYLDINTVLEKWQKSIVEELCAWVEKFINVKAHHFQKRHKYSPSDVFIGYHSDACASVVLQVIERQGPRALTEELHQKVSEEAKSILLNCATPDAVVRLSAYSLGGFAAEWLSQEYFHRQRHNSFADFLQAHLHTADLERHAIFTEITTFSRLLTSHDCEILESEVTGRAPKPTLLWLQQFDTEYSFLKEVRNCLTNTAKCKILIFQTDFEDGIRSAQLIASAKYSVINEINKIRENEDRIFVYFITKLSRVGRGTAYVGFHGGLWQSVHIDDLRRSTLMVSDVTRLQHVTISQLFAPGDLPELGLEHRAEDGHEEAMETEASTSGEVAEVAEEAMETESSEKVGKETSELGGSDVSILDTTRLLRSCVQSAVGMLRDQNESCTRNMRRVVLLLGLLNEDDACHASFLRVSKMRLSVFLKKQEESQFHPLEWLAREACNQDALQEAGTFRHTLWKRVQGAVTPLLASMISFIDRDGNLELLTRPDTPPWARDLWMFIFSDTMLLNIPLVMNNERHKGEMAYIVVQNHMNLSENASNNVPFSWKIKDYLEELWVQAQYITDAEGLPKKFVDIFQQTPLGRFLAQLHGEPQQELLQCYLKDFILLTMRVSTEEELKFLQMALWSCTRKLKAASEAPEEEVSLPWVHLAYQRFRSRLQNFSRILTIYPQVLHSLMEARWNHELAGCEMTLDAFAAMACTEMLTRNTLKPSPQAWLQLVKNLSMPLELICSDEHMQGSGSLAQAVIREVRAQWSRIFSTALFVEHVLLGTESRVPELQGLVTEHVFLLDKCLRENSDVKTHGPFEAVMRTLCECKETASKTLSRFGIQPCSICLGDAKDPVCLPCDHVHCLRCLRAWFASEQMICPYCLTALPDEFSPAVSQAHREAIEKHARFRQMCNSFFVDLVSTICFKDNAPPEKEVIESLLSLLFVQKGRLRDAAQRHCEHTKSLSPFNDVVDKTPVIRSVILKLLLKYSFHDVKDYIQEYLTLLKKKAFITEDKTELYMLFINCLEDSILEKTSAYSRNDELNHLEEEGRFLKAYSPASRGREPANEASVEYLQEVARIRLCLDRAADFLSEPEGGPEMAKEKQCYLQQVKQFCIRVENDWHRVYLVRKLSSQRGMEFVQGLSKPGRPHQWVFPKDVVKQQGLRQDHPGQMDRYLVYGDEYKALRDAVAKAVLECKPLGIKTALKACKTPQSQQSAYFLLTLFREVAILYRSHNASLHPTPEQCEAVSKFIGECKILSPPDISRFATSLVDNSVPLLRAGPSDSNLDGTVTEMAIHAAAVLLCGQNELLEPLKNLAFSPATMAHAFLPTMPEDLLAQARRWKGLERVHWYTCPNGHPCSVGECGRPMEQSICIDCHAPIGGIDHKPRDGFHLVKDKADRTQTGHVLGNPQRRDVVTCDRGLPPVVFLLIRLLTHLALLLGASQSSQALINIIKPPVRDPKGFLQQHILKDLEQLAKMLGHSADETIGVVHLVLRRLLQEQHQLSSRRLLNFDTELSTKEMRNNWEKEIAAVISPELEHLDKTLPTMNNLISQDKRISSNPVAKIIYGDPVTFLPHLPRKSVVHCSKIWSCRKRITVEYLQHIVEQKNGKERVPILWHFLQKEAELRLVKFLPEILALQRDLVKQFQNVQQVEYSSIRGFLSKHSSDGLRQLLHNRITVFLSTWNKLRRSLETNGEINLPKDYCSTDLDLDTEFEILLPRRRGLGLCATALVSYLIRLHNEIVYAVEKLSKENNSYSVDAAEVTELHVISYEVERDLTPLILSNCQYQVEEGRETVQEFDLEKIQRQIVSRFLQGKPRLSLKGIPTLVYRHDWNYEHLFMDIKNKMAQDSLPSSVISAISGQLQSYSDACEVLSVVEVTLGFLSTAGGDPNMQLNVYTQDILQMGDQTIHVLKALNRCQLKHTIALWQFLSAHKSEQLLRLHKEPFGEISSRYKADLSPENAKLLSTFLNQTGLDAFLLELHEMIILKLKNPQTQTEERFRPQWSLRDTLVSYMQTKESEILPEMASQFPEEILLASCVSVWKTAAVLKWNREMR", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSSDSSRRIQVPEELKEVLLQFSISFLVEQPPDVIDYAVEYFTKLQSERPSVSHTDQSTDDQLSVNSQDADAEPPVMASSRRKSVFAEAYDPEADDDDDGATAVFPKTDEQRARLVESVKNVLLFRSLEKEQMNQVLDAMFERKVQPGDFIIRQGDDGDNFYVIESGVYKVYINDKHINTYNHTGLFGELALLYNMPRAATVQAETSGLLWAMDRQTFRRILLKSAFRKRKMYEELLNSVPMLKALQNYERMNLADALVSKSYDNGERIIKQGDAADGMYFIEEGTVSVRMDQDDAEVEISQLGKGQYFGELALVTHRPRAASVYATGGVVKLAFLDVKAFERLLGPCMDIMKRNIDDYESQLVKIFGSKNNITDTR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGNVPGKIDQEDSFNDVRPDSSYNTTSSNSVIKQYDEEASSRVRTRRTTSLVNNILNGNNARTKTGSHLSSTSRRKTSREKELAKEAHAKQLVVRCSETVDGGFLAPFGCYSFEKLDYDATVVKNLIIKRKLAPFYTPLQDFDESWTRDELIKIVDGLPLHDTFDENLEEFEDVPIGNLRKSTFNELIDKSLSKKEQRRMHAKIFRARLYKKRILWQENENETFLERKLEMKRIGSKSSNVEDNTSSQPRKNYHLPSDDLKYTLYKNGSECPICFLYFPGPFNYSKCCQQPICTECFVQIKRADPHFPHDEVDPTEPQTNDSEKDPNLLTSEPANCPYCATASFSITYQPPTNRETGIGGMPADSYVYKDAAISRADGGQPNISAITSDTIRPDWEIKLNKERARLMRRSANATAIHISNRLIDPSHSRRRNTSHSITPIHDESTSASRSPEPTINELEDQMVREAIRLSLEDQDNRKKSKNRNTSLRP", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVATSSKRTLDPKEEHLPADKTSTNSSNTIISELATQEKSSSSGTTLKLIALNIKSISDEDVGYIQNVERLSLRKNHLTSLPASFKRLSRLQYLDLHNNNFKEIPYILTQCPQLEILDLSSNEIEALPDEISSFWQDNIRVLSLKDNNVTSIRNLKSITKLNKLSILDLEDNKIPKEELDQVQSYTPFHTGIPKEEYWAIAISRYLKDHPNLPTPEPKISRAAKRMGFINTNLSNGAMNENNIISLAPSANTTISASTAMVSSNQTSATSFSGTVNAESEQSGAVNGTELYNHTKYNDYFKRLSILPEESMSNGHQKISHAELVVSCRKLLFSFTECQQAIRKIASFCKEKAVAVNVVSLLYSVRSHTDNLVEVLQQTENEDESHDQALIKLCLTIITNFKQIITLLRKNFEIFFKEDDLCFIRMFYMTLMCAYMEMYNAWSFIKEDDQVSGSASKAPKKHSFSRHETSSSSITSGGGPAASTTSTHCSGNIKLLPKTRSTRTPSASALLSNSNILTGDTTAVPLLSPNLNGAHTHGPILGHQNAISNGSSQTNMNEVKTTSDTIPRQQLLQHNKSISDSKKESQAHEPKQHPVMTSSIINASNSNNVSNVNITPPPMNGGGAANSSANVVETNIDIQLYQTLSTVVKMVSVVYNQLTSEISKIAIASTMGKQILTDSLAPKIRDLTETCRQAMDLSKQLNERLNVLIPNDSNSEKYLTSLEKLKTWEIMNSFLKVIISILANTKIVMSDVPNLNELRPNLANLAKITKDVTVILDLSSYKAVSVSANSPE", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASEVPYASGMPIKKIGHRSVDSSGGTTSSALKGAIQLGITHTVGSLSTKPESDVLMQDFHMVESIFFPSEGSNLTPAHHYNAFRFKTYAPVAFRYFWELFGIRPDDYLYSLCSEPLIELCSSGASGSLFYVSSDDEFIVKTVRHKEAEFLQKLLPGYYINLNQNPRTLLPKFYGLYCVQTGGKNIRIVVMNNLLPRSVKMHIKYDLKGSTYRRRASQKEREKPLPTFKDLDFLQDIPDGLFLDADVHNALCKTLQRDCLVLQSFKIMDYSLLMSIHNIDHAQREPLSSETQYSVDTRRPAPQKALYSTAMESIQGEARRGGTMETDDHMGGIPARNSKGERLLLYIGIIDILQSYRFVKKLEHSWKALIHDGDTVSVHRPGFYAEWFQRFMCNTVFKKIPLKPSPSKKLRSGSSFSQRAGSSGNSCITYQPLVSGEHKAQVTTKAEVEPGVHLGCPDVLPQTPPLEEISEGSPTPDPSFSPLVEETLQMLTTSVDNSEYMGNGDFLPTRLQAQQDAVNTVCHSKTRSNPENNVGLITLDNDCEVLTTLTPDTGRILSKLHTVQPKGKITFCMGIHVAHLALKHRQGNNHKIRIIAFVGNPVEDNEKNLVKLAKCLKKEKVNVDIINFGEEEVNTEKLTAFVNTLNGKDGTGSHLVTVPPGPSLADALISFPILAGEGGAMMGLGASDFEFGVDPSADPELALVLRVFMEEQRQRQEEEARQAAAASAAEAGIATTGTEDSDDALLKMTISQQEFGHTGLPDLSSMTEEEKIVCAMQMSLQGAEFGLAESADIDASSAMDTSEPAKEEDDYDVMQDPEFLQSVLENLPGVDPNNEAIRNAVGSLASQATKDSKKDKKEEDKK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAYKDARQVKIAEKLVILNDRAAGMMTRIYNIKKSSGDSKVKPQFLSDKKMEGAIKHIVRKFPVVDCRSNSSTFEYVQEKSTEITKSLSLYYYTFADILDLKDHIMQVLTTMESCQCQLDVTLNYDLTTSYLNLVVHLVTMMILLSRIEDRKAVLGLFNAAYDLQHGQSEASFPRLGQMILDYENPLKKLHEDLGPLNRLIYSALSSVTHTYQRRNKTADSWRTSNVFSLTAAPAQILYAAQTETIACEYLSLDVIDRWIVFCGTVCHSTLLNDDNIRHMWQLALQMNLCLRVFRDETFIVHQEIQAFLESSKEKSKRLQDVKDAFNHASVTAVAVHADRRRFLRSSLRELSLLLRDQPGLLGPKILYVWMALGAGRDEVIWLLRHQVEVPAISKKGSRMVEELVDRQLPELLFYMLELRDLVTKYYAVIQRYYLQYVSSYDSIVVSEEINQANGLTQEEAVLLTDFANEIGNINSDTDLRALRLDWFRFQAWTSAARSHFQLSRHKKLAIYMNTSVFHLKMIDLQDEMLRETSDLSLYCFYPKLAEKHWLNCLQLPAQARYVLSFARLAAHFTSALHDMCPEEKAFITEKALAQCNSVIEETCKQLSYILEKVAEHEFGLAYQMTPSAVAVRVVAQVVQQKGSGKAAAAAAAAARDYFIAGEESYRVDRQALTMPDKLQTTLLEISAALGAHRQIYVADHTFAPRTYLAQSLETKFVELLHGMFWEGQPHASNPKRPSEMLLALQAYMTVLQNLDTAISVDISNTMQTTLLQQTQLVDSKNKDTIAALYTKWYLEVLLRRASSGHMVWSEHLRTMLSSGQEQLSFMPDHYSDPQELRALVQIIGPYGVKLMTERLIWHVASQIMEMSKIVATYKDALQIARSNFDNAEKMKDVLNLLSVEPKDKKTPNATCAADAILQRTIIIGQICLFRDALHDALRHIVESKLPFLQASFDMLYHNLDDVDKVKIGEMSAAMGVTGPVDMSLVNAVRAQNPNIHPQEHYVNSCLLMVAVAICIPRIGMSDLSSYKPSIQASLNNSHCVPMAINTIGSALFHLHEQNDIQSRMKEFLALASSGILRTIHERDNSRQISDDVLRSHTTLYIILEQMVRKNRWLSMDVLETCFPYNLVRTAYQQCYEADAQ", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAEDEDNQQGQGEGLKYLGFVQDAATYAVTTFSNVYLFAKDKSGPLQPGVDIIEGPVKNVAVPLYNRFSYIPNGALKFVDSTVVASVTIIDRSLPPIVKDASIQVVSAIRAAPEAARSLASSLPGQTKILAKVFYGEN", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLEGDLVSKMLRAVLQSHKNGVALPRLQGEYRSLTGDWIPFKQLGFPTLEAYLRSVPAVVRIETSRSGEITCYAMACTETARIAQLVARQRSSKRKTGRQVNCQMRVKKTMPFFLEGKPKATLRQPGFASNFSVGKKPNPAPLRDKGNSVGVKPDAEMSPYMLHTTLGNEAFKDIPVQRHVTMSTNNRFSPKASLQPPLQMHLSRTSTKEMSDNLNQTVEKPNVKPPASYTYKMDEVQNRIKEILNKHNNGIWISKLPHFYKELYKEDLNQGILQQFEHWPHICTVEKPCSGGQDLLLYPAKRKQLLRSELDTEKVPLSPLPGPKQTPPLKGCPTVMAGDFKEKVADLLVKYTSGLWASALPKAFEEMYKVKFPEDALKNLASLSDVCSIDYISGNPQKAILYAKLPLPTDKIQKDAGQAHGDNDIKAMVEQEYLQVEESIAESANTFMEDITVPPLMIPTEASPSVLVVELSNTNEVVIRYVGKDYSAAQELMEDEMKEYYSKNPKITPVQAVNVGQLLAVNAEEDAWLRAQVISTEENKIKVCYVDYGFSENVEKSKAYKLNPKFCSLSFQATKCKLAGLEVLSDDPDLVKVVESLTCGKIFAVEILDKADIPLVVLYDTSGEDDININATCLKAICDKSLEVHLQVDAMYTNVKVTNICSDGTLYCQVPCKGLNKLSDLLRKIEDYFHCKHMTSECFVSLPFCGKICLFHCKGKWLRVEITNVHSSRALDVQFLDSGTVTSVKVSELREIPPRFLQEMIAIPPQAIKCCLADLPQSIGMWTPDAVLWLRDSVLNCSDCSIKVTKVDETRGIAHVYLFTPKNFPDPHRSINRQITNADLWKHQKDVFLSAISSGADSPNSKNGNMPMSGNTGENFRKNLTDVIKKSMVDHTSAFSTEELPPPVHLSKPGEHMDVYVPVACHPGYFVIQPWQEIHKLEVLMEEMILYYSVSEERHIAVEKDQVYAAKVENKWHRVLLKGILTNGLVSVYELDYGKHELVNIRKVQPLVDMFRKLPFQAVTAQLAGVKCNQWSEEASMVFRNHVEKKPLVALVQTVIENANPWDRKVVVYLVDTSLPDTDTWIHDFMSEYLIELSKVN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPREIITLQLGQCGNQIGFEFWKQLCAEHGISPEGIVEEFATEGTDRKDVFFYQADDEHYIPRAVLLDLEPRVIHSILNSSYAKLYNPENIYLSEHGGGAGNNWASGFSQGEKIHEDIFDIIDREADGSDSLEGFVLCHSIAGGTGSGLGSYLLERLNDRYPKKLVQTYSVFPNQDEMSDVVVQPYNSLLTLKRLTQNADCVVVLDNTALNLIATDRLHIQNPSFSQINQLVSTIMSASTTTLRYPGYMNNDLIGLIASLIPTPRLHFLMTGYTPLTTDQSVASVRKTTVLDVMRRLLQPKNVMVSTGRDRQTNHCYIAILNIIQGEVDPTQVHKSLQRIRERKLANFIPWGPASIQVALSRKSPYLPSAHRVSGLMMANHTSISSLFERTCRQFDKLRKREAFMEQFRKEDIFKDNFDEMDTSREIVQQLIDEYHAATRPDYISWGTQEQ", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFGFSNKTAKTFRVRKTEAGTKHYQLRQYAEATLGSGSLMEAVKLPKGEDLNEWIAMNTMDFYTQINMLYGTITEFCTAASCPQMNAGPSYEYYWQDDKIYTKPTRMSAPDYINNLLDWTQEKLDDKKLFPTEIGVEFPKNFRKVIQQIFRRLFRIYAHIYCSHFHVMVAMELESYLNTSFKHFVFFCREFGLMDNKEYAPMQDLVDSMV", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASSFLPAGAITGDSGGELSSGDDSGEVEFPHSPEIEETSCLAELFEKAAAHLQGLIQVASREQLLYLYARYKQVKVGNCNTPKPSFFDFEGKQKWEAWKALGDSSPSQAMQEYIAVVKKLDPGWNPQIPEKKGKEANTGFGGPVISSLYHEETIREEDKNIFDYCRENNIDHITKAIKSKNVDVNVKDEEGRALLHWACDRGHKELVTVLLQHRADINCQDNEGQTALHYASACEFLDIVELLLQSGADPTLRDQDGCLPEEVTGCKTVSLVLQRHTTGKA", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDSQARIQANLRHIQAFEAKPNPKALPETAVTRGFEKVAFPKLVRELTCDNAVVRKKSLLAARELLSSPVNHVQCVAAGATPAIVALLQDQTDDETRYYAAGTLKLLAAKEVGARDLAQHSGLDALAAALEDPSEGVRDEAYGALIEAARFDSTRRALEACGSGAVLPRLMELALLEAQGGAAGRAQQGLVLLFTCTQARHNAGILSQLVDVAQAIPHLAGLLKPELPMPVRHAAAELLGALATREDAKIQAVQVGAVPLLLLAASPSVPVPFATSAVAALGAITIRREGKYAALESPGGLAGLVSVLDPCHEQLCINAMTAVSNVAEAPEARAILVASGAGPKLQHIFETATVEVVKRAAAQAIRQCRFKHLPYEVLPGAPPINEE", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSRGTMPQPEAWPGASCAETPAREAAATARDGGKAAASGQPRPEMQCPAEHEEDMYRAADEIEKEKELLIHERGASEPRLSVAPEMDIMDYCKKEWRGNTQKATCMKMGYEEVSQKFTSIRRVRGDNYCALRATLFQAMSQAVGLPPWLQDPELMLLPEKLISKYNWIKQWKLGLKFDGKNEDLVDKIKESLTLLRKKWAGLAEMRTAEARQIACDELFTNEAEEYSLYEAVKFLMLNRAIELYNDKEKGKEVPFFSVLLFARDTSNDPGQLLRNHLNQVGHTGGLEQVEMFLLAYAVRHTIQVYRLSKYNTEEFITVYPTDPPKDWPVVTLIAEDDRHYNIPVRVCEETSL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAVTSLLDTVFRRRKKKSTEFISFFEFDLDTIKAATNDFSELVGRGGFGFVYKGRLQNGQEIAVKILSTSSIRTERQFHNELIILSKLKHKNLINLLGFCTKRDQHGLVYEFMPNSSLDCFILDPHRAAQLNWEMCRNIIDGIARGLRYLHEESGLWVVHRDIKPGNILLDSDLKPKIVGFELARTMQQGENAAETTEIVGTVGYLDPEYIRSGRVSVKSDVYAFGVTILTIISRRKAWSVDGDSLIKYVRRCWNRGEAIDVIHEVMREEEREYSISEILRYIHIALLCVDENAERRPNIDKVLHWFSCFSTPLPDPTFGNRFLVEEETNWPWSPSLSPGHSSVTSPISSR", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MALRYVVHDEISGFDQLKPEEYEVPQKLNPGEVLVKLKAASLNYRDLIITKGLYPLPLQLPVVPGSDGAGIIEKVGEDVEGFEKGDSVVCNFFTNYLDGTPTDFATHSALGGTRDGCFQKYAVLPAHALVHAPKNLSFEEIATLPCAAVTAWNGLFGSKEHQVKPGNNVLVLGTGGVSTFALQFALAAGANVTVTSSSDEKLEFAKKLGATHTINYKKTPQWASPALKMTNGVGYHHVIEVGGEKTLPQSIACLAKDGMISMIGFVASEGTTPNLTSIIGQILNRNANIRGIFVGSVSMFRDMVACIEAKDIHPVVDKVFPFDQLKEAYEYQWSQAHIGKVVLKID", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAGLESKVSDMKIKSDVVFYIDEASGNDETGNGSQTSPFKTAIHALETDANCTIFVKKNGSEEFEPITTNALKKAKKGVEQAAKKKAKAAEAEAAAAARAAAAKEAEAKRLEAAKNIVLKEPKDAPAAKKIAIIDSTNFRDSRVRVNGWVHRMRTQKGIIFIILRDGTGFLQCVLSGKVYDRASYDFINLGPESTVCLYGVIKELPEGKSAPGNHELVVDYYQILHAAPTGEEAFTNRLNAEAEPSYLLDQRHLVIRGETASSVLKVRARALRAMRDTFENLKMTEVTPPCMVQTQVEGGATLFKFNYYGQDAYLTQSSQLYLEAALPALGSVYTIQESFRAEKSLTRRHLSEFTHVEFELPFVNFGEFLEIIEEFICQTIDRLLDDPIATPLIKQLNPDFVKPSRPFMRLSYEDAIKYLNEHNILTPEGEQHKFGDDIAEAAERKMTDQINRPIFLTYFPLEIKSFYMKRVVDRPELTESVDCLMPNVGEIVGGSMRISDIQELLAAYKREGIDPAPYYWFTEQRKYGTTEHGGCGLGLERFLAWLCDRYTVRECCLFPRFTERCTP", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKSNLSLKRQDEKRADKEPNLYTLQGVIQYLQYEAFKNERDHNLWEIERAELKIRVAQLERERAKLEQSLSFQQRRAEMLEKSLRELRKDKNISVKDLDEFHLLDKPAANNTKADAEACLLKSKNYIKKSLQEIVYLTNMQPNVSWNVLQEPTRGIKVPKESNNTQQNNQFVMEPSQNKGNVNDANFFEVEYARNENMNKLSSSELISDDLLEDEIMKPLSSGESLPKKEEEVTKSPSFTLDDSVASNEQTLAQLNIESPVDQKKSKAKKKKEQKWTLKFESDKGTSTQCITHQPLPGSTPSFASGTENGVINVWRLDEDSNDNSMGIIKPHLTFYGHEGPVLCVCVPKATHHIFSGGHDGTIRCWSLPANQTSDSISKILTGSTIFQGHEDCVWELFCHEVKDNNPILLSLSSDGTVRGWKYTGEQLFKIRCDSKQPLSMSVTDSRIAIAYNDGNVRFYDLDTQILVSQMRIAGNSAIGNPAVKDRINKIVWKNGNPDRLYSLHENGMVRVFDVKSEELLAEKSISKVSLTGIAFAVNRPEFAISASDGRVFFLRQDDKLSTLESLPSREAQEEITDLSDILWINSPVDKLEHLIVGCKERISVYDRKYLP", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTETVTTTSSDKSEKKNYLFYTSPNHRPPTVVRAEGVYLYLEDGTRIMDATGGAAVACLGHGNKEVIDAMHKQSEKVCYIHSMGFSNEPADKLANLLVSEHPDVFARAYFANSGSEAVETCLKLILQYWQLVGEKQRCHIIARKQGYHGNTLFALSVGGMKPRKQPYEGVFSHTTSHVSPCFEYRYKENGETTEEYVARLAKELEDEILRVGPEKVAAFVAETVSGACTGCATPVPGYFKAMRKVCDKYGVIFYLDEVMSGIGRTGTMHAWEQEGVTPDIQSIAKCLGGGYQPISGALVGHRIMNVFEQKDAAMAGFFTYQAHPIACSAALAVQTILRRDHLVERAAEMGKYLSEKLHETFDSHPNVGNIRGRGLFWGLEIVKDKATKECFPPEYKVGSLANKIGCEHGVFVYPGMGTIDGTRGDHVLLAPPYIITREQIDELVEALSKTITSTVAALP", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MADPWQECMDYAVTLARQAGEVVCEAIKNEMNVMLKSSPVDLVTATDQKVEKMLISSIKEKYPSHSFIGEESVAAGEKSILTDNPTWIIDPIDGTTNFVHRFPFVAVSIGFAVNKKIEFGVVYSCVEGKMYTARKGKGAFCNGQKLQVSQQEDITKSLLVTELGSSRTPETVRMVLSNMEKLFCIPVHGIRSVGTAAVNMCLVATGGADAYYEMGIHCWDVAGAGIIVTEAGGVLMDVTGGPFDLMSRRVIAANNRILAERIAKEIQVIPLQRDDED", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSTFNAETADNLEDIEKQFAVVAVEQAETYWKLLTSVPGSKLRLTKFDDEIYENFMERFPEYKDVERVKKFTEEELKTKEAKERWRKFFTIFEKKIEDYNFGTLLRTDASAEYGQFTTCFVVRLQFYAFEIARNKHGLNDWIVGQK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPNVLSDDEELLNGLGSEIMKPSRQGNHMARTVKRWVNKERATTADLKNVNIDGVHGPVNTESYISPGQLYSTDSGNLFHAGRILVVLVGLPATSKTLLSVAITRYTRWLGVRTKSFHFSEYKESAKNIPSDYFCVVPTSKEGVAFVEKLRMQMLNDILAFFNDLSGQLAIYDALNIRKIDRKNLETTFSEIGVKVLFIESIVSDQEIMNRNIALALESNDYKGLSTDEAIDEYMRRLSVNEPYYEMMTHDEELSYIKYINLGKQIIVKDNIHGYLVNKIVFFLMNLRQKKGCVYFARCGTSDKDNYIHDEELNEEGIHYSQVLKDFVLQRIKQKRQAKKNSDSLVEVIDGSHDEDLKTSLIVWTGPRKRTHDTALFFSKEGIKVQQRSELRQLNPGSIADLTDQQIMDKFPSEYKESLKDPYHFRFPRAESYHDLAVRMEPLLLEMEHTSKDILIIAHESTLRVLYGYLMACTCVELPNLNFTRDKLVEISFSPFCNTVELLNIPLTS", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MFRRGDNSNFNVQNSFFLPLEYEYTVKDNVPSKKKSSIGFFPLDDSLFTSKNNSGHHKSEQLHRGNAETIRSQFGTDAVPIRIDEKEGKWDRIQDDNSSNLNYQINNSNDPASSGKYTQSIDCNHIAESKFSKKNGNIDSLRSNSATFMLNTADEDVIEFSFDDNVPYAELLSGATLEKCSLTLNEINKKLFNTLYDFRVSKDNPEENLVELILPNCVVLLNFFEDIELLADSSDEAFEKSTFINTIEFIVHDIWVETLIKNINLLQMFDADLKCYNDKYIICKLKGQYPSTNIVDIMCRLKHFSNSILETFKFGIELKEQDQCHNRNTIINYVLFSRVFSTIVLEIQKCFILIVKFMYSVNFLEKFSDEVFLSFIEILIKIVFEHQIPQLFLGIDEIIQLWLKNNEGKRQQLLSAWCNGTVQDMKQSQQRESSNAESESITSSTEEDEEGLQFNKWDVIEPFIDNIKALNQSKSHM", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAELGLNEHHQNEVINYMRFARSKRGLRLKTVDSCFQDLKESRLVEDTFTIDEVSEVLNGLQAVVHSEVESELINTAYTNVLLLRQLFAQAEKWYLKLQTDISELENRELLEQVAEFEKAEITSSNKKPILDVTKPKLAPLNEGGTAELLNKEILRLQEENEKLKSRLKTIEIQATNALDEKSKLEKALQDLQLDQGNQKDFIKAQDLSNLENTVAALKSEFQKTLNDKTENQKSLEENLATAKHDLLRVQEQLHMAEKELEKKFQQTAAYRNMKEILTKKNDQIKDLRKRLAQYEPED", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSDLVNKKFPAGDYKFQYIAISQSDADSESCKMPQTVEWSKLISENKKVIITGAPAAFSPTCTVSHIPGYINYLDELVKEKEVDQVIVVTVDNPFANQAWAKSLGVKDTTHIKFASDPGCAFTKSIGFELAVGDGVYWSGRWAMVVENGIVTYAAKETNPGTDVTVSSVESVLAHL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSEITLGRFFFERLHQLKVDTVFGLPGDFNLALLDKIYEVEGMRWAGNANELNAGYAADGYARVNPNGLSALVSTFGVGELSLTNAIAGSYSEHVGVINLVGVPSSSAQAKQLLLHHTLGNGDFTVFHRMFKNISQTSAFIADINSAPAEIDRCIRDAYVYQRPVYIGLPSNLVDMKVPKSLLDKKIDLSLHPNDPESQTEVIETVEKLISEASNPVILVDACAIRHNCKPEVAKLIEETQFPVFTTPMGKSSVDESNPRFGGVYVGSLSKPEVKESVESADLILSIGALLSDFNTGSFSYGYKTRNIVEFHSDYTKIRQATFPGVQMKEALQKLLTTVKKSINPNYTPVPVPETKLINTPAAPSTPLTQEYLWTKVSSWFREGDIIITETGTSAFGIVQSRFPKNSIGISQVLWGSIGYTVGATCGAAMAAQELDPKRRVILFVGDGSLQLTVQEISTMCKWECNNTYLFVLNNDGYTIERLIHGEKAQYNDIQPWNNLQLLPLFNAKDYETKRISTVGELNDLFADKAFAVPDKIRMVEVMLPTMDAPANLVAQAKLSEKTNAEQ", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVKVAILGAAGGIGQPLSLLTKLNPNVDELALFDVVNVPGVGADLSHINSDSKTQSYLPKDKEDKTALAAALKGSDLVIIPAGVPRKPGMTRDDLFNINASIVQGLAEGIAANSPKAFVLVISNPVNSTVPIVAETLQAKGVYDPARLFGVTTLDIVRANTFISQLFLDQTKPSDFNINVVGGHSGETIVPLYSLGNSKQYYDILSEEQKKELIKRVQFGGDEVVQAKNGAGSATLSMAYAGYRLAESILAAVNGKTDIVECTFLNLDSSIKGASEARKLVKDLDFFSLPVQLGKNGITEVKYDILNQISDDEKKLLEVAIEQLQKNIEKGVSFAKK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAYYPHLPYHHHHHTSVPGERLSDPLDPLSADELKLAVEIIRHEYPSKHFAFNVVTLEEPPKAKYLHWKYSKEDAHKPERIALAVLLEKGVPGILEARVNLTKAEVIQIEHITGVCPILTADMLVNTEQIVRKDPAVIEQCILSGVPPDQMDHVYCDPWTIGYDERYGNTRRMQQAMMYYRSNEDDSQYSHPLDFCPIIDTEDQKVVAIDIPPVRRPLSKHKHSNFNKKDIEAELGKMREVKPISVTQPEGVNFRMKGRYIEWQNFRMHIGFNYREGIVLSDISYNDNGHIRPLFYRMSIAEMVVPYGNPEHPHQRKHAFDLGEYGAGYLTNPLALGCDCKGVIHYLDAHFVNNTGEVETVKNAICIHEEDDGVLFKHSDFRDKFRTTISARGIRLVISQIFTAANYEYMVYWIFHMDGVIECELKLTGILNTYAMNEGEDLKGWGTQVYPQVNAHNHQHLFCLRLNPMLDGYSNSVAVVDGVSGPGEPGSKENYYGNAFTTERTVPKTVKEAICDYNSDTSRTWDICNPNKLHPYSGKPVSYKLVSRETPRLMARPGSLVSNRAGFARHHIHVTPYKDGQIYPAGDYVPQTSGEPTKGLPEWIAEEPDASVDNTDIVVWHTFGITHFPAPEDFPLMPAEPIRLLLRPRNFFLRNPALDVPPSKNVTTSEVKQAHHHGNLHMMDMMKSLTDATSEFAFGEKFCEKHKGDHFF", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPCRREEEEEAGDEAEGEEDDDSFLLLQQSVTLGGSTDVDQLIVQIGETLQLDAAHDRPASPCAAPGPPPPQVLAALPADKTGTPARRLLRPTGSAETGNPAPPGAVRCVLGERGRVRGRSAPYCVAEISPGASALPQQPGLDGPPGTGKLSTPQPLSGPCRRGWLRNAAASRRLQQRRGSQPETRTGDDDDPHRLLQQLVLSGNLIKEAVRRLHSRQLQLHAKLPAHPFLGPLSAPVHEPPSPGSPRAACSDPGAFMGRAQLRTGDDLLVPGS", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSSTTVFVSGASGFIAQTLVKQLIEKGYKVVGTVRSNEKGDSLKENLKAAKLQSENFTYEIVKDIAVKGAFDDALKKHPEVTVFLHTASPFHFNVTDIEKELLTPAVEGTNNALQAIKTHGPQIKRVVVTSSYAAVGRFADLADPSIPATEESWNPITWEQSLSNPLAGYVGSKKFAEKAAWDFVEKEKPNFTLSVINPVYVFGPQAFEIKNKSQLNTSSEIINGLLNSKPDSKFDNLTGYFIDVRDVAKAHIVAFEKDSIQGQRLILAESPFSTQSILDLIRKDFPQLDSQLPKGDPSQADAWKKAESKIENEKTRELLGFKFIDFKKSIDDSVAQIIG", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSSYSTRQVGAANTLDYKVYIEKDGKPVSPFHDIPLYANEEKTILNMIVEVPRWTNAKLEISKEQKLNPIIQDTKKGKLRFVRNCFPHHGYIHNYGAFPQTWEDPNQSHPETKAKGDNDPLDVCEIGEKVATVGEVKQVKVLGVMALLDEGETDWKVIVIDVNDPLAPKLNDIEDVETHLPGLLRATNEWFRIYKIPDGKPENQFAFSGECKNKKYAEEVIGECAEAWEKLIKGESVDSKGIDLTNTTLSSTPSYSDAAAQEIPSASPAPAAPIDKSIDKWFFISGAH", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVHLGPKPAQKRKGTFTDVSPQLLEALKPIQEQFTISADKLRAIVKHFISELDRGLSKAGGNIPMIPGWVMDFPTGKETGSYLAIDLGGTNLRVVLVKLGGNRDFDTTQSKFALPAHMRTATSDELWDFIAKCLKEFVDEIYPDGCSEPLPLGFTFSYPASQNRINEGILQRWTKGWSIDGIEGKDVVPMLQKAIKKVGVPIDVVALINDTTGTLVASMYTDPEAKMGLIFGTGVNGAYFDVVKDIPKLEGKCPSDIPPESPMAINCEYGSFDNEKYILPRTKYDVQIDEESPRPGQQTFEKMISGYYLGEVLRLILLEFAEEKKLIFKGQNLDKLKVPYVMDASYPSKIEEDPFENLSDVADLFREKLGIETTEPERKIIRCLAELIGERSARFSVCGIAAICQKRGYKTAHCAADGSVYNKYPGFKERTAQALRDIYEWPADVKDPIIIVPAEDGSGVGAAVIAALTEKRLKEGKSVGLLGA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAPKIPLNVIFLGTDEFSIPILRKLIGCVQRVRVVSAGGKRQSRRGEIPLPPAAMEANANGLEYIKLQDGWKNFHMRPDDQLAITASFGRFVPFKILNQLPYGGINIHPSLLPKYRGAGPVYSTILNGDRLAGVTIQTMDSKQFDKGKSLAQAYLKLNGKETYTLLTKILSLGAAGMLEHVLLQSLYLPNCQTNTVAPQIHGRITDTGGLQLISKETFPSFQESWAKKITPEDAHVNFESMNTQQIYNMSRAFNHVWCILNNKKVFLYDVHPLHSTSAEDWIHMKPGEFALLEKNLLLVKTLDHVMVIKGGIRLSARKIVDPVEWGKTFNSGRGGRFQYV", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEYFSDLKNKNESIQLAAADQLKEFVHSSTKELSGESLARFNNDINRRIFELIHSHDSHERFGGILAIGKLIEFESEGDVTNLSRYANYLRMTLPSTDWHSMELSAKVLGHLAASGGTLAAEFVEFEVQRAFEWLQGDRQEQKRMAAILIIKALAQNSPTLVYLYISEIFQNLWTGLRDPKPLIRETAADALGASLDVVCQREAKVQLQCFNEVLLQAEHGLRQSSVEYLHGSLLAYKELFEKSGSFIREHYTEFCDLALRLREHRDNSIRRCIVFLLPTLSEYNPKKFQQRYLDSFMVYLLSHIRKDKEKSLAFEAIGRIAMAVNEAMIPYLQNILKVIRDTLTAKVREKTQYEKPVFECIGMLAAAVKLELLEDSRSLLGLIFSCELSVHLRQALVKMAENIPPLLAPIQERLLNMVSQILTGKNFEIRTNDTYTPSFTNIYSAREPDQRSKSTESIILALETLGTFNFTGYSLISFIQESVLSYLENDNSEIRIAAARTCCQVFARDPICRKTNPLAVESVAEVLEKLLTLGIADSDPKIRETVLSLLDERFDRHLAHPDNIRCLFIALNDEVFSIREIAIIIIGRLALYNPAHVMPSLRKTIIQLLSDMEYSGNSRQKEESAQLLKLLVSKARTLIKPYIQSIIHVILPKAADTSPGVSSAIISALGELASVEGEDMPVDVRGSFMKLILVNLQDQSSTLKRLASLKCLRKLCGRSGYVIQPYLDYPPLLGALIGILQSEQPTPIRREVLRTLGVLGALDPYTYLTTEEVSDDLQSSHNNAHGVPQISAAQYPSLENYAMVAVVTLIGILKDSSLSMHHSSVVQAVMHICSQMGSKSTVFLPQVVPTFLQVMQSLSASSAEFYFQQLTTLTSIIGPNIRDYVSDIFNLSKVFWESTTSLLLVILELIDAIAIALQDEFKFYLPQILSCMLKAFSLDNTSSRSVSYKVLQSFVIFGSNIEEYMHLVLPVIIRSFERDTIPLGFRKSALKCIAQLFQSVNFSDHASRIIHPLVRMLGKSNGDLRAVIMDTLCAIVSQLGYDYSIFIPMVNKVLVSHKISHPAYELLVSRLLKGEPLPKDVVVKEFKPRPSTKPFSTQDEVLTKLPVDQASLKAAWESSQKLTRDDWQDWIRRISIELLKESPSSALRSCSTLAGIYHPLARDLFNVSFLSCWDELTESNKKNLVKSIELAMNAPNISVEILQTLLNLAEYMEREDHTLPIPIKVISAHASKCNVYAKALHYTELQFVQETKEEVSISTIESLITINNHLQQSDAAVGMLQYTKEHKQFSLKETWYEKLHRWDDALAAYEHREREGDSSFEINIGKLRCYYALGDWDHLSELAQKAWVTSEQEHREAIAPLAAAAAWGLGQWNLISEYVSAMDRDPQDKEFFSAISAVHLGQYNKAYGHIERHRDILVNDLSSIIGESYNRAYGIMVKSQMLSELEEIIDYKKNMQYENNLDSLKKTWRKRLEGCQKNVDVWHNTLRFRALVLSPQDSPEMWIKLADLCRRSDRLKLSNQCLTYLMGRDPSNAYPLDSLKLLNPHVVYTYLKYLWATDQKNIAVSELEEFTSYLSSKHGYKMGDSSKLVDILASSSVSSEERSFLARCFHKLGKWKKSLQDSVNQESVRDILNCYFYATLFDKSWYKAWHSWALANFEVVGYYEQTEHGVTQDMYEQYIVPAIKGFFHSSVLNQKNSLQDILRLLNLWFKFGEHSDVAAAIVEGFSNVPMDTWLEVIPQLIARIHTSSSSVRASVHQLLSDIGRVHPQALVYSLTVSSKSTNPQQKHSAKSIMDSMLSHSDTLVRQALLVSQELIRVAILWHELWYEGLEEASQAYFSDHDISLMIDIVKPLHETLEKGPSTLSEISFAQTFGYDLRKARSYWQKFLQDGDPTELNQSWDLYYQVFRRIQKQLPRIKHLELQYVSPKLLDACDLELAVPGTYGHNKPVIRISHFHHTFEVISSKQRPRRLTIHGSDGKDYQYVLKGHEDLRQDERVMQLFGLCNTLLTTDSETFKRRLNIERYTVIPLSPNSGLLGWVPHSDTLHFLIKEFRSKRNILLNLEHRMMLQMAPDCDSLTLLQKLEVFEYVMANTDGYDLYHVLWLKSRSSEAWLDRRTSYTQSLAVMSMVGYILGLGDRHPSNLMMDRYSGKIIHIDFGDCFEVAMHREKFPEKIPFRLTRMLINAMEVSGIQGTYKITCELVMRVLRSNTESLMAVLEAFVYDPLINWRLMTKSSFGASTTLRPTSSSVEEKGRSYTHRARHADYAALSETNGVNAEGLNERSIQVLKRVSNKLTGKDFDLKEQLPVKAQVEKLIQQATAPENLCRCYVGWCSFW", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPPGVDCPMEFWTKEENQSVVVDFLLPTGVYLNFPVSRNANLSTIKQLLWHRAQYEPLFHMLSGPEAYVFTCINQTAEQQELEDEQRRLCDVQPFLPVLRLVAREGDRVKKLINSQISLLIGKGLHEFDSLCDPEVNDFRAKMCQFCEEAAARRQQLGWEAWLQYSFPLQLEPSAQTWGPGTLRLPNRALLVNVKFEGSEESFTFQVSTKDVPLALMACALRKKATVFRQPLVEQPEDYTLQVNGRHEYLYGSYPLCQFQYICSCLHSGLTPHLTMVHSSSILAMRDEQSNPAPQVQKPRAKPPPIPAKKPSSVSLWSLEQPFRIELIQGSKVNADERMKLVVQAGLFHGNEMLCKTVSSSEVSVCSEPVWKQRLEFDINICDLPRMARLCFALYAVIEKAKKARSTKKKSKKADCPIAWANLMLFDYKDQLKTGERCLYMWPSVPDEKGELLNPTGTVRSNPNTDSAAALLICLPEVAPHPVYYPALEKILELGRHSECVHVTEEEQLQLREILERRGSGELYEHEKDLVWKLRHEVQEHFPEALARLLLVTKWNKHEDVAQMLYLLCSWPELPVLSALELLDFSFPDCHVGSFAIKSLRKLTDDELFQYLLQLVQVLKYESYLDCELTKFLLDRALANRKIGHFLFWHLRSEMHVPSVALRFGLILEAYCRGSTHHMKVLMKQGEALSKLKALNDFVKLSSQKTPKPQTKELMHLCMRQEAYLEALSHLQSPLDPSTLLAEVCVEQCTFMDSKMKPLWIMYSNEEAGSGGSVGIIFKNGDDLRQDMLTLQMIQLMDVLWKQEGLDLRMTPYGCLPTGDRTGLIEVVLRSDTIANIQLNKSNMAATAAFNKDALLNWLKSKNPGEALDRAIEEFTLSCAGYCVATYVLGIGDRHSDNIMIRESGQLFHIDFGHFLGNFKTKFGINRERVPFILTYDFVHVIQQGKTNNSEKFERFRGYCERAYTILRRHGLLFLHLFALMRAAGLPELSCSKDIQYLKDSLALGKTEEEALKHFRVKFNEALRESWKTKVNWLAHNVSKDNRQ", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASNQPGKCCFEGVCHDGTPKGRREEIFGLDTYAAGSTSPKEKVIVILTDVYGNKFNNVLLTADKFASAGYMVFVPDILFGDAISSDKPIDRDAWFQRHSPEVTKKIVDGFMKLLKLEYDPKFIGVVGYCFGAKFAVQHISGDGGLANAAAIAHPSFVSIEEIEAIDSKKPILISAAEEDHIFPANLRHLTEEKLKDNHATYQLDLFSGVAHGFAARGDISIPAVKYAKEKVLLDQIYWFNHFSNV", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAEKQPMLSFAKVVSGQAEDASSPSQQVQHTQDSSHSSTQNENSQPEKQAHPPHNRREKENVGGRSERPRGEGKGKRRNNRKGDRKPRGETKTEKPAEKVATEEVKPVEIPVVLEPAPLPAINAWFKNKEEAEAAAAAAQKEQKSETAADQFIITATPVVQKSSAPIPEKKRAVEPTPKQAAPIKEKSKSRESKKEPWKTSTAPAATAPVTETVTVAATQDWPTLAKAELNGHVSPSNSDDNNESSSNSQHKTGGKMTKNSWKKVDISVDYGSKGKGAPRGNGGEKGTRRSANDEAVRRRSGEEDSASGDEQQYWSRSKDNKSPINEMSSDRVVDSGSNGIYYQQGGTHGWKKKVNNKAGSDMPTPPNSTSPHQSESNSPEHLPKDKAPIMNGNAKNAPAANRNGNNTSTAKTGDYWHKNGGERKEDKSQPKAYYQRNDRFQARANPHAPPKLTAAQRKERGPLPRWEDIEAGDDNFDYMTLMEAQYSQYYGAPQQFEHQLDPHQASILIQQAQQHMASFAPFRPPMPMLSPHLMSPPLDRDGGVTSPVSNGEPINTAIPFAPIYNPPTAPRPVTDDTLKEYVRKQIEYYFSEENLQKDFFLRRKMGPEGYLPVALIASFPRVRSLTEDYSLILEALKDSTKVDMSPDGLQIRAPVNPTIWPLMPTVSGADSLPGPSSQAPQQFRQNGPAATAAPVESQPQASSSKPQQPEEWEEVKTRKGKGKGRLTSGSQSTNDNKRQPQQQQKSLQQSGSDQPDLDFQFDNEISGGGGSAQTPKRPEKSKKAFLSAIDSEEIGDDVISKLIIMTPSRRTLDRTGDFSTRSQNQGEFNEEVEIGLRRYEEELWTVPQEKDIPTSKVSTISAEQFNEMRGNEDAKKTSDEPPEIPLPSGTQPTPDSVWTKKAKERAAASVTVPKSPMQRRESEEQKMNRFYPISKPTAPLDAKSPRKKKTRHSEKPPVEMPVAWVLGREDALPAAPIGIAASSSQVPANHPSISLLQEDRFVQNVYSTWRQACLKQRKSLGYDCAEMNTLYRFWSFFLRDNFNRNMYEEFRKLALEDAEIGSRYGIEALFRFYSYGLEKKFRPEIYKNFMKDVTTDVQKGELYGLEKLFAFLQRSKIAKQLVVDDYLTKELNKYKSTDDFRNLPQSTKK", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAGSEPRGAGSPPPASDWGRLEAAILSGWRTFWYSVAKERATPTASRKEAAEETSALTRLPVDVQLYILSFLSPHDLCQLGSTDHYWNKTIRDPILWRYFLLRDLPSWSSVDWKSLPDLEILKKPISEVTDSTCLDYMEVYKMCCPYTRRALKASRPMYGVVTSFLHSLIIQNEPRFAMFGPGLEELNTSLVLSLMSSEDLCPTAGLPHRQIDGIGSGVNFQLNNQQKFNILILYSTTRKERDRAREEHTSTVNKMFSLQSEGDEQQGSRYSVIPQIQKVCEVVDGFIYVANAEAHRRHEWQDEFSRIMAMTDPAFGSSGRPMLVLSCISQADVKRMPCFYLAHELHLSLLNHPWMVQDTEAETLTGFLNGIEWILEEVESKRAK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGILDSLKKPVNIKSSLPKFSRSATSINLSSHPVSSRSFLELPPELFSITKPIFKLLQAHANKIYFQSSDAEAVWNVKDSSGHVFEAESISLLGSHIIITNSSVQVADVAIIDSPSNINQCEISSVGEFLQFNNGQLSITCNDFGLLEKFKRLCMISIFEFISIYKALTGTVISSYGLRMSDMHIILNSPFNFKDWCEVYLDGQGWVKVWCHIDKVSKTNNSKSSSDNDAKGKYQIRFYRDDKSTSSKNCVFFIPDNEYVQDIFFYNINAAEPSKNMNDFFQGLQMIKLVGNVRFCSDTDFNDVVDSGSIYSSANNGSGDSSSTALNNESPNTTPKSRTFFSPKGHRRNSSHVSSLTSRSTKKPITNFTTRTNGLLIRPLPHHGVHHLEAMIRFIIPLMDCARLYGRPVQFKTERTDINSLMFGLPKLPSVDYFAEEEIAHLMTQEFNPLKEKDTDDTMALTMSRFSSYLQERMTKVSKRNTELNFRTFSDVMGMYNTTRDHSKLNCMSDKDNSVKEFSLSDKSNVSSETTNMMNQLQVNAHEYKSSMCERPIVASTSPIA", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEEQVFKGDPDTPHSISFSGSGFLSFYQAGAVDALRDLAPRMLETAHRFAGTSAGAVIAALAICGIEMDEYLRVLNVGVAEVKKSFLGPLSPSCKMVQMMRQFLYRVLPEDSYKVTTGKLHVSLTRLTDGENVVVSEFTSKEELIEALYCSCFVPVYCGLIPPTYRGVRYIDGGFTGMQPCAFWTDAITISTFSGQQDICPRDCPAIFHDFRMFNCSFQFSLENIARMTHALFPPDLVILHDYYYRGYEDAVLYLRRLNAVYLNSSSKRVIFPRVEVYCQIELALGNECPERSQPSLRARQASLEGATQPHKEWVPKGDGRGSHGPPVSQPVQTLEFTCESPVSAPVSPLEQPPAQPLASSTPLSLSGMPPVSFPAVHKPPSSTPGSSLPTPPPGLSPLSPQQQVQPSGSPARSLHSQAPTSPRPSLGPSTVGAPQTLPRSSLSAFPAQPPVEELGQEQPQAVALLVSSKPKSAVPLVHVKETVSKPYVTESPAEDSNWVNKVFKKNKQKTSGTRKGFPRHSGSKKPSSKVQ", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAIDYSKWDKIELSDDSDVEVHPNVDKKSFIKWKQQSIHEQRFKRNQDIKNLETQVDMYSHLNKRVDRILSNLPESSLTDLPAVTKFLNANFDKMEKSKGENVDPEIATYNEMVEDLFEQLAKDLDKEGKDSKSPSLIRDAILKHRAKIDSVTVEAKKKLDELYKEKNAHISSEDIHTGFDSSFMNKQKGGAKPLEATPSEALSSAAESNILNKLAKSSVPQTFIDFKDDPMKLAKETEEFGKISINEYSKSQKFLLEHLPIISEQQKDALMMKAFEYQLHGDDKMTLQVIHQSELMAYIKEIYDMKKIPYLNPMELSNVINMFFEKVIFNKDKPMGKESFLRSVQEKFLHIQKRSKILQQEEMDESNAEGVETIQLKSLDDSTELEVNLPDFNSKDPEEMKKVKVFKTLIPEKMQEAIMTKNLDNINKVFEDIPIEEAEKLLEVFNDIDIIGIKAILENEKDFQSLKDQYEQDHEDATMENLSLNDRDGGGDNHEEVKHTADTVD", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNSLSEANTKFMFDLFQQFRKSKENNIFYSPISITSALGMVLLGAKDNTAQQISKVLHFDQVTENTTEKAATYHVDRSGNVHHQFQKLLTEFNKSTDAYELKIANKLFGEKTYQFLQEYLDAIKKFYQTSVESTDFANAPEESRKKINSWVESQTNEKIKNLFPDGTIGNDTTLVLVNAIYFKGQWENKFKKENTKEEKFWPNKNTYKSVQMMRQYNSFNFALLEDVQAKVLEIPYKGKDLSMIVLLPNEIDGLQKLEEKLTAEKLMEWTSLQNMRETCVDLHLPRFKMEESYDLKDTLRTMGMVNIFNGDADLSGMTWSHGLSVSKVLHKAFVEVTEEGVEAAAATAVVVVELSSPSTNEEFCCNHPFLFFIRQNKTNSILFYGRFSSP", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAVEPENTNPQSTEEEKETGQEIVSPDQYIKHPLQNRWALWFFKNDKSKTWQANLRLISKFDTVEDFWALYNHIQLSSNLMSGCDYSLFKDGIEPMWEDEKNKRGGRWLITLNKQQRRNDLDRFWLETLMCLIGESFDEHSDDVCGAVVNVRAKGDKIAIWTTEFENKDAVTHIGRVYKERLGLPAKVVIGYQSHADTATKSGSTTKNRFVV", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSGSDGGLEEEPELSITLTLRMLMHGKEVGSIIGKKGETVKRIREQSSARITISEGSCPERITTITGSTAAVFHAVSMIAFKLDEDLCAAPANGGNVSRPPVTLRLVIPASQCGSLIGKAGTKIKEIRETTGAQVQVAGDLLPNSTERAVTVSGVPDAIILCVRQICAVILESPPKGATIPYHPSLSLGTVLLSANQGFSVQGQYGAVTPAEVTKLQQLSSHAVPFATPSVVPGLDPGTQTSSQEFLVPNDLIGCVIGRQGSKISEIRQMSGAHIKIGNQAEGAGERHVTITGSPVSIALAQYLITACLETAKSTSGGTPSSAPADLPAPFSPPLTALPTAPPGLLGTPYAISLSNFIGLKPMPFLALPPASPGPPPGLAAYTAKMAAANGSKKAERQKFSPY", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGDEFRPSHEERSKMYKSNVRDQNEMRRKRREDEVQIRKNRRDEKFERNRQITVQRSLSHEETSELLKSVADGLQSMQETTIHEALTVLHENLNNTVWTIHVLVKVQILHKLSDVYCNRVISQTTRLLISRTLLKISGIDEVKYERYSSDDRCIQSLVFNISTYGSSEDILCDTFQSIACFIIRSITYRNLALDCAIVSELIDASTINMSIILHRSLMWLVFLFCEKLDRCSPHVDEIAPLLEIISNGIQSTDAMVQTDAASSCASLAEWPPIYHYMSDLKLCSKLVANLRNDKGNARPKVKAGINSIIQATGYFTEEMIDAGLLEVLKGFVNVSYMSQEVCFIISNICVEGEQTIDKLISSGVLREVARVMEASEYRSRREAAFVICHCCASANQKHLEYVVELGMLSAFTDLLTCMDVSLVSYILDAIYLLLQFGEMRLLPDNSNPVAIKLEEIGCREKLEFLCESQSVDIHARAYTIIDRFYVDDDAPLNDDPFAGYQRNNIDDTIEKMIREPIMDQPFSF", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAPGKKVAPAPFGAKSTKSNKAKNPLTHSTPKNFGIGQAVQPKRNLSRYVKWPEYVRLQRQKKILSIRLKVPPTIAQFQYTLDRNTAAETFKLFNKYRPETAAEKKERLTKEAAAIAEGKSKQDASPKPYAVKYGLNHVVSLIENKKAKLVLIANDVDPIELVVFLPALCKKMGVPYAIIKGKARLGTLVNQKTSAVAALTEVRAEDEAALAKLVSTIDANFADKYDEVKKHWGGGILGNKAQAKMDKRAKTSDSA", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAEKLSDGSSIISVHPRPSKGFSSKLLDLLERLVVKLMHDASLPLHYLSGNFAPIRDETPPVKDLPVHGFLPECLNGEFVRVGPNPKFDAVAGYHWFDGDGMIHGVRIKDGKATYVSRYVKTSRLKQEEFFGAAKFMKIGDLKGFFGLLMVNVQQLRTKLKILDNTYGNGTANTALVYHHGKLLALQEADKPYVIKVLEDGDLQTLGIIDYDKRLTHSFTAHPKVDPVTGEMFTFGYSHTPPYLTYRVISKDGIMHDPVPITISEPIMMHDFAITETYAIFMDLPMHFRPKEMVKEKKMIYSFDPTKKARFGVLPRYAKDELMIRWFELPNCFIFHNANAWEEEDEVVLITCRLENPDLDMVSGKVKEKLENFGNELYEMRFNMKTGSASQKKLSASAVDFPRINECYTGKKQRYVYGTILDSIAKVTGIIKFDLHAEAETGKRMLEVGGNIKGIYDLGEGRYGSEAIYVPRETAEEDDGYLIFFVHDENTGKSCVTVIDAKTMSAEPVAVVELPHRVPYGFHALFVTEEQLQEQTLI", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MESIGVLMMCPMSSYLENELEKRFNLLRFWTSPEKSVLLETHRNSIRAVVGNASAGADAQLISDLPNLEIVSSFSVGLDKIDLGKCKEKGIRVTNTPDVLTEDVADLAIGLILALLRRLCECDRYVRSGKWKQGEFQLTTKFSGKSVGIIGLGRIGTAIAKRAEAFSCPINYYSRTIKPDVAYKYYPTVVDLAQNSDILVVACPLTEQTRHIVDRQVMDALGAKGVLINIGRGPHVDEQELIKALTEGRLGGAALDVFEQEPHVPEELFGLENVVLLPHVGSGTVETRNAMADLVVGNLEAHFSGKSLLTPVV", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGNRGMEDLIPLVNRLQDAFSAIGQNADLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRGSGIVTRRPLVLQLVNSTTEYAEFLHCKGKKFTDFEEVRLEIEAETDRVTGTNKGISPVPINLRVYSPHVLNLTLVDLPGMTKVPVGDQPPDIEFQIRDMLMQFVTKENCLILAVSPANSDLANSDALKIAKEVDPQGQRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQKDIDGKKDITAALAAERKFFLSHPSYRHLADRMGTPYLQKVLNQQLTNHIRDTLPGLRNKLQSQLLSIEKEVDEYKNFRPDDPARKTKALLQMVQQFAVDFEKRIEGSGDQIDTYELSGGARINRIFHERFPFELVKMEFDEKELRREISYAIKNIHGIRTGLFTPDMAFETIVKKQVKKIREPCLKCVDMVISELISTVRQCTKKLQQYPRLREEMERIVTTHIREREGRTKEQVMLLIDIELAYMNTNHEDFIGFANAQQRSNQMNKKKTSGNQDEILVIRKGWLTINNIGIMKGGSKEYWFVLTAENLSWYKDDEEKEKKYMLSVDNLKLRDVEKGFMSSKHIFALFNTEQRNVYKDYRQLELACETQEEVDSWKASFLRAGVYPERVGDKEKASETEENGSDSFMHSMDPQLERQVETIRNLVDSYMAIVNKTVRDLMPKTIMHLMINNTKEFIFSELLANLYSCGDQNTLMEESAEQAQRRDEMLRMYHALKEALSIIGDINTTTVSTPMPPPVDDSWLQVQSVPAGRRSPTSSPTPQRRAPAVPPARPGSRGPAPGPPPAGSALGGAPPVPSRPGASPDPFGPPPQVPSRPNRAPPGVPSLGAWRLNSPQGKHENRAGKARL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFPALETHLKQTIPDPYEDFMYRHLQYYGYFKAQRGSLPNSATHQHVRKNNPQCLLNGSLGEKDDLIPDTLQKEKLLWPISLSSAVHRQIEAINRDFHSCLGWMQWRGLSSLQPPPPRFKDSPASAFRVAGITDSHMLSLPHLRSRQLLYDELDEVNPRLREPQELFSILSTKRPLQAPRWPIECEVIKENIHHIEWAPPQPEYFYQPKGNEKVPEIVGEKKGTVVYQLDSVPIEGSYFTSSRVGGKRGIVKELAVTLQGPEDNTLLFESRFESGNLQKAVRVDTYEYELTLRTDLYTNKHTQWFYFRVQNTRKDATYRFTIVNLLKPKSLYTVGMKPLLYSQLDANTRNIGWRREGNEIKYYKNNTDDGQQPFYCLTWTIQFPYDQDTCFFAHFYPYTYTDLQCYLLSVANNPIQSQFCKLQTLCRSLAGNTVYLLTITNPSQTPQEAAAKKAVVLSARVHPGESNGSWVMKGFLDFILSNSPDAQLLRDIFVFKVLPMLNPDGVIVGNYRCSLAGRDLNRHYKTILKESFPCIWYTRNMIKRLLEEREVLLYCDFHGHSRKNNIFLYGCNNNNRKYWLHERVFPLMLCKNAPDKFSFHSCNFKVQKCKEGTGRVVMWRMGILNSYTMESTFGGSTLGNKRDTHFTIEDLKSLGYHVCDTLLDFCDPDQMKFTQCLAELKELLRQEIHKKFHELGQDVDLEGSWSDISLSDIESSTSGSDSSLSDGLPVHLANIADELTQKKKMFKKKKKKSLQTRKQRNEQYQKKNLMQKLKLTEDTSEKAGFASTLQKQPTFFKNSENSSFLPMKNENPRLNETNLNRRDKDTPLDPSMATLILPKNKGRMQNKKPGFTVSCSPKRTINSSQEPAPGMKPNWPRSRYPATKRGCAAMAAYPSLHIYTYP", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAPTTITALAKEKTLNLDFVRDEDERPKVAYNQFSNEIPIISLAGLDDDSDGRRPEICRKIVKACEDWGIFQVVDHGIDSGLISEMTRLSREFFALPAEEKLEYDTTGGKRGGFTISTVLQGDDAMDWREFVTYFSYPINARDYSRWPKKPEGWRSTTEVYSEKLMVLGAKLLEVLSEAMGLEKGDLTKACVDMEQKVLINYYPTCPQPDLTLGVRRHTDPGTITILLQDMVGGLQATRDGGKTWITVQPVEGAFVVNLGDHGHYLSNGRFRNADHQAVVNSTSSRLSIATFQNPAQNAIVYPLKIREGEKAILDEAITYAEMYKKCMTKHIEVATRKKLAKEKRLQDEKAKLEMKSKSADENLA", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MENGDIPEDANEHCPGPQSESAGKSDSCAGCPNQEACATAPKGPDPDLVAIAERMSTVKHKILVLSGKGGVGKSTFSAQLSFALAGMDHQVGLMDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVEDNLGVMSIGFMLPNSDEAVIWRGPRKNGLIKQFLKDVYWGEIDYLVVDAPPGTSDEHISIVQYLLPTGIDGAIIVTTPQEVSLIDVRKEVSFCKKVGVPVLGVVENMSGLSQPLKDVKFMKLATETGSSINVTEDVIACLRKNAPELLDIVACSEVFDSSGGGAERMCREMGVPFLGKVPMDPQLCKAAEQGKSCFEDNKCLISAPALKSIIQKVVPSTVMTE", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDQLIPVINKLQDVFNTLGSDPLDLPQIVVVGSQSSGKSSVLENIVGRDFLPRGSGIVTRRPLILQLTHLPIADDGSQTQEWGEFLHKPNDMFYDFSEIREEIIRDTDRMTGKNKGISAQPINLKIYSPHVVNLTLVDLPGITKVPVGDQPTDIEQQIRRMVMAYIKKQNAIIVAVTPANTDLANSDALQLAKEVDPEGKRTIGVITKLDLMDKGTDAMEVLTGRVIPLTLGFIGVINRSQEDIIAKKSIRESLKSEILYFKNHPIYKSIANRSGTAYLSKTLNKLLMFHIRDTLPDLKVKVSKMLSDVQGELSTYGDPLYDTKNSQGALLLQIITIFSSNFKDAIDGKLTDLSNNELYGGARISYIFNEIYSHCVNNIDPLEGISLNDIRTTMRNATGPRAALFIPEISFELLVKKQVVRLEEPSAQCVEYVYDELQRIVSQLEAKELSRFINLKARVIEVVNNLLQKHKVPTKTMIEHLIKIETAFINTSHPDFVGGEGIFESLYKKQQLQQQNHLQQLQDQYQQQQQQQQQQQQQNGINNNQKGDNGNMNVNQQNMNQQNMNQQNQSTNPFLQQQQQGQNKYPGGPPAQQQPNQQPNQLNKGPQNMPPNQSKPSSIPQNGPNNNNNNNNNNNRQDHQQGSFFSSFFRASPDPSLGQYGGANNSNNSNNPTSPINSSSNSGNNYNTFGGQQSSSSSSQQLQQSSQSQYKTSYNNNNNSSSNNSSYNRYQDDFYGRGDKLNQVPSIIKAPDDLTSKEKFETELIRELLISYFNIVKKNVKDSVPKSIMHFLVNQSKEHIQNELVAALYKEELFDELLEESPQISSKRKSCKAMIEILRKANEIINEIRDFRN", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSSPTSLEEDGDIKLKTRFQGQVVVLYARPPLILDDFFALLKDACKQHKKQDITVKWIDEDGDPISIDSQMELDEAVRCLNSSQEAELNIHVFVGKPELPGLPCQGEDKTVYRRGARRWKKIYLYNGHRFQAKRLNRRIQCFICHDYIWGIGRQGFRCVDCRLCVHKKCHRHVRTHCGQALQGPNIIPMAPASGSLKGARSNTSSSTTRSGGGIDNGAFHEHEIESPGSTSHDASRAMNGNGSSKWAVSLNDFRLLTVIGRGSYAKVVQAEHVSTRQIYAIKIIKKEMFNEDEDIDWVQTEKSVFEAASNYPFLVGLHSCFQTESRLFFVIEFVPGGDLMFHMQQQRKLPEEHARFYSGEIILALHFLHSRGIIYRDLKLDNVLIDAEGHIKLTDYGMCKENIKDGDLTSTFCGTPNYIAPEILRGDEYGFSVDWWALGVLMFEMMAGRSPFDIVGMQNSEENTEDYLFQIILERQIRIPRSLSVRASGILKGFLNKDPTERLGCKLDINEGLRDMKEHQFFRGFIDWEALEQKAVAPPYHPAVESDRDLTHFDHQFTDELPQLSPDNPDVIARIDQSEFDGFEYVNPLQMSREDSV", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MFIESFKVESPNVKYTENEINSVYDYETTEVVHENRNGTYQWVVKPKTVKYDFKTDTRVPKLGVMLVGWGGNNGSTLTAGVIANKEGISWATKDKVQQANYFGSLTQASSIRVGSYNGEEIYAPFKSLLPMVNPEDVVFGGWDISDMNLADAMARARVLDIDLQKQLRPYMENMIPLPGIYDPDFIAANQGSRANSVIKGTKKEQVDHIIKDMREFKEKNKVDKLVVLWTANTERYSNVIVGLNDTTENLLASVEKDESEISPSTLYAIACVLEGIPFINGSPQNTFVPGLIELAISKNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVASNGILFEPGEHPDHVVVIKYVPYVADSKRAMDEYTSEIFMGGRNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQFKAEGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMIMEYK", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSLGLQPNNDISSLVSSKNMTSENGLEHQFEELLVEKQYSEEHCAYCHIKNPNSILKCLHCNKWFCNVRGKSGASHIISHLVRARHKQVALHSHSSLSDTVLECYNCGTRNVFLLGFIPAKAKTVVVLLCRQPCARASIAKDMNWDLTQWQPIISDRQFLPWLITPPSEEEQKLAIPITSQQMVRLEELWRKDPNANLEDLDKPIEDDSLPSVELRYKDAHAYQAVLSPLIQAEADYDKRLKESQTQKDVVVRWDQAINKRYTAWFLLPKLESGEIRLAIGDEMKLTYEGELRAPWSSTGYVIKIPNNVSDEVGLELKRSDKVPIECTHNFSVDYVWKSTSFDRMQTALRLFATDGSRLSSFLYHKLLGHDIPPSFLKPKLPSDLSVPNLPKLNASQSEAVRAVLSKPLSLIQGPPGTGKTVTSASVVYHLATMQSRKRKSHSPVLVCAPSNVAVDQLAEKIHRTGLRVVRVAAKSREDIESSVSFLSLHEQIKNYKFNPELQRLLKLRSENNELSIQDEKKLRILVAAAEKELLRAAHVICCTCVGAGDRRISKYKFRSVLIDEATQASEPECMIPLVLGAKQVVLVGDHQQLGPVVMNKKVALASLSQSLFERLIILGNSPFRLVVQYRMHPCLSEFPSNTFYEGTLQNGVTTSERIARHVDFPWIQPDSPLMFYANFGQEELSASGTSFLNRTEASTCEKIVTTFLRSNVLPEQIGIVTPYDGQRSYIVQYMQNNGSMQKDLYKAVEVASVDAFQGREKDFIILSCVRSSEHQGIGFVNDPRRLNVALTRAKYGVIVLGNPKVLAKHALWYHFVLHCKEKGYLVEGTLNSLQKFSLTLTPPQKPQKFKRDLNVQRSLSPIQNAGSAMLPSFSNLPNLYSSSYLEEWNVFAQYKRRESNATDFEDFRSQVGDDESKFDEPTRF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVRSISSVLAKEESENGSPIPALEEGSVYHVSLKSEGVSPFSDRIQLNYLYDGKTFSDPSNLNIHQQEACLINELLNAFMGMEGVFVHLQDMKASSEFETIIMPPSFYILPGFDLGIKDIASEMLEMGSHYLSITAFIESRSHFEYGFVNHALCAALRKFVMDYVVLIMQCENQSRIDPNFSLQTLRLYTLPTSRSLRQVYLILRDLLLSMEKNASSSDDLGLSNIDDLLEQLNEGNDISHVVNATRSKKKVCKGGQVISFLTESLTKYAGDPVARKILTYLLREASRPYTKMLNEWIHLGLVNDPYDEFMIKIHKGITSMQLDEDYTDEYWEKRYVIREDQVPPQLLDLQNKVLFAGKYLNVVLECRKGVNNLASLNAKDDTQNQLLWPSTFDDDNFTLNIMNAYVYANESLLQLLQSSQSLYAHLYSLKHYFFLDQSDFFTTFLDNAQHELRKPAKYISITKLQSQLDLALRQPGTITATDPHKEYVTVEVNQTSLIDWLMHIVSISGLEEGTSSQGNEVWNESITKQADVGNETRNFESEHNRSTQGTSKVGSDKDINGFETMQLCYKVPFPLSLILSRKAIIRYQLLFRYFLLLRHVEMQLENSWVQHSKNSAWRLNSSNAKIEQWKRNSWLLRTRMLSFVQKIIYYTTSEVIETHWGKFMGELENARTVDNLMQEHIDFLDTCLKECMLTNSRLLKVQSKLLNTCAMFASYTSTFTRSLYLLENSEESFDEGRMDKMEEILRRYEDSFSRHLKSLVNACNYFASTETAALLSLVMKLTG", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSIHFSSPVFTSRSAAFSGRGAQVRLSSARPGGLGSSSLYGLGASRPRVAVRSAYGGPVGAGIREVTINQSLLAPLRLDADPSLQRVRQEESEQIKTLNNKFASFIDKVRFLEQQNKLLETKWTLLQEQKSAKSSRLPDIFEAQIAGLRGQLEALQVDGGRLEAELRSMQDVVEDFKNKYEDEINHRTAAENEFVVLKKDVDAAYMSKVELEAKVDALNDEINFLRTLNETELTELQSQISDTSVVLSMDNSRSLDLDGIIAEVKAQYEEMAKCSRAEAEAWYQTKFETLQAQAGKHGDDLRNTRNEISEMNRAIQRLQAEIDNIKNQRAKLEAAIAEAEERGELALKDARAKQEELEAALQRGKQDMARQLREYQELMSVKLALDIEIATYRKLLEGEESRLAGDGVGAVNISVMNSTGGSSSGGGIGLTLGGTMGSNALSFSSSAGPGLLKAYSIRTASASRRSARD", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSQAPGAQPSPPTVYHERQRLELCAVHALNNVLQQQLFSQEAADEICKRLAPDSRLNPHRSLLGTGNYDVNVIMAALQGLGLAAVWWDRRRPLSQLALPQVLGLILNLPSPVSLGLLSLPLRRRHWVALRQVDGVYYNLDSKLRAPEALGDEDGVRAFLAAALAQGLCEVLLVVTKEVEEKGSWLRTD", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSAGDPRVGSGSLDSFMFSIPLVALNVGVRRRLSLFLNPRTPVAADWTLLAEEMGFEYLEIRELETRPDPTRSLLDAWQGRSGASVGRLLELLALLDREDILKELKSRIEEDCQKYLGKQQNQESEKPLQVARVESSVPQTKELGGITTLDDPLGQTPELFDAFICYCPNDIEFVQEMIRQLEQTDYRLKLCVSDRDVLPGTCVWSIASELIEKRCRRMVVVVSDDYLQSKECDFQTKFALSLSPGVQQKRLIPIKYKAMKKDFPSILRFITICDYTNPCTKSWFWTRLAKALSLP", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAPRKRGGRGISFIFCCFRNNDHPEITYRLRNDSNFALQTMEPALPMPPVEELDVMFSELVDELDLTDKHREAMFALPAEKKWQIYCSKKKDQEENKGATSWPEFYIDQLNSMAARKSLLALEKEEEEERSKTIESLKTALRTKPMRFVTRFIDLDGLSCILNFLKTMDYETSESRIHTSLIGCIKALMNNSQGRAHVLAHSESINVIAQSLSTENIKTKVAVLEILGAVCLVPGGHKKVLQAMLHYQKYASERTRFQTLINDLDKSTGRYRDEVSLKTAIMSFINAVLSQGAGVESLDFRLHLRYEFLMLGIQPVIDKLREHENSTLDRHLDFFEMLRNEDELEFAKRFELVHIDTKSATQMFELTRKRLTHSEAYPHFMSILHHCLQMPYKRSGNTVQYWLLLDRIIQQIVIQNDKGQDPDSTPLENFNIKNVVRMLVNENEVKQWKEQAEKMRKEHNELQQKLEKKERECDAKTQEKEEMMQTLNKMKEKLEKETTEHKQVKQQVADLTAQLHELSRRAVCASIPGGPSPGAPGGPFPSSVPGSLLPPPPPPPLPGGMLPPPPPPLPPGGPPPPPGPPPLGAIMPPPGAPMGLALKKKSIPQPTNALKSFNWSKLPENKLEGTVWTEIDDTKVFKILDLEDLERTFSAYQRQQDFFVNSNSKQKEADAIDDTLSSKLKVKELSVIDGRRAQNCNILLSRLKLSNDEIKRAILTMDEQEDLPKDMLEQLLKFVPEKSDIDLLEEHKHELDRMAKADRFLFEMSRINHYQQRLQSLYFKKKFAERVAEVKPKVEAIRSGSEEVFRSGALKQLLEVVLAFGNYMNKGQRGNAYGFKISSLNKIADTKSSIDKNITLLHYLITIVENKYPSVLNLNEELRDIPQAAKVNMTELDKEISTLRSGLKAVETELEYQKSQPPQPGDKFVSVVSQFITVASFSFSDVEDLLAEAKDLFTKAVKHFGEEAGKIQPDEFFGIFDQFLQAVSEAKQENENMRKKKEEEERRARMEAQLKEQRERERKMRKAKENSEESGEFDDLVSALRSGEVFDKDLSKLKRNRKRITNQMTDSSRERPITKLNF", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSRRNSIAVEQAINPNMLRAANRSSEALLDNEKFGGVQPYSTESGMLFHAGKLVIIMSGLPARGKSNIAVSIDRYLRWLGFNCRFYSLAKYIDERTREMTSSPVKSAASENHVFSRNDTIERCLADLEIFLLKEKGQVAIYDATNGTRRTRRILYDRFKNCGFKILFIESLCNKEDVINANIQEAIHVSEEFRNWDLEMAEKEYCRRIDILKCHYETIDEKDYSFVKMINFAETIIANKSNEGYLLSRILFLLMNMTLARKRIFLVPKASMRPLKLREPEDDIENRQFSEYVADRIRKSFPDVSFKNLHVLSCMEDSVMSPFRELGSVTSSMSSLSPILMDSFGDDLQKLKETYGEEEYNLYLVDPYRYRVKRKESFYDLAVRLEPLILELGREQRDVLLIGSKSIIRVFYGYYMNVPAKDIPQLCLSSSSIYELIESSTGMTVNEYDL", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MESIFGGFGDLVVPRPKEHLGQTDLSFGGKLLPALKICEDGGESGCGGKVWIAGELLCEYILEKSVDHLLSKTVNGTKQFKKVLELGSGTGLVGLCVGLLEKNTFHDGTKVYVTDIDKLIPLLKRNIELDEVQYEVLARELWWGEPLSADFSPQEGAMQANNVDLVLAADCVYLEEAFPLLEKTLLDLTHCINPPVILMAYKKRRKADKHFFNKIKRNFDVLEITDFSKFEHYLKERTHLFQLIRK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASSQRITLDQWKKKAAKVELNDTQKQEIKEAFDLFDIDGSGTIDLKELKIAMRALGFEPKKEEVKQLIAEIDKEGTGTICFEDFFAIMSVKMSEKDEKEEILKAFKLFDDDATGSISLNNIKRVAKELGENLTEDELQEMLDEADRDGDGEINEEEFLKMMKKTSLY", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSDLVTKFESLIISKYPVSFTKEQSAQAAQWESVLKSGQIQPHLDQLNLVLRDNTFIVSTLYPTSTDVHVFEVALPLIKDLVASSKDVKSTYTTYRHILRWIDYMQNLLEVSSTDKLEINHDLDLPHEVIEKKKKAPAGGAADAAAKADEDVSKKAKKQDHPRGKPDEETLKKLREEAKAKKAAKKAANAKQQQEQQNKAPEKPKPSAIDFRVGFIQKAIKHPDADSLYVSTIDVGDEEGPRTVCSGLVKHFPLDAMQERYVVVVCNLKPVNMRGIKSTAMVLCGSNDDKVEFVEPPKDSKAGDKVFFEGFGDEAPMKQLNPKKKIWEHLQPHFTTNDGLEVIFKDEEEKDHPVRKLTNAKGESFKVASIANAQVR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAGGPGAGSAAPVSSTSSLPLAALNMRVRRRLSLFLNVRTQVAADWTALAEEMDFEYLEIRQLETQADPTGRLLDAWQGRPGASVGRLLELLTKLGRDDVLLELGPSIEEDCQKYILKQQQEEAEKPLQVAAVDSSVPRTAELAGITTLDDPLGHMPERFDAFICYCPSDIQFVQEMIRQLEQTNYRLKLCVSDRDVLPGTCVWSIASELIEKRCRRMVVVVSDDYLQSKECDFQTKFALSLSPGAHQKRLIPIKYKAMKKEFPSILRFITVCDYTNPCTKSWFWTRLAKALSLP", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDPHNPIVLDQGTGFVKIGRAGENFPDYTFPSIVGRPILRAEERASVATPLKDIMIGDEASEVRSYLQISYPMENGIIKNWTDMELLWDYAFFEQMKLPSTSNGKILLTEPPMNPLKNREKMCEVMFEKYDFGGVYVAIQAVLALYAQGLSSGVVVDSGDGVTHIVPVYESVVLSHLTRRLDVAGRDVTRHLIDLLSRRGYAFNRTADFETVRQIKEKLCYVSYDLDLDTKLARETTALVESYELPDGRTIKVGQERFEAPECLFQPGLVDVEQPGVGELLFNTVQSADVDIRSSLYKAIVLSGGSSMYPGLPSRLEKELKQLWFSRVLHNDPSRLDKFKVRIEDPPRRKHMVFIGGAVLASIMADKDHMWLSKQEWQESGPSAMTKFGPR", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAPGETEREAGPAKSALQKVRRATLVINLARGWQQWANENSTRQAQEPAGWLPGATQDLPHTPKEPGPRQHAPKPPSPKPDGDREGRGSEEATEVSHIKRKEVTRTVVSKAYERGGDVNYLSHRYEHDGGVSEAVQPDNDIDRILLSHDSPTRRRKCTNLVSELTKGWKVMEQEEPKWKSDSIDTEDSGYGGDMEERPEQDVAQVAAARIKRPLHSQANRYSETLNCKAHRKYSQVDNLKGRWQQWADEHIQSQKLNPFSDEFDYDLAMSTRLHKGDEGYGRPKEGSKTAERAKRAEEHIYREIMELCFVIRTMARHRRDGKIQVTFGELFDRYVRISDKVVGILMRARKHGLVHFEGEMLWQGKDDHVVITLLE", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDNLYTKGELLQVHTKNYDVFEGRFYSMAQDKTKISLYDVKEIPHGDANDGVLHYYDSEIREVVKLQESTEKKVLKISQTKYEEILKISKKYIFINQVDKSFHEAVDDLNQQDFIAVSGDGANMGRKCKMPFLVLSTDHQIYIFDIQVMQYHAFESGLKKILEGDSPKKIAHDCRKLSDCLYHKHNVKLKSVFDTQVGDLIITKNKKVTLPNKVKSLGECLTNYLGLQQNTIDEKLDIVQSTERPLSVKIKDSLARNIAFLHHLSEVINEEMQLPFYRGVECYIENIRSSDDFKAWELCGKLNQIPKEFRNAIDY", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEAPEYLDLDEIDFSDDISYSVTSLKTIPELCRRCDTQNEDRSVSSSSWNCGISTLITNTQKPTGIADVYSKFRPVKRVSPLKHQPETLENNESDDQKNQKVVEYQKGGESDLGPQPQELGPGDGVGGPPGKSSEPSTSLGELEHYDLDMDEILDVPYIKSSQQLASFTKVTSEKRILGLCTTINGLSGKACSTGSSESSSSNMAPFCVLSPVKSPHLRKASAVIHDQHKLSTEETEISPPLVKCGSAYEPENQSKDFLNKTFSDPHGRKVEKTTPDCQLRAFHLQSSAAESKPEEQVSGLNRTSSQGPEERSEYLKKVKSILNIVKEGQISLLPHLAADNLDKIHDENGNNLLHIAASQGHAECLQHLTSLMGEDCLNERNTEKLTPAGLAIKNGQLECVRWMVSETEAIAELSCSKDFPSLIHYAGCYGQEKILLWLLQFMQEQGISLDEVDQDGNSAVHVASQHGYLGCIQTLVEYGANVTMQNHAGEKPSQSAERQGHTLCSRYLVVVETCMSLASQVVKLTKQLKEQTVERVTLQNQLQQFLEAQKSEGKSLPSSPSSPSSPASRKSQWKSPDADDDSVAKSKPGVQEGIQVLGSLSASSRARPKAKDEDSDKILRQLLGKEISENVCTQEKLSLEFQDAQASSRNSKKIPLEKRELKLARLRQLMQRSLSESDTDSNNSEDPKTTPVRKADRPRPQPIVESVESMDSAESLHLMIKKHTLASGGRRFPFSIKASKSLDGHSPSPTSESSEPDLESQYPGSGSIPPNQPSGDPQQPSPDSTAAQKVATSPKSALKSPSSKRRTSQNLKLRVTFEEPVVQMEQPSLELNGEKDKDKGRTLQRTSTSNESGDQLKRPFGAFRSIMETLSGNQNNNNNYQAANQLKTSTLPLTSLGRKTDAKGNPASSASKGKNKAA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTTDEGAKNSRGNPAATVAEQGEDVTSKKDRGVLKIVKRVGHGEETPMIGDRVYVHYNGKLANGKKFDSSHDRNEPFVFSIGKGQVIKAWDIGVATMKKGEICHLLCKPEYAYGATGSLPKIPSNATLFFEVELLDFKGEDLLEDGGIIRRTKRRGEGYSNPNEGARVQIHLEGRCGGRVFDCRDVAFTVGEGEDHDIPIGIDKALEKMQREEQCILHLGPRYGFGEAGKPKFGIEPNAELIYEVTLKSFEKAKESWEMDTKEKLEQAAIVKEKGTVYFKGGKYVQAVIQYGKIVSWLEMEYGLSEKESKASESFLLAAFLNLAMCYLKLREYTKAVECCDKALGLDSANEKGLYRRGEAQLLMNEFESAKGDFEKVLEVNPQNKAARLQIFMCQKKAKEHNERDRRTYANMFKKFAEQDAKEEANKAMSKKTSEGVTNEKLTASHAVEEEKPEGHV", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRKCKIFVGNSHPELGNMVCQRLGIEPAPCTLKKFANGETSVQIGVSVRDEDVYVIQSGSPSINDDIMELLILVSACRGGSARKITAVIPQFPYSKQCKMKRHRGAITARMLANLLVMAGADHVVSMDLHASQMQGFFTKPVDNLYGGPSLAKWIRENVEDYEDAVVVSKNPGGTKRVTALADSLKINFAMIHTDRRRSKDLYSQNKDLQQLKLRKQSMLRKNRPIIRQGDHPNEEENIILSNGIQTARIRNGHVIGDDEADDDEDAILESDSELHSIDGLDSHGLGGTYDAVDSEDEEEIPVLYREQLITLVGNVRGRSAIILDDMIDRPGSFISAAEHLVQNCGAKKVYVVATHGIFTGDCLEELEKSDAIDTIVVTNTYPISGERIAGSKKLVTIDVSPIFAECIRRDHYGESISVLFDSLAAL", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASTTSVRQFSTSGSVKGLCAPGMGFSRMSSVRVGGACRAPSLLGGGSCGNMSVTSSRFSAGLGGGYGGGYTCSLGGGFGSSFGVSDALLGGSEKETMQNLNDRLATYLDRVRALEEANADLEVKIREWYKKQGPGPARDYSPYFKTIEDLRNKILAATIDNASIVLQIDNARLAADDFRTKYETELNLRMSVEADINGLRRVLDELTLARADLEMQIESLKEELAYLRKNHEEEMNALRGQVGGDVNVEMDAAPGVDLSRILNEMRDQYEKMAEKNRKDAEEWFFTKTEELNREVATNTEALQSSRTEITELRRSVQNLEIELQSQLSMKASLENSLAETEARYGAQLAQLQGLISSVEQQLCELRCDMERQNHEYQVLLDVKTRLEQEIATYRRLLEGEDAHLATQYSSSLASQPSREGMVTSRQVRTIVEEVQDGKVVSSREQVHRSTH", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSDSDSDSDYELTLSANALAALEEFKREEQQHQEAFQKLYDETDEDFQKKKKEEGMKLFKEDWQLSQFWYSDDTAAILADAILEGADENTVIAIVSAPSVYAAIQKKPTNEIPTEHIYLFEFDKRFELLAGRDHFFFYDYNKPLDFSDEIKGKVDRLLIDPPFLNEDCQTKSSITAKCLLAPNDNSKTKKGVFKHRLISCTGERMSEVISKVYSDTRITTFLPEHSNGLSNEFRCYANFECSSWKFAS", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MADLPQKVSNLSINNKENGGGGGKSSYVPPHLRSRGKPSFERSTPKQEDKVTGGDFFRRAGRQTGNNGGFFGFSKERNGGTSANYNRGGSSNYKSSGNRWVNGKHIPGPKNAKLEAELFGVHDDPDYHSSGIKFDNYDNIPVDASGKDVPEPILDFSSPPLDELLMENIKLASFTKPTPVQKYSIPIVTKGRDLMACAQTGSGKTGGFLFPLFTELFRSGPSPVPEKAQSFYSRKGYPSALVLAPTRELATQIFEEARKFTYRSWVRPCVVYGGAPIGNQMREVDRGCDLLVATPGRLNDLLERGKVSLANIKYLVLDEADRMLDMGFEPQIRHIVEECDMPSVENRQTLMFSATFPVDIQHLARDFLDNYIFLSVGRVGSTSENITQRILYVDDMDKKSALLDLLSAEHKGLTLIFVETKRMADQLTDFLIMQNFKATAIHGDRTQAERERALSAFKANVADILVATAVAARGLDIPNVTHVINYDLPSDIDDYVHRIGRTGRAGNTGVATSFFNSNNQNIVKGLMEILNEANQEVPTFLSDLSRQNSRGGRTRGGGGFFNSRNNGSRDYRKHGGNGSFGSTRPRNTGTSNWGSIGGGFRNDNEKNGYGNSNASWW", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MATMETTTQKDTNILKSGLKKTIGVLNEAVLQNGREVEAVQAGNSDTMEDTETTTIGYISIKDYAYADSNPLHYGYFDGDNEEDEMVSDSSNGEDTYNKRQSITLPDDYIVNQRAVALYDFEPENDNELRLAEGDIVFISYKHGQGWLVAENESGSKTGLVPEEFVSYIQPEDGENEVENKARPFYLTHLITQSVSPKNNIDNTNEDEYDDNDEWEDIDDVAEVEADMKTKLDISD", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDVGTMGSRAELLAISIQFGGLWVFRDLLQMNPLFEQDHVFCTFNHPNMGLVLISFAKVDGHILAAYALSKLGPDTFSICYPFSSKLDAFAQTLDLEWQIASMHKEAAACVYEFLCVESVCLHTGENWRESVIEPEYASYIFDTINVFQSSSLTRELYSLGEPNGVREFVVDAIFDIKVDNSWWEDPSNSYWKTVIGSREMFEDSRKKTSSPSPSFASSKDAGTIPAIQKKKSLLIEMMETESTYVERLRHLVNDYALPLRDLAKSSKKLLGLYELNTLFPPCLNKLIQLNSAFLDEFEAIMSDLNFEDIDEKKFEEIDLRLACCFESHFFAFSQHYPRYLEQSNDFGNVLKMASKIPKFVEFHDQVKLNANMNVGLSQLIMEPVQRIPRYSLFLDQIILLTQEGECQHTYVRSVEIIKNIAEMPTVDAEERSRIFAGLQHIIPDLAPNMISNSRNFIDCIDVTREFLKNGQLHLIPYTLILFNDRICLVQRRSKSSIASTILDLRKQNPRNSYSKEKRAQYIGSNMNEAVELTRSMVEENTIFLISKYASSPSFFNEYPILKFRCDFENVRTMDRFYQSFQKALSMNKSQPSCLSFSKLNDFVVFFNNYSRFEYEKESKRSDIVCICTNDANVDKHKFLQDGNIVITFFQQDEDFHLSFDSWLGVSLPTEAVIAKEDLREACLNYLINIKRLLLCPFSNRNFSSLDLYSNLIQHLLSANSSPRKSRLSFGGRPGSPSKISLSLNRFYNQGGLSKSCATLPSQMYNLDHNNISQKSLKFNTHNTSKASAEKTVEHLEAFKGGFKYHTDLKNLLYPLSEKEKIEGDELYDNILKETFNEELLSHYPPNIIYATFQKYLSSFINRKFGVLLSSSFIQQLNTVENLNLSFNSTDAVYHLKKILQDLPESSLKILENIFSIASDLLLRLPLKDQCDFVTKQLAIALAPSMFGSNAVELVYYLAYHSDRIFGTVEELPTPVSPANSNNDKQLDESKFQAIAMKEMPERHPKEILPGQIEREAYEDLRRKYHLTLARLAQMTRLNEDSKKSIPLLYDRFNHDLKLIKQSVQASLIRKQCELDTAKWTLEEYESKLNAKEGCQTNIFI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAEETMENEERVKLFVGQVPKHMTEIQLLTLFREFSIVNEVNIIKEKTTRAPRGCCFLTCPTREDADKVINSFHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSETEVQSLFSEYGTIKDLQILRGSLQTSKGCLFLKYESKEQAVAAMEALNGRHIMEGANVPLIVKWADTEKERQARRLLKVQSHVSRLDPQNPSMFGALPMSYVPPYNGYGYHVPGTYGYMLPPIQTQHAFHNVISPNQGNGRALQGTALTESVPPRLAPRRNFPTALGNYGYHGLQYPMAFPRGMIPPRLPLTTVSPGISNNGTSIPSSLQTEGPAGANLFIYNIPREFEDQELAATFQPFGKVLSAKVFVDKATGISKCFGFISYDSQAAAQNAINTMNGCQLSGKKLKVQLKRDNGQQQQQQQSKNPLFNGLLNS", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFEVEYLIKDCDDVNKNTLEQEFQDLNIEDWKWDAATGQLIVKGSVSPSKVLRRLENATSKPILIRGASNKESGVSILYEANEDITQIPKVYGLCRFIPTEEKIFLDLIATQLLPNREYTGLVTISGDISRGLKSAGDSLVTLFNANSNEQGKIVLDKEVSGSLPNWIGHCFVLKCVDDSDSATMGIISRSAGLGQNTKQICACTGKSLWTEHAELKSVNEGSSCCSKKDSSPSEKPSCCSQEKKSCCSSKKPSCCSQEKKGCCSTEKTSCCSQEKKSCCTSEKPSCCSNGKSTVCA", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVPKSDQLLIVVSILEGRHFPKRPKHLLVVEAKFDGEQLATDPVDHTDQPEFATELAWEIDRKVLHQHRLQRTPIKLQCFALDPQTSAKETVGYIVLDLRTAQETKQAPKWYQLLSNKYTKFKAEVQISLTLETDTKAQVDSYKAKAAPPRDGKVLASLAGVDPKDIVAVLNEEGGYHQIGPAEHCTDPFILSVTIAFATQLEQLIPCTMKLPERQPEFFFYYSLLGNDVTNEPFSDLINPNFEPERASVRIRSSVEILRVYLALHSKLQIHLCCGDQSLGSTEIPLNGLLKKGSTEINQHPVTVEGAFTLDPPNRAKQKLAPVPLDLAPTVGVSVALQREGIDSQSLIELKTQNGHEAEHSQKRVLTPIKEKTLTGPKSPRESPAPPPPPNQTPPTKDDATESEVESLQYDKDPKPTVKGIGSVPASLAQPEATCGASEVVTSGQKIAVPAASHHFCFSVDLRSVHDLELSFPVNCILRYSYPFFGSAAPIMTNPPVEVRKNMEVFLPQSYCAFDFATMPHQLQDTFLRIPLLVELWHKDKMSKDLLLGVARIQLSNILSSEKTRFLGANGEQCWRQTYSESVPVIAAQGSNNRILDLSYTMTLEDYGLVKMREIFVSESSQGVPAVDQKPSSPPPAPCPSEIQMEPRETLEYKAALELEMWKEMQEDIFESQLKQKELAHMQALAEEWKKRDRERESLVKKKVAEYSILEGKLQKALTELETREQQLASAEAELQRERKELQLERERNLQELQDSVRRARDDCVYQVELERLKLKQLEEDKQRLQQQLNDAGNKYKTLEKEFQQFKDQQNNKPEIRLQSEINLLTLEKVELERKLESATKSKLHYKQQWGRALKELARLKQREQESQMARLKKQQEELEQMRLRYLAAEEKETVRTEQQELLDIRNELNRLRQQEQNQYQDCKEIASGKLGSPRGSGLEEGLDDYLTRLIEERDTLMRTGVYNHEDRIISELDRQIREVLTKNSAS", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSEEIAKLTTVQNYRLVDLIGDFAGEELFLIDGDSLIFHILAKDSQFLAKNGVQILYAIYLLEKELARFKSARLNFCIVFFKNWEALYSQYDGILHASLLLFRRVAIRHLRKHVPVYVFKSLGDSQWSIFIAKHRPYGFMVLDSNQNTKEGGLVISDEQVMVFKSFIFFALSDGVPVALLGSYQFVDNKILVCILPSFFTRSSESVENASNLISDYLKKFGKPRQSIELPDIAVDACPSNASRIQTLSAAWLLLNEKSDLNVDLCKILIILTCMLEELSLNDRFQPAFPLYEDPAIQKFIISYLNATNTILQLLDSKVDGFHDIFDGRLFIRLVVDAALGEFCIPDICRESALSLWKLILDIAPDAGDLFDFPSLSSISKDLCVPIETPVSEIDISLTAYNDPVISPYYDTEEWNLEQREEPGIETVLDFSKLRINDKTMTSKRFDDLSATRKTGDNTKDRKQANKVRRQDQFFISHIQKYASSLTGAKGGQLKRQTIFTQGPNSTKESKQAVKEETSVKNSPKGKNTKNGKAEKEKPGKKSKAPKLSKAEQIRAEIAARKVEKEENKNEVIWNETKNDLGRIKDLNLRAGRLAQLLNTRTYDPYIENEMRLYRIRVLLSLWTACCVTDEGKEKNIKIAVEIFREISIVYPNPITKQVKAALDETLTALGFENVIRKMKKLESKPLSFPFVLPDLEDEEFDLEVPYTSPTFQLLHFGEYMERSMGSAPDSRVAFDPDEWQRETLDILDRDESVFVVAPTSSGKTFISFYAMEKVLRDNDDGVVIYVAPTKALVNQLSAEVYARFNKHYPHAGQTVWSVYTRDYRINNPTNCQVLITVPHVLQSMLLQPALANAWCPKIRRIIFDEIHCIGQMEDGLVEEQLLLLAPCPIVALSATVGNPQEFQVWLSALQRAHSYPIHLIVHEHRYSDLRKFVYGGAKEFNGLQEEGDLGQIAFIHPAAAMSFSDGSTTNLAFEPRDCLQLYYAMKLVSKGDFKISRKLDPDRYFEDIPFIKKVDAVGYEKKIKATIDTWASLPNAFAPNSPFQALIKHFCSEPQKVVNSQLELHNSAYSLDAISQNLLPMLKDLHSKELLPAICFNYDRQECEYLAEIVFKTLVEKEREWRENSEEWKEKMREYKKWQSTANQRAKQMEKFEKSATEKQKEQALKEAGDISWIEFFDPEEPSPEFSFAGAKSTYGKEELYRDLEALRRRQAVPEWYVDALYRGIGIHHSGLNRRYRQIVEVLFRCGQLTVVIATRTLSLGINMPCRTVVFLGDSLQLNALNFHQAAGRAGRRGFDLLGHVGFLGVPLHKIYRLLTTKLWDIQGQFPLTTSLVLQLSQLISGSQAGPFARDTIHALMDEPKFMANGSILSNQVNHHLRFVIEYLRREGLLDVYGNPINLASLTMHLYYTEPSNYAFMNLLKAGVFHKIAEKFATEKFEAMRDTVVILCHLFGRIRMNEQYVMTLEGSTDLAQSTVVLPPLPTEIADVLDAHDQRVLDLYKTYAWYYQKHGNIGVAANKLPLSNTTIEGSAKLPSSLSVGVMSEFCGLAGYNESMVGTTSSFLDKVPDGIFLKTSRLPIFEANKAPYNAYLLDFFTHGSVDMLIEQNNLKQSEIWFVLNDFSLVLATICSCLGNLLNLVTDEDIENAMSALEGSNAVQATTESEATGADWMQNMNGNYSTTLASPELEEKMDMNLFKVYCMFLEVRNQFDAKFRKMWA", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEIPIQVAVRIFPHRELKDLLRSFGPTEPKKDAQAVDEGADSKDSEAQVPAAEKDNPSISETDPNGNAEQDSAADSKTIPDANGNDSGQKDYPDSAYCVQAIPISASALGLPSALPGGDPMDSIAAGLIQVGPHTVPVTHALPSSSSQEQVYHQTVFPLITLFLEGFDASVVTYGQRGQGKSYTLYGNVQDPTLTDSTEGVVQLCVRDIFSHISLHPERTYAINVGFVEICGGDVCDLLGMGNIHCTNVDAVFHWLQVGLSARQSLPAHTLFTLTLEQQWVSKEGLLQHRLSTASFSDLCGTERCGDQPPGRPLDAGLCMLEQVISTLTDPGLMYGVNGNIPYGQTTLTTLLKDSFGGRAQTLVILCVSPLEEHLPETLGNLQFAFKVQCVRNFVIMNTYSDDNTMIVQPAEPVPESNSSAGPLSQAGPGDNFGLQFAASQWSKLVTNAEGLFSKLIDSKLITEVEKEQIEEWLFLKQECEECLSSTEAMRQQKQLVPILEAEEPEDVNSEAANSESPNSDNENDTDNESHRPDLDDKIESLMEEFRDKTDALILEKHAEYLSKHPKAVMQSQDREIEAQPPEENGDDRKVSIGSRRRSVQPGASLSTAELAMLNRVASQQPPPPIDPESVVDPLESSSGEGIRQAALAAAAATAPIEQLQKKLRKLVAEIEGKQRQLREIEETIQVKQNIIAELVKNSDTRSHAKQRFHKKRAKLEAECDKAKKQLGKALVQGRDQSEIERWTTIIGHLERRLEDLSSMKHIAGESGQKVKKLQQSVGESRKQADDLQKKLRKECKLRCQMEAELAKLRESRETGKELVKAQGSPEQQGRQLKAVQARITHLNHILREKSDNLEEQPGPEQQETLRHEIRNLRGTRDLLLEERCHLDRKLKRDKVLTQKEERKLLECDEAIEAIDAAIEFKNEMITGHRSIDTSDRIQREKGEQMLMARLNRLSTEEMRTLLYKYFTKVIDLRDSSRKLELQLVQLERERDAWEWKERVLSNAVRQARLEGERNAVLLQRQHEMKLTLMLRHMAEETSASSASYGERALAPACVAPPVQASSDFDYDHFYKGGGNPSKALIKAPKPMPTGSALDKYKDKEQRSGRNIFAKFHVLTRYASAAAAGSSGSTAEESTALIESTTTATATTTSTTTTGAVGKVKDKALVSFRPEQLKRLMPAPTATKVTRQKNKIIIQDASRRN", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASWLYECLCEAELAQYYSHFTALGLQKIDELAKITMKDYSKLGVHDMNDRKRLFQLIKIIKIMQEEDKAVSIPERHLQTSSLRIKSQELRSGPRRQLNFDSPADNKDRNASNDGFEMCSLSDFSANEQKSTYLKVLEHMLPDDSQYHTKTGILNATAGDSYVQTEISTSLFSPNYLSAILGDCDIPIIQRISHVSGYNYGIPHSCIRQNTSEKQNPWTEMEKIRVCVRKRPLGMREVRRGEINIITVEDKETLLVHEKKEAVDLTQYILQHVFYFDEVFGEACTNQDVYMKTTHPLIQHIFNGGNATCFAYGQTGAGKTYTMIGTHENPGLYALAAKDIFRQLEVSQPRKHLFVWISFYEIYCGQLYDLLNRRKRLFAREDSKHMVQIVGLQELQVDSVELLLEVILKGSKERSTGATGVNADSSRSHAVIQIQIKDSAKRTFGRISFIDLAGSERAADARDSDRQTKMEGAEINQSLLALKECIRALDQEHTHTPFRQSKLTQVLKDSFIGNAKTCMIANISPSHVATEHTLNTLRYADRVKELKKGIKCCTSVTSRNRTSGNSSPKRIQSSPGALSEDKCSPKKVKLGFQQSLTVAAPGSTRGKVHPLTSHPPNIPFTSAPKVSGKRGGSRGSPSQEWVIHASPVKGTVRSGHVAKKKPEESAPLCSEKNRMGNKTVLGWESRASGPGEGLVRGKLSTKCKKVQTVQPVQKQLVSRVELSFGNAHHRAEYSQDSQRGTPARPASEAWTNIPPHQKEREEHLRFYHQQFQQPPLLQQKLKYQPLKRSLRQYRPPEGQLTNETPPLFHSYSENHDGAQVEELDDSDFSEDSFSHISSQRATKQRNTLENSEDSFFLHQTWGQGPEKQVAERQQSLFSSPRTGDKKDLTKSWVDSRDPINHRRAALDHSCSPSKGPVDWSRENSTSSGPSPRDSLAEKPYCSQVDFIYRQERGGGSSFDLRKDASQSEVSGENEGNLPSPEEDGFTISLSHVAVPGSPDQRDTVTTPLREVSADGPIQVTSTVKNGHAVPGEDPRGQLGTHAEYASGLMSPLTMSLLENPDNEGSPPSEQLVQDGATHSLVAESTGGPVVSHTVPSGDQEAALPVSSATRHLWLSSSPPDNKPGGDLPALSPSPIRQHPADKLPSREADLGEACQSRETVLFSHEHMGSEQYDADAEETGLDGSWGFPGKPFTTIHMGVPHSGPTLTPRTGSSDVADQLWAQERKHPTRLGWQEFGLSTDPIKLPCNSENVTWLKPRPISRCLARPSSPLVPSCSPKTAGTLRQPTLEQAQQVVIRAHQEQLDEMAELGFKEETLMSQLASNDFEDFVTQLDEIMVLKSKCIQSLRSQLQLYLTCHGPTAAPEGTVPS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKNSNEEDAFSTQISQLGPMRPSSDAPYHVLSLPFSHPYSAFLDAWSWLFCGITGGEVSFFFFPVSDDVIPNTNPKNCQCYLYHSTNSQLEPLEVFHLHKLHSAVFTSRRDPSFADDLFESSKPCLNQLLSYFSDITAFRSMDVVADIAFVCSPNFLTLKWNSSLFDDDLARLLFFMINSKITNYDLPFSILNSPCSDLCLNSSSLANYLHGCFYHNTLSHPYQDALKFIYEIGDDLEDTFRSFSFLELHSLAIKLSKLVTCKNEVVPIMVSHSPALFVGILAILYSGNAYCPIDVETRTERVHFISKDVDASFAIVSEEFVNRFPNNTIILKVPEYNESMEIKVDDEIPPFPFPESLDSVAYVLYTSGSTGNPKGVAISHRAATNSIKSHGYLYPMFGLERGDAWLQFANVTFDVSVFEIFGNWNNGLTLVTSKRQNLIGNLEYLIYDYKIAALELTPTVANVISLDENKELFTSVRMLITIGELLTNRIIDFWGERLVNAYGPTEAAIHVTLNPSKALTTVYLVGVPLQSATICVVSLPTEDSQPHVLHEGFLGEVCIAGPQLSSGYINRPEINAKAFVEVQYNEQTLSIYRTGDLGRIINGKLYIFGRIAGDMQIKIRGRRIEIGEIESKLAPSVDSLAIEKIGDNLVAFYVGDEMKLRKHAESHLASWMCPTKYVGLPGFPRLASGKTDRKALKIQFSASDKHSTDFSSFNQSELLVANVLHEICEKRFNSVVSISRFSSIFDLGLDSLDIVYFVRKIRSLGFEANPSIVLTSKVVFKIAESLILLKPNEVQSKNNFLNKCTPLQKGMLYESFSNNGNLYFNHTVFKIAASPEKVKLAWEKLLDTHTILSNGFALDENEGFTRFILEKKPPLYSYSKNCLECIQKHFTTKEFDEQFLNSGPLDAAVIYDSSNCYLSIVWHHALYDGWSIDIIMQQLFMLIHDRRLTIVPQFEDYVQELESLRRLNYKNCISFWKKYLKDFKFKSLSYQREKMGVVELSSNISLLSVENICKQLQTTPLSFFLTAWSTVLSSYLKTNDFLVGTVVSGRVNSLLPNVDYVIGPCMQTLPVRIKLDDELSYKNLCQNLFKELSFVLKHSVMAISDFQEELLVSNLFESILIYQQSGIPSVDESFISLIHSTDHVEQPLLIEIEKNKGYKFKLTGYLSSELLNNLLNDFDKILNFILYNIESKIQTHASFNVTITEHNHVESKARTGFSKREEKLVRSCLSKILGNTVLSADVFENLQNYGFDSLCAMRFFSLLRKSSGIGNLKIPNMKSNPTIASLCELLVLPTETLSADNEITFYEVSDIQHEANLDIESFQYFPCTPMQQALLASSEKNGVEYYYNKYLFETGKSSQEEIYLLFKTLLNNLPILRTCFFVTRSKKYPYCQVVLNEPNFYFQVLPYKGESLSKYNLAEIPLLDSKKVPIQIFFLQGENKNYVLFCIHHVLYDAWAFQLIMDDINHLLRKENPKGSQSMLKFISYLHRYNKNVDLEIWSKIFLGFKPSKFPELCKDINPEQRTYKCNLSISLSQIDDLCNGFSFTTSTFLQCCWAKTLSFLLNSRDICFGNIISGRDVPVDEVVSLVAPTFNSFPLRVLLDSKLSFAEVCGQLQRLKETLQEHQMTSVQSICKSLTVKSLFDTVFIIQPQLLSDRTGPWKLLNESSSMDMRFIIELLLGSDDSPLTLVGTGTGKSGKLVCNLYKTILKHYVHYGLSTSVPLYTSLEKHNLISRSPSSPPPIHTNFDTNADIITLFEKEANEHPSSIALHFVYNVDKENIYSYKFFSEYSIKASYWLHSIGIKKNDVVAVFIDKSLDYYSLMLGVLRIGAFFFPLEHCSSLNFAKENLLRTNVKLLIVDKFLPFEDVNQVEIDKFRQVVDKLPTVEIPNESRSSAFIFPSYELAEGLTMMESSSFMDSIISFIDSTCFPSSSRWFQYAPSSTACQMFDCFMCWFFGCTLISGPQLFLKNNLKPLLLATHASHLITTSSIAASLKGEDIPSIQRLYCYEGPINNYMIKSWGSRLSYIYAFKPLICSCVPATEYLESNIMMVGIPLKGLIFAVVNSDTNTLAPIGSSGELCIASVKKSGNTMMDSQRVFTFENRSYYRTGDIVRILAGGEFEYIKKKSFIFIDSMPLDIEGKINVDKLRDLLNDDNYIFKVDNDEFPKNDNILDGFQEKVILTISDFASIPFEKLSLNTKLSTIGIDSISAIQLSKDLREIFHLRISALDILNSSTINSLIRKLKRRRTESHTRNDKIHESIDQFFKDIRKQILIPQTLCDKIEQILPCLASQCSMLSRFYTNGGKDYLNYSVFHLQKYNDPLLLRSSWENVISNVSILRTKFQTTKHKRSPFCQVTYSKVDIPWSMELHAASVEKVLNEYLELQRRDLLQGKNVLPYSLIFVRTFSQETFLIIIMHHALYDESSLRKILGLVEKSLNSPIGKFNHEPIVRQIELLKANYEEAKAFWIKQLLSFQPTNFPSLTACRIDNEDRMLTKKPCALNYTNLTKWCNAHDVTLQVLGQLVWAKILASYCAENYAVFGTVLSGKSVLTDVDDNIFPTVTTIPCVVKLQGTVEDCLRQLQKFNLDANKFQFTSLLDIKKWLNLGPSEKLFSSLFSIYVDNDIPLKLFNDECKAQGFIEFPVALEMRFSESTSELTLNSAVNYIPQAHASLILDQFNAILTTIFNNPLQQIEILENSLPTQLLSIKPAIVGDYPTEIKYLHQFVEYFAQKSPNSCALEFALDINQDSVQLIRLTYSELNERANKLAHNLKSYGFRVGSIIAVYFDKCIEAFISMLAILKAGCCFLALDVSAPTERIRYIVTDSTAVLVMSTGELYTKLLNASINVTILDASDPGNYSNNIENPYTKDFEDSNLAYVLYTSGSTGKPKGCCLTHHNVVQCMLAFQDQFAGEWDTNSRFLAFASFHFDVSVLEQYFSWSTGITLVAAPQSLILQDLPTAISALKITHVDLTPSLASILTPKTAPLLRVFITGGEQIKQELLNIWGDTRVLYNFWGPTELTIGASAFRKVPKNAKVSNIGPPFPNCSTYILSKETKVPVLLGGLGEICMGGNQVAKGYLNLPEQTDAKFYFDRRFNDRIYHTGDLGRLLKDNNSLEFCGRTDDQIKLRGQRIEIGEINAVIKSSSEKILGVYTLAVVHPVLRKQQLVAFIHVKGISASHLIVHDHKDPSLIGFINSACKASLAKYMVPSFYVFISSVPLTPTNKFDKKKVIEEFSRLSLGQLSSFAPAREENDNEGSNVVEPKLLKIIADFSDVKVTDISPQTSVFELGLDSISAVALSGLLRKSGYDNANPSLILTSSTISNLGFALNTQTNEELEDSIKVNSIIKLPSCSQFPFHQYIELINPCLPLVEGLLFELERSNNENYYNSFFFLFEKREQADQFINNFKLLRKQYEVLRSSFLKSDGEYFQVVWKSDFIAEVDVLNNDSLIKTVRYSLKCEKGFFLVTVTLFHGIYDGWSLDLLLNDLARLCSRKTLAPRPRYSKIVRQLLINTSLKKDTKEYWLNLFRSKNIYVPIFQGKLDMAITLGHKLSISSAKLSTICRSVLKASVNSALLTSWICFLNSIGAINCVGIVVSGRSEISMDCLEVMGPLFNTIPFPLFLEKDESFDCLVRRCQLTLASMIPYHQTSLREIKKWLRRSELFNVLFTYNLHPSVIKQCEFPWSFSSESTDTGYPLALEIEEDVDGTMNLHLSSNFKYIGQTEIIGLLDSYDCYLSSLLETSNAKISSRPNVLMPNQPEVKQYIPNVWNDVLKKLITILSPKVIITKLDFERDTFVHEFGIDSIDLIWLASKVSEAGIGKLDIGLLMEKPTFYRILQLLCETSANHSTSLKHEFGTLNTLLSKYLTDQDAEDCYPATPIQSGLLLETMNQKNLYQNDVLFSLDAEISLEKLQNSWKRLCQKNAILRTHFAISEDSSEPMVIQIVDKFEARSCLNQIKILPSRFTNIEDTLRFLRHDEEAKRFLDPFKNPPYYVQFFEIGAKNYMFVQMHHSLYDGWSLSLMYDELMQLYRDEQGNSRKPFKDYIIQLYSLKYDYDFWFKYFENLSIPKPLPFLSNNGKFMSSMMSTVSLPSVRLACQLYGVSIQSLVFFTWGYYIASVLNCPDIVFHTVLSGRTYIEGAETVMGPCMNTIPVRIKFEGALQTLKKTSRMLINLAKQQHTPLSWIYKTYGNVAAIPMESILVYQHLPDSSQSETFLNVVTDNSAVDYPIAIQFEIQGDTLNWLTSLDLARVDGDVANQLLQTIDKIFSNLTKGSFEKLTFNFSNFVKYRQYQINLKDFRENLLLTEEAISDCDLLIIDERVLVVFILFPEPDAKFPYLVLNEEIIRMLKSYIKKFRLTLSSAMVPDILVPVSYLPRSLDHSENEGKLLNIYNSISADNLKILSAVHEIHLNETEKILLEGFSKIICLPQDSVDISNNFFQLGMDSIRSIHLCSYLRNKGLNVSVSDILQHSSIEKLAHYLQYEKKESSSSFDIASFQLDEYLNTLPSNIPINLVQKILPCSAGQMYALNAWYNTEKKKYFHTFFYTTEEKIELLKLKLAWAKLVKSSDILRTTFIRSSSPCYPLLQIVLKSFECPWEHYITDNLHDTCLKIQKRELVTNTTLQEVPLRIATIETSGKFVFCLTIHHALYDGWSLDIMINYLSKMYYDDSLTIVQQNSQLFLSTVLDPAVGLSRKKFWNNYLTNYKPYTFLEKPSASQEITLFFPKLFSLDTVYSSVRSRGLTVQSVSFAVFARLLANEVKQEDVVFGIYVSGRTLDVDNIDELLFPTFNVVPLRVTDTFRPLGEIALEIQSFLNEISGNLQYISLLDLPVHGMMDIAVNFLSTGDNNEPSKVFSVYPLKLNNAELKINEVETTIDGCEILFGNKPKLDFELAIRDGYLEIGLFCQSSIFSKREASVFINNFVTIIKEIEL", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGDAPSPEEKLHLITRNLQEVLGEEKLKEILKERELKIYWGTATTGKPHVAYFVPMSKIADFLKAGCEVTILFADLHAYLDNMKAPWELLELRVSYYENVIKAMLESIGVPLEKLKFIKGTDYQLSKEYTLDVYRLSSVVTQHDSKKAGAEVVKQVEHPLLSGLLYPGLQALDEEYLKVDAQFGGIDQRKIFTFAEKYLPALGYSKRVHLMNPMVPGLTGSKMSSSEEESKIDLLDRKEDVKKKLKKAFCEPGNVENNGVLSFIKHVLFPLKSEFVILRDEKWGGNKTYTAYVDLEKDFAAEVVHPGDLKNSVEVALNKLLDPIREKFNTPALKKLASAAYPDPSKQKPMAKGPAKNSEPEEVIPSRLDIRVGKIITVEKHPDADSLYVEKIDVGEAEPRTVVSGLVQFVPKEELQDRLVVVLCNLKPQKMRGVESQGMLLCASIEGINRQVEPLDPPAGSAPGEHVFVKGYEKGQPDEELKPKKKVFEKLQADFKISEECIAQWKQTNFMTKLGSISCKSLKGGNIS", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAVADNPFSMSTMFNALPNPKGISGSIPPPGFAPRASATPLHAALFNLMTDGDGVSYFKEMISNSDKTELQRMASLLTSDSDYFMSIVTTKFGSRRVQKLLGKSDDVDAFFCAAILRRFLHITTDKYASYVTIRAMVVFDKVMKKALYERILYHALDLACDQHGCIALNDIITDADDPYYRDQLLELVASNALRLSNDASGNFVVQHVLTLYDSRCIHNIAVNLYGQCIELSFKKYGSYIVEKLLEVEESMVVVVVELLGCDGDRLMRLARNEFGNFVVVKALRFTKMSRMDLFWGLVQKLMPFIRLLRRSHGSNIANILDSFRLRC", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRFKKSLTCIDMHTEGEAARIVTSGLPHIPGSNMAEKKAYLQENMDYLRRGIMLEPRGHDDMFGAFLFDPIEEGADLGIVFMDTGGYLNMCGHNSIAAVTAAVETGILSVPAKATNVPVVLDTPAGLVRGTAHLQSGTESEVSNASIINVPSFLYQQDVVIVLPKPYGEVRVDIAFGGNFFAIVPAEHLGIDISVQNLSRLQEAGELLRTEINRSVKVQHPQLPHINTVDCVEIYGNATNPEAKYKNVVIFGNRQADRSPCGTGTSAKMATLYAKGQLRIGETFVYESILGSLFQGRVLGEERIPGVKVPVTKDAEEGMLVVTTEITGKAFIMGFNTMLFDPTDPFLNGFTLKR", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDEPSPLAKTLELNQHSRFIIGSVSEDNSEDEISNLVKLDLEEKEGSLSPASVSSDTLSDLGISGLQDGLAFHMRSSMSGLHLVKQGRDRKKIDSQRDFTVASPAEFVTRFGGNKVIEKVLIANNGIAAVKCMRSIRRWSYEMFRNERAIRFVVMVTPEDLKANAEYIKMADHYVPVPGGPNNNNYANVELILDIAKRIPVQAVWAGWGHASENPKLPELLLKNGIAFMGPPSQAMWALGDKIASSIVAQTAGIPTLPWSGSGLRVDWQENDFSKRILNVPQDLYEKGYVKDVDDGLKAAEEVGYPVMIKASEGGGGKGIRKVNNADDFPNLFRQVQAEVPGSPIFVMRLAKQSRHLEVQILADQYGNAISLFGRDCSVQRRHQKIIEEAPAAIATPAVFEHMEQCAVKLAKMVGYVSAGTVEYLYSQDGSFYFLELNPRLQVEHPCTEMVADVNLPAAQLQIAMGIPLFRIKDIRMMYGVSPWGDAPIDFENSAHVPCPRGHVIAARITSENPDEGFKPSSGTVQELNFRSNKNVWGYFSVAAAGGLHEFADSQFGHCFSWGENREEAISNMVVALKELSIRGDFRTTVEYLIKLLETESFQLNRIDTGWLDRLIAEKVQAERPDTMLGVVCGALHVADVSLRNSISNFLHSLERGQVLPAHTLLNTVDVELIYEGIKYVLKVTRQSPNSYVVIMNGSCVEVDVHRLSDGGLLLSYDGSSYTTYMKEEVDRYRITIGNKTCVFEKENDPSVMRSPSAGKLIQYIVEDGGHVFAGQCYAEIEVMKMVMTLTAVESGCIHYVKRPGAALDPGCVIAKMQLDNPSKVQQAELHTGSLPQIQSTALRGEKLHRVFHYVLDNLVNVMNGYCLPDPFFSSRVKDWVERLMKTLRDPSLPLLELQDIMTSVSGRIPLNVEKSIKKEMAQYASNITSVLCQFPSQQIANILDSHAATLNRKSEREVFFMNTQSIVQLVQRYRSGIRGHMKAVVMDLLRQYLRVETQFQNGHYDKCVFALREENKSDMNTVLNYIFSHAQVTKKNLLVTMLIDQLCGRDPTLTDELLNILTELTQLSKTTNAKVALRARQVLIASHLPSYELRHNQVESIFLSAIDMYGHQFCIENLQKLILSETSIFDVLPNFFYHSNQVVRMAALEVYVRRAYIAYELNSVQHRQLKDNTCVVEFQFMLPTSHPNRGNIPTLNRMSFASNLNHYGMTHVASVSDVLLDNAFTPPCQRMGGMVSFRTFEDFVRIFDEIMGCFCDSPPQSPTFPESGHTSLYDEDKVPRDEPIHILNVAIKTDGDIEDDRLAAMFREFTQQNKATLVEHGIRRLTFLVAQKDFRKQVNCEVDQRFHREFPKFFTFRARDKFEEDRIYRHLEPALAFQLELNRMRNFDLTAIPCANHKMHLYLGAAKVEVGTEVTDYRFFVRAIIRHSDLVTKEASFEYLQNEGERLLLEAMDELEVAFNNTNVRTDCNHIFLNFVPTVIMDPSKIEESVRSMVMRYGSRLWKLRVLQAELKINIRLTTTGKAIPIRLFLTNESGYYLDISLYKEVTDSRTAQIMFQAYGDKQGPLHGMLINTPYVTKDLLQSKRFQAQSLGTTYIYDIPEMFRQSLIKLWESMSTQAFLPSPPLPSDILTYTELVLDDQGQLVHMNRLPGGNEIGMVAWKMSLKSPEYPDGRDIIVIGNDITYRIGSFGPQEDLLFLRASELARAEGIPRIYVAANSGARIGLAEEIRHMFHVAWVDPEDPYKGYKYLYLTPQDYKRVSALNSVHCEHVEDEGESRYKITDIIGKEEGLGAENLRGSGMIAGESSLAYDEVITISLVTCRAIGIGAYLVRLGQRTIQVENSHLILTGAGALNKVLGREVYTSNNQLGGIQIMHNNGVTHSTVCDDFEGVFTVLHWLSYMPKSVHSSVPLLNSKDPIDRIIEFVPTKAPYDPRWMLAGRPHPTQKGQWLSGFFDYGSFSEIMQPWAQTVVVGRARLGGIPVGVVAVETRTVELSIPADPANLDSEAKIIQQAGQVWFPDSAFKTYQAIKDFNREGLPLMVFANWRGFSGGMKDMYDQVLKFGAYIVDGLRECSQPVMVYIPPQAELRGGSWVVIDPTINPRHMEMYADRESRGSVLEPEGTVEIKFRKKDLVKTMRRVDPVYIRLAERLGTPELSPTERKELESKLKEREEFLIPIYHQVAVQFADLHDTPGRMQEKGVINDILDWKTSRTFFYWRLRRLLLEDLVKKKIHNANPELTDGQIQAMLRRWFVEVEGTVKAYVWDNNKDLVEWLEKQLTEEDGVRSVIEENIKYISRDYVLKQIRSLVQANPEVAMDSIVHMTQHISPTQRAEVVRILSTMDSPST", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSTLGNSTVKPTNAEFPGLHAMERYKPATSESLYVKGHYGPHPHKEHPAAYVAAKTGFNATSRRQSVREHHSSHEGSAQLNPDRILYMSAGAVTAAVPNKEHTDNVTTSQTIRYETSSQPSQEVYHPHAGKAAQSAHVMNSTESRPSSAHVSSSYTQKPFAFPLGPVFATSSQAAASETALPSGYEPDEARFIGASQLAKLSASHAHQTPAQTVDDDVPLPTRMAATELVGHIPLRAANYANEYSQDVPASTHRAASELVHGVPMRASGINDPKHDLDAATRHNINSVAAASYVAARSRVIEEEEEPPNEVKERMDYEADAQRRIRKMNVFGSAGAALRERTQQDLMANEQEHSGEFAGFSRNLTNTAAAPTTYEYKRPTSSYTAKDATSKVYRSNTYKPKSSVNGSVYRSKSVKSTTSHNKVPERNSVPAYNEKLMHSSAANAAILSHKNYSPPVQPTRDDEVSQEDARVKEIVRGMKLPLTSSSLSAAAYRPMEKQDPATVERHHIQAEATQRVRDMKLDLTKLESKTNDEIRSYRPRNVVIKPYAAATPPKNIPSTYRAPQAPSVLSPVVTASEGDFELDGITPERQQTMTRSTYQESSQRPFHEDPFRTHPGLLQAVARNHRNSLANIDERIMRQNQAATANTNTVSETDIEALERRLSKAYRMEAQEEAYAINIGGGRVISPEELEEIARRNVDPMVSELSERAAQERERKEQAKEAKRLKKLAKEEKRLKKKEEKARKAEEKRLQKERAKYAKQMSRESAHADQAIANTGPVAPPYFEHETEPSHYEEEEEEEPEERREESSHFSESSGNNEFEETEQEYTHGYGNDVLVQRTDVVNNFGESSHAHDNAVNEKRDLGRNGFGDVDEQDATEVYRHSIERIVPGDYLHDEKTRDTLTRESPAFRSEEAVVEVADEDHPHASEAERAHSYSNRKQASSESSPESQSTHYNDYEGTEDNIVRQTTTVDEDGHQEQTTSTTKVAHPIPVSNGLSSPPRERLDDNAKEILSRSSPKSPVAWFKRKFKNRKDKAAVKRMLEEDSSKQLSSGRDVVAPTSVNHDVSHVGESTKPAVNNSTKPVAVTSKNGHSRNGSHAAHSNNVIGTQPHVNVSAVPNTGNLKDALEGSAVSKTDDVDNVVSGHSNVNGVSKSRPNIVERSEDYVISHLPEQSRAPIGAAFQEDL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAYSDYSDGADDMPDFHDEGEFDDYLNDDEYDLMNEVFPTLKAQLQDYQGWDNLSLKLALFDNNFDLESTLAELKKTLKKKKTPKKPIAAANGSANVTQKLANISISQQRPNDRLPDWLDEEESEGERNGEEANDEKTVQRYYKTTVPTKPKKPHDISAFVKSALPHLSFVVLGHVDAGKSTLMGRLLYDLNIVNQSQLRKLQRESETMGKSSFKFAWIMDQTNEERERGVTVSICTSHFSTHRANFTIVDAPGHRDFVPNAIMGISQADMAILCVDCSTNAFESGFDLDGQTKEHMLLASSLGIHNLIIAMNKMDNVDWSQQRFEEIKSKLLPYLVDIGFFEDNINWVPISGFSGEGVYKIEYTDEVRQWYNGPNLMSTLENAAFKISKENEGINKDDPFLFSVLEIIPSKKTSNDLALVSGKLESGSIQPGESLTIYPSEQSCIVDKIQVGSQQGQSTNHEETDVAIKGDFVTLKLRKAYPEDIQNGDLAASVDYSSIHSAQCFVLELTTFDMNRPLLPGTPFILFIGVKEQPARIKRLISFIDKGNTASKKKIRHLGSKQRAFVEIELIEVKRWIPLLTAHENDRLGRVVLRKDGRTIAAGKISEITQ", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSVEPVVVIDGKGHLVGRLASVVAKQLLNGQKIVVVRAEELNISGEFFRNKLKYHDFLRKATAFNKTRGPFHFRAPSRIFYKALRGMVSHKTARGKAALERLKVFEGIPPPYDKKKRVVVPQALRVLRLKPGRKYTTLGKLSTSVGWKYEDVVAKLEAKRKVSSAEYYAKKRAFTKKVASANATAAESDVAKQLAALGY", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MREVNFSIPNVNKASVNITTTLYDRRALDCTSTLPLINSLNHLAYLTTSSARIRDILTVDGGIERLVCILKEGRSNNLMEMWKWSLAFQCVVNIGVRGSENVRTRVVEADMVPVIATILDNYIKVMDKVRARSDSEAQRHRHHQLHHKITPTASDSTSRSSFSDASSNEQRTSRRQPPPTHIEIPPFFHDTRAVESNAADVPSPPRAPMTSPPERSTFGQDTYAHRSHAPLRHRAIQPLATAIPSMDAADGSGLRPVRDTERLPSMLPAAFNELASQPDSPTTPSGAGHIRSNVHVPIGTHARPPLSQHQSTSGDSDDANGEDSIMADDTGSGQSRRPIIGLQSRMDIDDDADRQTVIDSVTDSSHDLTVTDTTSDGQESETFNITHRSAVDGSIITNDNAQAVNNANSPPIVPSPYSLYFRDRTNIATQNFLNTMPREEDVLMSLQLLAYVSKYCNLRSYFQNSHFVPKLKIDRELRMLDEGASPVELIEEEDEYLLPDDVNIFPLVEKFTARHHSKDMSYWACVVMRNLCRKDESRGGIRQCANYKCGKWEEFTRQFAKCRRCRRTKYCSKDCQKAAWLYHRHWCATP", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEVGFLGLGIMGKAMSMNLLKNGFKVTVWNRTLSKCDELVEHGASVCESPAEVIKKCKYTIAMLSDPCAALSVVFDKGGVLEQICEGKGYIDMSTVDAETSLKINEAITGKGGRFVEGPVSGSKKPAEDGQLIILAAGDKALFEESIPAFDVLGKRSFYLGQVGNGAKMKLIVNMIMGSMMNAFSEGLVLADKSGLSSDTLLDILDLGAMTNPMFKGKGPSMNKSSYPPAFPLKHQQKDMRLALALGDENAVSMPVAAAANEAFKKARSLGLGDLDFSAVIEAVKFSRE", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPRGQKSKLRAREKRRQARAKNAQEKEASPGPFYGCPHQASPVASMPTSPNMPMGEQSTFSHSYTSTSDQNLDEKSSDDSEDTEDWCKDPINHKVVLLVQFLMEKYQKKEVITKADMLKYVIKTSKNHFNEILKRASEHMELAFGIDLKEVDPNRHCYALFNKLEHTFDGVMGEEKMPSSGLLMIVLGVIFMNDNCVSETEIWNVLSMMGVYANRKHFIYGDPKKVITEDMVQLKYLEYQQVPNSNPPSFEFTWGPRACAEISKMKILEFWAKIHDTTPDSFPTLYEAALKDEEERAQARAVARAHTAAMASPYSRATVCSSSHTNI", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MATMKSLIGLINKIQRACTVLGDHGGEGMSLWEALPTVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTEDGTTEYAEFLHAPKKRFADFAAVRKEIEDETDRITGKSKQISNIPIQLSIYSPNVVNLTLIDLPGLTKVAVDGQPESIVQDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLAREVDPTGERTFGVATKLDIMDKGTDCLDVLEGRSYRLQHPWVGIVNRSQADINKRVDMIAARRKEQEYFETSPEYGHLASRMGSEYLAKLLSQHLETVIRQKIPSIVALINKSIDEINAELDRIGRPIAVDSGAQLYTILELCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDRHLSTKNVQKVVSEADGYQPHLIAPEQGYRRLIDGSISYFKGPAEATVDAVHFVLKELVRKSISETEELKRFPTLASDIAAAANEALERFRDESRKTVLRLVDMESSYLTVEFFRKLHLEPEKEKPNPRNAPAPNADPYSDNHFRKIGSNVSAYINMVCDTLRNSLPKAVVYCQVREAKRSLLNFFYAQVGRKEKEKLGAMLDEDPQLMERRGTLAKRLELYKQARDDIDAVAWK", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSPMKVAVVGASGKVGRLLINQLKANDSFSTPLAIVRTQDQVNYFKNEVGVDASLTDIENASVSEITDAIKAYDAVVFSAGAGGKGMERIFTVDLDGCIKVVEACEKAGIKRFVVVSALKAEDRDFWYNIKGLREYYIAKRSADREVRNSNLDYTILQPGSLELNKGTGLLQPLDKLEEKASVNYSINREDVASFIVESLLHPNATVKKTISLVNGNEPMEKFIQSL", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSDEEHTFETADAGSSATYPMQCSALRKNGFVVIKSRPCKIVDMSTSKTGKHGHAKVHLVAIDIFTGKKLEDLSPSTHNMEVPVVKRNEYQLLDIDDGFLSLMNMDGDTKDDVKAPEGELGDSLQTAFDEGKDLMVTIISAMGEEAAISFKEAARTD", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPHSHPALTPEQKKELSDIAHRIVAPGKGILAADESTGSIAKRLQSIGTENTEENRRFYRQLLLTADDRVNPCIGGVILFHETLYQKADDGRPFPQVIKSKGGVVGIKVDKGVVPLAGTNGETTTQGLDGLSERCAQYKKDGADFAKWRCVLKIGEHTPSALAIMENANVLARYASICQQNGIVPIVEPEILPDGDHDLKRCQYVTEKVLAAVYKALSDHHIYLEGTLLKPNMVTPGHACTQKYSHEEIAMATVTALRRTVPPAVTGVTFLSGGQSEEEASINLNAINKCPLLKPWALTFSYGRALQASALKAWGGKKENLKAAQEEYVKRALANSLACQGKYTPSGQAGAAASESLFISNHAY", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAPRDAEIHKDVQNYYGNVLKTSADLQTNACVTPAKGVPEYIRKSLQNVHEEVISRYYGCGLVVPEHLENCRILDLGSGSGRDCYVLSQLVGQKGHITGIDMTKVQVEVAKAYLEYHTEKFGFQTPNVTFLHGQIEMLAEAGIQKESYDIVISNCVINLVPDKQKVLREVYQVLKYGGELYFSDVYASLEVSEDIKSHKVLWGECLGGALYWKDLAVIAKKIGFCPPRLVTANIITVGNKELERVLGDCRFVSATFRLFKLPKTEPAGRCQVVYNGGIMGHEKELIFDANFTFKEGEAVEVDEETAAILRNSRFAHDFLFTPVEASLLAPQTKVIIRDPFKLAEESDKMKPRCAPEGTGGCCGKRKSC", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGNHCTRIPCCKKVCSCICCCNRRNKTQARSQKGSYFIKGKVHPVSRMEKWEEKITEANSHGKILVVNFKASWCLPSKTILPIYQELASTYTSMIFVTIDVEELAEFSHEWNVDATPTVVFLKDGRQMDKLVGGDAAELQKKTAAAANLLLRQS", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAKQSLDVSSDRRKARKAYFTAPSSQRRVLLSAPLSKELRAQYGIKALPIRRDDEVLVVRGSKKGQEGKISSVYRLKFAVQVDKVTKEKVNGASVPINLHPSKLVITKLHLDKDRKALIQRKGGKLE", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MASETFEFQAEITQLMSLIINTVYSNKEIFLRELISNASDALDKIRYKSLSDPKQLETEPDLFIRITPKPEQKVLEIRDSGIGMTKAELINNLGTIAKSGTKAFMEALSAGADVSMIGQFGVGFYSLFLVADRVQVISKSNDDEQYIWESNAGGSFTVTLDEVNERIGRGTILRLFLKDDQLEYLEEKRIKEVIKRHSEFVAYPIQLVVTKEVEKEVPIPEEEKKDEEKKDEEKKDEDDKKPKLEEVDEEEEKKPKTKKVKEEVQEIEELNKTKPLWTRNPSDITQEEYNAFYKSISNDWEDPLYVKHFSVEGQLEFRAILFIPKRAPFDLFESKKKKNNIKLYVRRVFITDEAEDLIPEWLSFVKGVVDSEDLPLNLSREMLQQNKIMKVIRKNIVKKLIEAFNEIAEDSEQFEKFYSAFSKNIKLGVHEDTQNRAALAKLLRYNSTKSVDELTSLTDYVTRMPEHQKNIYYITGESLKAVEKSPFLDALKAKNFEVLFLTDPIDEYAFTQLKEFEGKTLVDITKDFELEETDEEKAEREKEIKEYEPLTKALKEILGDQVEKVVVSYKLLDAPAAIRTGQFGWSANMERIMKAQALRDSSMSSYMSSKKTFEISPKSPIIKELKKRVDEGGAQDKTVKDLTKLLYETALLTSGFSLDEPTSFASRINRLISLGLNIDEDEETETAPEASTAAPVEEVPADTEMEEVD", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MANEVILLDFWPSMFGMRTRIALREKGVEFEYREEDLRNKSPLLLQMNPIHKKIPVLIHNGKPVNESIIQVQYIDEVWSHKNPILPSDPYLRAQARFWADFIDKKLYDAQRKVWATKGEEQEAGKKDFIEILKTLESELGDKPYFSGDDFGYVDIALIGFYTWFPAYEKFANFSIESEVPKLIAWVKKCLQRESVAKSLPDPEKVTEFVSELRKKFVPE", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAPNTSRKQKIAKTFTVDVSSPTENGVFDPASYAKYLIDHIKVEGAVGNLGNAVTVTEDGTVVTVVSTAKFSGKYLKYLTKKYLKKNQLRDWIRFVSTKTNEYRLAFYQVTPEEDEEEDEE", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRRPPGDGDSTGEGPGNWGLWGAQESRRLCCVGPDRCGQALLQIGINMMALPGGRHLDSVPLQEQRLHFMQVDSVQRWMEDLKLMTECECMCVLQAKPISLEEDTQGDLILAGGPGPGDPLQLLLKRGWVISTELRRIGQKLAQDRWARVHSMSVRLTCHARSMVSEYSTISRTASQEMGQAEKLLMEKCSELSAVTERCLQVENEHVLKSMKACVSETLSLLGEHFGQLLELALTREVQALVRKIDTSDNIYITESTTGNLFGLTQEGAPLCRIIAKEGGVVALFKVCRQDSFRCLYPQALRTLASICCVEEGVHQLEKVDGILCLADILTDESHSEATRAEAAAVVAQVTSPHLSFTQHLTSFLENMEEIVTALIKLCQEASSGEVFLLASAALANITFFDKMACEMLLQLNAIRVLLEACGDKQRVDTPYTRDQIVTILANMSVLEQCGSDIIQENGVQLIMGMLSEKPRSGTPAEVAACERVQQKAAVTLARLCRDPDVAQEAVRLSCMSRLIELCRSPSERNSSDAVLVACLAALRRLAGVCPEGLQDSDFQQLVQPRLVDSFLLCSNMEESFV", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MYYYLEIECESPVKDIFTCNKRRASKVVGNSFGGDHFNFRLGEIKLLSMDFPSSKVPEVEETSLGHGVVHLYRVFPSESHEFDAPGLILAILAIPLYMSPSDVLGFLGEKHCKSIQHIRLLKTKDPNRIMALLKFKDQASVIRFYTEFNGKAFSQIDPETCHVLHIDKVNIKYPMESSDSSSTEQQLVGPSSKPFASTTPALIELPTCVVCLERMDSSITGLITIVCQHTFHCPCLQKWGNSSCPVCRYTQKVQSSEFQSKCTVCCYDKDLWICLICGNIGCGRYHDAHAKQHYVDTAHCYAMELETQRVWDYAGDNYVHRLLQSETDGKLVELSTDGKSSGWTGSSATESKLRDKMGLEYTQILVSQLESQRLYYESHLSNMSQKLSRVNEELVLKTKIATASSNANTDLRSRVDISESKLKKRDDKLKRVSSQLEHLKHNYEEEKSMNENLLVRIQTLEKQNTTKSDQIVSMQFQINDLNEQLRDLMFTISASQEIQKMGQSEELQNGTIVLPNNSTVRSNSVKSKKKKKKKPVVPSSSGSLGTD", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDQSKRLLKSAVPNPPEHFKTGISWLDDLDEKDDDSATSVNYDIPEITEANLCNDSHEALSPCTQPVGNSGRPVEAFKTYPSTPAVPSKSVLFHFYEPDENFSLSDTGRTKSDTALAARESSEKSEVPRDTRSAGIKPYKENNSSNCAISKEAGLRRLIDKDRESFDKNLNQSFTNLTFPEPISDDSDSVEFQRDSLNNNWPASLEGSIHELPRNSDDDGIPASAAHILDLDYHRDSYDSPWKKFLPYPSILSDDSWKAPESWGTSLPTEAIPKQVFTTRFFARPSLGNRKKEFFLRVYRDDRTSVSFICPIGIQTHEVIKLLARLFFLPSSANFYLLLIQFNTERILLPHEQPCIIFERLLSLFGCKVTSDEEINEEDNYSVARLVFTTMDIGADVLRKFSEKKITANLDISRSNLEVIPVKIYPYAHELISLNVSHNLSLDLPLDFMERCVKLKRLDISNNLRSPRGKPITALRQLEVLNMSRNDIYELDPLIFSGLSRNSLKELNIANNKLFFLPHSTRYLVNLTYLDLSYNNFVTFPLIITELSQLETLNFSHNLLSQISSKIGSLVKLKHLYLQFNDLSNRLPQEIGLLKNLETIDLSYNAITNIASLSECPKLNSINVACNLLSFYEYSNPSATFIDFSFCPLTTIDPAFSYSNLVYFDISHAKLIGLKDSVIETLVNVETVKVNYNHFTSISDAISAMQNLKYLSCTNCEMSYVSPNLGKLKHLVHLDLHANNIKIFPEEVWQVSSLKVVNLSSNILEKIKLPVATSKKLTRTISQLKIMRTLSGNPVSSLSSQEFVMPTVEELYLVDNRLGNDCFTALEYFKCLKVLNLSYNYLTEIPSKFFQNFSDLKHLFVSGNELANLSISSTAQVLLETLYANGNRLSSFPKNEALSKSLRFLDISTNNLQNLAVEKAEKKSLTKLPQLEYLNLSGNTWFRFSEHEDTNFTKSYLKNLKFLSIMDLNTKFSNAPSDVLNHFIQRNSPQPNILRYGVCGYLSRSIPVISACELVVNNFLHPQSSLYCVLDSDISAGKNNRVLKFVYDNLASCLAHEINAADSSSEQICNALRRGFLRLNKKLGNVIHYDLRKSSEGDVDSNYVTTMNISEKGYSMDSSCLDIGVSIILVYVRDTRAFVANVGTSMAIMSTRNDSEPTTLSVMHDVYNRDEIRRIVDSCGFISGEIKSTTTRAIGRLSQFPGVQAVPYVNVQYLSELNEFIILANQEFWSVLSKRTVIDVVRANRHSPLLASTKLRDYAIAYGAEKNVLVVIVELNGLFEENSLNFNQLRGDEKTLAISEKNDNMSFVQDLPDDSSLARMNREVSPPKGCIAMVFTDIKNSTLLWERHPIAMRSAIKTHNTIMRRQLRATGGYEVKTEGDAFMVCFQTVPAALLWCFSVQLQLLSADWPNEIVESVQGRLVLGSKNEVLYRGLSVRIGVNYGVTVSELDPITRRMDYYGPVVNRTSRVVSVADGGQIAVSAEVVSVLNQLDSETMSSEKTNVNEMEVRALKQIGYIIHNLGEFKLKGLDTTEMISLVYPVQLQGRLERLIKSRSLGTPTALPETQTYTPVRSRSNSLRPMLARLSDSKSVHGEEGGSGKRSVSSLRNVSPSESTGGYEGCIFDDQQYQLLYELCERLEDHAAILHGFPEPPPCDTGLAAPVNQAEEYSLFYRLTLRIENTIYCVSQMLGHTG", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "METGTAPLVAPPRRHGAPAAPSPPPRGSRAGPVVVVAPGPPVTTATSAPVTLVAPGEARPAWVPGSAETSAPAPAPAPAPAPAVTGSTVVVLTLEASPEAPKPQLPSGPESPEPAAVAGVETSRALAAGADSPKTEEARPSPAPGPGTPTGTPTRTPSRTAPGALTAKPPLAPKPGTTVASGVTARSASGQVTGGHGAAAATSASAGQAPEDPSGPGTGPSGTCEAPVAVVTVTPAPEPAENSQDLGSTSSLGPGISGPRGQAPDTLSYLDSVSLMSGTLESLADDVSSMGSDSEINGLALRKTDKYGFLGGSQYSGSLESSIPVDVARQRELKWLDMFSNWDKWLSRRFQKVKLRCRKGIPSSLRAKAWQYLSNSKELLEQNPGKFEELERAPGDPKWLDVIEKDLHRQFPFHEMFAARGGHGQQDLYRILKAYTIYRPDEGYCQAQAPVAAVLLMHMPAEQAFWCLVQICDKYLPGYYSAGLEAIQLDGEIFFALLRRASPLAHRHLRRQRIDPVLYMTEWFMCIFARTLPWASVLRVWDMFFCEGVKIIFRVALVLLRHTLGSVEKLRSCQGMYETMEQLRNLPQQCMQEDFLVHEVTNLPVTEALIERENAAQLKKWRETRGELQYRPSRRLHGSRAIHEERRRQQPPLGPSSSLLSLPGLKSRGSRAAGGAPSPPPPVRRASAGPAPGPVVTAEGLHPSLPSPTGNSTPLGSSKETRKQEKERQKQEKERQKQEKEREKERQKQEKEREKQEKEREKQEKERQKQEKKAQGRKLSLRRKADGPPGPHDGGDRPSAEARQDAYF", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKYIQTEQQIEIPEGVTVSIKSRIVKVVGPRGTLTKNLKHIDVTFTKVNNQLIKVAVHNGDRKHVAALRTVKSLVDNMITGVTKGYKYKMRYVYAHFPINVNIVEKDGAKFIEVRNFLGDKKIRNVPVRDGVTIEFSTNVKDEIVLSGNSVEDVSQNAADLQQICRVRNKDIRKFLDGIYVSHKGFIVEDM", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLHMNSASSADSMEIMESHFDPTQQNDSTIIESRYSPEEYLEQSFEIQRIISGENSEPQTVASQEISDSQEEDTTLTSSQFEDCGTEYNEVVEDDEFRSEDEDDFMDEEEEYALYEAELSSSPSIHEEVIDCNFVHAIRGFEATVEGQVDATKGDMMILLDDSNSYWWLVKMCKNLAIGYLPAEYIETPSERLARLNKYKNSETSNSQQSVTLPPLDIVEKTLEAPSPNFRIKRVTFTCSSNSSDDEMDSENDYEAMVNRTVAENGLEIEFSDSSDSSLSAEYRSESEDHVTDSPAYVDLTELEGGFNQFNSTSFQSTSPLGLEIVETEINGSSTTADSKNSHSPYSKFSSAYPDAENSNISKINISIAGNKELYGNATQSDPSLYSTWIANKHKTASSATVDSPLRRSLSVDAMQSNASFSSYSSTSNTDKSLRPSSYSAVSESSNFTHDVSRDNKEISLNAPKSIIVSQSDSFDTSNVTQDAPNDVEKEPISGQMPNNLSVQSLKQLEVYPIRHSVSIEMPSEKLLSPRLYSSSTPSSPTKGFQKDDEEDSENRKQADKVELSPSSLLRQMSLPVDSSSQSDAQCTTSSVYITAERKAFSQSSIDLSTLSNHHVNNEINRRSFAGGFTSLADELSEMRELLHESPAPLECNEEMVIPTPELDASSAIPSSSISHDEDLLPRKNTEESTSSSSFSSLITSPASLQYDENPFKQSVVAELNNNSSSVPFVDSAHASDIHAYDNDHVSTKNKEFNRRLREFILDPDSLSGLYWSVKSAGVRASRRVSRNIEGESVSSDLDDIFANVLKGLSDEMASLLNTNR", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNDEESHISVLPVMNKQTSLVLQNLKEETENQLKELEKKRSQLHKEEQINLQLVYAINDLRSKTKELKAENEKEDTFLNSFNASGDLTANKKIQLREQSRKLEESLLSYHKKVKEMEKQHRSASSKLELAKLSAQQLQTNVNVLRSQNNPEILQDMISETKDCRSLIAEQLLQSASLLNDFQNDSDRIAKNHSSLIDTSRAHRVSLTNATKNYTHIFDSLLTFTRHDSEDVSTSVEKLTSKKISELEKLFADYCSIEDAFD", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDRCKENCVSRPVKTTVPFGPKRVLVTEQIPSQNLGSASSGQAQRVLCPSNSQRVPSQAQKLGAGQKPAPKQLPAASVPRPVSRLNNPQKNEQPAASGNDSEKEQASLQKTEDTKKRQWTLEDFDIGRPLGKGKFGNVYLARERQSKFILALKVLFKTQLEKANVEHQLRREVEIQSHLRHPNILRLYGYFHDATRVYLILEYAPLGTVYRELQKLSKFDEQRTATYITELANALSYCHSKRVIHRDIKPENLLLGSNGELKIADFGWSVHAPSSRRTTMCGTLDYLPPEMIEGRMHDEKVDLWSLGVLCYEFLVGMPPFEAHTYQETYRRISRVEFTFPDFVTEGARDLISRLLKHNASQRLTLAEVLEHPWIKANSSKPPTGHTSKEPTSKSS", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MARPLEQAVAAIVCTFQEYAGRCGDKYKLCQAELKELLQKELATWTPTEFRECDYNKFMSVLDTNKDCEVDFVEYVRSLACLCLYCHEYFKDCPSEPPCSQ", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVILQKGDYVWMDLKSGQEFDVPIGAVVKLCDSGQIQVVDDEDNEHWISPQNATHIKPMHPTSVHGVEDMIRLGDLNEAGILRNLLIRYRDHLIYTYTGSILVAVNPYQLLSIYSPEHIRQYTNKKIGEMPPHIFAIADNCYFNMKRNNRDQCCIISGESGAGKTESTKLILQFLAAISGQHSWIEQQVLEATPILEAFGNAKTIRNDNSSRFGKYIDIHFNKRGAIEGAKIEQYLLEKSRVCRQAPDERNYHVFYCMLEGMNEEEKKKLGLGQAADYNYLAMGNCITCEGRVDSQEYANIRSAMKVLMFTDTENWEISKLLAAILHMGNLQYEARTFENLDACEVLFSPSLATAASLLEVNPPDLMSCLTSRTLITRGETVSTPLSREQALDVRDAFVKGIYGRLFVWIVEKINAAIYKPPPLEVKNSRRSIGLLDIFGFENFTVNSFEQLCINFANEHLQQFFVRHVFKLEQEEYDLESIDWLHIEFTDNQEALDMIANRPMNVISLIDEESKFPKGTDATMLHKLNSQHKLNANYVPPKNSHETQFGINHFAGVVYYESQGFLEKNRDTLHGDIIQLVHSSRNKFIKQIFQADVAMGAETRKRSPTLSSQFKRSLELLMRTLGACQPFFVRCIKPNEFKKPMLFDRHLCVRQLRYSGMMETIRIRHAGYPIRYSFVEFVERYRVLLPGVKPAYKQGDLRGTCQRMAEAVLGTHDDWQIGKTKIFLKDHHDMLLEVERDKAITDRVILLQKVIRGFKDRSNFLRLKSAATLIQRHWRGHHCRKNYELIRLGFLRLQALHRSRKLHKQYRLARQRIIEFQARCRAYLVRKAFRHRLWAVITVQAYARGMIARRLHRRLRVEYQRRLEAERMRLAEEEKLRKEMSAKKAKEEAERKHQERLAQLAREDAERELKEKEEARRKKELLEQMEKARHEPINHSDMVDKMFGFLGTSGSLPGQEGQAPSGFEDLERGRREMVEEDVDAALPLPDEDEEDLSEYKFAKFAATYFQGTTTHSYTRRPLKQPLLYHDDEGDQLAALAVWITILRFMGDLPEPKYHTAMSDGSEKIPVMTKIYETLGKKTYKRELQALQGEGETQLPEGQKKTSVRHKLVHLTLKKKSKLTEEVTKRLNDGESTVQGNSMLEDRPTSNLEKLHFIIGNGILRPALRDEIYCQISKQLTHNPSKSSYARGWILVSLCVGCFAPSEKFVKYLRNFIHGGPPGYAPYCEERLRRTFVNGTRTQPPSWLELQATKSKKPIMLPVTFMDGTTKTLLTDSATTARELCNALADKISLKDRFGFSLYIALFDKVSSLGSGSDHVMDAISQCEQYAKEQGAQERNAPWRLFFRKEVFTPWHNPSEDNVATNLIYQQVVRGVKFGEYRCEKEDDLAELASQQYFVDYGSEMILERLLSLVPTYIPDREITPLKNLEKWAQLAIAAHKKGIYAQRRTDSQKVKEDVVNYARFKWPLLFSRFYEAYKFSGPPLPKSDVIVAVNWTGVYFVDEQEQVLLELSFPEIMAVSSSRECRVLLSLGCSDLGCATCQSGRAGLTPAGPCSPCWSCRGTKMMAPSFTLATIKGDEYTFTSSNAEDIRDLVVTFLEGLRKRSKYVVALQDNPNPAGEESGFLSFAKGDLIILDHDTGEQVMNSGWANGINERTKQRGDFPTDCVYVMPTVTLPPREIVALVTMTPDQRQDVVRLLQLRTAEPEVRAKPYTLEEFSYDYFRPPPKHTLSRVMVSKARGKDRLWSHTREPLKQALLKKILGSEELSQEACMAFVAVLKYMGDYPSKRMRSVNELTDQIFEWALKAEPLKDEAYVQILKQLTDNHIRYSEERGWELLWLCTGLFPPSNILLPHVQRFLQSRKHCPLAIDCLQRLQKALRNGSRKYPPHLVEVEAIQHKTTQIFHKVYFPDDTDEAFEVESSTKAKDFCQNIASRLLLKSSEGFSLFVKIADKVISVPENDFFFDFVRHLTDWIKKARPIKDGIVPSLTYQVFFMKKLWTTTVPGKDPMADSIFHYYQELPKYLRGYHKCTREEVLQLGALIYRVKFEEDKSYFPSIPKLLRELVPQDLIRQVSPDDWKRSIVAYFNKHAGKSKEEAKLAFLKLIFKWPTFGSAFFEVKQTTEPNFPEILLIAINKYGVSLIDPRTKDILTTHPFTKISNWSSGNTYFHITIGNLVRGSKLLCETSLGYKMDDLLTSYISQMLTAMSKQRNSRSGR", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDTPTWEVVAALKRNQVLNSIPKEWRKPNIRKEMISSGYVNTYEYLNLILPPEENAITNLSMLELATNIAKGNYTSYNVTKAFCHRAALAHQILNCCIEIFFDEALKKAKELDDVFQKTSKVVGPFHGIPISLKDQVDLPGKDSSIGYVSLVGKPKTEIALLAKILQDKGAIFYVKTTVPMAMMAPQTVSNLHGYTYNALNINLSSGGSSGGEGALLGSGASCCGIGTDIGGSIRIPSCFQGLYALKPSTGRISYLNVTNSYSGQELIPSVIGPMARSLKDIEFFTETVIASEAWKIDSKLLPIPWKNQSHLKSKKLIFGVLKTDGIVKPHPPIIRALNEVVAVLEKSGYEVIEISIPFQKDMLDTVVKVFSADARFEINNESQKTGEPVVSVVKRFVSDKIFKKPITVNEWWDLGNQVYKIRQMFLELWNNTAIQTLSGNSIDAIIAPIWASTSFLPESKADHLYTSLFNICDCPCVVFPFTKVDANIDLSDVSYKPLNEEDKENNDMYDPVLFDNMPVCLQVVTKKLEEEKCLAAASSIMDCLTN", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MALAYDPLFITSDKSLSAFDVASSPPQPMNLTQDELKRIAAYKAVEFVESGMVLGLGTGSTAKHAVDRIGELLRQGKLENIVGIPTSKKTQEQALSLGIPLSDLDAHPVIDLSIDGADEVDPFLNLVKGRGGSLLREKMIEGASKKFVVIVDDSKMVKHIGGSKLALPVEIVPFCWKFTAEKLRSLLEGYGCEANLRLGEKGKAFVTDNGNYIVDMHVEEDMGDLGAVSDAILRLPGVVEHGMFLDMASTVIIAGELGVKIKNKH", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAECRASGGGSGGDSLDKSITLPPDEIFRNLENAKRFAIDIGGSLTKLAYYSTVQHKVAKVRSFDHPGKDAEQDHEPPYEISVQEEITARLHFIKFENTYMEACLDFIRDHLVNTETKVIQATGGGAYKFKDLIEEKLRLKVDKEDVMTCLIKGCNFVLKNIPHEAFMYQKDSDPEFRFQTNHPNIFPYLLVNIGSGVSIVKVETEDRFEWIGGSSIGGGTFWGLGALLTKTKKFDELLQLASRGRHANVDMLVQDIYGGAHQTLGLSGNLIASSFGKSATADREFSKEDMAKSLLHMISNDIGQLACLYAKLHGLDRVYFGGFFIRGHPVTMRTITYSINFFSKGEVQALFLRHEGYLGAIGAFLKGAEQDNPNQYSWGENYAASSGLMSTAPELCPTQRARSGTFDLLEMDRLERPLVNLPLLLDPSSYVPDTVDLTDDALARQYWLTCFEEALDGVVKRAVASQPESVDAAERAEKFRQKYWGKLQTLRHQPFAYGTLTVRSLLDTREHCLNEFNFPDPYSKVKQKENGLALKCFQSVTRSLDSLGWEERQLALVKGLLAGNVFDWGAKAVSDVLESDPQFGFEEAKRKLQERPWLVDSYTKWLQRLKGPPHKCALIFADNSGIDIILGVFPFVRELLCRGIEVILACNSGPALNDVTYSESLIVAERIAAMDPIICTALREDRLLLVQTGSSPPCLDLSRLDKGLAVLVRERGADLVVIEGMGRAVHTNYHALLRCESLKLAVVKNAWLAERLGGQLFSVIFKYEVPAE", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDKISNIYNFDDPPPDKLSLELFIIEKKIGKGQFSEVFRAQCTWVDLHVALKKIQVFEMVDQKARQDCLKEIDLLKQLNHVNVIRYYASFIDNNQLNIVLELAEAGDMSRMIKHFKKGGRLIPEKTIWKYFVQLARALAHMHSKRIMHRDIKPANVFITGNGIVKLGDLGLGRFFSSKTTAAHSLVGTPYYMSPERIQESGYNFKSDLWSTGCLLYEMAALQSPFYGDKMNLYSLCKKIENCEYPPLPADIYSTQLRDLVSRCILPEASKRPETSEVLQVAEHMNNYFSPSGDQSTTPSTQF", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALPIEGKLSMANNRIERLKSPSSSSTCSMDEVLITSSNNSSSICLETMRQLPREGVSGQINIIKETAASSSSHAALFIKQDLYEHIDPLPAYPPSYDLVNPNKEVRFPIFGDTAPCPKSSLPPLYAPAVYELTLISLKLERLSPYEISSNRSWRNFIIEINSTQLNFYHIDESLTKHIRNYSSGETKSEKEDRIHSDLVHRSDQSQHLHHRLFTLPTRSASEFKKADQERISYRVKRDRSRYLTDEALYKSFTLQNARFGIPTDYTKKSFVLRMSCESEQFLLRFSHIDDMIDWSMYLSIGISVSLDLEVREYPDYRIVPRRRRRRRRRRRRRRHTHRSESSMGSFSQRFIRSNSRPDLIQRYSTGSSTNNNTTIRERSNTFTAGLLDHYCTGLSKTPTEALISSAASGESSDNSTLGSTRSLSGCSASRSIASRSLKFKIKNFFRPKNSSRTEKLHRLRSNSSNLNSVIETEEDDEHHESSGGDHPEPGVPVNTTIKVERPMHRNRAISMPQRQSLRRAISEEVVPIKFPNSTVGESVHSPSPIEHLSVDGCEIMLQSQNAVMKEELRSVASNLVANERDEASIRPKPQSSSIYLSGLAPNGESATDLSQSSRSLCLTNRDAEINDDESATETDEDENDGETDEYAGDDTNDDTDDSNIGYAYGSESDYSCLIEERIRNRRRASSTLSCFSNIPYGTDDIKWKPAIKEISRRRYLRDSLKCIKPFLDSNDCLGKVIYIPVSGPTFETSNKIHFSNRQSLQKQKNHFLKGFIVGPTALIELNCKNKNAIVGTTKDAEDHGEDDGDGDDGEDDDDDDDDDDDDDDDEDDDDDDDDDDDDDDDDDDGQITA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKIFSESHKTVFVVDHCPYMAESCRQHVEFDMLVKNRTQGIIPLAPISKSLWTCSVESSMEYCRIMYDIFPFKKLVNFIVSDSGAHVLNSWTQEDQNLQELMAALATVGPPNPRADPECCSILHGLVAAVETLCKITEYQHEARTLLMENAERVGNRGRIICITNAKSDSHVRMLEDCVQETIHEHNKLAANSDHLMQIQKCELVLIHTYPVGEDSLVSDRPKKELSPVLTSEVHSVRAGRHLATKLNVLVQQHFDLASTTITNIPMKEEQHANTSANYDVELLHHKDAHVDFLRSGDSHSGSSSREGPFKETVTLKWCTPRTNSIELHYCTGAYRISPVDVNSRPSSCLTNFLLNGRSVLLEQPRKSGSKVISHMLSSHGGEIFLHVLSSSRSILEDPPSISEGCGGRVTDYRITDFGEFMRENRLTPFLDPRYKIDASLEIPLERAKDQLEKHTRYWPMIISQTTIFNMQAVVPLAGVIVKESLTEEDVLNCQKTIYNLVDMERKNDPLPVSTVGTRGKGPKRDEQYRIMWNELETLVRAHISNSEKHQRVLECLMACRSKPPEEEERKKRGRKREDREDKSEQAGKEHGTEKARPDADRLKGILERGKEELAEAEVIKDSPDSPEPPNKKPLVETDETPHMEKSKGPVSLLSLWSNRINTANSRKHQEFAGRLNSVNNRAELYQHLKEENGEEVGLTGGPRTAIPFLKCPRSPVVNRMETTENGKASRQ", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIKYTIDELFQLKPSLTLEVNFDAVEFRAIIEKVKQLQHLKEEEFNSHHVGHFGRRRSSHHHGRPKIKHNKPKVTTDSDGWCTFEAKKKGSGEDDEEETETTPTSTVPVATIAQETLKVKPNNKNISSNRPADTRDIVADKPILGFNAFAALESEDEDDEA", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSIEKAHRMRAIKCPTDELSLTNKAIVNVSDFTEEVKYVDISPGPGLHYIFALEKISGPELPLGHVGFSLVQRKWATLSINQEIDVRPYRFDASADIITLVSFETDFLQKKTTTQEPYDSDEMAKEFLMQFAGMPLTVGQTLVFQFKDKKFLGLAVKTLEAVDPRTVGDSLPKTRNVRFGRILGNTVVQFEKAENSVLNLQGRSKGKIVRQSIINPDWDFGKMGIGGLDKEFNAIFRRAFASRVFPPELVEQLGIKHVKGILLYGPPGTGKTLMARQIGTMLNAREPKIVNGPQILDKYVGESEANIRRLFAEAEEEEKRLGPNSGLHIIIFDEIDAICKARGSVAGNSGVHDTVVNQLLAKIDGVEQLNNILVIGMTNRRDMIDEALLRPGRLEVQMEISLPNEQGRVQILNIHTKRMRDFNKIASDVDNNEIAAKTKNFSGAELEGLVRAAQSTAMNRLIKADSKVHVDPEAMEKLRVTRADFLHALDNDIKPAFGAAQEMLENLLARGIINWGPPVTELLEDGMLSVQQAKATESSGLVSVLIEGAPNSGKSALAANLAQLSDFPFVKVCSPEDMVGFTESAKCLHIRKIFDDAYRSTLSCIVVDNVERLLDYGPIGPRYSNLTLQALLVLLKKQPPKGRKLLILCTSSRRDVLEEMEMLSAFTSVLHVSNLSTPENVLAVLDDSDLFSPEELQSIARKMAGKRLCIGIKKLLALIDMIRQSEPHQRVIKFLSKMEEEGGLEMDRVQGH", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEKKQDNEVELYSIDLKNRNESAKIKLAYAKALEESILCETRKIEQEIKDQVVQKENKTYFNNKFDNRYKLRDLEKNLAEEEVRITEMLTIQRQDIAIERRKNTNCFSESSQVDQYSVTETNLQYATNIGLNLLQQLRYLRLQLSEKEDLHKKLSVDNAHLIKQLDLLSSNMKTLMKEKTKVQGQRDLLEQRLQGLMKKLTEVESLTVSLNDEKNKLTLELTNLRICLHELQLNAEKGETIDESEDSKNTLVTEDENDDSVFLESSSDKYFDSSSFDAEQEEKHDFPIDPFLSLESNVQTSVSQSSAVLKSINLAKQELVSVNHLVADDTKTPSPNLSSEIIENTKADIKKSIRSLNKAVSPENSIDIEDETDRDDEFICFLLSYQQLQKALKSNLGLFQLSCLYPLSLNHLIQSFFQSLTSQISIKDIDSKNKQVIKLFKPTKSSDRQEIFELAQFEPRQKFPIDTNSSKCDMSASTEMHLKDTEKFANFKLKENILNHSSIYERDVSYLPALNKGGRENYLNNRVSSYRLVKPILNSYGNHVLNRIQHDILENHFCCLKNVKDFVCGANTISMQWNLQKNMEFISSVFSLIKNEVNDTSANSVILRTDALSNVATTRLKRKLTSAIIDCSIPRRRLRHYSNPEIAEFKNFRLSTDISHSNVRNSKKLCSNGSMNCMRQGKAVFPRKIPYQEHDWEQWEKIAQSSMFKNRSIASRQSLETSDVTLDINMSSRHSNGTSSMLFKDFTKHNNWEASTYPSAPKNTRTYPTAEVEKTRHDSSQSARQLKARSTATTISISLSTVSDVFTLPRNNLKSKTNTKKCRDNLNLSGLSSSTCNANSVNKLMKHVMMGNEMMKYPRSESFKMFKKSHWRYFWINPHCEMLCWSKTNPFIEKGRKNQVKSVKIKGMTIIKAPDALKNANLHNEVIVMQTTDKPIVLKAKSKKIHNIWVQAIKQINHKAIFDTKPGI", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MHISTGMVRASISNTLVTLTIEGNNDRYQVILDKNVNAKQFVKTCWRNKKPLIVKEGKIFVDKGFPFDPCRTFLEALYEKYSYSSPLPSSVEFKSGKQVEFCGFEKIQSKQRDLKSLRVIILDNYRIEDIEIEYEYSKILPEVIDLDLSRNLFHEFFPILKLCSQLPSLRNLTLDSNLFSNFISSNTVLLIPHLTQLSVNGCGLNSKDVQWITETFPSLEVLYLEANEIILSKATSFKNLQFLQTLSLANNLNLYSADGYAVDVFQGINNLNLSSTSLADVAELPVHTLHKLTFLDISENNIRDIRSLDHLRTLENLKHLRITLSYFNKPTDIAKLLVIARIPSLVKLNDVNISPNERLDAELYYTSCIRKLVIDNEIKDVEELTKIEPFWEEIWKSHELPSIQFHLEASINDWTSGILKNRITKGIKISSINSGATMLLKLHYNWKLLDIKALISYHFAIPVHTSTFVFASSERDVSFSPKTVRDDQKRLFELPFTCTFIDVYAKESGNV", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGVPKFYRWISERYPCLSEVVKEHQIPEFDNLYLDMNGIIHQCSHPNDDDVHFRISDDKIFTDIFHYLEVLFRIIKPRKVFFMAVDGVAPRAKMNQQRGRRFRSAKEAEDKIKKAIEKGETLPTEARFDSNCITPGTEFMARLHEHLKYFVNMKISTDKSWQGVTIYFSGHETPGEGEHKIMEFIRSEKAKPDHDPNTRHCLYGLDADLIMLGLTSHEAHFSLLREEVRFGGKKTQRVCAPEETTFHLLHLSLMREYIDYEFSVLKEKITFKYDIERIIDDWILMGFLVGNDFIPHLPHLHINHDALPLLYGTYVTILPELGGYINESGHLNLPRFEKYLVKLSDFDREHFSEVFVDLKWFESKVGNKYLNEAAGVAAEEARNYKEKKKLKGQENSLCWTALDKNEGEMITSKDNLEDETEDDDLFETEFRQYKRTYYMTKMGVDVVSDDFLADQAACYVQAIQWILHYYYHGVQSWSWYYPYHYAPFLSDIHNISTLKIHFELGKPFKPFEQLLAVLPAASKNLLPACYQHLMTNEDSPIIEYYPPDFKTDLNGKQQEWEAVVLIPFIDEKRLLEAMETCNHSLKKEERKRNQHSECLMCWYDRDTEFIYPSPWPEKFPAIERCCTRYKIISLDAWRVDINKNKITRIDQKALYFCGFPTLKHIRHKFFLKKSGVQVFQQSSRGENMMLEILVDAESDELTVENVASSVLGKSVFVNWPHLEEARVVAVSDGETKFYLEEPPGTQKLYSGRTAPPSKVVHLGDKEQSNWAKEVQGISEHYLRRKGIIINETSAVVYAQLLTGRKYQINQNGEVRLEKQWSKQVVPFVYQTIVKDIRAFDSRFSNIKTLDDLFPLRSMVFMLGTPYYGCTGEVQDSGDVITEGRIRVIFSIPCEPNLDALIQNQHKYSIKYNPGYVLASRLGVSGYLVSRFTGSIFIGRGSRRNPHGDHKANVGLNLKFNKKNEEVPGYTKKVGSEWMYSSAAEQLLAEYLERAPELFSYIAKNSQEDVFYEDDIWPGENENGAEKVQEIITWLKGHPVSTLSRSSCDLQILDAAIVEKIEEEVEKCKQRKNNKKVRVTVKPHLLYRPLEQQHGVIPDRDAEFCLFDRVVNVRENFSVPVGLRGTIIGIKGANREADVLFEVLFDEEFPGGLTIRCSPGRGYRLPTSALVNLSHGSRSETGNQKLTAIVKPQPAVHQHSSSSSVSSGHLGALNHSPQSLFVPTQVPTKDDDEFCNIWQSLQGSGKMQYFQPTIQEKGAVLPQEISQVNQHHKSGFNDNSVKYQQRKHDPHRKFKEECKSPKAECWSQKMSNKQPNSGIENFLASLNISKENEVQSSHHGEPPSEEHLSPQSFAMGTRMLKEILKIDGSNTVDHKNEIKQIANEIPVSSNRRDEYGLPSQPKQNKKLASYMNKPHSANEYHNVQSMDNMCWPAPSQIPPVSTPVTELSRICSLVGMPQPDFSFLRMPQTMTVCQVKLSNGLLVHGPQCHSENEAKEKAALFALQQLGSLGMNFPLPSQVFANYPSAVPPGTIPPAFPPPTGWDHYGSNYALGAANIMPSSSHLFGSMPWGPSVPVPGKPFHHTLYSGTMPMAGGIPGGVHNQFIPLQVTKKRVANKKNFENKEAQSSQATPVQTSQPDSSNIVKVSPRESSSASLKSSPIAQPASSFQVETASQGHSISHHKSTPISSSRRKSRKLAVNFGVSKPSE", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "LTLCGARGRHQQGQPRWGGRLPVDAACPPSCGCFRVIREGSLLGQRTLMALEQTLKKDWYSILGADPSANMSDLKQKYQKLILLYHPDKQSADVPAGTMEECMQKFIEIDQAWKILGNEETKKKYDLQRHEDELRNVGPVDAQVRLEEMSWNQGDESFFLSCRCGGKYTVSKDEAQEATLISCDACSLIVELLHQS", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSVPIDRINTVDTLANTLESNLKFFPEKIHFNIRGKLIVIDRVELPILPTTVLALLFPNGFHLLLKHEGGDVSSCFKCHKIDPSICKWILDTYLPLFKKSNNAYPSKIAFNPISNTTLVLFLTECCNIYLFSENKKPVDQKIRSDLLEQTFKNNDVFESADKKKIRKGLSDGPLVDALQHCGFRYNDKWAKRVKEPKLMSLMSYIITTVESSSLSEEFLSKILKLWGQPGNKCWWINEVIKVNGSLINVWRRKQWLLEVTIMGNRENSQD", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKGSRIELGDVTPHNIKQLKRLNQVIFPVSYNDKFYKDVLEVGELAKLAYFNDIAVGAVCCRVDHSQNQKRLYIMTLGCLAPYRRLGIGTKMLNHVLNICEKDGTFDNIYLHVQISNESAIDFYRKFGFEIIETKKNYYKRIEPADAHVLQKNLKVPSGQNAETQKTDN", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKDSVDCPSILPTDRTSVLSETSTLVGSSSHVYSRHAPMNSYHNSMNSNIYHSPKASSPLVSYKTSSPVLLKRATAPVLPSFKPKEQRYNKPQGCSLITAVELGKIIETLPDEKVLLLDVRPFTEHAKSIITNSIHVCLPSTLLRRKNFTFSKLLDNLTPSEQSVLKSKLAIDNLRIIIYDSTANQTESSVSLPCYGIASKLIEFDTNVKKTVSILMCGFPQFKILFPDHINTNTFNSDCISSAEPKSPKTNLMNSLHNTAPHMTATTPLSSPQMNLKLKVPDDSRSDHSNFSSSPSPRNVLSDSPMSSSSPISALFKFQLPAPQTNINQMFKFSQNEEIMGLETYLSAVNIKEEHERWYNNDSAKKSLQNFQFPKNQNSLEKDTNKDKLGFQIRYENLSKNYEKEVIDSVIPEWFQHLMSIPKIELVSQFQKLDFLEKRRLNHSVSFRKKENSFILEKPSSYPEQLTSTSSSTIMPPKFPDVNKVQKRSHSQPIFTQYSKYKSMLSLESDSDSESDDVIISSGVELGAKNRYKDIFPYEHSRVILKKGLQSSKGIKHSHSTSDGGILDNYINANYLSLPRFSVEQNSSFQTTTTTTRRVRYIATQAPMPSTVHDFYTCILNNGVPLVLSLTNDFENGIEKCYRYWQEGNYNGIHVKLLEKKILKMPSTTSMRKNTMGTQNSSLYSAGVQGNSSNYSTDNDNDNDNNNNNNNNSNIAVTAAACDDDDDDDDDAILIRKILLTYHDQEKPYELLQIQVKNWPDLGTLLNPISILQAINVKNHIIDTLFARNYYQNDQLPTILVHCSAGCGRTGTLCTIDSILSNFEMFEMLQKEFVKLKYPAKLFDPISWTINIFRKQRISMVQNINQFIFIYDCLLFYFRLRLDDITERTDGDGSNKDNISLSALIEQIEKLEILQTFVDDKLKELPQ", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTTTIRQFTSSSSIKGSSGLGGGSSRTSCRLSGSLGAGSCRLGSASGLGSALGSNSYSSCYSFGTGSGYGGNFGGVDGLLAGGEKATMQNLNDRLASYLDKVRALEEANTELEVKIRDWYQKQAPGPARDYSAYYHTIEDLKNKILVATVDNASILLQIDNARLAADDFRTKFETEQALRMSVEADINGLRRVLDELTLARADLEMQIENLKEELAYLKKNHEEEMNALRGQVGGEINVEMDAAPGVDLSRILSEMRDQYEKMAEKNRKDAEDWFFSKTEELNREVATNSELVQSGKSEISELRRTMQALEIELQSQLSMKASLEGSLAETENRYCVQLSQIQGLIGSVEEQLAQLRCEMEQQNQEYKILLDVKTRLEQEIATYRRLLEGEDAHLTQYKPKEPVTTRQVRTIVEEVQDGKVISSREQVHQTTR", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNKVEAGNELGFRVGFSGHGGHLRVEPFYTAERDDALNSLPDFVSPPAFAKETKESIKKHIEEKYLIPRLEPDQFSAEKAENQWDFDWFSRVKMPLQPSLPRSVVVPTWELPFRRQKEDTENGAWEPKSVEVDLSEQMYGDQDSGFFPRMVGPPKDFLRGSVNNRPFRPGGLEDSQSSERVLPEGVSSGQWVQELLNGGPAQTVPPSFKQSLDLGDLMPYPQTWSVYEDHSSHGNASDENSSKLSIQFDDLFKKAWEEDTFSELEGDDHTAGSESPKAEAEPDAKASISNEVSKGLETDVTVLDEILSSAKTAIMSEEAVTGSSDKQLRKEGWATKGDSQDIADRFYELVPDMAIEFPFELDNFQKEAICCLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPRHINFVLLSATVPNTFEFADWIGRTKQKEIRVTGTTKRPVPLEHCLFYSGELYKVCENEVFLSKGIKDAKDSQKKKNSNAVSVAPKQQMGSSAHQDGSKSQKHEAHSRGKQNKHSSVKDVGKSSYSGNSQNNGAFRRSAASNWLLLINKLSKMSLLPVVVFCFSKNYCDRCADALTGTDLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQVLRLQSLLHRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDALRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKTGTVVVMCRDEVPDESDLRRVIVGSATRLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQKKLPEKQQLLMIKRSLPTKHIECIKGEPAIEDYYDMYMEANEYNNKMSEAVMQSPYAQSFLVQGRVVVMKSGMGIDNLLGIVLKGPSNTNRQYVVLVIKSEIPPPEKNMVSIGKKSSDPSQGYFIAPKSKRGFEEEFYTKPSSRKGPVVIKIELPYHGVAAGVGYEVKGFDNKEFLCICDSKIKIDQVRLLEDGNKAAFSQTVQQLLDLKSDGNKFPPALDPVKDLKLKDAELVETYYKWTNLLQKMSMNKCHGCVKLEEHMKLAREIKKHKTDLKDLEFQMSDEALLQMPAFQGRIDVLKNIGCIDDDLVVQIKGRVACEMNSGEELICTVCLFENQFEELEPEEAVAIMSAFVFQQKNTSAPTLTPKLAKAKQRLYDTAIRLGELQAQYNLQIDPEEYAQENLKFGLVEVVYEWAKGTPFAEICELTDVPEGLIVRTIVRLDETCREFKNAAAIMGNSALHKKMDAASNAIKRDIVFAASLYVTGV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASSNPPPQPAIGDQLVPGVPGPSSEAEDDPGEAFEFDDSDDEEDTSAALGVPSLAPERDTDPPLIHLDSIPVTDPDPAAAPPGTGVPAWVSNGDAADAAFSGARHSSWKRKSSRRIDRFTFPALEEDVIYDDVPCESPDAHQPGAERNLLYEDAHRAGAPRQAEDLGWSSSEFESYSEDSGEEAKPEVEVEPAKHRVSFQPKLSPDLTRLKERYARTKRDILALRVGGRDMQELKHKYDCKMTQLMKAAKSGTKDGLEKTRMAVMRKVSFLHRKDVLGDSEEEDMGLLEVSVSDIKPPAPELGPMPEGLSPQQVVRRHILGSIVQSEGSYVESLKRILQDYRNPLMEMEPKALSARKCQVVFFRVKEILHCHSMFQIALSSRVAEWDSTEKIGDLFVASFSKSMVLDVYSDYVNNFTSAMSIIKKACLTKPAFLEFLKRRQVCSPDRVTLYGLMVKPIQRFPQFILLLQDMLKNTPRGHPDRLSLQLALTELETLAEKLNEQKRLADQVAEIQQLTKSVSDRSSLNKLLTSGQRQLLLCETLTETVYGDRGQLIKSKERRVFLLNDMLVCANINFKPANHRGQLEISSLVPLGPKYVVKWNTALPQVQVVEVGQDGGTYDKDNVLIQHSGAKKASASGQAQNKVYLGPPRLFQELQDLQKDLAVVEQITLLISTLHGTYQNLNMTVAQDWCLALQRLMRVKEEEIHSANKCRLRLLLPGKPDKSGRPISFMVVFITPNPLSKISWVNRLHLAKIGLREENQPGWLCPDEDKKSKAPFWCPILACCIPAFSSRALSLQLGALVHSPVNCPLLGFSAVSTSLPQGYLWVGGGQEGAGGQVEIFSLNRPSPRTVKSFPLAAPVLCMEYIPELEEEAESRDESPTVADPSATVHPTICLGLQDGSILLYSSVDTGTQCLVSCRSPGLQPVLCLRHSPFHLLAGLQDGTLAAYPRTSGGVLWDLESPPVCLTVGPGPVRTLLSLEDAVWASCGPWVTVLEATTLQPQQSFEAHQDEAVSVTHMVKAGSGVWMAFSSGTSIRLFHTETLEHLQEINIATRTTFLLPGQKHLCVTSLLICQGLLWVGTDQGVIVLLPVPRLEGIPKITGKGMVSLNGHCGPVAFLAVATSILAPDILRSDQEEAEGPRAEEDKPDGQAHEPMPDSHVGRELTRKKGILLQYRLRSTAHLPGPLLSMREPAPADGAALEHSEEDGSIYEMADDPDIWVRSRPCARDAHRKEICSVAIISGGQGYRNFGSALGSSGRQAPCGETDSTLLIWQVPLML", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPSKFSCRQLREAGQCFESFLVVRGLDMETDRERLRTIYNRDFKISFGTPAPGFSSMLYGMKIANLAYVTKTRVRFFRLDRWADVRFPEKRRMKLGSDISKHHKSLLAKIFYDRAEYLHGKHGVDVEVQGPHEARDGQLLIRLDLNRKEVLTLRLRNGGTQSVTLTHLFPLCRTPQFAFYNEDQELPCPLGPGECYELHVHCKTSFVGYFPATVLWELLGPGESGSEGAGTFYIARFLAAVAHSPLAAQLKPMTPFKRTRITGNPVVTNRIEEGERPDRAKGYDLELSMALGTYYPPPRLRQLLPMLLQGTSIFTAPKEIAEIKAQLETALKWRNYEVKLRLLLHLEELQMEHDIRHYDLESVPMTWDPVDQNPRLLTLEVPGVTESRPSVLRGDHLFALLSSETHQEDPITYKGFVHKVELDRVKLSFSMSLLSRFVDGLTFKVNFTFNRQPLRVQHRALELTGRWLLWPMLFPVAPRDVPLLPSDVKLKLYDRSLESNPEQLQAMRHIVTGTTRPAPYIIFGPPGTGKTVTLVEAIKQVVKHLPKAHILACAPSNSGADLLCQRLRVHLPSSIYRLLAPSRDIRMVPEDIKPCCNWDAKKGEYVFPAKKKLQEYRVLITTLITAGRLVSAQFPIDHFTHIFIDEAGHCMEPESLVAIAGLMEVKETGDPGGQLVLAGDPRQLGPVLRSPLTQKHGLGYSLLERLLTYNSLYKKGPDGYDPQFITKLLRNYRSHPTILDIPNQLYYEGELQACADVVDRERFCRWAGLPRQGFPIIFHGVMGKDEREGNSPSFFNPEEAATVTSYLKLLLAPSSKKGKARLSPRSVGVISPYRKQVEKIRYCITKLDRELRGLDDIKDLKVGSVEEFQGQERSVILISTVRSSQSFVQLDLDFNLGFLKNPKRFNVAVTRAKALLIIVGNPLLLGHDPDWKVFLEFCKENGGYTGCPFPAKLDLQQGQNLLQGLSKLSPSTSGPHSHDYLPQEREGEGGLSLQVEPEWRNEL", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSTNGDDHQVKDSLEQLRCHFTWELSIDDDEMPDLENRVLDQIEFLDTKYSVGIHNLLAYVKHLKGQNEEALKSLKEAENLMQEEHDNQANVRSLVTWGNFAWMYYHMGRLAEAQTYLDKVENICKKLSNPFRYRMECPEIDCEEGWALLKCGGKNYERAKACFEKVLEVDPENPESSAGYAISAYRLDGFKLATKNHKPFSLLPLRQAVRLNPDNGYIKVLLALKLQDEGQEAEGEKYIEEALANMSSQTYVFRYAAKFYRRKGSVDKALELLKKALQETPTSVLLHHQIGLCYKAQMIQIKEATKGQPRGQNREKLDKMIRSAIFHFESAVEKKPTFEVAHLDLARMYIEAGNHRKAEENFQKLLCMKPVVEETMQDIHFHYGRFQEFQKKSDVNAIIHYLKAIKIEQASLTRDKSINSLKKLVLRKLRRKALDLESLSLLGFVYKLEGNMNEALEYYERALRLAADFENSVRQGP", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSKGKVLLVLYEGGKHAEEQEKLLGCIENELGIRNFIEEQGYELVTTIDKDPEPTSTVDRELKDAEIVITTPFFPAYISRNRIAEAPNLKLCVTAGVGSDHVDLEAANERKITVTEVTGSNVVSVAEHVMATILVLIRNYNGGHQQAINGEWDIAGVAKNEYDLEDKIISTVGAGRIGYRVLERLVAFNPKKLLYYDYQELPAEAINRLNEASKLFNGRGDIVQRVEKLEDMVAQSDVVTINCPLHKDSRGLFNKKLISHMKDGAYLVNTARGAICVAEDVAEAVKSGKLAGYGGDVWDKQPAPKDHPWRTMDNKDHVGNAMTVHISGTSLDAQKRYAQGVKNILNSYFSKKFDYRPQDIIVQNGSYATRAYGQKK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGANNGKQYGSEGKGSSSVSSDVSSSTDHTPTKAQRNVATSEDSDLSMRTLSTPSPALICPPTLPGFQNGRGSSTSSSSITGETVAMVHSPPPTRLTHPLIRLASRPQKEQASIDRLPDHSMVQIFSFLPTNQLCRCARVCRRWYNLAWDPRLWRTIRLTGETINVDRALKVLTRRLCQDTPNVCLMLETVIVSGCRRLTDRGLYTIAQCCPELRRLEVSGCYNISNEAVFDVVSLCPNLEHLDVSGCSKVTCISLTREASIKLSPLHGKQISIRYLDMTDCFVLEDEGLHTIAAHCTQLTHLYLRRCVRLTDEGLRYLVIYCTSIKELSVSDCRFVSDFGLREIAKLESRLRYLSIAHCGRITDVGIRYVAKYCSKLRYLNARGCEGITDHGVEYLAKNCTKLKSLDIGKCPLVSDTGLESLALNCFNLKRLSLKSCESITGQGLQIVAANCFDLQMLNVQDCEVSVEALRFVKRHCKRCVIEHTNPAFF", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGRLDGKVIILTAAAQGIGQAAALAFAREGAKVIATDINESKLQELEKYPGIQTRVLDVTKKKQIDQFANEVERLDVLFNVAGFVHHGTVLDCEEKDWDFSMNLNVRSMYLMIKAFLPKMLAQKSGNIINMSSVASSVKGVVNRCVYSTTKAAVIGLTKSVAADFIQQGIRCNCVCPGTVDTPSLQERIQARGNPEEARNDFLKRQKTGRFATAEEIAMLCVYLASDESAYVTGNPVIIDGGWSL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDQMEVPDNDKNRKYPTKDLKIILPKTYADVNSKKGPEYWDYENITLKWNVPDSYEIVRKIGRGKFSEVFEGLNTVTKDKCVIKILKPVKKKKIKREIKILQNLRGGPNIIKLLDIVKDPQSRTPSLIFEHVNNTDFKTLYPTLTIQDIKYYIYQLLKAMNYCHSQGIMHRDIKPHNVMIDHEKKILRLIDWGLAEFYHPEQEYSVRVATRYYKGPELLVDMRYYDYSLDIWSIGCMLAGIIFKKEPFFYGHDNYDQLVKIAKVLGTEDLHRYFEKYGLKFAPAYQEILGNHSKKPWTKFVHHENQHLVSPEVMDLLDRMLVYDHTKRITPLEAMEHPFFNEIKNNSV", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLSNRAFGETIEDYEVQHLLGKGGFATVYKARCLHTHQDVAIKMIDKKLIQGTGLTNRVRQEVEIHSRLKHPSVLQLYTFFQDANYVYLVLELAHNGELHRYMNHIARPFTETEAASILKQVVAGLLYLHSHNIMHRDISLSNLLLSREMHVKIADFGLATQLKRPDERHMTMCGTPNYISPEVVSRTSHGLPADVWSVGCMLYTLLVGRPPFETDAVQSTLNKVVMSEYIMPAHLSYEAQDLINKLLKKLPHERITLEAVLCHPFMLKCSNGGHSAPGALNVFSQSMESGDSGIITFASSDSRNSQQIRSVENSGPQQVLPQIREEFKQVHHKLPYEQTGLFGQASTGLAEPNWPGAAKSSAFCMEAGNVPNSKQASLKEDRISVPPLNTKRLLPTRYKTKNAIMSILRNGEVVLEFLKFRPTYNEDRINDICRISDDGQRIIIYQPDPGRGLPVREQPPDLQIPSGDCVYNYDNLPSKHWKKYIYGARFVGLVKSKTPKVTYFSTLGKCQLMETMTDFEIRFYSGAKLLKTPSEGLKVYDRNGMLLSDYSCSESRSLIEHGNECFTHCVNISNALEVAQTKDNSCFPVTIGRRPITDVQPAQRLDGLRDTTNIAFSTPKSNQGSINFSLSTISSTRNTSDFGTNCSRSNMLAAHQNIPIKRINVPEIGIATELSHGVVQVQFYDGSVVSVIPSMQGGGITYTQPNGTSTHFGKGDDLPFPVRDRVGQIPNIQLKLKTAPLLGSGRKTDYNNAMTPKTTTPYYNRMLL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MANVPWAEVCEKFQAALALSRVELHKNPEKEPYKSKYSARALLEEVKALLGPAPEDEDERPEAEDGPGAGDHALGLPAEVVEPEGPVAQRAVRLAVIEFHLGVNHIDTEELSAGEEHLVKCLRLLRRYRLSHDCISLCIQAQNNLGILWSEREEIETAQAYLESSEALYNQYMKEVGSPPLDPTERFLPEEEKLTEQERSKRFEKVYTHNLYYLAQVYQHLEMFEKAAHYCHSTLKRQLEHNAYHPIEWAINAATLSQFYINKLCFMEARHCLSAANVIFGQTGKISATEDTPEAEGEVPELYHQRKGEIARCWIKYCLTLMQNAQLSMQDNIGELDLDKQSELRALRKKELDEEESIRKKAVQFGTGELCDAISAVEEKVSYLRPLDFEEARELFLLGQHYVFEAKEFFQIDGYVTDHIEVVQDHSALFKVLAFFETDMERRCKMHKRRIAMLEPLTVDLNPQYYLLVNRQIQFEIAHAYYDMMDLKVAIADRLRDPDSHIVKKINNLNKSALKYYQLFLDSLRDPNKVFPEHIGEDVLRPAMLAKFRVARLYGKIITADPKKELENLATSLEHYKFIVDYCEKHPEAAQEIEVELELSKEMVSLLPTKMERFRTKMALT", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVEPDMQKKASGGSGGSEMDTLNATSNSSKQGVSNNKRNPVSKKKPGNKVSDGRDNAHNYHGEGRRKSSKQQRSRTPYKETSTRINDQDIDLSIQEEILGGNFKLRGRKTQVSINHLLNFQLPEVEREKSRSSSSKKSNRRRDEHVHLHGDTFVNVNYRLLVDDRFDYPEQNCNPNVPVDQEKILRVIVPKGQNCSICLSEEPVAPRMVTCGHIFCLSCLLNFFSIEETVKNKETGYSKKKKYKECPLCGSIIGPKRVKPVLYEDDFDVTRLNQKPEPGATVHLQLMCKPHGSLLPLPVALHLDPLKCGNFPPANLGSIKHYAHIMKCGVSYSLELYQKDIVAIQEQYEIDKAIYNDSGKFVKQSIENINDQISTLLAATTDLSPLSNDINNGLDNFHFDDDLLTKYDDSSAYFFYQTLVASSTKYFLSPLDVKILLTIFHYYSKFPESIETTVENIHYDTVVTEQLIRRYKYIGHLPIGTEIALLDLDWRKIPFLPKEIYEQFAHELKQRRRKFTMKKQKEDKEKKLYEKRLEQEHAEFYRKENGNSLKFEDSVQMATHYESIVSSSIPLNSLGISMLGPPTNSCSTPQKQAPSHTKRTIWGTSIAVTEDEKASKENKEFQDMLLQRIRQEDSSDVTDSTDSPPTSNGKRGRKKKGKVMLFSSNHQALG", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEENSSELDSNNFNVLVDNLAGLSLTDEEVRRILSPRKEGSRQLPSSTSKDEDSEEASHKYDFEIDRDSLKSDSGSPRLHQNATAPTSSTPLQSPDESVNKLNSEDEEGNSSVAPFFLDTTNFDRLNDNITTDDEQLSPVLTANQGFQSQEQYEEDSYNNYDYTSDPSSPNYISSSLDQLPHLDDEDDLQLTPIKEERNYLHSQDAPTTNALSKKISDILIPASAMKDLKDRKNALAKEFEESQPGSSLTLKEQANVIDNLRKEVFGLKLKCYFLYDQLNKFHDQEVQDIMKQNIDLKTLTMELQRAVAGYEKKISGLESRIKPDQSFNLSTPSPAPSNLITLQSRYSQALSELETTKRAFAALRKEKSKKTNYSVGAYNEDRNVLSNMLDNERREKEALLQELESLRVQLSKKVPMPAKNTDERVIETLQRSNELLRMDISMQNEALLLRKQENDRLVKQVEELTVALNSGKMNAIVEAESSKNELWDSMMVSRMKTQEQSIELTRLYKQLQDIEEDYENKLMRMEQQWREDVDQLQEYVEEITQELQDTKEVLSKSSKESDDYEEVVGKLRTEAEREIEKFEKTIRENEESISLFKEEVEKLTDEITQLSERYNDKCHEFDELQKRLQTLEEENNKAKEDSTSKTSNLLEQLKMTEAEVDSLRKENEENKQVIALKESELVKSNDNKLLLNEQIESLNDQLSQLKTEMESVTTSKESLADYLSNLKERHNDELDSLNKKLREFEGILSSNSSLKSEIEERNNQYVTLRENFDSLQNAIMETFDKQVTHCSVNHLVQQIRKLKDENKKDQSGTDKLMKKIYHCEQSLKEKTNSLETLVSEKKELKNLLDAERRSKKAIQLELENLSSQAFRRNLSGSSSPSERSQSRELKLLQASEKRLKEQVEERNSLIKNIVTRFTQLNTGSKPVNTNVEALTTISSMNQAVNMNFRELDKSIQEFKRKCQSMEREFKTELRKLDGVLEARSKRLSQLEERVKLLGAGSTSSIPNSPRASKRVSLDSEDKKLVPASPDKSAVQRGITALKRDAEGMSHIWQLRLREMEFQLKAEQEGRKRDKLGARERLQDLIRQNRSLSRQIKTDKESNSRSPSISSQEHK", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAGGSGGRASCPPGVGVGPGTGGSPGPSANAAATPAPGNAAAAAAAAAAAAAAPGPTPPAPPGPGTDAQAAGAERAEEAAGPGAAALQREAAYNWQASKPTVQERFAFLFNNEVLCDVHFLVGKGLSSQRIPAHRFVLAVGSAVFDAMFNGGMATTSTEIELPDVEPAAFLALLKFLYSDEVQIGPETVMTTLYTAKKYAVPALEAHCVEFLKKNLRADNAFMLLTQARLFDEPQLASLCLENIDKNTADAITAEGFTDIDLDTLVAVLERDTLGIREVRLFNAVVRWSEAECQRQQLQVTPENRRKVLGKALGLIRFPLMTIEEFAAGPAQSGILVDREVVSLFLHFTVNPKPRVEFIDRPRCCLRGKECSINRFQQVESRWGYSGTSDRIRFSVNKRIFVVGFGLYGSIHGPTDYQVNIQIIHTDSNTVLGQNDTGFSCDGSASTFRVMFKEPVEVLPNVNYTACATLKGPDSHYGTKGLRKVTHESPTTGAKTCFTFCYAAGNNNGTSVEDGQIPEVIFYT", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEANGSPGTSGSANDSQHDPGKMFIGGLSWQTSPDSLRDYFSKFGEIRECMVMRDPTTKRSRGFGFVTFADPASVDKVLGQPHHELDSKTIDPKVAFPRRAQPKMVTRTKKIFVGGLSANTVVEDVKQYFEQFGKVEDAMLMFDKTTNRHRGFGFVTFENEDVVEKVCEIHFHEINNKMVECKKAQPKEVMFPPGTRGRARGLPYTMDAFMLGMGMLGYPNFVATYGRGYPGFAPSYGYQFPGFPAAAYGPVAAAAVAAARGSVLNSYSAQPNFGAPASPAGSNPARPGGFPGANSPGPVADLYGPASQDSGVGNYISAASPQPGSGFGHGIAGPLIATAFTNGYH", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "METIDIQNRSFVVRWVKCGRGDVINYQIKPLKKSIEVGIYKKLKSSVDDHASAVHIAPDTKTLLDYTTKSLLHKGSSSNIEEHHRRSSQHSHSSSNGSDNKRKERSYSSLSISGIQQQSQEIPLREKLSASGFTLVKRVGNVSGNTMVQGDLEVKDTDYYYAFILDNSSSKNAKKKILFNASVINGDNQSMISTRSTPPARPTALSRTSTQQDMLFRVGQGRYLQGYLLKKRRKRLQGFKKRFFTLDFRYGTLSYYLNDHNQTCRGEIVISLSSVSANKKDKIIIIDSGMEVWVLKATTKENWQSWVDALQTCFDDQFEDKDTSTLEENPDILDDDKEVINKSSPQDHDHLTPTATTKSALSHRQHTQKDMDDIYVPLPSESYATFSMNLRLIQQRLEQCKKDSLSYKPTTLHQRSEGLNGTHSSSSVFTNNRVSSFNHSSSGMTSSDSLASEEVPSNKTYIEHALYNQLADLEVFVSRFVTQGEVLFKDHQILCKKAKDTRVSLTSYLSENDEFFDAEEEISRGVIILPDTEDDINNIVEETPLLGKSDQNEFTKEVQLSGSEQIASSSVESYTTNDENHSRKHLKNRHKNRRRGHPHHQKTKSAQSSTETFTSKDLFALSYPKSVTRRNDIPEAAASPPSLLSFLRKNVGKDLSSIAMPVTSNEPISILQLISETFEYAPLLTKATQRPDPITFVSAFAISFLSIYRDKTRTLRKPFNPLLAETFELIREDMGFRLISEKVSHRPPVFAFFAEHLDWECSYTVTPSQKFWGKSIELNNEGILRLKFKTTGELFEWTQPTTILKNLIAGERYMEPVNEFEVHSSKGDKSHILFDKAGMFSGRSEGFKVSIIPPPSSNRKKETLAGKWTQSLANETTHETIWEVGDLVSNPKKKYGFTKFTANLNEITEIEKGNLPPTDSRLRPDIRAYEEGNVDKAEEWKLKLEQLQRERRNKGQDVEPKYFEKVSKNEWKYITGPKSYWERRKKHDWSDISQLW", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MIDTLRPVPFASEMAISKTVAWLNEQLELGNEQLLLMDCRPQELYESSHIESAINVAIPGIMLRRLQKGNLPVRALFTRCEDRDRFTRRCGTDTVVLYDENSSDWNENTGGESVLGLLLKKLKDEGCRAFYLEGGFSKFQAEFALHCETNLDGSCSSSSPPLPVLGLGGLRISSDSSSDIESDLDRDPNSATDSDGSPLSNSQPSFPVEILPFLYLGCAKDSTNLDVLEEFGIKYILNVTPNLPNLFENAGEFKYKQIPISDHWSQNLSQFFPEAISFIDEARGKNCGVLVHCLAGISRSVTVTVAYLMQKLNLSMNDAYDIVKMKKSNISPNFNFMGQLLDFERTLGLSSPCDNRVPAQQLYFTAPSNQNVYQVDSLQST", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVGGALICKYLPREEQLKLISDLIQNDSLEEVLELIETSPLDITTDSNIETPIFEKITEQVIAYASIDGEAREMFRSSRAEMNKALRTSAQLLCCLPSVWHKFQVWMSYRLNDIISENYKHLFNDNFGKKIVQPFFDSFAEEQNANIKHENLHLDILSLLHYLEVVYLFDECKNGISSKCLDFIIVPLLGCNSEEIADSCSKLMRWHIKYLSKCCNTDSNFDKLIWTFIKQLYAEGSQQAWKQKNSLSFLLRFLLAAELSPELITYIKTDAYWRHIQTELDNDVHEHRKLALSILKLTIQKLSSHGITLQTTFYKCNDLANIEMLGSWKKFTTLYEMIALDTSLNQIQAAKQDIIKIFDNEHLHHSWGLILLSTGLKSSMESVRKYMMTLMFSITNMSAFSSNLPLLTKTLLPAAMSAHYFDVKGVSCPHGEKLSLFVNNLLSQTTEGISDILFEILKLLVEKGTSFDPSRIYLSYGILVFFQNNKQKTINSDHLSLIRKLYDFAAEEEVLETTIQTIYLKFLLYIDPSVSASELLFTLVSHIKLKGGTYKYVEPLFEDYRDLAVSHFDDLQAKENLTTNIGKDTIFDLLASIIFDFKDIDITPDFLIEVAKSKQDIPVYTSKAVTFLTQLLSGEPSNGYTYENATALLSYPNFTISTWKSINVNNLFKSVMEKFSLDKFKFFAEIYQKTYECRFDTIELNFNDLLSLYEMVKKSANQCSRESFKVKDSAYSSYFELLNTFLKTYALNRDSSEGNDDELHILLRLVDENINKDNGNYLGNLAVCKLLYFIIDSYIHCSTSVSDDDIFIVKFIFEKFSFIWECINSERLVLKERDLHLMLIKGLFHPVILYFGSNQYIDTLTSKLEEHAQTIISLSYSRRSLLPLLGSQLRVFMKFYGKLLREDVNYWWLINIIVGVFKQPQMDVNLYKLKPVISSLFDHKLNNYYIKGDELYEKVYGPDEILARVSIIDSILYANDQLKIRLIEKVTEKTNALYAIKRTDGAEALQRLLQWQLLLLSLLTTNEKKLSETSMIRILKSIEDESSPLVRVYKEWFISSKVVDYYKTGNPKFAEDYLFSLLEDHSKPVFVVSAEKICFMVLKDLRNDEKKYGFTQLLDRFICTLVPNAASNKPLVRHFSNSLIISLWPTFKAYLSDHTLRNIIENLYSNAKKTQIFGQYRAGDANIWDLKGDRKLTNMFGGVLKKVTDHDCPYISESVFEKYLQEKDIVPIGTDERSLWLDKRDTNTESVNNANISCDTSPLQTKSGAWETVLDLDNKKSNDVVTRSELIVVSSLVDKPPNLGGICRLCDVLGVGLLTVQDIKVKNHPQFKNVAVTADRWMPMEEVALDEIASFMKEKKKEGYTLIGLEQTDKSVKLDNNFQFPKKSLILLGTEAFGIPGTLLSELDLCLEIQQFGVIRSMNIQTATAVIVHSYTVQHM", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAISKNLPILKNHFRKHWQERVKVHFDQAGKKVSRRNARAARAAKIAPRPLDLLRPVVRAPTVKYNRKVRAGRGFTLAEVKAAGLTAAYARTIGIAVDHRRQNRNQEIFDANVQRLKEYQSKIIVFPRDGKAPEAEQVLSAAATFPIAQPATDVEARAVQDNGESAFRTLRLARSEKKFRGIREKRAREKAEAEAEKKK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLDTIARALQDLGRQVLPTLPSLSQEEVSIIWGNVSEFVRRQLTLHKGVQIPAFGTFTFIRQKLEVGNNKFILIQRPVFIMVEKLVQIHGLKQNKVYTPGEIPIVPLNFVMISLEGPFNRDVVEGCVKETLLFLSRSISMKQNVEFTFKGIGVLMIRDSKVKMRFYKDFLCTMDGSGALAKALANRPGTVDSVLSSREALRKWPSSVLAFPRIELKEMENKLPMETLVEECGENRERKCKLKDQSDKEEGTRDISSPKRLRDRQALFPAKVTNVSLLEKFERSESGGKIMTPESLSYPSCLKHDSEMKPQTSPACQDHNKAGQEMCYVCLQRAQRNSLLYYSEERRREIEDERLIQQYQMLKDQEALFRHQMKSLATREQNQKNAAYNLGVAEAIRNHKNEKPEFYKSFLFDKRPLSPALNALKQEEYSRSLLKQMDNRQENEIKQRQYRELMDRLEQVQLTEELAAQRAKFLKDKMEETQCYKRALDAQIKNKPSRLPPFEPDSSEPIFGKNEGELMVEKQKREQNYMKHQLEAAANHKRKAILHQLVDQRRDLQMLQRTQREHLADRTAELERVNRVNQCLQEDWERSAAMKKQRDLEDKAFERASDKLFLLDQCEKYRRCKQCQRRTSNVGESNLWPLNKFLPGSRLLV", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MASLLQSDRVLYLVQGEKKVRAPLSQLYFCRYCSELRSLECVSHEVDSHYCPSCLENMPSAEAKLKKNRCANCFDCPGCMHTLSTRATSISTQLPDDPAKTTMKKAYYLACGFCRWTSRDVGMADKSVASGGWQEPENPHTQRMNKLIEYYQQLAQKEKVERDRKKLARRRNYMPLAFSDKYGLGTRLQRPRAGASISTLAGLSLKEGEDQKEIKIEPAQAVDEVEPLPEDYYTRPVNLTEVTTLQQRLLQPDFQPVCASQLYPRHKHLLIKRSLRCRKCEHNLSKPEFNPTSIKFKIQLVAVNYIPEVRIMSIPNLRYMKESQVLLTLTNPVENLTHVTLFECEEGDPDDINSTAKVVVPPKELVLAGKDAAAEYDELAEPQDFQDDPDIIAFRKANKVGIFIKVTPQREEGEVTVCFKMKHDFKNLAAPIRPIEESDQGTEVIWLTQHVELSLGPLLP", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSFDLESNSSGGSTIGRNSSIRLSSGLAPSESTVSLNEIIDLDREFELLLDKLAIEDPIKRKQMQSLPDISKRTLLEQNKADIYRTVKHKGPIESFADVKSVISSINTKHVPIDIIKTLRIHLNTADRDWIQSFLDNDGVQPILNILKRLERNKNRKRKEHSILQWECTRCIAALMKIKIGMEYIASFPQTTNLMVLCLDTPLIKAKTLVLELLAAIAVTDRGHGAVLTSMIYHKEVKKEITRYFNLVQSLKIEKNAEYLTTCMSFINCIISSPSDLPSRIEIRKAFLNLKILKYIENLRADYNEDKNLLTQLDVFEEELSTDEQLNSQQGTQIGIEDLFSQISSRVTGTPSQQELITLMTHFQRMSSSNLGLGVWTLYNALANQLEDELKIHPDLDVTLVSLLFPEVKKSSSGLFGFGSKSKSPSSSPALSSMAKTELKKDNEEKQKTIEHLLKQLNKFSGGQNTERWMIEREEKNKLIAQLMAQTKNGGGGGGGGVGGDSSLSNDEALKRENQLLRMEIENIKNNPSVLLNSGNSINGDVPNLFISSPGSTLSPSPSGEPPIPSTDFGITSSSIHTSTDKLTNSTEPILGSPPPPPPPPMSGGGGPPPPPPPPGGKSNKPAKPIIKPSVKMRNFNWITIPALKVQGTFWDKLDETSFIQSLDKVELESLFSAKAPTVKVESKQLTRKVVVTVIDMKKANNCAIMLQHFKIPNEQLKKMQIMLDEKHFSQENAIYLLQFAPTKEDIEAIKEYQGDQMQLGAAEQYMLTVMDIPKLDSRLKAFIFKQKFEGLVEDLVPDIKAIKAASLELKKSKRLSDILKFILAIGNYVNGSTTRGGAFGFKVLETLPKMRDARSNDNKLSLLHFLAKTLQDRIPEIWNIGAELPHIEHASEVSLNNIISDSSEIKRSIDLIERDFVPMINDPLFAHDKHWIHKITEFQKIAKVQYQRIEKEIDEMNKAFEEITSYFGEPKSTQPDVFFSTINNFLEDLEKAYGEYQAMIRKAELENSKMEDPEKGGLQDLSSQIRSGQLFKDRRVGDSVIAQMQNVDSLRKNLKSTSTTTPNTPPTIKIELPSQSILKPSGQLKK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLKAVILIGGPQKGTRFRPLSFEVPKPLFPVAGVPMIQHHIEACAQVPGMQEILLIGFYQPDEPLTQFLEAAQQEFNLPVRYLQEFAPLGTGGGLYHFRDQILAGSPEAFFVLNADVCSDFPLSAMLEAHRRQRHPFLLLGTTANRTQSLNYGCIVENPQTHEVLHYVEKPSTFISDIINCGIYLFSPEALKPLRDVFQRNQQDGQLEDSPGLWPGAGTIRLEQDVFSALAGQGQIYVHLTDGIWSQIKSAGSALYASRLYLSRYQDTHPERLAKHTPGGPWIRGNVYIHPTAKVAPSAVLGPNVSIGKGVTVGEGVRLRESIVLHGATLQEHTCVLHSIVGWGSTVGRWARVEGTPSDPNPNDPRARMDSESLFKDGKLLPAITILGCRVRIPAEVLILNSIVLPHKELSRSFTNQIIL", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTTTVPKIFAFHEFSDVAEAVADHVVHAQDGALAPKNERKHSVPNISMNALDMTREASCKSTASAAEGKSGSSGSGSGSSKPKKEKRFKIALSGGSLIEVLHEGLLKRDDVRWGDWDIYFADERLVPFSSNESNYGCAKRKILDLIDTAKYGTPKVYHIDESLIDDPQECADNYEKVLIRGFAGRDSVKLPMFDLFLLGCAPDGHIASLFPNFQDNLREKLAWVVPVENAPSGPSTRISLTIPVICHSHRVTFVVEGATKAPIIKTIMERPEKGLPSSIVNEGAAGRVSWFVDDDALTDVLVTKKKYKFHQGLSI", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAGTGVVAVYGEGAMTETKQKSPFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPEMIKEIKNAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADEDNHINKHNFKIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVNGAIRLLRSMDDDEVFTYAKKIAAPYDLVVQTKELGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPVKRAKAIVQAVTNYRDAAVLAEVSCGLGEAMVGLNLDDKVERFASRSE", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAIVHTLPVPLEPARETATAPKTPAMGSVSSLISGRPCPGGPAPQRHHGVPGPTFFRQQDGLLPGGYEAQEPLCPAVPPRKAVPGNSFTYVNEDFRTESPPSPSSDVEDPREHQAHNAHLRGPPPKLIPVSGKLEKNMEKILIRPTAFKPVLPKPRGAPSLPGFLGPRAAGLSGSQGSLTQLFGGPASSSSSSSSSSAADKPLALSGWASGCPSGTLSDSGRNSLSSLPTYSTGGAEPTTNSPGGHLPSHGPGRGALPGPARGVPTGPSHSDSGRSSSSKSTGSLGGRVAGGLLGSGARASPGSSSGGDRSPPPPPPPPPSDEALLHCVLEGKLRDREAELQQLRDSMDESEATVCQAFGARQRRWPRERGEDCAAQAQQATQRVQRAQQLLQLQVFQLQQEKRQLQDDFAQLLQEREQLERRCATFEREQRELGPRLEETKWEVCQKSGEISLLKQQLKESQAELVQKGSELVALRVALREARATLRVSEGRARGLQEAARAREQELEACSQELQRYRQEAERLREKAGHLDAEASGLRDPPVPPATTDPFLLAESDEAKVQRAAAGAGGSLRAQVERLRQELQREQRRGDEQRDSFEGERLAWQAEKEQVIRYQKQLQHNYIQMYRRNRQLEQELQQLSLELEARELADLGLAESAPCICLEEITATEI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKYATGTDNAMTSGISGQTNNSNSASNEMQPTTSTPTAAHKEATSTATTTATYANGNPNSNANPSQSQPSNALFCEQVTTVTNLFEKWNDCERTVVMYALLKRLRYPSLKFLQYSIDSNLTQNLGTSQTNLSSVVIDINANNPVYLQNLLNAYKTFQPCDLLDAMSSSSSDKDSMPCYGSDFQITTSAQCDERKLYARKEDILHEVLNMLPLLKPGNEEAKLIYLTLIPVAVKDTMQQIVPTELVQQIFSYLLIHPAITSEDRRSLNIWLRHLEDHIQAAAAGLTNRSYFLQPSPQLVAGGSSTGSGSCSSSATSSSTASCSSVASSSLCPASGSRSSRTNDWQTIAPPSKQLQNKLAGDWRGNGGGSSSGSINPLCDNLNGITLNELASSQNSLGLSLEGSSSLVNGVVAGAGSMLGIAGGDDHDTSFSKNGTEILDFDPVTADMGEACSLASSSLCGRNGGNPVEDRSQPPPNLQQQLLQPPPYASILMGNVGDQFGEINRWSLDSKIAALKTRRSNSLTTQTISSCSSSSNSSVITVNDNCSNSTENLAQFANKPRSFSLSIEHQRGALMNSGSDTRLDEFKPNYIKFHTRNVGMSGIGLWLKSLRLHKYIELFKNMTYEEMLLITEDFLQSVGVTKGASHKLALCIDKLKERANILNRVEQELLSGQMELSTAVEELTNIVLTPMKPLESPGPPEENIGLRFLKVIDIVTNTLQQDPYAVQDDETLGVLMWILDRSIHNEAFMNHASQLKDLKFKLSKMKISMVPKMHHVKPAGVGPNNGNINKPRWNGKTRKCDTKNGSNDRINNRKNSNDMLNFSLNCLPHPLPHHSQQAPPPLPQFDYNGYGGGPSHQPQYKSSSYPSFMGNPQQQPPPPPSSKSHHHPQQMQQMLQQHNHFPALPQQTPPQSHRRSLNNLILVAGGPQQPQQLIFKPGQGVLTNNGSNDNLVLERNQQSQQQQQQRKLSGGVSSAEQQPKKTMAAVVMENLAKFDQHFTLF", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSDNLLSLENPVVPSHYELRLEIDPKQSSPNFKGSAIIHLKFNPNSTTLASIEDSFTQFKLHSKDLIVLSAHATIGSTKFDLKISQDTGKHLSIFNSESPIQLSNDCPLILSVQYVGKIRDIKTHHDKTFGIFKTNFMDRKTGTANNHVVATHCQPFSASNIFPCIDEPSNKSTFQLNIATDAQYKAVSNTPVEMVEALDSSQKHLVKFAKTPLMTTSVFGFSIGDLEFLKTEIKLEGDRTIPVSIYAPWDIANAAFTLDTVQKYLPLLESYFKCPYPLPKLDFVLLPYLSDMAMENFGMITIQLNHLLIPPNALANETVREQAQQLIVHELVHQWMGNYISFDSWESLWFNESFATWLACHILEQNGDLSHYWTSEPYLLQQVEPTMCRDAADVNGRSIFQIAQRNTGIDSQTSDIFDPEAYTKGIIMLRSLQLATGESHLQKGLESVFEDTKTFHARSVKPMDIWNHIGKFLKSQNITNFVSSWTRTPGLPVVKVEVEEKDGKTQTKLTQHRFINQLSTEEKDQLEDVPYQVPLFGVLPDGKMDTKNVLLTDRTLKFDYPILVINHLAQGYYRVSYESEECYALINDKITEETLSEIDLRKIFLDLSQFIGDEGFQNSIHLHGLFKILNHIASPSTKIASKYWDPLSKGLEVLQTIDRASLTSSKLQSFLKKKIVIPLFNKIDWPHGEFDKSTNPHELKVMSQVLFLNKNSAKCAELCQIYFKHLLQGPRSSVPLELVNSILVVVSQHCANIKQWKKIFDLVKRSSCTGITNHVINMYDQNSSETAMLIQNGAIESLGFCLDSDIVKKTLNFITSNIESEGMELALFGFNYNFKKRLNKNEKPQDQVVRETIWEWYMGNFDQWARKATRKGTTTGDHLHKALRSISLIIFQMFVADEPQKIEKFINLEKEKLGQSLLSLDDIWASVQQDEESRKTIRRDLASLV", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDTSNHNQDHDSHVAAQRENDNNYMPPSPSMSESSMIFERNVEDPSYLYKTVSNNAANSLSRQSSRTSLFNHNNSSNRNFHNLSQRSSAVNLHLQPSRTNESIASYQTYNPDFVVQTPLDHRRTLENFVPPALDAGCSIVTDDTTGLDDVDMVYSRRPSTIGLDRALGRTRSLSSQSFDNETSPAHPRSPNDHGSRLLRFYSYADMLSDDNNNNVSNATSTSSTANPLRRPPMQGHYSFSSSLLNSPSHLPSPPSASASPPQHMNFTNPFIISRRYSNTTINNANGGTSAGSTTGAALSRSPSNQQYLLKQQRSPSGSARSRRNSNRPGSAANIMIGKPKSKFHMESSGSEGFSSEEEDNTMIERDKLNLKQKLQSQLAQPPSIANMVNDNHNNTNKHKNTINNNIKNSPAFTNSNPSSKSNSNSTITSMNPDTTK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAMQREAGVQDFVLLDQVSMEKFMDNLRKRFQNGSIYTYIGEVCVSMNPYRQMNIYGPETIRKYKGRELFENAPHLFAIADSAYRVLKQRQQDTCILISGESGAGKTEASKIIMKYIAAVTNAQGQNEIERVKNVLIQSNAILETFGNAKTNRNDNSSRFGKYMDIEFDYKADPVGGIITNYLLEKSRVVQQQPGERNFHSFYQLLRGANDNELRQYELQKETGKYHYLNQGSMDILTEKSDYKGTCNAFKTLGFSTDEVQTIWRTIAAVLHLGNVEFQTIEDELVISNKQHLKSTAKLLQVTETELSTALTKRVIAAGGNVMQKDHNATQAEYGKDALAKAIYDRLFTWIISRINRAILFRGSKTQARFNSVIGVLDIYGFEIFDSNSFEQFCINYCNEKLQQLFIELVLKQEQEEYQREGIEWTNIEYFNNKIICDLVEQPHKGIIAIMDEACLSVGKVTDDTLLGAMDKNLSKHPHYTSRQLKPTDKELKHREDFRITHYAGDVIYNINGFIEKNKDTLYQDFKRLLHNSKDANLSEMWPEGAQDIKKTTKRPLTAGTLFQRSMADLVVTLLKKEPFYVRCIKPNDLKSSTVFDEERVEHQVRYLGLLENLRVRRAGFVHRQRYDKFLLRYKMISQYTWPNFRAGSDRDGVRVLIEEKKFAQDVKYGHTKIFIRSPRTLFALEHQRNEMIPHIVTLLQKRVRGWIVRRNFKKMKAAITIVRAYKAYKLRSYVQELANRLRKAKQMRDYGKSIQWPQPPLAGRKVEAKLHRMFDFWRANMILHKYPRSEWPQLRLQIIAATALAGRRPYWGQARRWVGDYLANSQENSGYEAYNGSIKNIRNHPADGETFQQVLFSSFVKKFNHFNKQANRAFIVSDSTIHKLDGIKNKFKDMKRTIKIRELTSISVSPGRDQLIVFHSSKNKDLVFSLESEYTPLKEDRIGEVVGIVCKKYHDLTGTELRVNVTTNISCRLDGKARIITVEAASNVEVPNFRPKEGNIIFEVPAAYCV", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASFNSQLKMETGLHERDRAGVQDFVLLENYQSEEAFIGNLKKRFQEDLIYTYIGQVLISVNPYKQLPIYTDDHVKAYRNKHFYEMPPHIFAVTDNAFRSLIEENRGQCVLISGESGSGKTEASKKVLQFIAACSGNQTTVEGVKDKLLKSNPVLEAFGNAKTNRNDNSSRFGKYMDIQFDFKGAPIGGNILNYLLEKSRVVAQMGGERNFHIFYQLLAGADEALLQELRLERALDTYSYLTDGLNGTVTRINDADSFKQVQQALTVIDFTKEEQREIFGIVASILHLGNVGFTEVEGNAKVNSRDLVVTAARLLGVNASELEAALTHRTIDARGDVVTSPLNQELAIYARDALAKAVYDRLFSWLVQRLNISLQAKETRASRNNVMGILDIYGFEIFQKNSFEQFCINFCNEKLQQLFIELTLKSEQDEYRREGIEWIPVEYFDNKVICNLIEEKHKGIISILDEECLRPGEPTDKTFLEKLTQKLAQHHHYVCHEKAPAHIKKIMLRDEFRLVHYAGEVTYSVNGFLDKNNDLLFRDLKETLSKAGNGIVRNCFPEKELRSLKRPETAITQFRASLNNLMDILMCKEPSYIRCIKPNDLQTANVFNDELVLHQVKYLGLMENLRVRRAGFAYRRTYELFLERYKSLSKSTWPNYKGPGGPKAGVQQLVKDLGWDEEKYRVGETKLFIRWPRTLFDTEDAYQEKKHEIAAIIQAHWKGLMQRRKYLKLRAQVIIMQSYCRRKLAQQAAKKRREAADKIRAFIKGFITRNDAPNGFNEEFIANAKRMWLLRLAKELPTKVLDKSWPHAPGHCEEASGILHRLHRLHLARIYRLKLTPQQKRQFELKVLAEKVFKGKKNNYASSVSTWFQEDRIPKEHIQRVNDFVASTFGSEQLKYQSFCTKFDRHGYKSRDRFILLSNKAIYVLDGKTYKQKHRLPLDKIDFTLTNHNDDLMVIRIPLDLKKDKGDLILIIPRIIEFSTYIIDTVGTASIVSIVDRNSLEHNVVKGKGGVIDIQTGAEPGVVRDKGHLVIIGTQ", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSYQGKKNIPRITSDRLLIKGGKIVNDDQSFYADIYMEDGLIKQIGENLIVPGGVKTIEAHGRMVIPGGIDVHTRFQMPEQGMTSADDFFQGTKAALAGGTTMIIDHVVPEPGTSLLTAFDQWREWADSKSCCDYSLHVDITEWHKGVQEEMEALVKDHGVNSFLVYMAFKDRFQLSDSQIYEVLSVIRDIGATAQVHAENGDIIAEEQQRILELGITGPEGHVLSRPEEVEAEAVNRAITIANQTNCPLYITKVMSKSAAEVIAQARKKGTVVYGEPITASLGTDGSHYWSKNWAKAAAFVTSPPLSPDPTTPDFLNSLLSCGDLQVTGSAHCTFNTAQKAVGKDNFTLIPEGTNGTEERMSIIWDKAVVTGKMDENQFVAVTSTNAAKIFNLYPRKGRIAVGSDADLVIWDPDSVKTISAKTHNISLEYNIFEGMECRGSPLVVISQGKIVLEDGNLHVTEGSGRYIPRKPFPDFVYKRIKARSRLAELRGVPRGLYDGPVCEVSVTPKTVTPASSAKTSPAKQQAPPVRNLHQSGFSLSGAQIDDNIPRRTTQRIVAPPGGRANITSLG", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLKNKGHSSKKDNLAVNAVALQDHILHDLQLRNLSVADHSKTQVQKKENKSLKRDTKAIIDTGLKKTTQCPKLEDSEKEYVLDPKPPPLTLAQKLGLIGPPPPPLSSDEWEKVKQRSLLQGDSVQPCPICKEEFELRPQVLLSCSHVFHKACLQAFEKFTNKKTCPLCRKNQYQTRVIHDGARLFRIKCVTRIQAYWRGCVVRKWYRNLRKTVPPTDAKLRKKFFEKKFTEISHRILCSYNTNIEELFAEIDQCLAINRSVLQQLEEKCGHEITEEEWEKIQVQALRRETHECSICLAPLSAAGGQRVGAGRRSREMALLSCSHVFHHACLLALEEFSVGDRPPFHACPLCRSCYQKKILEC", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGNWGLGIAPWVDGESELEFRRLGMQGPLEALRRREWNTQRASFSFSFLIALSPHTVDYCHSYELFNRRWHGHVLATQRPSLFILMLV", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKDPSRSSTSPSIINEDVIINGHSHEDDNPFAEYMWMENEEEFNRQIEEELWEEEFIERCFQEMLEEEEEHEWFIPARDLPQTMDQIQDQFNDLVISDGSSLEDLVVKSNLNPNAKEFVPGVKYGNI", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDNEVEKNIEIWKVKKLVQSLEKARGNGTSMISLVIPPKGQIPLYQKMLTDEYGTASNIKSRVNRLSVLSAITSTQQKLKLYNTLPKNGLVLYCGDIITEDGKEKKVTFDIEPYKPINTSLYLCDNKFHTEVLSELLQADDKFGFIVMDGQGTLFGSVSGNTRTVLHKFTVDLPKKHGRGGQSALRFARLREEKRHNYVRKVAEVAVQNFITNDKVNVKGLILAGSADFKTDLAKSELFDPRLACKVISIVDVSYGGENGFNQAIELSAEALANVKYVQEKKLLEAYFDEISQDTGKFCYGIDDTLKALDLGAVEKLIVFENLETIRYTFKDAEDNEVIKFAEPEAKDKSFAIDKATGQEMDVVSEEPLIEWLAANYKNFGATLEFITDKSSEGAQFVTGFGGIGAMLRYKVNFEQLVDESEDEYYDEDEGSDYDFI", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVVIEQKKRFALFLATCDSEFVKKTYGGYFNVFVSTFGEEGEQWDLFRVIDGQFPDENDLDKYDGFVISGSPHDAFGDADWIVKLCEVCQKLDHMKKKVLGICFGHQIITRVKGGKIGRALKGADMGLRSITIAKDNEKLRGYFGDVEVPASLAIIKCHQDEVLELPESATLLASSEVCNVEMFSIGDHFFCIQGHPEYNKEILFEIVDRVLNMKLMEQEFADKAKSTMETAQPDRILWQKLCKNFLKG", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVEQKRYALFLATLDSEFVKKTYGGYHNVFVTTFGDEGEHWDSFRVVSGEFPDEKDLEKYDGFVISGSSHDAFENDDWILKLCDIVKKIDEMKKKILGICFGHQIIARVRGGTVGRAKKGPELKLGDITIVKDAITPGSYFGNEIPDSIAIIKCHQDEVLVLPETAKVLAYSKNYEVEMYSIEDHLFCIQGHPEYNKEILFEIVDRVLALGYVKQEFADAAKATMENRGADRKLWETICKNFLKGRVPTN", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEMESTAASTRFHQPHMERKMSAMTCEIFNELRLEGKLCDVVIKVNGFEFNAHKNILCSCSSYFRALFTSGWNNTEKKVYNIPGISPDMMKLIIEYAYTRTVPITPDNVEKLLAAADQFNIMGIVRGCCEFLKSELCLDNCIGICKFTDYYYCPELRQKAYMFILHNFEEMVKVSAEFLELSVTELKDIIEKDELNVKQEDAVFEAILKWISHDPQNRKQHISVLLPKVRLALMHAEYFMNNVKMNDYVKDSEECKPVIINALKAMYDLNMNGPSNSDFTNPLTRPRLPYAILFAIGGWSGGSPTNAIEAYDARADRWVNVTCEEESPRAYHGAAYLKGYVYIIGGFDSVDYFNSVKRFDPVKKTWHQVAPMHSRRCYVSVTVLSNFIYAMGGFDGYVRLNTAERYEPETNQWTLIAPMHEQRSDASATTLYGKVYICGGFNGNECLFTAEVYNTESNQWTVIAPMRSRRSGIGVIAYGEHVYAVGGFDGANRLRSAEAYSPVANTWRTIPTMFNPRSNFGIEVVDDLLFVVGGFNGFTTTFNVECYDEKTDEWYDAHDMSIYRSALSCCVVPGLANVGEYAARRDNFTGLALRDEVKYSASTSTLPV", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATTEPSVEQLETKTAKLKLENTTKRDTLIELEKKYQQKWQEEKAFEVDAPLEDVPIDELRKKYPKFFGNMPYPYMNGALHLGHAFTLSKVEFTTAFERLNGKRVLFPMGFHCTGMPICASADRLSREIEMFGPSFDVPEEKEEEVEVEVKTPNAREDVTKHSGKKSKAAAKTAAVKYQFQIMESLGVPRTEIHKFADAKYWLSYFPPLCQRDCTEFGLGIDWRRSFITTDVNPYYDSFVRWQVNHLHDSGKIKFGERYTVYSIKDGQPCMDHDRKSGEGVGPQEYTGIKMEVLEFPEAARKALQSIDLSNKKVCMIAATLRPETMYGQTNCYVGPNITYGIYESNVPNELFICTRRAANNMAYQKLSKERGVVSELGTIKGQDLIGALVNAPLSVHKQVYVLPMETVLATKGTGVVTSVPSDSPDDFATLTELRKKAEFYHLNPEWMKYEAVPIIRTPSYGDMCAEFLCKKLKIQSPKDVKQLAQAKELAYKECFYQGTMIIGKYSGEKVETAKPKVRKELIDQGLAFVYNEPEGQVISRSGDDCIVALCDQWFLDYGEASWKAVTEKALDRLNTFSPEVRNGFLKTLDWLSQWACARSYGLGTRLPWDPQFLVESLTDSTIYMAYYTICHLLHSDVYGKVPGALNIKPEQMTPEVWDHVFRQAPKPKNTSISDEALARLCREFQYFYPFDIRASGKDLVPNHLTFCLYTHTAIFDEKYWPKGIRANGHLLMNGEKMSKSTGNFMTLHEATKKFGADATRLALADAGDTVDDANFEEALANSAILRLYTQEAWCKEMMENLDNLRTGPYNFHDKVFENEINQLIESSREAFSATLFKAALKSCFYDLQNARDWYREVTADRKMHRDLVCRWIETQVLLLATFAPHWSEHIWLTTLKKPQSIHVSGRFPQVSSPVNTALSNSLLYIRTLSRVIREAEAAQLKRQKKGKGMLFDPSKPKRLTVFVAEKFPEWQAQYVALLQKYYNESENKFDDKAIISSVDKKEMKRAMPFIQQFKQSVINRGEHVSANSIFSRELGFNELEVLREVKPYLVRNVGIQELRIVLLQKPADKSSAAIGLVESGSDAGATVEIAPNFANTVPGQPTFLFENVSA", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSLQIINDENAGNDITAEKFDLLLNPQTTGRPSILRPSQKDNLPPKPALKSTKVTFQTPMRDPQTLRIMTPSVANKPENVFLLEDCTQALEQLHLSLPSSCGPNPVEINVSVSNNQPDSEELPVRTTGAYSIDFDNFDDINPFKSKTQMLNSPIKADLPSLTENIETTTPVVPADEASKQMVSLNLSAANLDSPVTVQFSSESGSIGVSEKTALDDTLPLSESGIKDLQGLNASSNNIEEPVPLDKDICSNNEKDDATMADSTCEGTSDAHTSSNNIEEPVTLDKDICSSNEKDNAAVADSTCEGTSDAQSPLPIPKSSYSFDPDQFDMMNPFKTGGSKLQNSPAGKKQTPPSADLNTAKTEPVKLEFNFGDGDVSERKPPPKKLGKRPLLKTAAKKPSPKPEIASEKQEQQTAKPSEDEAIVPKASYKFDWEKFDDPNFNPFGCGGSKISSSPKGQKIANEQPSACTQGSKPEAECTASDMAPAENADEKDHGEIEPSQDSGAAEDRSQAEDQSVALSKVEVPHEQTTDCSPVENETQPEVSLINEEPSQKEVEHTSSDMTPPEINGTDSEFKLATEADFLLAADMDFKPASEIFSEGFRQPVEIDYLENFGTNSFKESVLRKQSLYLKFDPLLRESPKKSAAGINLLPSVPLKCSSDLFGAIPEANFPLIPSIENEEKPKGLDLLGTFTVADTALLIVDAPSSVAVPNPFLSTSDAIVEMLKYSQKDMDAAIEAVRLEVQEKDLEVLEWKTKHEKLYLEYVEMGKIIAEFEGTITQILEDSQRQKETAKLELNKVLQEKQQVQVDLNSMETSFSELFKRLEKQKEALEGYRKNEEALKKCVEDYLVRIKKEEQRYQALKAHAEEKLNRANEEIAHVRSKAKSEATALQATLRKEQMKIQSLERSLEQKSKENDELTKICDDFILKMEKI", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSLLEQLARKRIEKSKGLLSADQSHSTSKSASLLERLHKNRETKDNNAETKRKDLKTLLAKDKVKRSDFTPNQHSVSLSLKLSALKKSNSDLEKQGKSVTLDSKENELPTKRKSPDDKLNLEESWKAIKEMNHYCFLKNDPCINQTDDFAFTNFIIKDKKNSLSTSIPLSSQNSSFLSLKKHNNELLGIFVPCNLPKTTRKVAIENFNRPSPDDIIQSAQLNAFNEKLENLNIKSVPKAEKKEPINLQTPPTESIDIHSFIATHPLNLTCLFLGDTNAGKSTLLGHLLYDLNEISMSSMRELQKKSSNLDPSSSNSFKVILDNTKTERENGFSMFKKVIQVENDLLPPSSTLTLIDTPGSIKYFNKETLNSILTFDPEVYVLVIDCNYDSWEKSLDGPNNQIYEILKVISYLNKNSACKKHLIILLNKADLISWDKHRLEMIQSELNYVLKENFQWTDAEFQFIPCSGLLGSNLNKTENITKSKYKSEFDSINYVPEWYEGPTFFSQLYLLVEHNMNKIETTLEEPFVGTILQSSVLQPIAEINYVSLKVLINSGYIQSGQTIEIHTQYEDFHYYGIVSRMKNSKQILETNTKNNISVGLNPDILEVLVKIHNTEDFTKKQFHIRKGDIIIHSRKTNTLSPNLPNTLKLLALRLIKLSIQTHALSDPVDLGSELLLYHNLTHNAVKLVKILGTNDISINPNQSLIVEVEIIEPDFALNVIDSKYITNNIVLTSIDHKVIAVGRIACQ", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSAFKPYTEALEVLKKYEKKDGLSIDDLIRHNFQGGLTFNDFLILPGYIDFVPNNVSLETRISRNIVLKTPFMSSPMDTVTEDQMAIYMALLGGIGVIHHNCTPEEQAAMVRKVKKYENGFILDPVVFSPQHTVGDVLKIKETKGFSGIPITENGKLRGKLVGIVTSRDVQFHKDTNTPVTEVMTPREELITTAEGISLERANEMLRKSKKGKLPVVDKDDNLVALLSLTDLMKNLHFPLASKTSDTKQLMVAAAIGTRDDDRTRLALLAEAGLDAVVIDSSQGNSCFQIEMIKWIKKTYPKIDVIAGNVVTREQTASLIAAGADGLRVGMGSGSACITQEVMACGRPQATAIAQVAEFASQFGIGVIADGGIQNVGHMVKSLSLGATAVMMGGLLAGTTESPGEYYVREGQRYKSYRGMGSIAAMEGTGVNKNASTGRYFSENDAVRVAQGVSGLVVDKGSLLRFLPYLYTGLQHALQDIGTKSLDELHEAVDKHEVRFELRSSAAIREGDIQGFATYEKRLY", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGTNQTQISDEYVTGNSSGVGGGISDVYGEDSATLDQLVTPWVTSVASGYTLMRDPRYNKGLAFTDKERDAHYITGLLPPVVLSQDVQERKVMHNLRQYTVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKEKGKILEVLKNWPQRGIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGIRPSACLPITIDVGTNNEKLLNNEFYIGLKQKRANGEEYAEFLQEFMCAVKQNYGEKVLVQFEDFANHHAFELLSKYCSSHLVFNDDIQGTASVVLAGLIAAQKVLGKSLADHTFLFLGAGEAGTGIAELIALKISKETGKPIDETRKKIWLVDSKGLIVSERKESLQHFKQPWAHDHKPVKELLAAVNAIKPTVLIGTSGVGKTFTKEVVEAMATLNEKPLILALSNPTSQAECTAEEAYTWTKGRAIFASGSPFDPVQYDGKKFTPGQANNCYIFPGLGLGLIMSGAIRVRDDMLLAASEALASQVTEENFANGLIYPPFANIRKISANIAASVGAKTYELGLASNLPRPKDLVKMAESCMYSPVYRNFR", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASLLQSERVLYLVQGEKKVRAPLSQLYFCRYCSELRSLECVSHEVDSHYCPSCLENMPSAEAKLKKNRCANCFDCPGCMHTLSTRATSISTQLPDDPAKTTMKKAYYLACGFCRWTSRDVGMADKSVASGGWQEPENPHAQRMNKLIEYYQQLAQKEKVERDRKKLARRRNYMPLAFSQHTIHVVDKYSLGTRLQRPRAGASISTLAGLSLREGEDQKEVKIEPAQAVAEVEPLPEDYYTRPVNLTEVTTLQQRLLQPDLQPVSASQLYPRHKHLLIKRSLRCRKCEHNLSKPEFNPTSIKFKIQLVAVNYIPEVRIMSIPNLRYMKESQVLLTLTNPVENLTHVTLLECEEGDPDNINSTAKVVVPPKELILAGKDAAAEYDELAEPQDFQDDPDIVAFRKANKVGIFIKVTPQREEGDVTVCFKMKHDFKNLAAPIRPMEESDQGTEVIWLTQHVELSFGPLLP", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSEPEVPFKVVAQFPYKSDYEDDLNFEKDQEIIVTSVEDAEWYFGEYQDSNGDVIEGIFPKSFVAVQGSEVGKEAESSPNTGSTEQRTIQPEVEQKDLPEPISPETKKETLSGPVPVPAATVPVPAATVPVPAATAVSAQVQHDSSSGNGERKVPMDSPKLKARLSMFNQDITEQVPLPKSTHLDLENIPVKKTIVADAPKYYVPPGIPTNDTSNLERKKSLKENEKKIVPEPINRAQVESGRIETENDQLKKDLPQMSLKERIALLQEQQRLQAAREEELLRKKAKLEQEHERSAVNKNEPYTETEEAEENEKTEPKPEFTPETEHNEEPQMELLAHKEITKTSREADEGTNDIEKEQFLDEYTKENQKVEESQADEARGENVAEESEIGYGHEDREGDNDEEKEEEDSEENRRAALRERMAKLSGASRFGAPVGFNPFGMASGVGNKPSEEPKKKQHKEKEEEEPEQLQELPRAIPVMPFVDPSSNPFFRKSNLSEKNQPTETKTLDPHATTEHEQKQEHGTHAYHNLAAVDNAHPEYSDHDSDEDTDDHEFEDANDGLRKHSMVEQAFQIGNNESENVNSGEKIYPQEPPISHRTAEVSHDIENSSQNTTGNVLPVSSPQTRVARNGSINSLTKSISGENRRKSINEYHDTVSTNSSALTETAQDISMAAPAAPVLSKVSHPEDKVPPHPVPSAPSAPPVPSAPSVPSAPPVPPAPPALSAPSVPPVPPVPPVSSAPPALSAPSIPPVPPTPPAPPAPPAPLALPKHNEVEEHVKSSAPLPPVSEEYHPMPNTAPPLPRAPPVPPATFEFDSEPTATHSHTAPSPPPHQNVTASTPSMMSTQQRVPTSVLSGAEKESRTLPPHVPSLTNRPVDSFHESDTTPKVASIRRSTTHDVGEISNNVKIEFNAQERWWINKSAPPAISNLKLNFLMEIDDHFISKRLHQKWVVRDFYFLFENYSQLRFSLTFNSTSPEKTVTTLQERFPSPVETQSARILDEYAQRFNAKVVEKSHSLINSHIGAKNFVSQIVSEFKDEVIQPIGARTFGATILSYKPEEGIEQLMKSLQKIKPGDILVIRKAKFEAHKKIGKNEIINVGMDSAAPYSSVVTDYDFTKNKFRVIENHEGKIIQNSYKLSHMKSGKLKVFRIVARGYVGW", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSHARLSVGSECWVSNNNGHWDAARLIEIKDNGGGKVVATVAKSSGVLETVNYQQLQNRNIGQSESPSDLTNLPYLNEPSVLHALHNRYNNKQIYTYSGIVLVSINPYQNLPEFYNDNLIKHFHKDPEAAKVPHLYSIASSCYHALTTDSKNQTIIVSGESGAGKTVAAKYIMRYLTSVQGVDHNGVVKRSVENQVLATNPIMEAFGNAKTIRNDNSSRFGKYVTISFDENLLITGANVNTYLLERSRVVSLLKGERNYHIFYQLITGCTEEQRDKWFLESASSFNYLSQGNCDEISGVDDSNDFTITCRALSTIGISESRQEDVFCLLAALLHLGNIEVCATRNEAQIQPGDGYLQKAALLLGVDSSTLAKWIVKRQLKTRSETIITSSTLEHAISIRDSVAKYLYSALFLWIVHMINASLDHNKVKRAAYKYIGVVDIYGFEHFEKNSMEQFCINYANEKLQQEFNKHVFKLEQEEYVKEGLDWRLIEYSDNQGCISLIEDKLGILSLLDEECRLPSGNHQSFLQKLNNQLPTKHSQFYKKSRFNDGSFMVKHYALDVSYQVHDFLAKNSDAIPDEFISLLQNSKNEFITYLLDFYMQLVSSQNKNPRKTAISRKPTLSSMFKSSLSQLMTTVSSTNVHYIRCIKPNEEKLPWTFSPPMVLSQLRACGVFETIRISSLGFPARFSYEEFAHRFRILLSSKEWEEDNKKLTLNIVNSVIPHDNLNFQVGRSKIFFRSNVIGNFEEAHRATCSKSTVLLQSAIRGFFTRKEYQRTVKFIIKLQSVIMGWLTRQRFEREKIERAAILIQAHWRSYIQRKRYLSLIKCAIVIQSIVRKNIAYSRYINELRESSATLLAKFWRAYNARKTFRGLKKSVIALQCVSRSVLTRRYLRRLQDSAGRTSILYEKQKNLQASITEVSKQLKSNSKKVTVLRNKLNILNNSLSKWKCLIKKPSDFSEPVSMDFTSNDEQLVQLLQAESKLRQASQQLYMAAKKSELGFVQSQTARENLSNYYQALQMTVSEKFEYDTEQLPSRVLFYAMDRYFSIHKKLKQLLELVGVENASLLPNEVVNKQTKDLLYEKRVVFLKQIKQALTVSSLFNAVGYKDGVMRLLETDQNSLLFAGVVNFLIFAGISLDLKTQISEFLSQLCSYFTKIVDGTVIENDKTLDFYEKPLQAVLYWFATLHKIRSFLVHLLSINSHGKQSVVEDLWNPLILKFSKHFSNLENSFHSLVQKLLSCCTEGSINALLNSKCLPEFIDAADENTTPTGMNIYELIDRMNLIHKLLISSALQPNLLELTISHMLQHIGQRAFQTLIHGRSPYTWKSASQVSYNASLLINWCHQKGISYVNSSLLPLMQSPLVFCLRKNDANDLDVILSVCNLLSPFEVVCLLNRYQPCAGENPLPKSFSKAVEALSCKYKQSGFTNGKITNTNGHAIPIAASKNPLLSLENNHIYEELRLSELINLLAKATL", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MWILSNLMGTSEEGNLLSTVSPTVKALFGKTRVSPIFPFSPRSPFQPLIPRTPGSPWGPVGPASPLGPGFPIGPMGPGKPVGPKGPMLPLGPSGPVGPTSPLFPFCP", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSWAAPDDIFFSTSLAAYLDKKLLVLLRDGRKLMGLLRSFDQFANAVLEEAYERVIVGDLYCDIPLGLYIIRGENVVLIGELDVEKEELPAHMVQVPEAEIKRAQKAEKEEMLLKGTMRKRMEFLDLD", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTTTATQHFAQLLQKYGIDTVFGIVGIPIVQLADTMVANGIKFIPCRNEQAASYAASAYGYISDKPGVLLIVGGPGLIHALAGIYNSMSNRWPLLVIAGSSSQSDIHKGGFQELDQVSLLSPFLKFTGKLTPDNIDMITQKALNYCIQGTAGVSYIDVPADFIEYEKPLEGNDRTGNELPMILTPNICGPDPSKIKKVVQLILQHKNKNILIVIGKGAVKNSHEIRRLVNTFNLPFLPTPMAKGIVPDSSPLNVSSARSQALKIADIVLVLGARLNWILHFGTSPKWNSESIFIQFDSNPETLGDNNVSPGADLSIWGDIGLSVTALVEELTRQDSCWKYSGVKQEIREKIQLNQTRLLRKEKTRGAQLNYNQVYGTLRPLIDDYRTILVTEGANTMDIARISFPTDAPRRRLDAGTNATMGIGLGYALACKASHPELDVVLIQGDSAFGFSAMEIETAVRCQLALVIVVMNNSGIYHGEKDIEGDLPPTALSKNCRYDLVGKGLGANDFFVNTISELSRCFQQAVQLSRTKRETSVINVIIEPGEQKQIAFAWQNKPRL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSQFVGFGVQVELKDGKLIQGKIAKATSKGLTLNDVQFGDGGKSQAFKVRASRLKDLKVLTVASQSGKRKQQRQQQQQNDYNQNRGEHIDWQDDDVSKIKQQEDFDFQRNLGMFNKKDVFAQLKQNDDILPENRLQGHNRKQTQLQQNNYQNDELVIPDAKKDSWNKISSRNEQSTHQSQPQQDAQDDLVLEDDEHEYDVDDIDDPKYLPITQSLNITHLIHSATNSPSINDKTKGTVINDKDQVLAKLGQMIISQSRSNSTSLPAANKQTTIRSKNTKQNIPMATPVQLLEMESITSEFFSINSAGLLENFAVNASFFLKQKLGGRARLRLQNSNPEPLVVILASDSNRSGAKALALGRHLCQTGHIRVITLFTCSQNELQDSMVKKQTDIYKKCGGKIVNSVSSLESAMETLNSPVEIVIDAMQGYDCTLSDLAGTSEVIESRIKSMISWCNKQRGSTKVWSLDIPNGFDAGSGMPDIFFSDRIEATGIICSGWPLIAINNLIANLPSLEDAVLIDIGIPQGAYSQRTSLRKFQNCDLFVTDGSLLLDL", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTALFCLELRTNIFLIMNDCIIINYWKGFIFSFHSYFFPFRFESSLRAHYPGKRNYSDFSVIPLPYYIDVRSFHICESQHIIALPLQIPLPYRMLIRMYPV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNKMPAGEQECEYNKEGKYYSKGVKLVRKKKKIPGYRWGDIKINIIGEKDDLPIHFCDKCDLPIKIYGRIIPCKHAFCYHCANLYDKVGYKVCPRCRYPVLRIEAHKRGSVFMCSIVQQCKRTYLSQKSLQAHIKRRHKRARKQVTSASLEKVRPHIAPPQTEISDIPKRLQDRDHLSYIPPEQHTMVSLPSVQHMLQEQHNQPHKDIQAPPPELSLSLPFPIQWETVSIFTRKHGNLTVDHIQNNSDSGAKKPTPPDYYPECQSQPAVSSPHHIIPQKQHYAPPPSPSSPVNHQMPYPPQDVVTPNSVRSQVPALTTTYDPSSGYIIVKVPPDMNSPPLRAPQSQNGNPSASEFASHHYNLNILPQFTENQETLSPQFTQTDAMDHRRWPAWKRLSPCPPTRSPPPSTLHGRSHHSHQRRHRRY", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAPKISISLNPPYNGEFYSSNDQMSGIVSLQLTKALSIRKISVILKGFSETLTKIDQEYMFQQNGMMMPGQDNKSFHTLMKFEQRVFPPDNVWNALDGSSKPFKVKPGSYNYSFQFDKFPRKPECLKNHTAKTVAFVTRSNARLPPTFNSHWQEFNKIDNLDLYFYSFGKVIYMVQVQLELGKSSSWFKPFHKLIREIETFEFIPEPKDLIIEPDEDDNEELNAFSNNSRGNSMVTNNEFFNSSNLKVPSKDVKVVNGVGYIKSDRNFSQANSILIENGDIRSRPVSSVTSTRQSTRLVNGMKVFPSTYKMGLPDGESNMRIEVRSRDLKQIYRKDYLFRSGSQNFDKVYVVMEGNIASLSKMQITPLKLQLNLLETTTYLSQGIANGNYSSLKLIEIDLNQLKSNKPLLDLNEIRENFDGSMFECELRLKDHPILRKLVFNEEDYRHRGNRLYSFKTCTIKRTFSLQLLIEWGINGIRKQSEVNIDPVQIFCQVREHVEAEALPRYVPPPTYTEMAS", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAAQGVGPGPGSAAPPGLEAARQKLALRRKKVLSTEEMELYELAQAAGGAIDPDVFKILVDLLKLNVAPLAVFQMLKSMCAGQRLASEPQDPAAVSLPTSSVPETRGRNKGSAALGGALALAERSSREGSSQRMPRQPSATRLPKGGGPGKSPTRGST", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSGESARSLGKGSAPPGPVPEGSIRIYSMRFCPFAERTRLVLKAKGIRHEVININLKNKPEWFFKKNPFGLVPVLENSQGQLIYESAITCEYLDEAYPGKKLLPDDPYEKACQKMILELFSKVPSLVGSFIRSQNKEDYAGLKEEFRKEFTKLEEVLTNKKTTFFGGNSISMIDYLIWPWFERLEAMKLNECVDHTPKLKLWMAAMKEDPTVSALLTSEKDWQGFLELYLQNSPEACDYGL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSTNTESSSYSSLPSQRLLGKVALITGGATGIGESIVRLFHKHGAKVCIVDLQDDLGGEVCKSLLRGESKETAFFIHGDVRVEDDISNAVDFAVKNFGTLDILINNAGLCGAPCPDIRNYSLSEFEMTFDVNVKGAFLSMKHAARVMIPEKKGSIVSLCSVGGVVGGVGPHSYVGSKHAVLGLTRSVAAELGQHGIRVNCVSPYAVATKLALAHLPEEERTEDAFVGFRNFAAANANLKGVELTVDDVANAVLFLASDDSRYISGDNLMIDGGFTCTNHSFKVFR", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEPKVAELKQKIEDTLCPFGFEVYPFQVAWYNELLPPAFHLPLPGPTLAFLVLSTPAMFDRALKPFLQSCHLRMLTDPVDQCVAYHLGRVRESLPELQIEIIADYEVHPNRRPKILAQTAAHVAGAAYYYQRQDVEADPWGNQRISGVCIHPRFGGWFAIRGVVLLPGIEVPDLPPRKPHDCVPTRADRIALLEGFNFHWRDWTYRDAVTPQERYSEEQKAYFSTPPAQRLALLGLAQPSEKPSSPSPDLPFTTPAPKKPGNPSRARSWLSPRVSPPASPGP", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAGSLTASNRRRNAEDSSEIYRWTIGFARFVHYPSSPSPHPVLKPLGKREQYHSPHGTWLSASSSTVSLHIVDELNRSDVILSVKLGQKVLEEHYISKLNFTWPQMSCVSGFPSRGSRAIFVTYMDSANQIQKFALRFSTCDAALEFVEALKEKIKGLKEASTQNQKNKTRCDVSFQSDYNPSDAIIPRATQKEPNMVRPLNSYVPEMLPRIVYEAQYQKSETRSEVSFQSDYNPSIEIFPRATEEEPNMVRFFDSSVPEVLPRPEYEAGQALYPSQSTLNQIPSLPPSFTTLLSGCFPDSTLDAGQTTVKQNPDLKSQILKYMEDSSFQDMLQKVERIIDEIGGNWIT", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSICEQYYPEEPTKPTVKTESIPGPESQKQLKELGEVFDTRPAYFLADYEKSLGNYITDVDGNTYLDLYAQISSIALGYNNPALIKAAQSPEMIRALVDRPALGNFPSKDLDKILKQILKSAPKGQDHVWSGLSGADANELAFKAAFIYYRAKQRGYDADFSEKENLSVMDNDAPGAPHLAVLSFKRAFHGRLFASGSTTCSKPIHKLDFPAFHWPHAEYPSYQYPLDENSDANRKEDDHCLAIVEELIKTWSIPVAALIIEPIQSEGGDNHASKYFLQKLRDITLKYNVVYIIDEVQTGVGATGKLWCHEYADIQPPVDLVTFSKKFQSAGYFFHDPKFIPNKPYRQFNTWCGEPARMIIAGAIGQEISDKKLTEQCSRVGDYLFKKLEGLQKKYPENFQNLRGKGRGTFIAWDLPTGEKRDLLLKKLKLNGCNVGGCAVHAVRLRPSLTFEEKHADIFIEALAKSVNEL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSYLNNPAVVMDNGTGLTKLGFAGNDSPSWVFPTAIATAAPSNTKKSSGVGAPSAVSNEASYFGNSTSATNFNGATGGLLSNNLSGKRGTEDLDFYIGNEALVASQGPSYSLSYPIRHGQVENWDHMERFWENSIFKYLRTEPEDHFFLLTEPPLNPPENREQVAEIFFESFNCAGLYIAVQAVLALAASWTSSKVTDRSLTGTVIDSGDGVTHVIPVAEGYVIGSAIKNIPIAGRDITLFIQSLLRERGEADTSLRTAEKIKQEYCYVCPDIVKEFNKFDKDPSKFAQFVVENQEKTRRKVVDIGYERFLAPEIFFNPEIASSDFLTPLPTVVDQTIQACPIDVRKGLYNNIVLSGGSTMFKDFGRRLQRDLKSIVNNRIAQSELLSGTKSTGVDVSVISHRKQRNAVWFGGSLLAQTAEFKGYCHTKKDYEEYGPEIVRNFSLFNMV", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MYFCWGADSRELQRRRTAGSPGAELLQAASGERHSLLLLTNHRVLSCGDNSRGQLGRRGAQRGELPEPIQALETLIVDLVSCGKEHSLAVCHKGRVFAWGAGSEGQLGIGEFKEISFTPKKIMTLNDIKIIQVSCGHYHSLALSKDSQVFSWGKNSHGQLGLGKEFPSQASPQRVRSLEGIPLAQVAAGGAHSFALSLCGTSFGWGSNSAGQLALSGRNVPVQSNKPLSVGALKNLGVVYISCGDAHTAVLTQDGKVFTFGDNRSGQLGYSPTPEKRGPQLVERIDGLVSQIDCGSYHTLAYVHTTGQVVSFGHGPSDTSKPTHPEALTENFDISCLISAEDFVDVQVKHIFAGTYANFVTTHQDTSSTRAPGKTLPEISRISQSMAEKWIAVKRRSTEHEMAKSEIRMIFSSPACLTASFLKKRGTGETTSIDVDLEMARDTFKKLTKKEWISSMITTCLEDDLLRALPCHSPHQEALSVFLLLPECPVMHDSKNWKNLVVPFAKAVCEMSKQSLQVLKKCWAFLQESSLNPLIQMLKAAIISQLLHQTKTEQDHCNVKALLGMMKELHKVNKANCRLPENTFNINELSNLLNFYIDRGRQLFRDNHLIPAETPSPVIFSDFPFIFNSLSKIKLLQADSHIKMQMSEKKAYMLMHETILQKKDEFPPSPRFILRVRRSRLVKDALRQLSQAEATDFCKVLVVEFINEICPESGGVSSEFFHCMFEEMTKPEYGMFMYPEMGSCMWFPAKPKPEKKRYFLFGMLCGLSLFNLNVANLPFPLALYKKLLDQKPSLEDLKELSPRLGKSLQEVLDDAADDIGDALCIRFSIHWDQNDVDLIPNGISIPVDQTNKRDYVSKYIDYIFNVSVKAVYEEFQRGFYRVCEKEILRHFYPEELMTAIIGNTDYDWKQFEQNSKYEQGYQKSHPTIQLFWKAFHKLTLDEKKKFLFFLTGRDRLHARGIQKMEIVFRCPETFSERDHPTSITCHNILSLPKYSTMERMEEALQVAINNNRGFVSPMLTQS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTLPHSPGSAGEPQASQTVQVHRLEHRQEEEQKEERQHSLQMGSSVQRRTYRSSEEEQQFSSEDYALAAALALTASSELSWEAKLRRQTTTVELEERGQRRVGFGNDLERMELAFLRTQRLLRQRRDWKALRQRTEEKVREAKELIELCSGRGPWFWIPLRSHAVWEHTTVLLTCTVQGSPPFQVTWYKNDIRIDPRLFPAGKYRITNNYGLLTLEIMRCTVEDSATYTVLVKNAYGQASSFAKVLIRNYLGKDAGFDSEIFKRSMFGPSAEFTSVLKPIFAQEKEPFSLTCLFSDDVLEAEQRIQWYRDGRLLRSSTRRQILYADRQASVKVSCAYKEDEGFYTIRVSSPFGPQEQSAYVFIRDAAAEKPGAPGSPLNVRCLNVHRDCLTLTWVPPSDTRGSTITGYSIEMCQGDSEEWMPCLKAPGGTCRCPIQGLVEGQSYQFRVRAISKAGTSLPSKASEAVVTGDYDAVHKSTEIPYDLGSKITISKNDFEDAVTIPSAPTNVHASEIREAYAVLSWEEPRPRGRAPLTYTLEKSVIGSGTWEAISTETPIKSPRFALLDLEKGKSYVFRVRALNQYGMSDPSEPSEPVALKGKPATLPPPAQVQAFRNTQTSVSLAWEPVDGGSELLGYYIYSREAGASEWQTVNNKPIQDTKFTVPGLRTGKEYDFCIRSVSEAGVGESSAATQPVRVKQALATPSAPYDFALLNCGKNEMVIGWKPPKRRGGGKILGYFMDQHDSVESDWHPVNRQPIPSRVCKVTNLHEGHFYEFRARAVNWAGIGELSAPSSLFECKEWTMPEPGPPYDVRVSEVQATSVMLQWEPPLYIGAGPVTGYHVSFQEKGSEEWKPVTPDATSDTHLRVSDLQPGKQYMFRVQAMNSAGLGQPSVPTDPVLLEDKPDAQEIEVGVDDEGQIYLAFEAPEAPDFPEFQWSKDYQGPPDPQRVEVEDEISKSKVILKEPDLQDLGIYSVVVPDADEDTSASHTLTEEELNKLKKLSHEIRNPVIKLISGWNVEILEQGEVRLWLEVEKLSPAAELHLIFNEKEIFSSPNRKINFDREKGLVEVIIQQLSEDDKGSYTAQLQDGKAKNQITLALVDDEFDKLLRKADAKRRDWKRKQGPYFQEPLTWKVTDDCQVLLSCKVTNTKKESRFQWFFQKKEAPHGQYNPPTGDGSLSIEGFSKENQGVYRAVVSDERGEDDTVLDLTGEALDAVLTELGRIGALSATPLKIQGTEEGIRLFSKVKYYNVDYMKTAWFHKDKRLESGDRVRAGTTLDEIWLHILDPKDSDKGKYTLEITAGKEVRQLSADLSGQAFDDALAEHQRLKALAVIEKNRAKVVRGLPDVATIMEDKTLCLTCVISGDPSPEISWLKNDQPISFFDRYHMEVKGTEVTVTIDKVTSEDSGRYGIFVKNKYGSETGQVTISVFKHGEEPKELKKK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSRVAKYRRQVSEDPDIDSLLETLSPEEMEELEKELDVVDPDGSVPVGLRQRNQTEKQSTGVYNREAMLNFCEKETKKLMQREMSMDESKQVETKTDAKNGEERGRDASKKALGPRRDSDLGKEPKRGGLKKSFSRDRDEAGGKSGEKPKEEKIIRGIDKGRVRAAVDKKEAGKDGRGEERAVATKKEEEKKGSDRNTGLSRDKDKKREEMKEVAKKEDDEKVKGERRNTDTRKEGEKMKRAGGNTDMKKEDEKVKRGTGNTDTKKDDEKVKKNEPLHEKEAKDDSKTKTPEKQTPSGPTKPSEGPAKVEEEAAPSIFDEPLERVKNNDPEMTEVNVNNSDCITNEILVRFTEALEFNTVVKLFALANTRADDHVAFAIAIMLKANKTITSLNLDSNHITGKGILAIFRALLQNNTLTELRFHNQRHICGGKTEMEIAKLLKENTTLLKLGYHFELAGPRMTVTNLLSRNMDKQRQKRLQEQRQAQEAKGEKKDLLEVPKAGAVAKGSPKPSPQPSPKPSPKNSPKKGGAPAAPPPPPPPLAPPLIMENLKNSLSPATQRKMGDKVLPAQEKNSRDQLLAAIRSSNLKQLKKVEVPKLLQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSPRSNKPKIVNGPGGYILQDVPHLIDYLPDLPTYPNPLQDNPAYSVVKQYFVHADDSVPEKVVVHKDGPRGVHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREVVSSLSYMYGVKRILGIDGGYRGFYAKNTIPLNSKVVNDIHKRGGTIIGTSRGGHDTNKIVDSIQDRGINQVYIIGGDGTQRGASVIFEEIRRRRLKVAVVGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESNENGIGFVKLMGRYSGYIAMYATLASRDVDCCLIPESPFYLEGEGGLFEFIERRLKDHGHMVIVLAEGAGQDLMCKSMESTPMDASGNKLLKDVGLWLSQSIKDHFKKNKMVMNLKYIDPTYMIRAVPSNASDNVYCTLLAQSAVHGAMAGYTGYTSGLVNGRQTYIPFYRITETQNNVVITDRMWARLLSSTNQPSFLGPKDTSEEKKELPETPLLDDGAVDIPPVTKEVTK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKYILVTGGVISGVGKGVIASSFGTLLKSCGLDVTSIKIDPYINIDAGTFSPYEHGEVYVLDDGAEVDLDLGNYERFLDVTLHRDNNITTGKIYKLVIEKERTGEYLGKTVQVVPHITDAIQEWVERVAQTPVQGSSKPQVCIVELGGTIGDIEGMPFVEAFRQFQFRVKRENFCLAHVSLVPLPKATGEPKTKPTQSSVRELRGCGLSPDLIVCRSEKPIGLEVKEKISNFCHVGPDQVICIHDLNSIYHVPLLMEQNGVIEYLNERLQLNIDMSKRTKCLQQWRDLARRTETVRREVCIAVVGKYTKFTDSYASVVKALQHAALAVNRKLELVFIESCLLEEETLHSEPSKYHKEWQKLCDSHGILVPGGFGSRGMEGKIRACQWARENQKPLLGICLGLQAAVIEFARNKLGLKDANTTEIDPNTANALVIDMPEHHTGQLGGTMRLGKRITVFSDGPSVIRQLYGNPKSVQERHRHRYEVNPKYVHLLEEQGMRFVGTDVDKTRMEIIELSGHPYFVATQYHPEYLSRPLKPSPPFLGLILASVDRLNQYIQRGCRLSPRQLSDASSDEEDSVVGLAGATKSLSSLKIPITPTNGISKSCNGSISTSDSEGACGGVDPTNGHK", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDISGGNTSRQPQKKSNQNIQVYVRVRPLNSRERCIRSAEVVDVVGPREVVTRHTLDSKLTKKFTFDRSFGPESKQCDVYSVVVSPLIEEVLNGYNCTVFAYGQTGTGKTHTMVGNETAELKSSWEDDSDIGIIPRALSHLFDELRMMEVEYTMRISYLELYNEELCDLLSTDDTTKIRIFDDSTKKGSVIIQGLEEIPVHSKDDVYKLLEKGKERRKTATTLMNAQSSRSHTVFSIVVHIRENGIEGEDMLKIGKLNLVDLAGSENVSKAGNEKGIRVRETVNINQSLLTLGRVITALVDRAPHVPYRESKLTRLLQESLGGRTKTSIIATISPGHKDIEETLSTLEYAHRAKNIQNKPEVNQKLTKKTVLKEYTEEIDKLKRDLMAARDKNGIYLAEETYGEITLKLESQNRELNEKMLLLKALKDELQNKEKIFSEVSMSLVEKTQELKKTEENLLNTKGTLLLTKKVLTKTKRRYKEKKELVASHMKTEQVLTTQAQEILAAADLATDDTHQLHGTIERRRELDEKIRRSCDQFKDRMQDNLEMIGGSLNLYQDQQAALKEQLSQEMVNSSYVSQRLALNSSKSIEMLKEMCAQSLQDQTNLHNKLIGEVMKISDQHSQAFVAKLMEQMQQQQLLMSKEIQTNLQVIEENNQRHKAMLDSMQEKFATIIDSSLQSVEEHAKQMHKKLEQLGAMSLPDAEELQNLQEELANERALAQQEDALLESMMMQMEQIKNLRSKNSISMSVHLNKMEESRLTRNHRIDDIKSGIQDYQKLGIEASQSAQAELTSQMEAGMLCLDQGVANCSMLQVHMKNLNQKYEKETNENVGSVRVHHNQVEIICQESKQQLEAVQEKTEVNLEQMVDARQQLITEDRQRFIGHATVATDLVQESNRQFSEHAEHQRQQLQICEQELVRFQQSELKTYAPTGTTPSKRDFVYPRTLVATSPHQEIVRRYRQELDWSDLDTTATIDECSEGEHDVSMHSVQELSETETIMNSTPIEPVDGVTVKRGCGTTRNSNSNALKPPVATGGKRSSSLSRSLTPSKTSPRGSPAFVRHNKENVA", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVTPCPASPGSPAAGAGRRDSHQNLRAPVKKSRRPCLRRKKPLRPLNACSLPGDSGVCDLFESPSSSSDGADSPAVSAARDCSSLLNPAQPLTALDLQTFREYGQSCYDFRKAQENLFHPRESLARQPQVTAESRCKLLSWLLQVHRQFGLSFESLCLTVNTLDRFLLTTPVAADCFQLLGVTCLLIACKQVEVHPPRLKQLLALCGGAFSRQQLCNLECIVLHKLHFSLGAPTINFFLEHFTQWRMEAGQAEVTEALEAQTLARGVAELSLTDYAFTTYTPSLMAICCLALADGLLQHQHEMDLRLGEHPEATLQDCLGKLQTLVSINSSSLPRILPPQIWERCSLPQSWQ", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MESPSCIQDEPFPHPLEPEPSAPAQPGATKPGDKRFRLWYVGGSCLDRRTTLPMLPWLMAEIRRRSQKPDAGGCGAPAAREVILVLSAPFLRCVPAPGAGVGGGAGSGAVQPNTGVFIFEHKAQHISRFIHNSHDLTYFAYLIKAQPDDPESQMACHVFRATDPNQVPDVISSIRQLSKAAMKEDSKPSKDNEDAFYNSQKFEVLYCGRVIVTHKKAPSSLIDDCKDKFSLHEQQRLKLQGERGGDPGDEMGVLEVESPVSPDDSLPEKADGTVNSPRALPSLASLPALASQPALASSRVCFPERILEDCGFDEQQEFRSRCSSVTGVMQKKVHENNQKTQPRRRHASAPSHVQPSDSEKNRTMLFQVGRFEINLISPDTKSVVLEKNFKDISSCSQGIKHVDHFGFICRESPEPGLSQYICYVFQCANESLVDEVMLTLKQAFSTAAALQSAKTQIKLCETCPMHSLHKLCERIEGLYPPRAKLVIQRHLSSLTDNEQADIFERVQKMKPISDQEENELVILHLRQLCEAKQRTHVHIGEGPAIISNSTIPENVTSGGRFKLDVLKNKAKRSLTSSLENIFSRGANRMRGRLGSMDSFERANSLASEKDFSPGDSPPGTPPASPLSSAWHAFPEEDSDSPQFRRRAHTFSHPPSSSRRKLNLQDGKAHGLRSPLLRQSSSEQCSIVPSARRMYKESNSSCSLPSLHTSFSAPSFTAPSFLKSFYQNSGRLSPQYENEIRQDTASESSDGEGRKRTSSTCSNESLNAGGTPVTPRRVSWRQRIFLRVASPVNKSPSAMQQQKDGLDRTELLPLSPLSPTMEEEPLIIFLSGDEDTEKVEEKKKSKELKSLWKKAIHQQILLLRMEKENQKLEEARRDELQSRKVKLDYEEVGTCQKEILIAWDKKLLNCRTKIRCDMEDIHTSLKEGVPKSRRGEIWQFLALQYRLRHRLPNKHQPPDTSYKELLKQLTAQQHAILVDLGRTFPTHPYFSVQLGAGQLSLFNLLKAYSLLDKEVGYCQGISFVAGVLLLHMSEEQAFEMLKFLMYDLGFRKQYRPDMMSLQIQMYQLSRLLHDYHRELYNHLEENEISPSLYAAPWFLTLFASQFPLGFVARVFDIIFLQGTEVIFKVALSLLSSQEALIMECENFENIVEFLKSTLPDMNTTEMEKIITQVFEMDISKQLHAYEVEYHVLQDELLESSYACEDNESLEKLERANNQLKRQNMDLLEKLQVAHAKIQALESNLETLLTRETKMKALIRTLEQDKMAYQKTVEQIRKLLPADALANCELLLKDLTHPTNDKAKAGNKP", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MALSKISPTEGSRRILEDHHIDEDVGFALPHPLVELPDAYSPWVLVARNLPVLIENGQLREEVEKLPTLSTDGLRGHRLQRLAHLALGYITMAYVWNRGDDDVRKVLPRNIAVPYCELSEKLGLPPILSYADCVLANWKKKDPNGPMTYENMDILFSFPGGDCDKGFFLVSLLVEIAASPAIKAIPTVSSAVERQDLKALEKALHDIATSLEKAKEIFKRMRDFVDPDTFFHVLRIYLSGWKCSSKLPEGLLYEGVWDTPKMFSGGSAGQSSIFQSLDVLLGIKHEAGKESPAEFLQEMREYMPPAHRNFLFFLESAPPVREFVISRHNEDLTKAYNECVNGLVSVRKFHLAIVDTYIMKPSKKKPTDGDKSEEPSNVESRGTGGTNPMTFLRSVKDTTEKALLSWP", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTKNVLMLHGLAQSGDYFASKTKGFRAEMEKLGYKLYYPTAPNEFPPADVPDFLGEVIADAPGDGENTGVLAWLENDPSTGGYFIPQTTIDYLHNYVLENGPFAGIVGFSQGAGVAGYLATDFNGLLGLTTEEQPPLEFFMAVSGFRFQPQQYQEQYDLHPISVPSLHVQGELDTITEPAKVQGLYNSCTEDSRTLLMHSGGHFVPNSRGFVRKVAQWLQQLT", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEAPLRPAADILRRNPQQDYELVQRVGSGTYGDVYKARNVHTGELAAVKIIKLEPGDDFSLIQQEIFMVKECKHCNIVAYFGSYLSREKLWICMEYCGGGSLQDIYHVTGPLSELQIAYVCRETLQGLAYLHTKGKMHRDIKGANILLTDHGDVKLADFGVAAKITATIAKRKSFIGTPYWMAPEVAAVEKNGGYNQLCDIWAVGITAIELGELQPPMFDLHPMRALFLMSKSNFQPPKLKDKTKWSSTFHNFVKIALTKNPKKRPTAERLLTHTFVAQPGLSRALAVELLDKVNNPDNHAHYTEADDDDFEPHAIIRHTIRSTNRNARAERTASEINFDKLQFEPPLRKETEARDEMGLSSDPNFMLQWNPFVDGANTGKSTSKRAIPPPLPPKPRISSYPEDNFPDEEKASTIKHCPDSESRAPQILRRQSSPSCGPVAETSSIGNGDGISKLMSENTEGSAQAPQLPRKNDKRDFPKPAINGLPPTPKVLMGACFSKVFDGCPLKINCATSWIHPDTKDQYIIFGTEDGIYTLNLNELHEATMEQLFPRKCTWLYVINNTLMSLSEGKTFQLYSHNLIALFEHAKKPGLAAHIQTHRFPDRILPRKFALTTKIPDTKGCHKCCIVRNPYTGHKYLCGALQSGIVLLQWYEPMQKFMLIKHFDFPLPSPLNVFEMLVIPEQEYPMVCVAISKGTESNQVVQFETINLNSASSWFTEIGAGSQQLDSIHVTQLERDTVLVCLDKFVKIVNLQGKLKSSKKLASELSFDFRIESVVCLQDSVLAFWKHGMQGKSFKSDEVTQEISDETRVFRLLGSDRVVVLESRPTENPTAHSNLYILAGHENSY", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLKPLFGKADKTPADVVKNLRDALLVIDRHGTNTSERKVEKAIEETAKMLALAKTFIYGSDANEPNNEQVTQLAQEVYNANVLPMLIKHLHKFEFECKKDVASVFNNLLRRQIGTRSPTVEYLAARPEILITLLLGYEQPDIALTCGSMLREAVRHEHLARIVLYSEYFQRFFVFVQSDVFDIATDAFSTFKDLMTKHKNMCAEYLDNNYDRFFGQYSALTNSENYVTRRQSLKLLGELLLDRHNFSTMNKYITSPENLKTVMELLRDKRRNIQYEAFHVFKIFVANPNKPRPITDILTRNRDKLVEFLTAFHNDRTNDEQFNDEKAYLIKQIQELRV", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDSPPKLTGETLIVHHIPLVHCQVPDRQCCGGAGGGGGSTRPNPFCPPELGITQPDQDLGQADSLLFSSLHSTPGGTARSIDSTKSRSRDGRGPGAPKRHNPFLLQEGVGEPGLGDLYDDSIGDSATQQSFHLHGTGQPNFHLSSFQLPPSGPRVGRPWGTTRSRAGVVEGQEQEPVMTLDTQQCGTSHCCRPELEAETMELDECGGPGGSGSGGGASDTSGFSFDQEWKLSSDESPRNPGCSGSGDQHCRCSSTSSQSEAADQSMGYVSDSSCNSSDGVLVTFSTLYNKMHGTPRANLNSAPQSCSDSSFCSHSDPGAFYLDLQPSPFESKMSYESHHPESGGREGGYGCPHASSPELDANCNSYRPHCEPCPAVADLTACFQSQARLVVATQNYYKLVTCDLSSQSSPSPAGSSITSCSEEHTKISPPPGPGPDPGPSQPSEYYLFQKPEVQPEEQEAVSSSTQAAAAVGPTVLEGQVYTNTSPPNLSTGRQRSRSYDRSLQRSPPVRLGSLERMLSCPVRLSEGPAAMAGPGSPPRRVTSFAELAKGRKKTGGSGSPPLRVSVGDSSQEFSPIQEAQQDRGAPLDEGTCCSHSLPPMPLGPGMDLLGPDPSPPWSTQVCQGPHSSEMPPAGLRATGQGPLAQLMDPGPALPGSPANSHTQRDARARADGGGTESRPVLRYSKEQRPTTLPIQPFVFQHHFPKQLAKARALHSLSQLYSLSGCSRTQQPAPLAAPAAQVSVPAPSGEPQASTPRATGRGARKAGSEPETSRPSPLGSYSPIRSVGPFGPSTDSSASTSCSPPPEQPTATESLPPWSHSCPSAVRPATSQQPQKEDQKILTLTEYRLHGTGSLPPLGSWRSGLSRAESLARGGGEGSMATRPSNANHLSPQALKWREYRRKNPLGPPGLSGSLDRRSQEARLARRNPIFEFPGSLSAASHLNCRLNGQAVKPLPLTCPDFQDPFSLTEKPPAEFCLSPDGSSEAISIDLLQKKGLVKAVNIAVDLIVAHFGTSRDPGVKAKLGNSSVSPNVGHLVLKYLCPAVRAVLEDGLKAFVLDVIIGQRKNMPWSVVEASTQLGPSTKVLHGLYNKVSQFPELTSHTMRFNAFILGLLNIRSLEFWFNHLYNHEDIIQTHYQPWGFLSAAHTVCPGLFEELLLLLQPLALLPFSLDLLFQHRLLQSGQQQRQHKELLRVSQDLLLSAHSTLQLARARGQEGPGDVDRAAQGERVKGVGASEGGEEEEEEEETEEVAEAAGGSGRARWARGGQAGWWYQLMQSSQVYIDGSIEGSRFPRGSSNSSSEKKKGAGGGGPPQAPPPREGVVEGAEACPASEEALGRERGWPFWMGSPPDSVLAELRRSREREGPAASPAENEEGASEPSPGGIKWGHLFGSRKAQREARPTNRLPSDWLSLDKSMFQLVAQTVGSRREPEPKESLQEPHSPALPSSPPCEVQALCHHLATGPGQLSFHKGDILRVLGRAGGDWLRCSRGPDSGLVPLAYVTLTPTPSPTPGSSQN", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEPEVIRDKDSMRKWSRAMRSQGKTIGLVPTMGYLHEGHLSLVRQSLALTDVTVVSIYVNPGQFSPTEDLSTYPSDFSGDLTKLAALSGGKVVVFNPKNLYDYGGETKKINDGGGNGGRVVSCVEEGGLGHETWIRVERLEKGFCGKSRPVFFRGVATIVTKLFNIVEPDVALFGKKDYQQWRIIQRMVRDLNFGIEIVGSDIAREKDGLAMSSRNVRLSDEERQRALSISRSLAMAKASVAEGKTNCAELKDMIIQQVVGSAGRVDYVEIVDQETLEGVEEIKSGVVICVAAWFGTVRLIDNIEINVSL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQKCAGHAPLVTAASRVSQDTVDALLQAILKAYHKLASIDSHINDPVEIAFKLINSFKYLPISGSSVKDFESELRELDVFSPLLQSAVTAANNSNIIWDLIAVLFAYISIHKQLHPLILHNLNIWKDFMADNDEETATTTDGDSMNFGVLSLLSIVQNFEEITPNLFEFLKLGLRSTLLKIWVAQWQRYDPSATNLINGDEKISSWITKDYQVDFFIITSLASTSSLEVLPSHYFVYKISKRISHFPNLIDPKLYRSAISTIMENGISDNGGGENSSDKIDPTDLSFHLQVLMEVIDHPELNYLQENRLILLLDIALNYLILVPTHCLHSNFGELGSTQSLASTLNIIQFLLSKFLINMGSISQLINQYNRKCITTNNINNNNINNNGVINGSTNTTSTTTTTITNNNNNSNNSSISNNNRKIDWTQSYQTRYQIPYWFEDSILPPIPPISKSLFTFDKNLDHESDSIMIVNDVLRCLNLTILLISKLLRDYDDLKINPLIQSSDDHSNEDNHVIIEQYMQLYLVPLFTSLLLAQQLKDRGQERDEGHKEKEENINLIGSSSVKKLFSQLIFFSSLKLCENLVIKEKNLALYHLIKFATKVSLDDLILQKISINLLNHLFFHQIRDGSDDDNLIKKLCLKNQLSFQALKDYITLWNDGSEVYNAFYKELFYEEQPKIKPIKLTTSDLLKLFPEDVQFVISTPPNTITSASTSDNCTSSQSAAQKNIENFTTLSKYDVYSSTSFIPSTSKNTNTNVSKQQQQPQNSTPCSSNRFLFNKSSLISQESNGSNNNSGTQGPGSMNESYSLDNSFNTTNTNMTRQPTTLTRATDAMTTAPTTPIPYKNTSGSSNNNLWIESPMTNFKGSTISKSTNKSKMVNTGKNYILGGHNKVKNNSRAQSIHIDDFENENN", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNTNDAKEYLARREIPQLFESLLNGLMCSKPEDPVEYLESCLQKVKELGGCDKVKWDTFVSQEKKTLPPLNGGQSRRSFLRNVMPENSNFPYRRYDRLPPIHQFSIESDTDLSETAELIEEYEVFDPTRPRPKIILVIGGPGSGKGTQSLKIAERYGFQYISVGELLRKKIHSTSSNRKWSLIAKIITTGELAPQETTITEIKQKLMQIPDEEGIVIDGFPRDVAQALSFEDQICTPDLVVFLACANQRLKERLLKRAEQQGRPDDNVKATQRRLMNFKQNAAPLVKYFQEKGLIMTFDADRDEDEVFYDISMAVDNKLFPNKEAAAGSSDLDPSMILDTGEIIDTGSDYEDQGDDQLNVFGEDTMGGFMEDLRKCKIIFIIGGPGSGKGTQCEKLVEKYGFTHLSTGELLREELASESERSKLIRDIMERGDLVPSGIVLELLKEAMVASLGDTRGFLIDGYPREVKQGEEFGRRIGDPQLVICMDCSADTMTNRLLQRSRSSLPVDDTTKTIAKRLEAYYRASIPVIAYYETKTQLHKINAEGTPEDVFLQLCTAIDSIF", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNQIEPGVQYNYVYDEDEYMIQEEEWDRDLLLDPAWEKQQRKTFTAWCNSHLRKAGTQIENIEEDFRNGLKLMLLLEVISGERLPKPDRGKMRFHKIANVNKALDYIASKGVKLVSIGAEEIVDGNVKMTLGMIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPYRNVNIQNFHTSWKDGLGLCALIHRHRPDLIDYSKLNKDDPIGNINLAMEIAEKHLDIPKMLDAEDIVNTPKPDERAIMTYVSCFYHAFAGAEQAETAANRICKVLAVNQENERLMEEYERLASELLEWIRRTIPWLENRTPEKTMQAMQKKLEDFRDYRRKHKPPKVQEKCQLEINFNTLQTKLRISNRPAFMPSEGKMVSDIAGAWQRLEQAEKGYEEWLLNEIRRLERLEHLAEKFRQKASTHETWAYGKEQILLQKDYESASLTEVRALLRKHEAFESDLAAHQDRVEQIAAIAQELNELDYHDAVNVNDRCQKICDQWDRLGTLTQKRREALERMEKLLETIDQLHLEFAKRAAPFNNWMEGAMEDLQDMFIVHSIEEIQSLITAHEQFKATLPEADGERQSIMAIQNEVEKVIQSYNIRISSSNPYSTVTMDELRTKWDKVKQLVPIRDQSLQEELARQHANERLRRQFAAQANAIGPWIQNKMEEIARSSIQITGALEDQMNQLKQYEHNIINYKNNIDKLEGDHQLIQEALVFDNKHTNYTMEHIRVGWELLLTTIARTINEVETQILTRDAKGITQEQMNEFRASFNHFDRRKNGLMDHEDFRACLISMGYDLGEAEFARIMTLVDPNGQGTVTFQSFIDFMTRETADTDTAEQVIASFRILASDKPYILAEELRRELPPDQAQYCIKRMPAYSGPGSVPGALDYAAFSSALYGESDL", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEGSDFILVVDSSVEEQVEELAMYLDNLEANTDKNVLALCREYLASENVKEVLNLFLTRLPLLAQAPEKELEPILAVFINLIQESAAFEDHVSKFCQALEQIADQNNNLTPAILSVLSILFNTAVKERQHARLSILTSVVTLTTRYSLFSTLAPNLKYFPDWLKEAGVSVSDHRAFNIFVSKAIQSYDDEQSFAFLLEAVKMDNSTADEAVRELVQRAVNSPKYFFFDDIVTLPPVQQLEQSTLQLLGILSGGMTDDYVSWVAENHAHCQHQKFDEDAIARKMKLLTIASLATQAPNNTLSYGDVAKSLKIDENEVELWIIDVIRAGLVEGRMSQLTKTLSIHRSSYRVFGKHEWVALHEKLAKWGSSLRYMLQVMEQPLSSFTIASSKKGNRDGSAVTASE", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAVPTELDGGSVKETAAEEESRVLAPGAAPFGNFPHYSRFHPPEQRLRLLPPELLRQLFPESPENGPILGLDVGCNSGDLSVALYKHFLSLPDGETCSDASREFRLLCCDIDPVLVKRAEKECPFPDALTFITLDFMNQRTRKVLLSSFLSQFGRSVFDIGFCMSITMWIHLNHGDHGLWEFLAHLSSLCHYLLVEPQPWKCYRAAARRLRKLGLHDFDHFHSLAIRGDMPNQIVQILTQDHGMELICCFGNTSWDRSLLLFRAKQTIETHPIPESLIEKGKEKNRLSFQKQ", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLNERDFDLIFDSYDFKHEGKVHLSNFLPIINDLQLLHPASAPPLLSEFQKQCTLEFVRQNADLSITKDNFRDVYKKLTENEDDSFANQAEKPSMEQQNSKNSIKEDANEHSVNSAHSKSSSNASPESLNPSQMMSKRLSLPPMSQFTDSDFVNILRTPFAQSTPLNRNTSSRNTEMPLVRKDKPDFSNGHHDLIKQITELQDMLDKARDQARKKSRTVDILEGKVNELTHQLNMADSKYNESKVANNSQNNQIKTLKAQNLNIHKNFQKIQSELIQTNSGLYSTKKELSALQVRYATLLRKFTDQTKKIEELSLAASRSSENENTIRRLALENHELKNSNNQLNNHIDDLTREKHLIALSNNPKGDEFLSPSNLDEMVYSKEVGLSFTQPSVCISIPAVGMRESEELRELEFKCKQQKKTIEECKHISQSLQSSLTAESSRNKELVAGFLMLSEEIGIQKWIIQSLSKMSPTLNDFCRRYDSSMPTYEESSHECTVLSSFSDDETGLMATNTTMNNSSKDFMASQDTVNADNPHFLATKGQPLLLLSVMKSNILRLFYVLFLFACFYGLDYILCAELLQAFLRVVFTFCEHIIILLYGRYELVQPS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDGIMKNDLLWFGNHVFDLTPNSFDSDFVEQLSKFEGKEGLKRKLFDSSEYFQNFSFQVNDDLLGKDVILDISNQQLTPAVPGCETSSNSKLISASKEITSERKRAKSSVSPSYLTDSSPSDLSVENKVLLTCPSWDGENFKNLEARSPFISEAPSRVYDYFLHNQDWSPKPLFSALQVYPLATIFNCVAGLPQGFESTVFPWNKTSSTFELDPTISVSGMSDECFASIVQKFSAIGGLIKKALNEFSLYKTNISFYLSNFIVNGVLQYRKEFQRWLRLYEFRRFGLIGLSNFVNSFSSFFELISHFLIKSAQNLKGDSLLDFLFDYARSCQNTISYPIALQCLIYCSNPYFKRLELALKVSCAYGHIDSSLFLSLPRFFPSELCVSIEQCIQFLSLIREQKEIFNKNNKEFINPLNIRFAYSFNDINQACVIEERCNFSSLSFGNLEQTSVNNDSEEFETLLAKMNMTPDFNDNLLQLKFTDDVRNVCPLNLNVCCCIAEPIQSFILSFLRSTYKVLKNDFQVFDLLNFFHSTFLFQNYEFSDNVISLLKSRRLDKSDRNELAEDLNSDDRYNFISRLKKFIFMEKEKNGLSRSLSKSITFTLDSASVSEFEDVYPDLQFQCQVIGALRILFTDNSLNYYSKTFSYVLHLFQAQSDFESSVELKDRSIVTKTTIMSWSKYQGTKESLFQFLSIYIPECMLPFTKLLKSIYSPDCPTNIQNSAIKNAASVHEQCTKAIYQKVKELFDTMKLWESSIKVS", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGEVEISALAYVKMCLHAARYPHAAVNGLFLAPAPRSGECLCLTDCVPLFHSHLALSVMLEVALNQVDVWGAQAGLVVAGYYHANAAVNDQSPGPLALKIAGRIAEFFPDAVLIMLDNQKLVPQPRVPPVIVLENQGLRWVPKDKNLVMWRDWEESRQMVGALLEDRAHQHLVDFDCHLDDIRQDWTNQRLNTQITQWVGPTNGNGNA", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MARSRQPTRFSSEAPSESSSSTSPERAADDDTDFFTLQANDSQSSIGAGNPRDSHIQNDPETVLPPIAYLPPEILISIFSKLSSPRDLLSCLLVCRIWALNCVGLLWHRPSCNNWDNLKKIAAAVGEEDSFFLYSSLIKRLNLSALTEDVSDGTVVPFSQCNRIERLTLTNCRKLTDIGVSDLVVGSRHLQALDVSELRSLTDHTLFKVAENCNRLQGLNITGCVKVTDDSLIAVSQNCRLLKRLKLNGVSQVTDKAILSFAQNCPSILEIDLQECKLVTNQSVTALMTTLQNLRELRLAHCTEIDDSAFLDLPRHIQMTSLRILDLTACENIRDEAVERIVSSAPRLRNLVLAKCKFITDRAVWAICKLGKNLHYVHLGHCSNINDSAVIQLVKSCNRIRYIDLACCSRLTDRSVQQLATLPKLRRIGLVKCQLITDASILALARPAQDHSVPCSSLERVHLSYCVNLTMVGIHALLNSCPRLTHLSLTGVAAFLREELTVFCREAPPEFTRQQREVFCVFSGEGVNRLRNHLNREAAPQRDANEATMYDDEEELDEDEGQVTGLMHAAAINDDDYINITPPHA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRRFLRPGHDPVRERLKRDLFQFNKTVEHGFPHQPSALGYSPSLRILAIGTRSGAIKLYGAPGVEFMGLHQENNAVTQIHLLPGQCQLVTLLDDNSLHLWSLKVKGGASELQEDESFTLRGPPGAAPSATQITVVLPHSSCELLYLGTESGNVFVVQLPAFRALEDRTISSDAVLQRLPEEARHRRVFEMVEALQEHPRDPNQILIGYSRGLVVIWDLQGSRVLYHFLSSQQLENIWWQRDGRLLVSCHSDGSYCQWPVSSEAQQPEPLRSLVPYGPFPCKAITRILWLTTRQGLPFTIFQGGMPRASYGDRHCISVIHDGQQTAFDFTSRVIGFTVLTEADPAATFDDPYALVVLAEEELVVIDLQTAGWPPVQLPYLASLHCSAITCSHHVSNIPLKLWERIIAAGSRQNAHFSTMEWPIDGGTSLTPAPPQRDLLLTGHEDGTVRFWDASGVCLRLLYKLSTVRVFLTDTDPNENFSAQGEDEWPPLRKVGSFDPYSDDPRLGIQKIFLCKYSGYLAVAGTAGQVLVLELNDEAAEQAVEQVEADLLQDQEGYRWKGHERLAARSGPVRFEPGFQPFVLVQCQPPAVVTSLALHSEWRLVAFGTSHGFGLFDHQQRRQVFVKCTLHPSDQLALEGPLSRVKSLKKSLRQSFRRMRRSRVSSRKRHPAGPPGEAQEGSAKAERPGLQNMELAPVQRKIEARSAEDSFTGFVRTLYFADTYLKDSSRHCPSLWAGTNGGTIYAFSLRVPPAERRMDEPVRAEQAKEIQLMHRAPVVGILVLDGHSVPLPEPLEVAHDLSKSPDMQGSHQLLVVSEEQFKVFTLPKVSAKLKLKLTALEGSRVRRVSVAHFGSRRAEDYGEHHLAVLTNLGDIQVVSLPLLKPQVRYSCIRREDVSGIASCVFTKYGQGFYLISPSEFERFSLSTKWLVEPRCLVDSAETKNHRPGNGAGPKKAPSRARNSGTQSDGEEKQPGLVMERALLSDERVLKEIQSTLEGDRGSGNWRSHRAAVGCSLSNGGAE", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MCYLSRMSSQQMQLTISGFENRIKILEDLMNEFDSNLYIRLKRECDFKLPKEYDVSIHALTILMKRHLSNMEPIDCYQDLDTGTLQTMLIFCCTLRMIEHYEKSLSNINFFSLSNDLKRLEEYNTSCSVLLSLYQRQLKKISTTIESYYLADTLRHNQNILNLCERIYNIQKLLNKREKASVLRH", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSHSLTSVFQKIDSLKPQFFSRLTKAIQIPAVSSDESLRSKVFDKAKFISEQLSQSGFHDIKMVDLGIQPPPISTPNLSLPPVILSRFGSDPSKKTVLVYGHYDVQPAQLEDGWDTEPFKLVIDEAKGIMKGRGVTDDTGPLLSWINVVDAFKASGQEFPVNLVTCFEGMEESGSLKLDELIKKEANGYFKGVDAVCISDNYWLGTKKPVLTYGLRGCNYYQTIIEGPSADLHSGIFGGVVAEPMIDLMQVLGSLVDSKGKILIDGIDEMVAPLTEKEKALYKDIEFSVEELNAATGSKTSLYDKKEDILMHRWRYPSLSIHGVEGAFSAQGAKTVIPAKVFGKFSIRTVPDMDSEKLTSLVQKHCDAKFKSLNSPNKCRTELIHDGAYWVSDPFNAQFTAAKKATKLVYGVDPDFTREGGSIPITLTFQDALNTSVLLLPMGRGDDGAHSINEKLDISNFVGGMKTMAAYLQYYSESPEN", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSFWPFGQNLNHSNINKILDEYFHVLHELERINPSVGKAIPAIFNNVQERGTSDSLDSIPEEYSHGDEVKTARGDQKSRFEKDDQQERYEKEEEERSMNSSESSTTSFSSGSTSKTDLDEEDISNATAPMMVTTKNLDNSFIERMLVETELLNELSRQNKTLLDFICFGFFFDKKTNKKVNNMEYLVDQLMECISKIKTATTVDLNNLIDYQEQQQLDDSSQEDVYVESDTEQEEEKEDDNNSNNKKRRKRGSSSFGNDDINNNDDDDDANEDDESAYLTKATIISEIFSLDIWLISESLVKNQSYLNKIWSIINQPNFNSENSPLVPIFLKINQNLLLTRQDQYLNFIRTERSFVDDMLKHVDISLLMDFFLKIISTDKIESPTGIIELVYDQNLISKCLSFLNNKESPADIQACVGDFLKALIAISANAPLDDISIGPNSLTRQLASPESIAKLVDIMINQRGAALNTTVSIVIELIRKNNSDYDQVNLLTTTIKTHPPSNRDPIYLGYLLRKFSNHLSDFFQIILDIENDANIPLHENQLHEKFKPLGFERFKVVELIAELLHCSNMGLMNSKRAERIARRRDKVRSQLSHHLQDALNDLSIEEKEQLKTKHSPTRDTDHDLKNNNGKIDNDNNDNDDESDYGDEIDESFEIPYINMKQNIKLRTDPTVGDLFKIKLYDTRIVSKIMELFLTHPWNNFWHNVIFDIIQQIFNGRMDFSYNSFLVLSLFNLKSSYQFMTDIVISDEKGTDVSRFSPVIRDPNFDFKITTDFILRGYQDSYKFYELRKMNLGYMGHIVLIAEEVVKFSKLYKVELISPDIQVILQTEEWQYYSEEVLNETRMMYSKILGGGSYIDDGNGNIIPQLPDNTTVLTPNGDASNNNEILDSDTGSSNGTSGGGQLINVESLEEQLSLSTESDLHNKLREMLINRAQEDVDNKNTENGVFILGPPEDKNSNSNINNTNHNSNNSNNNDNNDNNDNDNDNTRNYNEDADNDNDYDHE", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAHFKEYQVIGRRLPTESVPEPKLFRMRIFASNEVIAKSRYWYFLQKLHKVKKASGEIVSINQINEAHPTKVKNFGVWVRYDSRSGTHNMYKEIRDVSRVAAVETLYQDMAARHRARFRSIHILKVAEIEKTADVKRQYVKQFLTKDLKFPLPHRVQKSTKTFSYKRPSTFY", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MIALSALLTKYTIGIMSNLSNGNSNNNNQQQQQQQQGQNPQQPAQNEGGAGAEFVAPPPGLGAAVGVAAMQQRNRLLQQQQQQHHHHQNPAAEGSGLERGSCLLRYASQNSLDESSQKHVQRPNGKERGTVGQYSNEQHTARSFDAMNEMRKQKQLCDVILVADDVEIHAHRMVLASCSPYFYAMFTSFEESRQARITLQSVDARALELLIDYVYTATVEVNEDNVQVLLTAANLLQLTDVRDACCDFLQTQLDASNCLGIREFADIHACVELLNYAETYIEQHFNEVIQFDEFLNLSHEQVISLIGNDRISVPNEERVYECVIAWLRYDVPMREQFTSLLMEHVRLPFLSKEYITQRVDKEILLEGNIVCKNLIIEALTYHLLPTETKSARTVPRKPVGMPKILLVIGGQAPKAIRSVEWYDLREEKWYQAAEMPNRRCRSGLSVLGDKVYAVGGFNGSLRVRTVDVYDPATDQWANCSNMEARRSTLGVAVLNGCIYAVGGFDGTTGLSSAEMYDPKTDIWRFIASMSTRRSSVGVGVVHGLLYAVGGYDGFTRQCLSSVERYNPDTDTWVNVAEMSSRRSGAGVGVLNNILYAVGGHDGPMVRRSVEAYDCETNSWRSVADMSYCRRNAGVVAHDGLLYVVGGDDGTSNLASVEVYCPDSDSWRILPALMTIGRSYAGVCMIDKPMUMEEQGALARQAASLAIALLDDENSQAEGTMEGAIGGAIYGNLAPAGGAAAAAAPAAPAQAPQPNHPHYENIYAPIGQPSNNNNNSGSNSNQAAAIANANAPANAEEIQQQQQPAPTEPNANNNPQPPTAAAPAPSQQQQQQQAQPQQPQRILPMNNYRNDLYDRSAAGGVCSAYDVPRAVRSGLGYRRNFRIDMQNGNRCGSGLRCTPLYTNSRSNCQRQRSFDDTESTDGYNLPYAGAGTMRYENIYEQIRDEPLYRTSAANRVPLYTRLDVLGHGIGRIERHLSSSCGNIDHYNLGGHYAVLGHSHFGTVGHIRLNANGSGVAAPGVAGTGTCNVPNCQGYMTAAGSTVPVEYANVKVPVKNSASSFFSCLHGENSQSMTNIYKTSGTAAAMAAHNSPLTPNVSMERASRSASAGAAGSAAAAVEEHSAADSIPSSSNINANRTTGAIPKVKTANKPAKESGGSSTAASPILDKTTSTGSGKSVTLAKKTSTAAARSSSSGDTNGNGTLNRISKSSLQWLLVNKWLPLWIGQGPDCKVIDFNFMFSRDCVSCDTASVASQMSNPYGTPRLSGLPQDMVRFQSSCAGACAAAGAASTIRRDANASARPLHSTLSRLRNGEKRNPNRVAGNYQYEDPSYENVHVQWQNGFEFGRSRDYDPNSTYHQQRPLLQRARSESPTFSNQQRRLQRQGAQAQQQSQQPKPPGSPDPYKNYKLNADNNTFKPKPIAADELEGAVGGAVAEIALPEVDIEVVDPVSLSDNETETTSSQNNLPSTTNSNNLNEHND", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSHRKYEAPRHGHLGFLPRKRAASIRARVKAFPKDDRSKPVALTSFLGYKAGMTTIVRDLDRPGSKFHKREVVEAVTVVDTPPVVVVGVVGYVETPRGLRSLTTVWAEHLSDEVKRRFYKNWYKSKKKAFTKYSAKYAQDGAGIERELARIKKYASVVRVLVHTQIRKTPLAQKKAHLAEIQLNGGSISEKVDWAREHFEKTVAVDSVFEQNEMIDAIAVTKGHGFEGVTHRWGTKKLPRKTHRGLRKVACIGAWHPAHVMWSVARAGQRGYHSRTSINHKIYRVGKGDDEANGATSFDRTKKTITPMGGFVHYGEIKNDFIMVKGCIPGNRKRIVTLRKSLYTNTSRKALEEVSLKWIDTASKFGKGRFQTPAEKHAFMGTLKKDL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTEKAPEPHVEEDDDDELDSKLNYKPPPQKSLKELQEMDKDDESLIKYKKTLLGDGPVVTDPKAPNVVVTRLTLVCESAPGPITMDLTGDLEALKKETIVLKEGSEYRVKIHFKVNRDIVSGLKYVQHTYRTGVKVDKATFMVGSYGPRPEEYEFLTPVEEAPKGMLARGTYHNKSFFTDDDKQDHLSWEWNLSIKKEWTE", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTLKEQSSTIDRLSKENFDLKMRIHFLNEALNRRSEEGIKEMISENVELKSDKLKLQKDNQGLKRKIRDLEKQLKDQQSDKESMLNHDPEGGSDEEDRDHAQDEELLFLRERVETYELEIERLRSESIARESEKRRLAEMLKSLNDGRPTGSDSGAREERDMWKDMLDAETAAREQADEENKRLREELMRVRSEATYAIAPAAPLRSGHRNRGDSLVSHSAVSDRELYRNTAAGSSSSSTLVMEFELLKQENAELRREVSAQTSMLTSRNREKERLYQEIEELKLGQRRDRSIAGDSILDRSASRAQGRPSSSISDRTGQSPIDDAEREDWELRNGQLRDQVSALKLENQAIRQELEELDKAYSADVEQAEEDIQTLQQERDQAMQVAEERDAAFQELRAEAQEELDALGDELDQKIEECQRLTEDLRTQDDNLRALQAEMRSASEGIIRLEEDAQNNLQRYKAVQQELEQCNEEMESLEKSLYEANSKVQRLTVQIESSQNEIAFLREEQDGDKIKIGDLESELKTYRMSLQSEKDKTKELEGRLAEERYQREVVGSKEKQEVQRIMNELNREVSAAKEECRKLKKNLSAQEIETNTWKERLTDLENNLRETLGDLTGSRSSLIANIMKLQKELESTALELESTRSTLDEKETLLRNRDALLESHGLESRKLSELLERERQARRADKQSFEQALKSHHQASRTITQNNSRILELENARNQDRKRFTSLEQQFREQLNERNSMLLTIWKRLSGMCGPDWAHSNSLINGNLPSQEVIGNILFWPGFSRNLLLAVKTLENVISSFKTRVKDVERNLTKQYQTLEHAFSLRIKKLDRLEETTMNMRAQLQTRNQTGLSPELSKLRGENRLLKAELNLLQNHPRSRSTTAGVAGSPQSSTIDLAERGSLVRANTAAESPARSSIPQPAQMTNLAEPTGAVTRPRQFKPSDNHGNQEVWIKRLHELERRLKAEREARLLDRNGARRRLEERDAENKRLRAQLDRQRLRQGVSTETSTDDGGHGPPSEPTTGDEGYREREEEHSSSEGEGITVDIEV", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAPPAVLSKSGVIYGKDVKDLFDYAQEKGFAIPAINVTSSSTVVAALEAARDNKAPIILQTSQGGAAYFAGKGVDNKDQAASIAGSIAAAHYIRAIAPTYGIPVVLHTDHCAKKLLPWFDGMLKADEEFFAKTGTPLFSSHMLDLSEETDDENIATCAKYFERMAKMGQWLEMEIGITGGEEDGVNNEHVEKDALYTSPETVFAVYESLHKISPNFSIAAAFGNVHGVYKPGNVQLRPEILGDHQVYAKKQIGTDAKHPLYLVFHGGSGSTQEEFNTAIKNGVVKVNLDTDCQYAYLTGIRDYVTNKIEYLKAPVGNPEGADKPNKKYFDPRVWVREGEKTMSKRIAEALDIFHTKGQL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSEAEAQEPEPVPQPESEPEIQKPGIAAARNQANKKVLATQVQGTVKWFNVRNGYGFINRNDTKEDVFVHQTAIKKNNPRKFLRSVGDGETVEFDVVEGEKGAEAANVTGPGGVPVKGSRFAPNRRRFRRRFYRPRADTAGESGGEGVSPEQMSEGERGEETSPQQRPQRRRPPPFFYRRRFRRGPRPNNQQNQGAEVTEQSENKDPVAPTSEALASGDDPQRPPPRRFRQRFRRPFRPRPAPQQTPEGGDGETKAESGEDPRPEPQRQRNRPYVQRRRRQGATQVAATAQGEGKAEPTQHPASEEGTPSDSPTDDGAPVQSSAPDPGIADTPAPE", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MMCTAKKCGIRFQPPAIILIYESEIKGKIRQRIMPVRNFSKFSDCTRAAEQLKNNPRHKSYLEQVSLRQLEKLFSFLRGYLSGQSLAETMEQIQRETTIDPEEDLNKLDDKELAKRKSIMDELFEKNQKKKDDPNFVYDIEVEFPQDDQLQSCGWDTESADEF", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MESSQGRRRRPGTVVPGEAAETDSELSASSSEEELYLGPSGPTRGRPTGLRVAGEAAETDSEPEPEPTVVPVDLPPLVVQRDPAETWGTEETPAMAPARSLLQLRLAESQTRLDHDVAAAVSGVYRRAGRDVAALAGRLAAAQATGLAAAHSVRLARGDLCALAERLDIVAGCRLLPDIRGVPGMEPEQDPGPRA", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGEKDKLHEISSKFASLGLGSLKSTPKARETTEPPPPSSQQPPSTPNGKEAASPSALKQNVRPSLNSVQQTPASIDAVASSSNVSLQSQQPLSKPVVSSKPNQTTAMPPPSNNPSRHVSSTSNKPAAVSPNPAAHHAELPSGSVPPSASVSRANSTATTTPHKAGVVSNPAAANVHVLSVAASPNPSTPSNGPAPVSTTATPSRNPVTRLQRIFSQNSVSRQNSRTGRGAAVANTEETNSTGGSETGGAANSSSTSNPSSAKWSRFTVYDDASHTHQLRPARRQEKLGKMLKDFLAGNSKKREEERIAKEAADAQHQLSLVQSWINGYGQEKLADKKDPAKVSASFVEKYGRCQEVIGRGAFGVVRIAHKVDPQNSGSETLYAVKEFRRKPAESQKKYTKRLTSEFCISSSLRHPNVIHTLDLIQDGKGDYCEVMELCSGGDLYTLIMAAGRLEPMEADCFFKQLMRGVDYLHDMGVAHRDLKPENLLLTVSGSLKITDFGNGECFRMAWEKEAHMTCGLCGSAPYIAPEEYTESEFDPRAVDVWACGVIYMAMRTGRHLWRVAKKSEDEYYSRYLMDRKNESGYEPIEMLERSRCRNTLYNILHPNPTYRLTAKQIMKSEWVRSITLCEAGNAGL", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNQEDNTGGGGIFGLFKWTKDALFGTDISPSMKYKDQEERRDRSRYAQDDTNFSMKFGNDSNRRSTNLSRSNSWSGLDSTLHRKYELLPEYNENGFNSIVNGDHHSKERIRSLRSPAPIVPREPLRNEPTDTFGHRLHTKRRTINELSNSQIPFIPPQEDDPLLSKLFNKDGVNEVRRSPYKLSVKDIPGKFPSPLTKRDEIDNYYVRDEDACHKNREYKKAYFDLFAQMDLNSRDLEDLCEDVREQREQFHRNEQTYKQAYEEMRAELVNELKKSKTLFENYYSLGQKYKSLKKVLDQTISHEAELATSRERLYQEEDLKNFEIQTLKQRLSDLELKYTNLQIEKDMQRDNYESEIHDLLLQLSLRNNERKDTSAGSNIFSTGQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAISSLSSPSGARVSSVTVKNKVLKTPCFFLPTSRGTVPHLTPDNVEEFDIPALYVGLEDCLDRLEASPILTNEGTIKKWIAAPSVQPTLLAPRRTSPLPSVSAGQSHINIVTASGAKKLTNDLYIKAVLKLCPELVIPLNDTPTSPPGVKRKPKIVERSVNWTTELLLALKATDAFNTTKVFFPVPDLDTQYLTPIFQFFQENQLANNIAGLAFSNNVNPLPADLVGLPRLSIQKFESPLEILKCIQRGIDIIVPDMITQATDAGVALTFSFPPPSKDVLNSKIELGLDMWDERFATDMEPLQSGCVCKTCRRYKRAYVRHLLQARELVAWILLQLHNVYAFTAFFQGIRASIQEGNFDEDVRKFEEIYMTSFPASHGFGPRKRGYQMDLTNVQPVENKPAWISMKSPLEKEIANEYEALKVTERKEDTQDYNEPELHNSNDPTVDLYADTYATQAATESDSELEDALFSQLDEFDDTAYREQRLEMLKKEFARVEAAKEKGHMQFLTVENEREVMDFTLSSKKVVIHFYHPDFIRCKIIDSHLEKIAKVHWETKFIRIEAANAPFLVVKLGLKVLPAVLCYVNSQLVDKIIGFADLGNKDDFETSLLEFRLLKSSAIDRLKEESSSNKSIYHDELQNNQSDDSDFFE", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPETEHETCSKEWLQSQLRSLDSKDLILLDCRGSHEYSESHIRGAVNLCIPSIVLRRLAVGKIDLASTIKSPELKQRIQSGYKLCWFILYNGEGVPGQNQEIAGAGSLAVAMDSIISILHRRLKQDGCRVVALQDGFNNFRQAFPEWCEDDNQTHSKEIESSRNVQTDQLMGLRSLRISTTQSDSACSSSAESSDCESSSHHHHHHSHHNYNEAPVEIIPGLLFLGNATHSCDSEALKKYNIKYVLNVTPDLPNKFKESGDIKYLQIPITDHYSQDLAIHFPDAIQFIEEARSASSVVLVHCLAGVSRSVTVTLAYLMHTRGLSLNDAFAMVRDRKPDVSPNFHFMQQLLSFESQLRLRPGSRFSCSCIAPDCNCMQTTGFMATHLANATGVSPDSGIEFDRWTPSDTGLK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEDSNNEASDDFNNLLNKEIESAKEVKLRKFANRNNNRNENSSKVKDASGFRLRVIQTDGHKTKKTDPDYEVTIDGPLRKIEPYFFTYKTFCKERWRDRKLVDVFVSEFRDREPSYYSKTIAEGKVYLNDEPANLDTIIRDGDLITHKVHRHEPPVTSKPIDIVFEDEDILVIDKPSSIPVHPTGRYRFNTITKMLERQLGYSVHPCNRLDKPTSGLMFLAKTPLGADRMGDQMKAREVTKEYVARVKGEFPIGIVEVDKPVRSVNPKVALNAVCEMSDENAKHAKTVFQRVSYDGQTSIVKCKPLTGRTHQIRVHLQYLGFPIANDPIYSNPDIWGPDLGRGGLQNYDDIVLKLDAIGKTNPAESWIHPHSEGEYLLGRQCEECEAEMYTDPGTNDLDLWLHAFRYESLERNSDTQKPLWSYRTKYPEWALEPHRRYMEMAVKEAGKCGPTKTAFSVGAVLVHGTQVLATGYSRELPGNTHAEQCALIKYSQLHPNCPTIVPMGTVLYTTMEPCSFRLSGNEPCCDRILATQGAIGTVFVGVMEPDTFVKNNTSLNKLESHGVNYIQIPGYEEECTIIAFKGHDNSDDKA", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNVLVYNGPGTTPGSVKHAVESLRDFLEPYYAVSTVNVKVLQTEPWMSKTSAVVFPGGADLPYVQACQPIISRLKHFVSKQGGVFIGFCAGGYFGTSRVEFAQGDPTMEVSGSRDLRFFPGTSRGPAYNGFQYNSEAGARAVKLNLPDGSQFSTYFNGGAVFVDADKFDNVEILATYAEHPDVPSSDSGKGQSENPAAVVLCTVGRGKVLLTGPHPEFNVRFMRKSTDKHFLETVVENLKAQEIMRLKFMRTVLTKTGLNCNNDFNYVRAPNLTPLFMASAPNKRNYLQEMENNLAHHGMHANNVELCSELNAETDSFQFYRGYRASYDAASSSLLHKEPDEVPKTVIFPGVDEDIPPFQYTPNFDMKEYFKYLNVQNTIGSLLLYGEVVTSTSTILNNNKSLLSSIPESTLLHVGTIQVSGRGRGGNTWINPKGVCASTAVVTMPLQSPVTNRNISVVFVQYLSMLAYCKAILSYAPGFSDIPVRIKWPNDLYALSPTYYKRKNLKLVNTGFEHTKLPLGDIEPAYLKISGLLVNTHFINNKYCLLLGCGINLTSDGPTTSLQTWIDILNEERQQLHLDLLPAIKAEKLQALYMNNLEVILKQFINYGAAEILPSYYELWLHSNQIVTLPDHGNTQAMITGITEDYGLLIAKELVSGSSTQFTGNVYNLQPDGNTFDIFKSLIAKKVQS", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGKFGTTNKSTENLLRDKFVPETSPTNIPTDVLIKQGQITDSTESLIHGGAERYIVNALKPIELNKTEGFFEDPPFHLPSPPVDSTNLEYEDVTDLPKNGLRYDLNDISVEVIEDLYRQIEAFLVHFKLSRSFLQIFKNYVNILIQEGINPLRDEYFTILEDELKGFFTFNSVIEEILEIFLIHPRNKFIALSLAEYTYAKNKIRRHFNHWKTVCELNEEANRFANQAKLRVQEAVFYIWSDKTLKYSQMANDEAESFRNTWLLFRSFQQWITLTQTLKEQSRLADQAFLNKMFRKILKAQEHWKHLETVNTDNIKKIFLRTTFHIWKLRHKEINYHGLERRIFERIKQKVINYEYNKSIAEKVRSFSLQRKYLNKWEKKNIENEDKLGALYELENKFIKQKFFRKLNRSFQHSQQEAIAKSKLNQTLLRCVFEKMWLKRFEDHLHLYSIVSLKEANLVKRIFHSWKKLLYIDLKASDYSRTNLLKSSLRSWKLEVKLKIFEQKCKKSIQASAYRTWRKRIQYGKISSEHVKTAFCAKYLGVWKRRMLQMNSMNDEASKFYEEGLVNECLAIWKERLIKTKELEDRYNFLCKTHAILTVKRTLMHIDNVHLLYTKLAPSMDRVKLSKAFLKWRKATRFKVRHKLNDILHVYEKSKERELQSQLFNAWRNRFCFYTEECNIQAISKRNYQLEKMVLKKFRERLLEIVKSEELADEVREEFVLVKTFYIWKTHLDEIFYMSTLLEQSEANKQFIITSKFLKMWSLRFLKIKRNDETVEVFRHRWDRATVRGLLLLWKNRSDSSPKRRKDFNLKHELKTPIRSDSQNASTIPGSERIKQHRMEAMKSHYSRARRAIPSPVKSSSVLDSTAKKQINLESTTGLNGSPTRGKPLRYSPRRTTRNMPSKVDHIDFGRIPAVPFSLSANSPKIDQDMDYIREHDKSPLSRKRQ", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MATQVEPLLPAGAPLLQAEEHGLARKKPAPDAQAESGPGDGGGEPDGGVRRPRPACARPGRDGAERESPRPPAAAEAPAGSDGEDGGRRDFVEAPPPKVNPWTKHAPPPAAVNGQPPPEPSAPAKVVRAAAPKPRKGSKVGDFGDAVNWPTPGEIAHKSVQPQSHKPQPARKLPPKKDMKEQEKGDGSDSKESPKTKSDESGEEKNGDEDCQRGGQKKKGSKHKWVPLQIDMKPEVPREKLASRPTRPQEPRHTPAVRGEMKGSEPATYMPVSVAPPTPAWQPETKVEPAWHDQDETSSVKSDGAGGARASFRGRGRGRGRGRGRGRGGTRTHFDYQFGYRKFDGTEGPRTHKYMNNITYYFDNVSSNEIYSMDQELLKDYIKRQIEYYFSVDNLERDFFLRRKMDADGFLPITLIASFHRVQALTTDISLIFAALKDSKVVEMVEEKVRRREEPEKWPLPGPPIVDYSQTDFSQLLNCPEFVPRQHYQKETESAPGSPRAVTPVPTKTEEVSNLKTLPKGLSASLPDLDSESWIEVKKRPRPSPARPKKPEEPRFSHPTALPQQLPSQQLMSKDQDEQEELDFLFDEEMEQMDGRKNTFTAWSEEDSDYEIDDRDVNKILIVTQTPPYMRRHPGGDRTGNHTSRAKMSAELAKVINDGLFYYEQDLWTEKFEPEYSQIKQEVENFKKVNMISREQFDTLTPEPPVDPNQEVPPGPPRFQQVPTDALANKLFGAPEPSTIARSLPTTVPESPNYRNARTPRTPRTPQLKDSSQTPRFYPVVKEGRTLDAKMPRKRKTRHSSNPPLESHVGWVMDSREHRPRTASISSSPSEGTPAVGSYGCTPQSLPKFQHPSHELLKENGFTQHVYHKYRRRCLNERKRLGIGQSQEMNTLFRFWSFFLRDHFNKKMYEEFKQLALEDAKEGYRYGLECLFRYYSYGLEKKFRLDIFKDFQEETVKDYEAGQLYGLEKFWAFLKYSKAKNLDIDPKLQEYLGKFRRLEDFRVDPPMGEEGNHKRHPVVAGGSGEGRKRCPSQSSSRPATGISQPPTTPTGQATREDAKWTSQHSDTLTLRK", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSDYENDDECWSVLEGFRVTLTSVIDPSRITPYLRQCKVLNPDDEEQVLSDPNLVIRKRKVGVLLDILQRTGHKGYVAFLESLELYYPQLYKKVTGKEPARVFSMIIDASGESGLTQLLMTEVMKLQKKVQDLTALLSSKDDFIKELRVKDSLLRKHQERVQRLKEECEAGSRELKRCKEENYDLAMRLAHQSEEKGAALMRNRDLQLEIDQLKHSLMKAEDDCKVERKHTLKLRHAMEQRPSQELLWELQQEKALLQARVQELEASVQEGKLDRSSPYIQVLEEDWRQALRDHQEQANTIFSLRKDLRQGEARRLRCMEEKEMFELQCLALRKDSKMYKDRIEAILLQMEEVAIERDQAIATREELHAQHARGLQEKDALRKQVRELGEKADELQLQVFQCEAQLLAVEGRLRRQQLETLVLSSDLEDGSPRRSQELSLPQDLEDTQLSDKGCLAGGGSPKQPFAALHQEQVLRNPHDAGLSSGEPPEKERRRLKESFENYRRKRALRKMQKGWRQGEEDRENTTGSDNTDTEGS", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSIDCLYRRSSLFDTSFVPLHSSIPATSKMSASNSDVNAPISPVVDEGKSELVSPTLERLVAPFNCSPSSTPLQDVAGVGSKMSDTLWMGDLEPWMDATFIQQLWASLNEPVNVKVMRSKASSSETLISYCFVQFSSSAAAERALMKYNNTMIPGAHCTFKLNWATGGGIQHNNFVSRDPEFSIFVGDLLPTTEDSDLFMTFRSIYPSCTSAKIIVDPVTGLSRKYGFVRFSSEKEQQHALMHMQGYLCQGRPLRISVASPKSRASIAADSALGIVPTSTSNRQPNQDLCSMDPLNTTVFVGGLASNLSEKDLQVCFQPFGRILNIKIPFGKGCGFVQYSEKSAAEKAINTMQGALVGTSHIRLAWGHNTLPVSALSQSQSQVSDEGFDRTLSANQIFGMNQSVIGANSGSSNSSGSSLKSAPVSPRTAAAQSLLPNSVVSSINGMNSVNFSTISPPPLSRSASISPTLSGSGSGLTPLSSHFPSAATGLVGGQVYPQSSVLQSSKINGSAKVQPSVKLPEWLQPFSGNNHNSFATQDLLTRVSSLKLVDDEQPASLNGSAFQARASRPWNLGRERQSSLIDLRHELEQNENGLEKSGFGLNLRGRLPPRSYSTFNCTGQYLQPSLRLSRDS", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAIVKRGGRTKTKQQQVPAKSSGGGSSGGIKKAEFDITKKKEVGVSDLTLLSKITDEAINENLHKRFMNDTIYTYIGHVLISVNPFRDLGIYTLENLNKYKGRNRLEVPPHVFAIAESMYYNLKSYGENQCVIISGESGAGKTEAAKQIMQYIANVSVNQDNVEISKIKDMVLATNPLLESFGCAKTLRNNNSSRHGKYLEIKFSEGNYQPIAAHITNYLLEKQRVVSQITNERNFHIFYQFTKHCPPQYQQMFGIQGPETYVYTSAAKCINVDGVDDAKDFQDTLNAMKIIGLTQQEQDNIFRMLASILWIGNISFVEDENGNAAIRDDSVTNFAAYLLDVNPEILKKAIIEKTIETSHGMRRGSTYHSPLNIVQATAVRDALAKGIYNNLFEWIVERVNISLAGSQQQSSKSIGILDIYGFEIFERNSFEQICINYVNEKLQQIFIQLTLKAEQDEYVQEQIKWTPIDYFNNKVVCDLIEATRPQPGLFAALNDSIKTAHADSEAADQVFAQRLSMVGASNRHFEDRRGKFIIKHYAGDVTYDVAGMTDKNKDAMLRDLLELVSTSQNSFINQVLFPPDLLTQLTDSRKRPETASDKIKKSANILVDTLSQCTPSYIRTIKPNQTKKPRDYDNQQVLHQIKYLGLKENVRIRRAGFAYRSTFERFVQRFYLLSPATGYAGDYIWRGDDISAVKEILKSCHIPPSEYQLGTTKVFIKTPETLFALEDMRDKYWHNMAARIQRAWRRYVKRKEDAAKTIQNAWRIKKHGNQFEQFRDYGNGLLQGRKERRRMSMLGSRAFMGDYLGCNYKSGYGRFIINQVGINESVILSSKGEILLSKFGRSSKRLPRIFIVTKTSIYIIAEVLVEKRLQLQKEFTIPISGINYLGLSTFQDNWVAISLHSPTPTTPDVFINLDFKTELVAQLKKLNPGITIKIGPTIEYQKKPGKFHTVKFIIGAGPEIPNNGDHYKSGTVSVKQGLPASSKNPKRPRGVSSKVDYSKYYNRGAARKTAAAAQATPRYNQPTPVANSGYSAQPAYPIPQQPQQYQPQQSQQQTPYPTQSSIPSVNQNQSRQPQRKVPPPAPSLQVSAAQAALGKSPTQQRQTPAHNPVASPNRPASTTIATTTSHTSRPVKKTAPAPPVKKTAPPPPPPTLVKPKFPTYKAMFDYDGSVAGSIPLVKDTVYYVTQVNGKWGLVKTMDETKEGWSPIDYLKECSPNETQKSAPPPPPPPPAATASAGANGASNPISTTTSTNTTTSSHTTNATSNGSLGNGLADALKAKKQEETTLAGSLADALKKRQGATRDSDDEEEEDDDDW", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKRAFSSQLRSAYPASKSTHFGRVMASSGSEAKANYAPISTNEPVVSVDWLHSNLGDADIKVLDASWYMAHEQRNPIQEYQVAHIPGALFFDLNGIADRKTNLRHMLPSEEAFAAGCSALGIENNDGVVVYDGMGLFSAARVWWMFRVFGHDKVWVLDGGLPKWRASGYDVESSVSNDAILKASAATEAIEKIYQGQTISPITFQTKFRPHLVLALDQVKENIEDKTYQHIDARSKARFDGIAPEPWKGLPSGHIPGSKCVPFPLMFDSSQTLLPAEELKKQFEQEDISLDSPIAASCGTGVTACILALGLYRLGKTNVAIYDGSWTEWATAPNLPIVGSSS", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLEVLDMAPPRHQPAAGKAGGGRGHGHGHGHGGGGGGPAARKQPLQSSMAQPKAETAAATAAVAPPEGGKKCGGGGGRRRGGRGRGGRAGAGPGPGLAAAPAVVVAPAARAVIGPPVASKGLSFCRRPGFGTVGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRSVNRAIMSELVRLYHDSDLGGRLPAYDGRKNLYTAGTLPFDAREFVVRLTDDDDGTGVPPREREYRVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDIVLRELANRRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHPHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCRRPREQEMDILQTVQQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVNHWACINFSRSVQETTARGFCQELAQMCQISGMEFNSEPVIPIYSARPDQVEKALKHVYNMSLNKLKGKELELLLAILPDNNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMSENQTTSKSSTGTNGTSVKPLPAVKEKVKRVMFYC", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDHMISENGETSAEGSICGYDSLHQLLSANLKPELYQEVNRLLLGRNCGRSLEQIVLPESAKALSSKHDFDLQAASFSADKEQMRNPRVVRVGLIQNSIALPTTAPFSDQTRGIFDKLKPIIDAAGVAGVNILCLQEAWTMPFAFCTRERRWCEFAEPVDGESTKFLQELAKKYNMVIVSPILERDIDHGEVLWNTAVIIGNNGNIIGKHRKNHIPRVGDFNESTYYMEGDTGHPVFETVFGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHNDFGHFYGSSHFSAPDASCTPSLSRYKDGLLISDMDLNLCRQYKDKWGFRMTARYEVYADLLAKYIKPDFKPQVVSDPLLHKNST", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSDRKAVIKNADMSEEMQQDAVDCATQALEKYNIEKDIAAYIKKEFDKKYNPTWHCIVGRNFGSYVTHETRHFIYFYLGQVAILLFKSG", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSDFVPHDLIPDLWDEILRRHWMFLETEESIEKLEERKQLEGCLKEFLCVVQHDRKFFLPETGHVLRRSVLELPDFSAQNAIVAFETISQYANNLFTKPWRKEYRTLKTYSGCFQHDIQSRLLDAEQLFLAMGYRRAAEDTFVLEGPICPDQVTNVSRDAMAAYVECQIMKHIYAGVTAAGYVCTWKDILQFRERYVGGTSTTIKEMVRQLSEKRVRMEQPPMQENTYSNVVSAAPTACGMRSNNVAHHPSSSGTCALHPNGLNEAGKYLPPYPAPPPQQPHLPGPLMTHSRSLDHYQEPQAHLPHRHSFDQQLQQQCQLPHVYEAPYDCLDGLSMGSSASYAAVTGAYNAPGNRYPLPYNISSQLNAPYASPADFYGNGQHTNMYATLGKTGPAHSCDLHRRQPNTSAAAAAALAAMQHRQSTYPPDHHLIDFDERAHLTQHDFGTHDYDPQYAELRGQVQPSMRGNPVAMYATYGGYDLPTTLPQAPPPTGQDMYIYARPVPKSSRMRALAEAGGINSTDKHPRSAEKQSTMDNNRKMHKELKERNSRTAPAKRSGTERDIPTTISDLNSYDSASLDGFVALDSSSSPPLMPKVQEGVGSFESWNYVFKNLERSGYTKDLGDREDLLVQSLDLGSLSITNGGAAPPAEKRREATNPTNGEKARTLDKKSGTGRREAKVVQAPAPSPLPNSSSAGVKKVKSALKTAVVDNRGTGSRQRTGAVPKQPPNVSPQLIVTSPNEWSCSFCTFLNPDTKRICEMCCRSKDFNLEAASAASSSAAAAAASAASVSHASSTCV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAGVDKAMVTLSNGVKMPQFGLGVWQSPAGEVTENAVKWALCAGYRHIDTAAIYKNEESVGAGLRASGVPREDVFITTKLWNTEQGYESTLAAFEESRQKLGVDYIDLYLIHWPRGKDILSKEGKKYLDSWRAFEQLYKEKKVRAIGVSNFHIHHLEDVLAMCTVTPMVNQVELHPLNNQADLRAFCDAKQIKVEAWSPLGQGKLLSNPILSAIGAKYNKTAAQVILRWNIQKNLITIPKSVHRERIEENADIFDFELGAEDVMSIDALNTNSRYGPDPDEAQF", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPKKRASNGRNKKGRGHVKPVRCVNCSKSIPKDKAIKRMAIRNIVEAAAVRDLSEASVYPEYALPKTYNKLHYCVSCAIHARIVRVRSREDRKNRAPPQRPRFNRENKVSPADAAKKAL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSEKPTLFSAYKMGKFNLSHRVVLAPMTRCRAINAIPNEALVEYYRQRSTAGGFLITEGTMISPSSAGFPHVPGIFTKEQVEGWKKVVDAAHKEGAVIFCQLWHVGRASHQVYQPGGAAPISSTSKPISKKWEILLPDATYGTYPEPRPLAANEILEVVEDYRVAAINAIEAGFDGIEIHGAHGYLLDQFMKDGINDRTDEYGGSLENRCKFILQVVQAVSAAIGTDRVGIRISPAIDHTDAMDSDPRSLGLAVIERLNKLQFKLGSRLAYLHVTQPRYTADGHGQTEAGANGSEEEVAQLMKTWRGAYVGTFICCGGYTRELGLQAVAQGDADLVAFGRYFVSNPDLVLRLKLNAPLNRYDRATFYTHDPVVGYTDYPSLDKGSLL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSTNTVPSSPPNQTPPAASGIATSHDHTKFNNPIRLPISISLTINDTPNNNSNNNSVSNGLGILPSRTATSLVVANNGSANGNVGATAAAAATVETNTAPAVNTTKSIRHFIYPPNQVNQTEFSLDIHLPPNTSLPERIDQSTLKRRMDKHGLFSIRLTPFIDTSSTSVANQGLFFDPIIRTAGAGSQIIIGRYTERVREAISKIPDQYHPVVFKSKVISRTHGCFKVDDQGNWFLKDVKSSSGTFLNHQRLSSASTTSKDYLLHDGDIIQLGMDFRGGTEEIYRCVKMKIELNKSWKLKANAFNKEALSRIKNLQKLTTGLEQEDCSICLNKIKPCQAIFISPCAHSWHFHCVRRLVIMNYPQFMCPNCRTNCDLETTLESESESEFENEDEDEPDIEMDIDMEINNNLGVRLVD", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSADLSIGNEIKDSFKETHKWVQNNLKWLKDIEQFYRERAKLEKDYSERLSRLSAEYFNKKSSTSVPISVGDTPTTTPGSIEAAGVVAWNEILSQTDMISKDHDQLSTDFENHVANQLSGLFTKLDMTLSKINGFNNDMVNKKDNIYHELEKAKKDYDEACSTMEMARNRYTKASNDRNKKKLDEKEMEMNKCKNEYLIKINQANRTKDKYYFQDVPEVLDLLQDVNEAKTLFLNDLWLKAASVENDLGANVSKRLQAANSVVKQNKPSLNTAIFIKHNLKNWKEPQDFVYKPSPVWHDDEKFAVPSSLEVEDLRIKLAKAENDYNSLQDKTQNELSKLSTLNKIKHEMKTNEDNINATKFYDTLKEYLNVVSPFTSHETLKLQAEVQIESIQNNVPEEYDLSTDNIDLSKTKKKSGIFSKFKHNILNVDSKPSSGGSTGNGNGGPLHITSLFNTSRRTRLGSAPNNAGEDSDNNSIRTTSTNNTKKTTQNSSDDGKNKVLYAYVQKDDDEITITPGDKISLVARDTGSGWTKINNDTTGETGLVPTTYIRISSAATVKANDRGPAPEVPPPRRSTLPVRTMEAIYAYEAQGDDEISIDPGDIITVIRGDDGSGWTYGECDGLKGLFPTSYCK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNDYHLEDTTSELEALRLENAQLREQLAKREDSSRDYPLSLEEYQRYGRQMIVEETGGVAGQVKLKNTKVLVVGAGGLGCPALPYLAGAGVGQIGIVDNDVVETSNLHRQVLHDSSRVGMLKCESARQYITKLNPHINVVTYPVRLNSSNAFDIFKGYNYILDCTDSPLTRYLVSDVAVNLGITVVSASGLGTEGQLTILNFNNIGPCYRCFYPTPPPPNAVTSCQEGGVIGPCIGLVGTMMAVETLKLILGIYTNENFSPFLMLYSGFPQQSLRTFKMRGRQEKCLCCGKNRTITKEAIEKGEINYELFCGARNYNVCEPDERISVDAFQRIYKDDEFLAKHIFLDVRPSHHYEISHFPEAVNIPIKNLRDMNGDLKKLQEKLPSVEKDSNIVILCRYGNDSQLATRLLKDKFGFSNVRDVRGGYFKYIDDIDQTIPKY", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEADWRRIDIDAFDPESGRLTAADLVPPYETTVTLQELQPRMNQLRSLATSGDSLGAVQLLTTDPPYSADAPTKEQYFKSVLEALTQVRQADIGNVIKNLSDSQRDVLVKYLYKGMSVPQGQKQGGVLLAWLERITQVSGVTPIVHYISDRRTV", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKEFPGLKSRNEEIRNKAANDLYEYVIAYSRELSGEALVQFNNDVNKYVYTLIHSTDPLDRLAGVTAINRLIDYEGEDTTRITRFANYLRIILPGTDQKATVLAAKALGRLAVPGGALTSEFVNFEVKRALEWLQGERNENRRYAAVLILKELAKNTSTLIYAHIDSIFELLWHGLRDPKVTIRIASADALSEFLKIVRQRDSSIRLQWYTSILNEAQRGVAQGSSDYIHGSLLVYRQLFLKAGMFMHERYREVSDIILQFRDHKDLLIRKTVTELIATLAAYNPDEFVSNYLHVCMLHLLNLLKKENVKMLAFATIGKVAVAITNSIIPYLDPICDSIKESLKIHIRNKSASDAAIFQCISLLSIALGQAFSNYAYDLFDLIFASGLSEASYRALSDLAHNIPPLLPVIQERLLDMLSKILSGRPFIPPGCPPQYVARSLKSSKSASLKTGFFPNDVYILALKVLGNFDFSGYILNEFVKDCVVVYLENNDPEVRKTASITCSQLFARDPILSQTSDHAIQVVAEVLEKLLTVGICDTVPDIRLTVLNSLDSRFNKHLAQADKIRLLFIAINDENFAVRESALRIIGRLNVYNPAYVMPYLRKIMLKTLTILDYSTIIRTKEENAKLLCLLIAAAPRLIESHVEPILQILLPKAKDSSSIVAASIVNSLGEICQISGEVIVPFIKDLMPLIIEALQDQSSPIRRAAALKALGNLSSSTGYVIDPYIEFPSLLDILIGITKTEQDITIRRETIKLIGTLGALDPNRHRVLEKGTEKVVPEQKNIPPDISLLMSGIGPSSDEYYPTVVITALMSILKDPSLTIHHTAVIQAVMYIFKTMGLRCAPFLSQIIPEFIAVMRTCPTNILEFYFQQLSILVLIVRQHIRSFLPDLFKLIKDFWNPHSNLQFTILSLIESLARAMQGEFKPYLPSLLVMMLQIFDSDVSVDSVSTKKVLHAFIVFGDTLADYFHMLLDPILRLYERNDVSIGIKESIMITIGRLSMVINLSEYASRIIHPVMRMLSCNNASLIRVSMDTVCALIYQLNVDFAIFIPMIDKCLKMNGVTHETYSILVEQFLQEQPLPIKLNPYEKYDKPKLDVVASAADITSKKLPVNQEILRNAWEASQRSTKDDWQEWIRRLGVALLRESPSHALRACAALAAAYQPLARDLFNASFVSCWSELYDHFQEELVKSIEIALTSPHISPEIIQILLNLAEFMEHDDKPLPIDIRTLGAYAAKCHAFAKALHYKELEFIEEELVTKPSVDTIEALISINNQLQQPDAAIGILKHAQQHDKMNLKETWYEKLQRWEDALSAYEKREAAGAGNFEITMGKLRCLHALGEWDRLSQLAQENWIHAGHDARRYIAPLSVAAAWGLGQWEQMDEYISVMKSESPDKAFFNAIVALHRSQFEEAASYITRARDLLDTELTALVGESYNRAYSVAVRVQMLSELEEIITYKKAEDKPEVREMIKKTWVRRLKGCQRNVDVWQRMLRIRSLVISPRDNMEMWIKFANLCRKSGRISLAKKSLNLLLEDDENLDNSLVLKKTHPSIVYANLKFLWAVDDKRKALNSMQEFTSQLISDINVDPALFVQSTSVNTQKSQEEIQYYFHLLARCYHKQGQWQQEIENNWSEGSFDGVLQSYMYATQFDSKWYKAWHSWALANFEAVKFLEQSEEQIPSAAYEQYIIPAVKGFFKSIALSKGNLQDTLRLLNLWFKFGNNSNVINTLNVGISTVNIDIWLDVIPQLIARIHASSLSVRKSVHQLLSDVGRAHPQALVYPLTVAAKSQSSARQNAALAIMDSLKTHSPRLVEQARLVSHELIRAAILWHEQWHEGLEEASRLYFGDHNIEGMFAVLRPLHEMLERGPETLREISFQQAFGRDLVEARDCCIRFEQTGDISDLNQAWDLYYQVFKKIRKQLPQLTTLDLQYVSPKLLHVHDLELAVPGTYVSGKPVIRIVKFYPTFNVITSKQRPRRLSIKGSDGKDYQYVLKGHEDIRQDERVMQLFGLCNNLLLADPETFKRLLSIQRYPVIPLSPDSGLLGWVLDSDTLHVLIRDYRESRKILLNIEHRLIIQMAPDYDRLTLLQKVEVFEYALLSTTGQDLYRVLWLKSRSSEAWLNRRTNYSRTLAVMSMVGYILGLGDRHPSNLMLDRYTGNIIHIDFGDCFEVAMHREKFPEKIPFRLTRMLVNAMEVSGIEGTFRITCEHVMRVLRTNKESVMAVLEAFVYDPLINWRLAPAYSPSIDEKQSNEPNTLLGETIDGLHRKRLNEEGITLEERQKPEILNQRAITVLNRVSNKLTGRDFKPQQQLDVPSQVEKLILQATSIENLCLCYIGWCSFW", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLLHLCSVKNLYQNRFLGLAAMASPSRNSQSRRRCKEPLRYSYNPDQFHNMDLRGGPHDGVTIPRSTSDTDLVTSDSRSTLMVSSSYYSIGHSQDLVIHWDIKEEVDAGDWIGMYLIDEVLSENFLDYKNRGVNGSHRGQIIWKIDASSYFVEPETKICFKYYHGVSGALRATTPSVTVKNSAAPIFKSIGADETVQGQGSRRLISFSLSDFQAMGLKKGMFFNPDPYLKISIQPGKHSIFPALPHHGQERRSKIIGNTVNPIWQAEQFSFVSLPTDVLEIEVKDKFAKSRPIIKRFLGKLSMPVQRLLERHAIGDRVVSYTLGRRLPTDHVSGQLQFRFEITSSIHPDDEEISLSTEPESAQIQDSPMNNLMESGSGEPRSEAPESSESWKPEQLGEGSVPDGPGNQSIELSRPAEEAAVITEAGDQGMVSVGPEGAGELLAQVQKDIQPAPSAEELAEQLDLGEEASALLLEDGEAPASTKEEPLEEEATTQSRAGREEEEKEQEEEGDVSTLEQGEGRLQLRASVKRKSRPCSLPVSELETVIASACGDPETPRTHYIRIHTLLHSMPSAQGGSAAEEEDGAEEESTLKDSSEKDGLSEVDTVAADPSALEEDREEPEGATPGTAHPGHSGGHFPSLANGAAQDGDTHPSTGSESDSSPRQGGDHSCEGCDASCCSPSCYSSSCYSTSCYSSSCYSASCYSPSCYNGNRFASHTRFSSVDSAKISESTVFSSQDDEEEENSAFESVPDSMQSPELDPESTNGAGPWQDELAAPSGHVERSPEGLESPVAGPSNRREGECPILHNSQPVSQLPSLRPEHHHYPTIDEPLPPNWEARIDSHGRVFYVDHVNRTTTWQRPTAAATPDGMRRSGSIQQMEQLNRRYQNIQRTIATERSEEDSGSQSCEQAPAGGGGGGGSDSEAESSQSSLDLRREGSLSPVNSQKITLLLQSPAVKFITNPEFFTVLHANYSAYRVFTSSTCLKHMILKVRRDARNFERYQHNRDLVNFINMFADTRLELPRGWEIKTDQQGKSFFVDHNSRATTFIDPRIPLQNGRLPNHLTHRQHLQRLRSYSAGEASEVSRNRGASLLARPGHSLVAAIRSQHQHESLPLAYNDKIVAFLRQPNIFEMLQERQPSLARNHTLREKIHYIRTEGNHGLEKLSCDADLVILLSLFEEEIMSYVPLQAAFHPGYSFSPRCSPCSSPQNSPGLQRASARAPSPYRRDFEAKLRNFYRKLEAKGFGQGPGKIKLIIRRDHLLEGTFNQVMAYSRKELQRNKLYVTFVGEEGLDYSGPSREFFFLLSQELFNPYYGLFEYSANDTYTVQISPMSAFVENHLEWFRFSGRILGLALIHQYLLDAFFTRPFYKALLRLPCDLSDLEYLDEEFHQSLQWMKDNNITDILDLTFTVNEEVFGQVTERELKSGGANTQVTEKNKKEYIERMVKWRVERGVVQQTEALVRGFYEVVDSRLVSVFDARELELVIAGTAEIDLNDWRNNTEYRGGYHDGHLVIRWFWAAVERFNNEQRLRLLQFVTGTSSVPYEGFAALRGSNGLRRFCIEKWGKITSLPRAHTCFNRLDLPPYPSYSMLYEKLLTAVEETSTFGLE", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLRWTVHLEGGPRRVNHAAVAVGHRVYSFGGYCSGEDYETLRQIDVHIFNAVSLRWTKLPPVRPAVRGQAPVVPYMRYGHSTVLIDDTVFLWGGRNDTEGACNVLYAFDVNTHKWSTPRVSGTVPGARDGHSACVLGKIMYIFGGYEQLADCFSNDIHKLDTSTMTWTLVCTKGNPARWRDFHSATMLGNHMYVFGGRADRFGPFHSNNEIYCNRIRVFDTRTEAWLDCPHTPVLPEGRRSHSAFGYNGELYIFGGYNARLNRHFHDLWKFNPGSFTWKKIEPKGKGPCPRRRQCCCIVGDKIVLFGGTSPSPEEGLGDEFDLIDHSDLHILDFSPSLKTLCKLAVIQYSLDQSCLPHDIRWELNAMTTNSNISRPIVSSHG", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSETDHSETSESTIEPFQFEKVMENLESGAQDALQSKDFLSYSTLLDIYLNDPTKYSNEEKEQLLGHILTILSENKQLTYEIGWDLPQLLILYVDSDYEFNGPIRDSPGVYKILKIFENLAINGNHKELFLKSCELLNDLELSQDEDIELLKRENFFEIKLYCVFELIDACLKKIHTLYPSRFLAMTVSSFNNLMFKLTKQHGSLGNYHFVMKRVYSFCRNYISPPLPTNAKEMPQEELDKIVKDEEYLQRRLLTGFLTQVIYLANINGTEGYSIEHFSWLQQQSKSKIKFVFERDGAFCDRFVELASSFDIDLLKCFQGFITDSHKLLIGIDYKNKNKSEDEIIELLFERVVVDYQKNVLTSIVDSDAKAIKDSIIGELILFTHSIAGKKNFAKPTMSIHDSLVMTLRLIIPQMVNPKFINAGNHDVVVFWVWFALYQQQIINSKNLQLEISYIPKVLLTTFFQCLLFIVIKSEGKPNFKYMLLTLLTKLLTLSPDTGYEFIKDSLNNCPYESVYPSLIGVYKQLLLNEKWDVNSIELEKLNISSSSSNTPPKLPPRNGIKRKHFSLTNESLNDLVDLINNSSKNAFVEDNSKIDPSKLSTIAAYLNLLVAIKKDPVIVENKEKLTTLISSIENKIKSVKKSSQNQFELNAAGMLEITIERFNE", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAANKERTFIMVKPDGVQRGLVGKIIERFEQKGFKLVALKFTWASKELLEKHYADLSARPFFPGLVNYMNSGPVVPMVWEGLNVVKTGRQMLGATNPADSLPGTIRGDFCIQVGRNIIHGSDAVESAEKEIALWFNEKELVTWTPAAKDWIYE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTDEKVNSDQNMNGKQGVNLISSLPTTQVPVSILTNKERRKSIHDESNFERSDSHEDQSKSNSNRRNIYKNDYSTNLRDFSFANLKQNSERNKDGHEIQINTSMPANTNGQQKRFSPSLPSAVSFTVPEVERLPYHRYSISNKPGKQQQQQEQLQQNQQQEEQQKAQLQEQNQRAKQQEEVKQIQEQVQKKQTERQQLIDEKERIANAIFKENTTNDGTDIRKHSVSSGTSNSEDEVDSPSMEKNSIVHMPGDFIYFNPKSNASKPITAKAAPLSANNSTHKNKEVITAPTGPRVPFTEFFQKEDDKKFHILIGATGSVATIKVPLIIDKLFKIYGPEKISIQLIVTKPAEHFLKGLKMSTHVKIWREEDAWVFDAVNKNDTSLSLNLILHHELRKWADIFLIAPLSANTLAKLANGICNNLLTSVMRDWSPLTPVLIAPAMNTFMYINPMTKKHLTSLVQDYPFIQVLKPVEKVLICGDIGMGGMREWTDIVEIVRRRINEIRKARDEETGDKEQEQEEQEGADNEDDDDEDDEEDEEDEEEEEALNETASDESNDEEDEEDEEDVKTEV", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTDETAHPTQSASKQESAALKQTGDDQQESQQQRGYTNYNNGSNYTQKKPYNSNRPHQQRGGKFGPNRYNNRGNYNGGGSFRGGHMGANSSNVPWTGYYNNYPVYYQPQQMAAAGSAPANPIPVEEKSPVPTKIEITTKSGEHLDLKEQHKAKLQSQERSTVSPQPESKLKETSDSTSTSTPTPTPSTNDSKASSEENISEAEKTRRNFIEQVKLRKAALEKKRKEQLEGSSGNNNIPMKTTPENVEEKGSDKPEVTEKTKPAEEKSAEPEVKQETPAEEGEQGEKGQIKEESTPKVLTFAERLKLKKQQKEREEKTEGKENKEVPVQEETKSAIESAPVPPSEQVKEETEVAETEQSNIDESATTPAIPTKSDEAEAEVEAEAGDAGTKIGLEAEIETTTDETDDGTNTVSHILNVLKDATPIEDVFSFNYPEGIEGPDIKYKKEHVKYTYGPTFLLQFKDKLNVKADAEWVQSTASKIVIPPGMGRGNRSRDSGRFGNNSSRGHDFRNTSVRNMDDRANSRTSSKRRSKRMNDDRRSNRSYTSRRDRERGSYRNEEKREDDKPKEEVAPLVPSANRWVPKFKSKKTEKKLAPDGKTELLDKDEVERKMKSLLNKLTLEMFDAISSEILAIANISVWETNGETLKAVIEQIFLKACDEPHWSSMYAQLCGKVVKELNPDITDETNEGKTGPKLVLHYLVARCHAEFDKGWTDKLPTNEDGTPLEPEMMSEEYYAAASAKRRGLGLVRFIGFLYRLNLLTGKMMFECFRRLMKDLTDSPSEETLESVVELLNTVGEQFETDSFRTGQATLEGSQLLDSLFGILDNIIQTAKISSRIKFKLIDIKELRHDKNWNSDKKDNGPKTIQQIHEEEERQRQLKNNSRSNSRRTNNSSNRHSFRRDAPPASKDSFITTRTYSQRNSQRAPPPKEEPAAPTSTATNMFSALMGESDDEE", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSRENPSGICKSIPKLISSFVDTFVDYSVSGIFLPQDPSSQNEILQTRFEKPERLVAIGDLHGDLEKSREAFKIAGLIDSSDRWTGGSTMVVQVGDVLDRGGEELKILYFLEKLKREAERAGGKILTMNGNHEIMNIEGDFRYVTKKGLEEFQIWADWYCLGNKMKTLCSGLDKPKDPYEGIPMSFPRMRADCFEGIRARIAALRPDGPIAKRFLTKNQTVAVVGDSVFVHGGLLAEHIEYGLERINEEVRGWINGFKGGRYAPAYCRGGNSVVWLRKFSEEMAHKCDCAALEHALSTIPGVKRMIMGHTIQDAGINGVCNDKAIRIDVGMSKGCADGLPEVLEIRRDSGVRIVTSNPLYKENLYSHVAPDSKTGLGLLVPVPKQVEVKA", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSPSGPNLNNKEHNRASEKKNSRTHNKKTNRNQSKEKPVSSRSVETPKNAVCLEPVGTDPVSNVATVDASKEEQDEDEQICFICAEGITYSCVLPCNHRMCHVCALRLRALYKTKECTFCKTEWDTVLITKDHEIDIHDVDLAKLPFQDEKLGIVYSDEHAQEESNLLLQFNCPEDACDITCKGWFDLKLHAKVKHHKFFCDLCVKNKKVFTHEHTLFSKKGLTKHNEVGDQGSDLEITGFKGHPKCEFCNTHFYDDDELFKHCREKHERCYICDQVAGRPTHQYFKNYDSLERHFEKDHYICRERECLERKFVVFGTEIDLKAHQLDEHPHNFTQRELREARRIIPQFSYDPPGASGRNRRERTSSTPSEQSTSVNETANSLSNLHLSRGEIAHLRQEEYVREQQARHRDFGFTLSNPAPTSARPATSTRTISRGKTRTLRNEDFPSLAEVANQNSSSAPSVPVSAPRLSGKSASRNHVPSPPKGTKSPMASSEQAQHQQVIDRMQKLTNYDDHKINDFKFAVSSFRGNVMPAREAVARITKLVAKPHEQLSGVFNQIANLLENKEKSRELLEAWQEWKILNAKDDTRIGTTNSNLLRLKRSNRTAAQTASVWNRIERAAAHDGPSLSAPSSSINLANITSRPTNSSAANTPSWGVRKARASALNARSEEDFPALPPSTSKRISVQLGKKQARPVDSWGSTPNTSSNRNSNTMGVSKKKNGKKQTVLFHIG", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNNTLNQHYEEKVRPCIDLIDSLRSLGVEKDLALPAIAVIGDQSSGKSSVLEALSGVALPRGSGIVTRCPLELKMKRKKEGEEWHGKISYQDHEEEIEDPSDVEKKIREAQDEMAGVGVGISDDLISLEIGSPDVPDLTLIDLPGIARVAVKGQPENIGEQIKRLIRKFIMKQETISLVVVPCNVDIATTEALKMAQEVDPEGERTLGILTKPDLVDKGTEETVVDIVHNEVIHLTKGYMIVKCRGQKEIMERVSLTEATEREKAFFKEHAHLSTLYDEGHATIPKLAEKLTLELVHHIEKSLPRLEEQIEAKLSETHAELERYGTGPPEDSAERLYFLIDKVTAFTQDAINLSTGEEMKSGVRLNVFSTLRKEFGKWKLHLERSGEIFNQRIEGEVDDYEKTYRGRELPGFINYKTFEVMVKDQIKQLEGPAVKKLKEISDAVRKVFLLLAQSSFTGFPNLLKSAKTKIEAIKQVNESTAESMLRTQFKMELIVYTQDSTYSHSLCERKREEDEDQPLTEIRSTIFSTDNHATLQEMMLHLKSYYWISSQRLADQIPMVIRYLVLQEFASQLQREMLQTLQEKDNIEQLLKEDIDIGSKRAALQSKLKRLMKARSYLVEF", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRGAMELEPELLLQEARENVEAAQSYRRELGHRLEGLREARRQIKESASQTRDVLKQHFNDLKGTLGKLLDERLVTLLQEVDTIEQETIKPLDDCQKLIEHGVNTAEDLVREGEIAMLGGVGEENEKLWSFTKKASHIQLDSLPEVPLLVDVPCLSAQLDDSILNIVKDHIFKHGTVASRPPVQIEELIEKPGGIIVRWCKVDDDFTAQDYRLQFRKCTSNHFEDVYVGSETEFIVLHIDPNVDYQFRVCARGDGRQEWSPWSVPQIGHSTLVPHEWTAGFEGYSLSSRRNIALRNDSESSGVLYSRAPTYFCGQTLTFRVETVGQPDRRDSIGVCAEKQDGYDSLQRDQAVCISTNGAVFVNGKEMTNQLPAVTSGSTVTFDIEAVTLGTTSNNEGGHFKLRVTISSNNREVVFDWLLDQSCGSLYFGCSFFYPGWKVLVF", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNNTLNQHYEEKVRPCIDLIDSLRSLGVEKDLALPAIAVIGDQSSGKSSVLEALSGVALPRGSGIVTRCPLELKMKRKREGEEWHGKISYQDHEEEIEDPSDVEKKIREAQDEMAGVGVGISDDLISLEIGSPDVPDLTLIDLPGIARVAVKGQPENIGEQIKRLIRKFIMKQETINLVVVPCNVDIATTEALQMAQEVDPEGERTLGILTKPDLVDKGTEETVVDIVHNEVIHLTKGYMIVKCRGQKEIMERVSLTEATEREKAFFKEHAHLSTLYDEGHATIPKLAEKLTLELVHHIEKSLPRLEEQIEAKLSETHAELERYGTGPPEDSAERIYFLIDKVTAFTQDAINLSTGEELKSGVRLNVFSTLRQEFGKWKLHLDRSGENFNQRIEGEVSNYEKTYRGRELPGFINYKTFEVMVKDQIKQLEEPAVKKLKEISDAVRKVFLLLAQSSFTGFPNLLKSAKTKIEAIKQVNESTAESMLRTQFKMEMIVYTQDSTYSHSLSERKREEEDDRPLPTPKIRSTIFSTDNHATLQEMMLHLKSYYRISSQRLADQIPMVIRYLVLQEFASQLQREMLQTLQEKDNIEQLLKEDFDIGSKRAALQNKLKRLMKARSYLVEF", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEVTGISAPTVMVFISSSLNSFRSEKRYSRSLTIAEFKCKLELVVGSPASCMELELYGADDKFYSKLDQEDALLGSYPVDDGCRIHVIDHSGVRLGEYEDVSKVEKYEISPEAYERRQNTVRSFMKRSKLGPYNEELRAQQEAEAAQRLSEEKAQASAISVGSRCEVRAPDHSLRRGTVMYVGLTDFKPGYWVGVRYDEPLGKNDGSVNGKRYFECQAKYGAFVKPSAVTVGDFPEEDYGLDEM", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGHQNAAVSENQNHDDGAASSPGFKLVGFSKFVRKNPKSDKFKVKRFHHIEFWCGDATNVARRFSWGLGMRFSAKSDLSTGNMVHASYLLTSGDLRFLFTAPYSPSLSAGEIKPTTTASIPSFDHGSCRSFFSSHGLGVRAVAIEVEDAESAFSISVANGAIPSSPPIVLNEAVTIAEVKLYGDVVLRYVSYKAEDTEKSEFLPGFERVEDASSFPLDYGIRRLDHAVGNVPELGPALTYVAGFTGFHQFAEFTADDVGTAESGLNSAVLASNDEMVLLPINEPVHGTKRKSQIQTYLEHNEGAGLQHLALMSEDIFRTLREMRKRSSIGGFDFMPSPPPTYYQNLKKRVGDVLSDDQIKECEELGILVDRDDQGTLLQIFTKPLGDRPTIFIEIIQRVGCMMKDEEGKAYQSGGCGGFGKGNFSELFKSIEEYEKTLEAKQLVG", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MQPWHGKAMQRASEAGATAPKASARNARGAPMDPTESPAAPEAALPKAGKFGPARKSGSRQKKSAPDTQERPPVRATGARAKKAPQRAQDTQPSDATSAPGAEGLEPPAAREPALSRAGSCRQRGARCSTKPRPPPGPWDVPSPGLPVSAPILVRRDAAPGASKLRAVLEKLKLSRDDISTAAGMVKGVVDHLLLRLKCDSAFRGVGLLNTGSYYEHVKISAPNEFDVMFKLEVPRIQLEEYSNTRAYYFVKFKRNPKENPLSQFLEGEILSASKMLSKFRKIIKEEINDIKDTDVIMKRKRGGSPAVTLLISEKISVDITLALESKSSWPASTQEGLRIQNWLSAKVRKQLRLKPFYLVPKHAKEGNGFQEETWRLSFSHIEKEILNNHGKSKTCCENKEEKCCRKDCLKLMKYLLEQLKERFKDKKHLDKFSSYHVKTAFFHVCTQNPQDSQWDRKDLGLCFDNCVTYFLQCLRTEKLENYFIPEFNLFSSNLIDKRSKEFLTKQIEYERNNEFPVFDEF", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSEVETPTAASPTFPVETSHRLDTLHTSSTEQIIKDSENVVHTTLKLPTSTLSREFWMKDERTNNCSLCETEFTLFRRKHHCRICGKIICKYCLKEAPGFIFRLQGSIKVCRPCASILVNNYSRSQLFNHSLNESKNRDLTEQHPFVTLDELNSNDQVLSSFGDLSSTFEMPNNIHPPEVAPMIAIPSSRSNYDSPGWAHHSIFLDWSKRNLDSNVINVEDSESGKYNALTITNSYDAGPSSVSTDYRPVNFGKVPSYSKLRKNKAFSSAKVSDMYLSADERNRLEDFSKGDRGLSFVNLSPNIKATSYDRLSTVINEPFISRSSSLTDERGLADSGNSYHHFSDSDDESLFNDGLGLSFHANSAIIKQRQQNVASIQRYGNESYLSNFLKAFLPKTVCDYLFPSSTIPDGLPALIENFNARVDKVNHPGGTEEPLPYQGKSRASSVVTSSKSTCILPPWILFSDSFNQLVCTFLGKLLFQMLNDEGVDSPMQWVLCLPKILLKMALDLGPDIRSGDDIDVRSYVKIKKIPGGSIQDCFLVNGVLFSKKASSKSMDRSLRRPRIALLTFSLDYACDEQRILSLDLIISQQEEYIINLVNRICMLKPNLVFAQGQIPSIALKYFEEHGVIAFHGLKESVLYDIARCCRADIISSIDKLSLCPRLGTCGRFQLRTYVVDENKGLRKTFAILDRCSERLGCTIVLRGADYNQLSKVKKIVELVVLIAYHIKLECALLRDKFVNMPELFETTYQSLSRKSLPSFASTAADKEKSQNHEKKSLNSDNQSLRPLENENQSVSSTQGSNSPLELINNLPASDDYSSITKALKTRFLTFSPFLSKPLPRLLNQVNYYQFIRNKLLKDVKLHPYSPTGSFVMKQSENDNVEESYEESYKFFCIDERYHFLEKQWTLYYSHSKLMFSPFSSQRIILLYSIINKETSVPCIGPERCLLEFYRETDCTLGQYIEDSCLNTNVSCGGEYCKTNDMLWHYRSYVHGNSRISVFLESFSCPVPGLEEKIIMWSYCKFCKKNTHITVMSEETWKYSFGKYLEFMFYNSQIRDRFEFCDHSVMAQHVHYFGYCNMALRFQRDLIEIFELFVPSVTLRNNPSYIKELKEKEYKRLKGVIEKCLSSVASRINQIKCDWVTDPEKFESCTSEISKFRTLLSSDYTELYSEFDSIYLNSSTSDYLSLNSILRVLQGKMVKWEQRFLDYQRLYLPSYKELSKIAAAQIKKVFLERPLSQTPLDLPETLENTQIDIYPSFKTESTDDQLEKVTQTNVASNKRVAPYADSMANVGSPESDCFSVATSSDIPKANIDFTNDISTQNTFPASPVSNSGFSRQTYPNISQRQGVNMLSHKRKSASTSDRRFVNASSTSGMNMPISSSISAKISSIQNSTKYSPRKPIPAKDVRVSSLVRRFEELSLQLQEKQKRDEELIKARRKRALPVVPSKPVVEVFNDLNEAFDDENSEDENGINDTKENRATESNFSGVDSMSKERENVSSNEDNSPEAFEDIFGILFKNESGLEEQQNLEPSSQMDKEGSKLPTSGPLADKTSVYRILSAFWNEWNSLNPPPFEFPLQPTEHMFSDSNVIIREDEPSSLISFTLSSPDYLSKMVEIEDSMDEALTNQGLQGSTQFKIENLMLKPTGTHLKYQFEEGSARLSCKVFFAEQFSALRRACGCEETFVTSLARCSLWESSGGKSGSAFLKTFDKKYILKVLSRLESDSLLNFAPAYFDYISKVFFHELPTALTKIFGFYRVDIRNPTTGTICKTDIMIMENVFYDECPSRIFDLKGSMRNRHVESTGKVDEVLLDENLVELIYESPIFVSEQLKSLLHSCLWNDTLFLSKLNIMDYSLIVGIDYTKKELYVGIIDFIRTYTWDKKLESWVKEKGLVGRGPEPTIVTPKQYKNRFRKAMDCYILASQDFETGEGFKFCE", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASEDTLSSNPLLQNFDFPPFDSVDAHHVRPGIRALLQQLEAELEQLEKAVEPSWPKLVEPLEKIIDRLSVVWGMINHLKAVKDTPELRAAIEEVQPEKVKFQLRLGQSKPIYNAFKAIRESPDWNSLSEARQRLVEAQIKEAVLSGIALEDDKREEFNKIEQELEKLSHKFSENVLDATKKFEKLITDKKEIEGLPPSALGLFAQAAVSKGHETATADTGPWLITLDAPSYLPVMQHAKNRALREEVYRAYLSRASSGDLDNTAIIDQILKLRLEKAKLLGYRNYAEVSMATKMATVEKADELLEKLRSASWDPAVQDIEDLKSFAKNQGAAEADSLTHWDITFWSERLRESKYDINEEELRPYFSLPKVMDALFGLAKTLFGIDVVPADGVAPVWNSDVRFYCVKDSSGNPTAYFYFDPYSRPSEKRDGAWMDEVFSRSRVMAQKGSSVRLPVAQMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKEDEGLVAGIRNIEWDAVELPSQFMENWCYHRDTLMSIAKHYQTGETLPENVYKKLLAARTFRAGSLSLRQLKFATVDLELHTKYMPGGAETIYEVDQRVSIKTQVIPPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDDIKAVKETGQRFRNTILALGGGKAPLKVFVEFRGREPSPEPLLRHNGLLAASA", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSGRGNLLSLFNKNAGNMGKSISSKDHEIDSGLDFNNSESSGERLLSSHNIETDLITTLQHVNISVGRGRARLIDTLKTDDHTSNQFITSESKENITKKTKGPESEAIASENGLFFPDLIYGSKGSSVNIYCNYLKLTTDESKGVFNYEVRFFPPIDSVHLRIKYLNDHKDKLGGTKTFDGNTLYLPILLPNKMTVFISKAEDVELQIRILYKKKEEMRNCTQLYNILFDRVMKVLNYVKFDRKQFDPSRPKIIPLAKLEVWPGYVTAVDEYKGGLMLCCDVSHRILCQKTVLEMLVDLYQQNVEHYQESARKMLVGNIVLTRYNNRTYKINDICFDQNPTCQFEIKTGCTSYVEYYKQYHNINIKDVNQPLIYSIKKSRGIPAERENLQFCLIPELCYLTGLRDEVRSDNKLMREIATFTRVSPNQRQMALNKFYENVSNTPAAQEILNSWGLSLTNNSNKISGRQMDIEQIYFSKISVSAGRSAEFSKHAVTNEMLKVVHLSKWIIIHLRNYRQAATSLLDNMKQACESLGMNISNPTMISLDHDRIDAYIQALRRNITMNTQMVVCICHNRRDDRYAAIKKICCSEIPIPSQVINAKTLQNDLKIRSVVQKIVLQMNCKLGGSLWTVKIPFKNVMICGIDSYHDPSNRGNSVAAFVASINSSYSQWYSKAVVQTKREEIVNGLSASFEIALKMYRKRNGKLPTNIIIYRDGIGDGQLYTCLNYEIPQFEMVCGNRIKISYIVVQKRINTRIFSGSGIHLENPLPGTVVDQHITKSNMYDFFLVSQLVRQGTVTPTHYVVLRDDCNYGPDIIQKLSYKLCFLYYNWAGTVRIPACCMYAHKLAYLIGQSIQRDVAEALSEKLFYL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNPSAPSYPMASLYVGDLHQDVTEAMLYEKFSPAGPILSIRVCRDMITRRSLGYAYVNFQQPADAERALDTMNFDVIKGRPVRIMWSQRDPSLRKSGVGNIFIKNLDKSIDNKALYDTFSAFGNILSCKVVCDENGSKGYGFVHFETQEAAERAIDKMNGMLLNDRKVFVGRFKSRKEREAELGARAKEFTNVYIKNFGDDMNDERLKEMFGKYGPALSVKVMTDDNGKSKGFGFVSFERHEDAQKAVDEMNGKDMNGKSMFVGRAQKKVERQTELKRKFEQMKQDRITRYQGVNLYVKNLDDGIDDERLRKEFLPFGTITSAKVMMEGGRSKGFGFVCFSSPEEATKAVTEMNGRIVATKPLYVALAQRKEERQAHLTNQYMQRMASVRVPNPVINPYQPPPSSYFMAAIPPAQNRAAYYPPGQIAQLRPSPRWTAQGARPHPFQNMPGAIRPTAPRPPTFSTMRPASNQVPRVMSAQRVANTSTQTMGPRPTTAAAAAASAVRAVPQYKYAAGVRNQQHLNTQPQVAMQQPAVHVQGQEPLTASMLAAAPPQEQKQMLGERLFPLIQAMHPTLAGKITGMLLEIDNSELLHMLESPESLRSKVDEAVAVLQAHQAKEAAQKVVNATGVPTA", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLACLTRGNLLDVLQEGFNEQQLQAYVAWVNAQLKKRPAVKPVQDLRQDLRDGVILAYLIEIVAGEKLSGVQLSPGNQQEMKNNVEKVLQFVASKKIRMHQTSAKDIVDGNLKSIMRLVLALAAHFKPGSSRTVNQGRDSRAPLQSHRPHCATAVAQGAAAALADVCHDMSRSGRDVFRYRQRNSSMDEEIENPYWSVRALVQQYEGQQRSPSESSCSSLTSPSPIHSAKSESIITQSEEKADFVIIPAEGIENRTEGTDSPLSRDWRPGSPGTYLETSWEEQLLEQQEYLEKEMEEAKKMISGLQALLLNGSLPEDEQERPLALCEPGVNPEEQLIIIQSRLDQSMEENQDLKKELLKCKQEARNLQGIKDALQQRLTQQDTSVLQLKQELLRANMDKDELHNQNVDLQRKLDERNRLLGEYKKELGQKDRLLQQHQAKLEEALRKLSDVSYHQVDLERELEHKDVLLAHCMKREADEATNYNSHNSQSNGFLLPTAGKGATSVSNRGTSDLQLVRDALRSLRNSFSGHDPQHHTIDSLEQGISSLMERLHVMETQKKQERKVRVKSPRTQVGSEYRESWPPNSKLPHSQSSPTVSSTCTKVLYFTDRSLTPFMVNIPKRLEEVTLKDFKAAIDREGNHRYHFKALDPEFGTVKEEIFHDDDAIPGWEGKIVAWVEEDHGEN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGGTQVKCLTSPSPIHSAKSESIITQSEEKADFVIIPAEGIENRTEGTDSPLSRDWRPGSPGTYLETSWEEQLLEQQEYLEKEMEEAKKMISGLQALLLNGSLPEDEQERPLALCEPGVNPEEQLIIIQSRLDQSMEENQDLKKELLKCKQEARNLQGIKDALQQRLTQQDTSVLQLKQELLRANMDKDELHNQNVDLQRKLDERNRLLGEYKKELGQKDRLLQQHQAKLEEALRKLSDVSYHQVDLERELEHKDVLLAHCMKREADEATNYNSHNSQSNGFLLPTAGKGATSVSNRGTSDLQLVRDALRSLRNSFSGHDPQHHTIDSLEQGISSLMERLHVMETQKKQERKVRVKSPRTQVGSEYRESWPPNSKLPHSQSSPTVSSTCTKVLYFTDRSLTPFMVNIPKRLEEVTLKDFKAAIDREGNHRYHFKALDPEFGTVKEEIFHDDDAIPGWEGKIVAWVEEDHGEN", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLTPFNNFFQQRQNYHSSVIDHFENPRNVGSYDKSANDVGTGLVGAPACGDVMKLQIKVENDIIKDAKFRTFGCGSAIASSSLATEWIKGKSISDSLKITNKDIAKKLSLPPVKLHCSMLAEDAIKAAISDYQKKNGL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MENLISLVNKIQRACTALGDHGENSALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHKIDEGSREYAEFLHLPRKRFTDFVAVRKEIQDETDRETGRTKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVKDIEDMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGDRTIGVLTKIDLMDKGTDAVDILEGRAYRLKFPWIGVVNRSQQDINKNVDMIAARRREREYFNSTPEYKHLANRMGSEHLAKMLSKHLETVIKSKIPGIQSLINKTIAELEAELTRLGKPVAADAGGKLYAIMEICRSFDQIFKDHLDGVRPGGDKIYNVFDNQLPAALKRLQFDKQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESSLITIRGPAESAVDAVHSLLKDLVHKAMSETLDLKQYPGLRVEVGAASVDSLERMRDESKRATLQLVDMECGYLTVDFFRKLPQDVDKGGNPTHSICDRYNDSYLRRIGTTILSYVNMVCATLRHSIPKSIVYCQVREAKRSLLDHFFTELGKMEIKRLSSLLNEDPAIMERRSALAKRLELYRSAQAEIDAVAWSK", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAHMVRASSGLSYPERFYAAASYVGLDGSQSSVKQLSSKFSNDTSLLLYTLHQQATLGPCSIPKPSAWNPVEQSKWKSWQGLGTMPSIEAMRLFVKILEEADPGWYPRTSNSVLDPAVHVQINSTKAEPSFESGASFGETKTITSEDGRLTETQDKDVVLEDPDTVSVYNQWTAPRTSGQPPKARYQHGAAVIQDKMYMYGGNHNGRYLGDLHVLDLKNWTWSRVETKVVTGSQETSSPAKLTHCAGHSLIPWDNQLLSIGGHTKDPSESMPVMVFDLHCCSWSILKTYGKPPISRGGQSVTLVGKSLVIFGGQDAKRSLLNDLHILDLDTMTWEEIDAVGSPPTPRSDHAAAVHAERYLLIFGGGSHATCFDDLHVLDLQTMEWSRHTQQGDAPTPRAGHAGVTIGENWYIVGGGDNKSGASKTVVLNMSTLAWSVVTSVQEHVPLASEGLSLVVSSYNGEDIVVAFGGYNGHYNNEVNVLKPSHKSSLKSKIMGASAVPDSFSAVNNATTRDIESEIKVEGKADRIITTLKSEKEEVEASLNKEKIQTLQLKEELAEIDTRNTELYKELQSVRNQLAAEQSRCFKLEVEVAELRQKLQTMETLQKELELLQRQRAVASEQAATMNAKRQSSGGVWGWLAGTPPPKT", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTGAEVEPGAQAKAENKPGDENANAAEVEPEVPLVVRPKVRTQMMTGARPKVKPKGTPGARPKGETSSPGGAYAKCKPRSIPISRSKHDAQVWAPSKFRGESMSKMGKQCQISAADSPLVSNDSGAVAQAKCLSVDRELANMDTESIPKKASSPARFQPSFGPEEGTSMGSWYRPRPIPKGEAYENSDFKWADKSSGSSSFWNRDETSTRFRPRKSMKSNTRFRHMAKQEANTMSRHKNKQEFYNISSSDSEDESAKTPWFWAKDKPKVWSRPKEEPNTRSWFRSKKEVRVESTSGSECENHTKSLFWSGEEAKCRSKPRARKGVNMRARHQAKREAYSDVTSGSVDKNKKDSWFLPEEKANAFSKSKTKKEPRTRAMPREEVKTKARASTKQEARPEEEVLVGAWVLDTQDNTMGERISMKTTCVEEEPIVGDWFWSEEEASVDSETGLKSRPRAKEEQVSSFCLGSGKKTSMESGPKATSKSMPVAKDDEVIIGSWFWADDEEISLQADDESIFGSWFWGTGEKSLRSVGVSCEKMPKSGEKEVTDSWFWAGEVNTEAEMEEQASSASTKGTIFVPWFWSEKQAHMDLGTEPCSDIMAGAEEEPIIGPWFWAKVDNSVEAEVNSKSSLEDEEEPIRSPWFGAREQPNMKYAAGVGYKPMAEAEEANKKSCVWAKEPCLYPTNRESLKSTLGEKEDTVDPWLWSNNYPRTETITGSWLWAAEEGNIDDETGEEIKLPTLEDNVFNSWSWKENEETVVEAPNREESKPEAEEEDIIGSWFWAGDEDRFQPAAKIKEENKIAPEDEDTVGSWFWGKEEASVEAVKGGTFESVSGIKEEKATGSWFWTDKAKIGAGPQTVETGSETEDEAIFESLIWAAKKDSMQTGVNRVSKPKDEGEGIESWLWSGDKATTESKTVTVSESSPENGKESIVKFGSRAKDEVINKTGSGDNCKFSTEAESIVGPWFWEGDEASFESNPVPVCKAACEPESSTEHEPDPSRRPQSWDEVTVQFKPGPWGKAGFPSLNPFRFPKEAASLFAEMFGGKPKLVEVGTEREPEPQFPFQYDPSYRSVREIREHLKARESAQAENWSCNCIQCELRIGSEEFEELLLMMDRNRDPFIHEISKIAMGMRGASQFTRDFIRNSGVISLIEALMNYPSSRARTAFLENMIQMAPTYPDLNMIETYVCQVCEDTFDYDLDSSDQLSGLTMITHLTTTFDYHKVVVAYLAGFYYLLNSGNTTTRFHVLKLLLNLSESLVMTKRLLITDSVSEFMALFNREDSDENIQIILAIFENISKNIQKEALFADDEEEEEEEEAVNLEPLISAFREAEKFAKELKRKTDDQKSP", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTSGEVKTSLKNAYSSAKRLSPKMEEEGEEEDYCTPGAFELERLFWKGSPQYTHVNEVWPKLYIGDEATALDRYRLQKAGFTHVLNAAHGRWNVDTGPDYYRDMDIQYHGVEADDLPTFDLSVFFYPAAAFIDRALSDDHSKILVHCVMGRSRSATLVLAYLMIHKDMTLVDAIQQVAKNRCVLPNRGFLKQLRELDKQLVQQRRRSQRQDGEEEDGREL", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSSSPTVLELFDVCFKQEDVDSLKKPNWFTDVSIDYVDELIEHLWFPSYPNQANEILLLRPSLVFLLAEAAISPEELKVALPKKLMNCKYLFMPINDLDKHAAGSGGSHWSLMVASIPDGQCYYYDSLSNGKTKDCRSALARVSDLFKKKFTIECMPVQQQRNGYDCGAHVCAFTLELVRRLLHSPMPTSSMWNLSTFQPDVTAIREQLSRCLDHIINSLGTRVSGDFDEDFPTGTVFFDLESHLPLLDVALPVLPKSSDSSETSHESSNSNLKKSSESGSTNHHNNHESDKDLHHEGHHHHHHHHHHHHSHDDDPSSPAEKKQNHVPSPSEKIQDHVPSPSEKKQDRVPSPSNNKEDHLPLLSDEKLDKSAIDKIEPTPLPSVHMNSHIAKGELPKFHNSTDNPFLTPPEELVSGDFPF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKQEYIPLDEFPNKSNEGMLNDEGTSSSGLSTRTRSLELFDNMEESGSFPKVERKIQSLLDELAEVLFQSKQPNDLTRIRKAIAEQLQLWCQACQENADLYRSQQRKLKSRWDSQTDILSQIESTKASLAEVHKAEEISNLKTSITHLDEEIQILQEKLAIVTNQRNTLVKRLQTYDNLEKKKALSMEDRLLTLQEQYDAHANVSSLEKRMEVLKKREDLLRLMVGQALPGMQFFQRLIHQIQAVETKLISILGPTSLSEAALPPLTDVQRTSVLSLLTSTLHSLESARQIADSNTWKPIIVCLELEIVYFENMLTAITSTPVSS", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLQKIADQRSYLTAAHNTLTALEKKLDNDYGEIEHNNNVIEYTVDGVGRYVVSRQPSVMELWVSSPITGPSKFGMVEKKFVEKKNGMEIMKYFEMEMERIKRMLGNR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKHPYEEFPTGSKSPYNMSRGAHPGAVLLSPQSSAINKNNPGSNSGNNQGNSSVTANVLSPQSHSMSLNDMLDQQSFMLDTAGTRAQPLQQQQQQQQQQQQASLPSLNIQTVSSTAAGSAIVSPMMQSPKALQSTLSSTSMYLDSFQRSPNNILGIPSQSGSIPLPQSRQSQQQSQSQKNDPNMGTNFSQDINQLCSWISMLNSSQQNTVMDNILSILNDDVLKYTKLKIETLTNTPFISPPLPAIASPIPNRDDTQILNIDSVFSSSPITNDPENTDNLLYQNWSPQPHSIPISQPIYDNITDASQRSKSAEPHVNSSPNLIPVQKQFNNGNSTKYKKLPSENPNYLSHSLSSSHSFFQPKKRSNMGNEYNSHHHHSLHHPLHNTTSYFSNTSRPSGTDLNKSNQNVFNNTITHPNAGPTSATSTSTSSNGNTPLSSNSSMNPKSLTDPKLLKNIPMWLKSLRLHKYSDALSGTPWIELIYLDDETLEKKGVLALGARRKLLKAFGIVIDYKERDLIDRSAY", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDLDQWISKVKDGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGKSSKDKRDLYYRKAKEQGYRARSAFKLLQLNDQFHFLDDPNLKRVVDLCAAPGSWSQVLSRKLFDESPSSDKEDRKIVSVDLQPMSPIPHVTTLQADITHPKTLARILKLFGNEKADFVCSDGAPDVTGLHDLDEYVQQQLIMSALQLTACILKKGGTFVAKIFRGRDIDMLYSQLGYLFDKIVCAKPRSSRGTSLEAFIVCLGYNPPSNWTPKLDVNTSVDEFFQGCFLNKLCISDKLSHWNEEERNIAEFMACGSLQSFDSDATYHDLPSSVAGTSSSLDPVQSPTNPPYKKALELKRSGKLTRSV", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLWAIPELGSPCPISISYEMSDSQDPTTSPVVTTQVELGGCSRQGGGNGFLRFRQHQEVQAFLSLLEDSFVQEFLSKDPCFQISDKYLLAMVLVYFQRAHLKLSEYTHSSLFLALYLANDMEEDLEGPKCEIFPWALGKDWCLRVGKFLHQRDKLWARMGFRAVVSRQCCEEVMAKEPFHWAWTRDRRPHHGGVQRVCPQVPVRLPRGPGLSPPHCSPCGLPQHCSSHLLKPVSSKCPSLTSECHRPPSQNYLSRVKNAWGGDFLIVLPPQMQLEPGTYSLRIFPKPPARPGH", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSADFGLIGLAVMGQNLILNAADHGFTVCAYNRTQSKVDHFLANEAKGKSIIGATSIEDFISKLKRPRKVMLLVKAGAPVDALINQIVPLLEKGDIIIDGGNSHFPDSNRRYEELKKKGILFVGSGVSGGEEGARYGPSLMPGGSEEAWPHIKNIFQSISAKSDGEPCCEWVGPAGAGHYVKMVHNGIEYGDMQLICEAYDIMKRLGGFTDKEISDVFAKWNNGVLDSFLVEITRDILKFDDVDGKPLVEKIMDTAGQKGTGKWTAINALDLGMPVTLIGEAVFARCLSALKNERIRASKVLPGPEVPKDAVKDREQFVDDLEQALYASKIISYAQGFMLIREAAATYGWKLNNPAIALMWRGGCIIRSVFLGQITKAYREEPDLENLLFNKFFADAVTKAQSGWRKSIALATTYGIPTPAFSTALSFYDGYRSERLPANLLQAQRDYFGAHTFRVLPECASDNLPVDKDIHINWTGHGGNVSSSTYQA", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKFNYPETRRDDSVFDIFKSTEKGSVKVYDPYRHLEDQQSPETKKWVDEENKITRSFLDQDNTSEKISNEIMKMLNFERFDWFRRRGSKLFFSRNPNTLNQNIIYLIDIDQISISKDGKSSAKGFENAIEFLNPNTYSKDGTWSLKSFVISKSGDHVCFSYSKAGSDWEEIAVKKIITTNELKTNKDDEEEKEDLKKKNCLHYAVVDLPDSINWCKFTSIKWDENETGFIYNRYPKPEKVSDDDKGTETDTNLNNKVYYHKLGDANESFDRVVFECPENPQWIFGTEFSHDHSSLFISAFRDCNVEHNLYVIRNFQEAIANKSAFKVEALIDNFDACYYYITNTKQGEYFFLTNLSAPFNRLISIQLNDDQPIVPNSKSKLEFKEIIPEKDYVLESVSRSSQEKFYVSYQKHVQDIIEVYDFNGKYLKDIKLPGPGSASLSATEYHDHIFINFSNLVSPSVTYYMDSKNDELLLFKEPHIEGFKSSDYECKQVFYESPKDKTKIPMFIAYKKTTDITSGNAPTYMTGYGGFNISYTQSFSIRNIYFLNKFNGIFVIANIRGGGEYGKAWHEAGSKKNKQNCFDDFIGAAEYLIKENYTNQNKLAVRGGSNGGLLMGAISNQRPDLFKCVVADVGVMDMLRFHLHTIGSNWVSDYGRSDNPDDFDVLIKYSPLNNVPKDSNQYPSIMLCTGDHDDRVIPAHSYKFISELQYQLGKKVDTPLLIRVDKDSGHGAGKGLSKQNNEIADIFNFFSKVLNVKLNF", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSPSLVDNHAAAYIAAPSSAKAPMIQKPGNTFGMSSPIESKFLSQPRDLGIVAVGFSGGQCKPGVDAAPSALIESGLLTQLREELGYRLHGDDEVHLYTDLVPKEDPPHRNMKNPRAVSNVTKRIAEQVHSHAKEGRLVLTLGGDHSIAIGTIAGSAKAIKERLGREIAVIWVDAHADINTPETSGSGNIHGMPVSFLTGLASEDKEEFFGWLKPDHLLSVKKLVYIGLRDVDPGEKRILRENGIKAFSMHDIDKHGIGRVMEMALGHIGNDTPIHLSFDVDALDPMWAPSTGTPVRGGLTLREGDFICECVHETGSLVAVDLVEVNPTLAAPNDVGAHETVRAGCSLVRCALGESLL", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "METNSNNFGELQELKDMATLAKLLARAPFLESQYYFRNRAVDSFRKFENDAAVMIQSWFRGCQVRAYMRHLNRVVTIIQKWWRSYLGRKFYQLVVEAAYYTMKMNLYNEMAVRIQRRWRGFRIRKYCFNYYYLKEYLRAVSETNDAIREALEEFAEMKEREERKVLLEREEKQKDYQARKMHYLLSTKQISGIYNSPFREHPDPWELRLQKAKPLGHQKYTAEKGKTSQSPSNWLACTSVHSFPQSESLPPISRKRCQGPFRDINEVLEQRYKPLEPTLRVAEPINHLRLAREAFKQEERMRNVQDKMFLPFSSYHKKEKYIPMIHSSSAYNSDSYGQKHFRSQDSKKWISDKDFQTVLPSFQLFSKYGKLYSKAGEIV", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MYLTKQIPHYSRIHTTQLLTVVNHRTIPFKIRGSFSNCRRYSNFATVPLLERPFKNKSKHNTARASRPFSTQKMLLTKSHPPNMYKDSVFFGYRPIVFSGKQDQKSKVIQCLRTERKTIPDDLVEDEVDRFYNKLGLDDYYFQMEPVSIIADHIEIIYAAKIAAHASHAKEELNIHVKNENEDLAIYLDSSPVTQPELDQSSAVEESISTRYLDPFKLTDPTAYRVESFTSVTNIDRTSTENSNIYTYFVTKCDFVDNPKKDASPDVPTDIASVSDKTFLEKASDNTIEMYQDVMNSVLTRFGPVVRLFDYQGRSEIRLVVGYRRGSIFQYFPSLSKLFRYYGLHSTRTYVEQFSNGVTIISYNFKPELFKNAAVTSINELFSQITREASLLYCLPSTDFQPLFVSEKLSIQEVTYAHCVRIFCEHVMNKLGPEYSSLSAILDHSNNIHAEILETIKRRLSTLAFTRTKIHDTIMQYPGLVHTLFEQFYLEHAINHNSTPHLHRAKSATSLADEASTYSITPMSATALMDLIQKTCTNEEDVSVMEMFVKFNTHLLKTNFFQTTKVALSFRFDPSFLDSTQYKDPLYAMIMSIGNEFRGFHLRFRDVARGGIRLIKSANPEAFGLNARGLFDENYNLAKTQMLKNKDIPEGGAKGVILLGKDCQDKPELAFMKYIDSIIDLLIVNKSQPLVDKLGKPEILFMGPDENTADLVNWATIHAHRRNAPWWKSFFTGKKPTMGGIPHDKYGMTSLSVRCYVEGIYKKLNITDPSKLTKVQTGGPDGDLGSNEIKLSNEKYIAVIDGSGVLYDPAGLDRTELLRLADERKTIDHFDAGKLSPEGYRVLVKDTNLKLPNGEIVRNGTIFRNTAHLRYKADTFVPCGGRPNAININNVEQLIDDHGRPAFKYLVEGANLFITQDAKSVLEKAGVIVIRDASANKGGVTSSSLEVLASLSFDDASFKENMCVHDGKVPTFYADYVNEVKRIIQRNANLEFEAIWKGHSENKIPYTSLSNHLSTEIVKLDHDIYNYEKLWADVGFRNAVLRASIPKTLQAKIGLEKMLERIPESYLRAIFSTYLASRFVYQHVVSSDPFAFFDYISTEMKMLKDA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MMNLGSLSLSTSKSSKPMVSISFWIPYFTHWGESLLVCGSAPGLGSGNVKKGLLLKPSQQDDQLIWSGSVSVPPGFSSDYCYYVVDDSKSVLRSEFGMKRKLVVPETLTGGESVHLRDLWQSGDQALPFRSAFKDVIFHHSFDVKVEKPLGVFMNKSDQDDSVVVQFKICCPDIGEGTSVYVLGTPEKLGNWKVENGLRLNYVDDSIWEADCLIPKADFPIKYRYCKVQKEDSIGFESGGNRELSLHSIGSKQEYIVMSDGLFRAMPWRGAGVAVPMFSVRSEDDVGVGEFLDLKLLVDWAVDSGLHLVQLLPVNDTSVHKMWWDSYPYSSLSVFALHPLYLRVQALSERLPEDIKEEIQKAKNQLDKNDVDYEATMETKLSIAKKIFDIEKDQTLNSSTFQKFFSENEGWLKPYAAFCFLRDFFETSDHSQWGTFSDYTDDKLEKLISKDNLHYNTICFHYYIQYHLHVQLSAAAEYARKKGVVLKGDLPIGVDRNSVDTWVYRNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMGKYFTAYRIDHILGFFRIWELPAHAMTGLVGKFRPSIPLSQEELEKEGIWDFDRLSKPYIQKKFLEEKFGDFWPFIASNFLNETQKDMYEFKEDCNTEKKIVAKLKSLAEKSLLLENEDKVRRDVFDILRNVVLIKDPEDARKFYPRFNIEDTSSFQDLDDHSKNVLKRLYYDYYFQRQEDLWRKNALKTLPALLNSSNMLACGEDLGLIPSCVHPVMQELGLVGLRIQRMPSESDVKFGIPSNYDYMTVCAPSCHDCSTLRAWWEEDEERRQQYFKEVIGVDGIPPSQCIPEITHFILRQHVEAPSMWAIFPLQDMMALKEEYTTRPATEETINDPTNPKHYWRYRVHVTLDSLLKDTDLKSTIKNLVSSSGRSVPANVSGEDINKSRGEVIANGSTKPNP", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MARKVVSRKRKAPASPGAGSDAQGPQFGWDHSLHKRKRLPPVKRSLVYYLKNREVRLQNETSYSRVLHGYAAQQLPSLLKEREFHLGTLNKVFASQWLNHRQVVCGTKCNTLFVVDVQTSQITKIPILKDREPGGVTQQGCGIHAIELNPSRTLLATGGDNPNSLAIYRLPTLDPVCVGDDGHKDWIFSIAWISDTMAVSGSRDGSMGLWEVTDDVLTKSDARHNVSRVPVYAHITHKALKDIPKEDTNPDNCKVRALAFNNKNKELGAVSLDGYFHLWKAENTLSKLLSTKLPYCRENVCLAYGSEWSVYAVGSQAHVSFLDPRQPSYNVKSVCSRERGSGIRSVSFYEHIITVGTGQGSLLFYDIRAQRFLEERLSACYGSKPRLAGENLKLTTGKGWLNHDETWRNYFSDIDFFPNAVYTHCYDSSGTKLFVAGGPLPSGLHGNYAGLWS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVAKDYPFYLTVKRANCSLEAPLGSGVAKDEEPSNKRVKPLSRVTSLANLIPPVKTTPLKRFSQTLQRSISFRSESRPDILAPRAWSRNATSSSTKRRDSKLWSETFDVCVNQVLTAKEIKRQEAIFELSQGEEDLIEDLKLAKKAYHDPMLKLSIMTEQELNQIFGTLDSLIPLHEELLSQLRDVRKPDGSTEHVGPILVGWLPCLSSYDSYCSNQVAAKALLDHKKQDHRVQDFLQRCLESPFSRKLDLWNFLDIPRSRLVKYPLLLREILRHTPNDNPDQQHLEEAINIIQGIVAEINTKTGESECRYYKERLLYLEEGQKDSLIDSSRVLCCHGELKNNRGVKLHVFLFQEVLVITRAVTHNEQLCYQLYRQPIPVKDLTLEDLQDGEVRLGGSLRGAFSNNERVKNFFRVSFKNGSQSQTHSLQANDTFNKQQWLNCIRQAKETVLSAAGQAGLLDSEGLVQGPGTENREPQGETKLEQMDQSDSESDCSMDTSEVSLECERMEQTDASCANSRPEESV", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKGTGGVVVGTQNPVRDYNHSTDEEYQRLRRLADEAYKKRDQLSHESQTAYQQGDKKLAHELSEKSKAQLKTAEDFNMQAAEYVFVENNADSSSNEIDLHGLYVKEALFILQKRIKFAIDHNEPQLNVIVGKGLHSQNGIAKLKPSIEEFCAKHGIRNHLEKGNSGVLVLELQGVQMQMDGPAVNAPTNQYNAQPHPQYNNNGGQPQGQAQNYNNSGNDNKDSTLTSIFKIFCNCIQSLA", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSFLNSWRAVKYTNKPKEEDIKLPNSASNLPTTVQACVIQFISSLVSPVHPQLLSPEELAKAFQNFYKHTDEFIASTLIPQPSSKDQNVPLLFPEEIDAQKKARQHLLNQKDEWMDQIEDIVCEYLYDRIFCLSTSTDAAKDDLLKKFIASEEKKELINCIPIPDDEKLTNRLHEVSEAFFALDEQHTPRSKINTFMTVNSSILNASQLPQEELNADSLLNLTIYCILCYPGFHLISHLNFVLRFRNADFLSGEQRYCLTTFEAALTFILRACPNLLTQSSIQPSDDPLSLEVANSETVSTSNSLHDPSAEPYPVNRSSLSNLRNLGLALEKSYASLLSKVANHTAKSSEDSSNSVEFVGDPPLERFLTVSDASDLKIGEIELLLSDYKRLARLLFEKNGNQ", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDPSGVKVLETAEDIQERRQQVLDRYHRFKELSTLRRQKLEDSYRFQFFQRDAEELEKWIQEKLQVASDENYKDPTNLQGKLQKHQAFEAEVQANSGAIVKLDETGNLMISEGHFASETIRTRLMELHRQWELLLEKMREKGIKLLQAQKLVQYLRECEDVMDWINDKEAIVTSEELGQDLEHVEVLQKKFEEFQTDLAAHEERVNEVNQFAAKLIQEQHPEEELIKTKQEEVNAAWQRLKGLALQRQGKLFGAAEVQRFNRDVDETIGWIKEKEQLMASDDFGRDLASVQALLRKHEGLERDLAALEDKVKALCAEADRLQQSHPLSANQIQVKREELITNWEQIRTLAAERHARLDDSYRLQRFLADFRDLTSWVTEMKALINADELANDVAGAEALLDRHQEHKGEIDAHEDSFKSADESGQALLAAGHYASDEVREKLSILSEERAALLELWELRRQQYEQCMDLQLFYRDTEQVDNWMSKQEAFLLNEDLGDSLDSVEALLKKHEDFEKSLSAQEEKITALDEFATKLIQNNHYAMEDVATRRDALLSRRNALHERAMHRRAQLADSFHLQQFFRDSDELKSWVNEKMKTATDEAYKDPSNLQGKVQKHQAFEAELSANQSRIDALEKAGQKLIDVNHYAKEEVAARMNEVISLWKKLLEATELKGVKLREANQQQQFNRNVEDIELWLYEVEGHLASDDYGKDLTNVQNLQKKHALLEADVAAHQDRIDGITIQARQFQDAGHFDAENIKKKQEALVARYEALKEPMVARKQKLADSLRLQQLFRDVEDEETWIREKEPIAASTNRGKDLIGVQNLLKKHQALQAEIAGHEPRIKAVTQKGNAMVEEGHFAAEDVKAKLSELNQKWEALKAKASQRRQDLEDSLQAQQYFADANEAESWMREKEPIVGSTDYGKDEDSAEALLKKHEALMSDLSAYGSSIQALREQAQSCRQQVAPMDDETGKELVLALYDYQEKSPREVTMKKGDILTLLNSTNKDWWKVEVNDRQGFVPAAYVKKLDPAQSASRENLLEEQGSIALRQGQIDNQTRITKEAGSVSLRMKQVEELYQSLLELGEKRKGMLEKSCKKFMLFREANELQQWINEKEAALTSEEVGADLEQVEVLQKKFDDFQKDLKANESRLKDINKVAEDLESEGLMAEEVQAVQQQEVYGMMPRDEADSKTASPWKSARLMVHTVATFNSIKELNERWRSLQQLAEERSQLLGSAHEVQRFHRDADETKEWIEEKNQALNTDNYGHDLASVQALQRKHEGFERDLAALGDKVNSLGETAQRLIQSHPESAEDLKEKCTELNQAWTSLGKRADQRKAKLGDSHDLQRFLSDFRDLMSWINGIRGLVSSDELAKDVTGAEALLERHQEHRTEIDARAGTFQAFEQFGQQLLAHGHYASPEIKEKLDILDQERTDLEKAWVQRRMMLDHCLELQLFHRDCEQAENWMAAREAFLNTEDKGDSLDSVEALIKKHEDFDKAINVQEEKIAALQAFADQLIAVDHYAKGDIANRRNEVLDRWRRLKAQMIEKRSKLGESQTLQQFSRDVDEIEAWISEKLQTASDESYKDPTNIQSKHQKHQAFEAELHANADRIRGVIDMGNSLIERGACAGSEDAVKARLAALADQWQFLVQKSAEKSQKLKEANKQQNFNTGIKDFDFWLSEVEALLASEDYGKDLASVNNLLKKHQLLEADISAHEDRLKDLNSQADSLMTSSAFDTSQVKEKRDTINGRFQKIKSMATSRRAKLSESHRLHQFFRDMDDEESWIKEKKLLVSSEDYGRDLTGVQNLRKKHKRLEAELAAHEPAIQGVLDTGKKLSDDNTIGQEEIQQRLAQFVEHWKELKQLAAARGQRLEESLEYQQFVANVEEEEAWINEKMTLVASEDYGDTLAAIQGLLKKHEAFETDFTVHKDRVNDVCTNGQDLIKKNNHHEENISSKMKGLNGKVSDLEKAAAQRKAKLDENSAFLQFNWKADVVESWIGEKENSLKTDDYGRDLSSVQTLLTKQETFDAGLQAFQQEGIANITALKDQLLAAKHIQSKAIEARHASLMKRWTQLLANSATRKKKLLEAQSHFRKVEDLFLTFAKKASAFNSWFENAEEDLTDPVRCNSLEEIKALREAHDAFRSSLSSAQADFNQLAELDRQIKSFRVASNPYTWFTMEALEETWRNLQKIIKERELELQKEQRRQEENDKLRQEFAQHANAFHQWIQETRTYLLDGSCMVEESGTLESQLEATKRKHQEIRAMRSQLKKIEDLGAAMEEALILDNKYTEHSTVGLAQQWDQLDQLGMRMQHNLEQQIQARNTTGVTEEALKEFSMMFKHFDKDKSGRLNHQEFKSCLRSLGYDLPMVEEGEPDPEFEAILDTVDPNRDGHVSLQEYMAFMISRETENVKSSEEIESAFRALSSEGKPYVTKEELYQNLTREQADYCVSHMKPYVDGKGRELPTAFDYVEFTRSLFVN", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNPQCARCGKVVYPTEKVNCLDKYWHKGCFHCEVCKMALNMNNYKGYEKKPYCNAHYPKQSFTTVADTPENLRLKQQSELQSQVKYKRDFEESKGRGFSIVTDTPELQRLKRTQEQISNVKYHEDFEKTKGRGFTPVVDDPVTERVRKNTQVVSDAAYKGVHPHIVEMDRRPGIIVAPVLPGAYQQSHSQGYGYMHQTSVSSMRSMQHSPNLRTYRAMYDYSAQDEDEVSFRDGDYIVNVQPIDDGWMYGTVQRTGRTGMLPANYIEFVN", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNMEQEDDQVPAVAAETVPLKRYVTNPGANRDEEVAAAPSSQDTPYFDYAYERSLRHQDAKFLAMNGTQNGRDGLPSKSPRRPSVSASTVRNSDDVNHSKAGPGSGKLLNDTLQSKISSIHMPHVQQGDNAVVSSVGGPETDPGNMETTDPLFSDELAEIYLSIHKCMDMRHKYIRVSLQGELDNPIDDDSWIIYPDCKEGEDDTGLFNFADCKIPGIENEMEYHMDHQGIFQVYENDSAYIAGTPSFHIPTIRDYYIDLEFLLSASSDGPSKSFSFRRLQYLEGRWNMYMLLNEYQELADTKKVPHRDFYNVRKVDTHVHHSALANQKHLLRFIKAKLRKCPNEKVIWRDGKFLTLQEVFDSLKLTSYDLSIDTLDMHAHTDTFHRFDKFNLKYNPIGESRLRTIFLKTDNDINGRYLAELTKEVFTDLRTQKYQMAEYRISIYGRNREEWDKLAAWIIDNELFSPNVRWLIQVPRLYDVYKKSGIVETFEEVVRNVFEPLFEVTKDPRTHPKLHVFLQRVIGFDSVDDESKPERRTFRKFPYPKHWDINLNPPYSYWLYYMYANMTSLNSWRKIRGFNTFVLRPHCGEAGDTDHLASAFLLSHGINHGILLRKVPFLQYLWYLDQIPIAMSPLSNNALFLAYDKNPFLTYFKRGLNVSLSTDDPLQFAFTREPLIEEYAVAAQIYKLSAVDMCELARNSVLQSGFERQLKERWLGVDFQDIDRTNVPIIRLAYRALTLTQEIALVNKHVQPSKHPSNHDLEELIHKYDAMTGTSDPLSASPRTNDATISSRLSLHDGHDHGAFFPGLSVISERRRRKDSMASSSQDLKD", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSNENDDLSPQRRAPRLNERILSSISRRSVAAHPWHDLEIGPEAPSVFNVVIEISKGSKVKYELDKKTGLIKVDRILYSSVVYPQNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYTDIKQLPPHRLAEIRRFFEDYKKNENKDVAVDDFLPPNSAVNAIQYSMDLYAEYILHSLRK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAETKEQTDDVETLMASLDQLGMEADPTSKEFNNDDSKIGAPSEVQDEKELLEFLDQLENDEEKNEKNPIEEANEENESVAATSNERQQHDASNQPSQAAQTTINKNTESATPKTVNEINKSQEFQEHVETPKQSNWLGGFWSTASAAVRSAEQRVRSIKGFEENANWDINVRNMVDLNKLGDLSNGIRSKALPTLSNTIHNVLNVVAPPIHDHEVLQVTVFHDLAGFAHLDRIVYESFEKVMFQVEGGELTVLLDKEAKVRPRTNDVYEDFGLCNGYLEAKKLAKANLAEPITEAKKMNKENKQENVGAGDDEDASESPMVRVTHLLLVIQAFTIKNKEVSDDEQLCFLIHLNDTNHNLEFSTTSQPLPLEWQRWAVDPRYIKLFGSNAILPNEWVTEWVEQSISVAAGIVAQMYTSKRMALGDPSLFAGLPEEDVNTGSSETPVPYYDGAMYA", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MALHVPKAPGFAQMLKEGAKHFSGLEEAVYRNIQACKELAQTTRTAYGPNGMNKMVINHLEKLFVTNDAATILRELEVQHPAAKMIVMASHMQEQEVGDGTNFVLVFAGALLELAEELLRIGLSVSEVIEGYEIACRKAHEILPNLVCCSAKNLRDIDEVSSLLRTSIMSKQYGNEVFLAKLIAQACVSIFPDSGHFNVDNIRVCKILGSGISSSSVLHGMVFKKETEGDVTSVKDAKIAVYSCPFDGMITETKGTVLIKTAEELMNFSKGEENLMDAQVKAIADTGANVVVTGGKVADMALHYANKYNIMLVRLNSKWDLRRLCKTVGATALPRLTPPVLEEMGHCDSVYLSEVGDTQVVVFKHEKEDGAISTIVLRGSTDNLMDDIERAVDDGVNTFKVLTRDKRLVPGGGATEIELAKQITSYGETCPGLEQYAIKKFAEAFEAIPRALAENSGVKANEVISKLYAVHQEGNKNVGLDIEAEVPAVKDMLEAGILDTYLGKYWAIKLATNAAVTVLRVDQIIMAKPAGGPKPPSGKKDWDDDQND", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGEIFESPQYCSTLRQAEHSAAEVALNALSNRGPSHSLAARILDETGVYKNLLQEIAQRVGAPLPRYTTFRSGLGHQPVFTGTVELAGITFTGDPAKNKKQAEKNAAMAAWSSLKQLAKETSSSMPEPENIDELEQVIIARALINYRIKENIGTGSSSSAPVPFAKKFFMQNLRPTSPQPSPATTSRILPFICPKQPSRSSRSSLAATSGIDRIMAAALESRSYQRPQQRFANPPYVPMRQFRSQCHGMAPPVTIRTAVPVFSAPPMPPPPCTNNTQLPSSVYVPSLMRTAPPVRIAPPVTIRTAVPVFASAPPVRIRTAVKPTVEAGETRISSVQEKESIPVLPDSLEIGVEGSTITITDCEKTASKETERAEFKDSSKGEPETARERLENLKI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MERRRITSAARRSYVSSGEMMVGGLAPGRRLGPGTRLSLARMPPPLPTRVDFSLAGALNAGFKETRASERAEMMELNDRFASYIEKVRFLEQQNKALAAELNQLRAKEPTKLADVYQAELRELRLRLDQLTANSARLEVERDNLAQDLATVRQKLQDETNLRLEAENNLAAYRQEADEATLARLDLERKIESLEEEIRFLRKIHEEEVRELQEQLARQQVHVELDVAKPDLTAALKEIRTQYEAMASSNMHEAEEWYRSKFADLTDAAARNAELLRQAKHEANDYRRQLQSLTCDLESLRGTNESLERQMREQEERHVREAASYQEALARLEEEGQSLKDEMARHLQEYQDLLNVKLALDIEIATYRKLLEGEENRITIPVQTFSNLQIRETSLDTKSVSEGHLKRNIVVKTVEMRDGEVIKESKQEHKDVM", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKAASNSVSSAGGSVSPTTTQPPLPPGQSSHPQIYDQQMQYYFAAAMPNQPMATYAAQNGSSQQYAPAAPYYQDANGQYVQVPANGSMAPQQHMMVSGQPYLYMAQPQQGAQQVMQSGQPQLIYYQQSMAPQAAPMYFHPMQAAPMLPEQMGVMPHTQPAIPPQQQPRQVGVEISSTRTAPLTSSTPLPTSLEYETVQRDNRNRNIQFRYHRVMEHDELPIDEISKITLDNHNDDTMSAEKENHFHEHRGEKFGRRGFPIPETDSQQPPNYKTRLCMMHASGIKPCDMGARCKFAHGLKELRATDAPARYPNNKYKTKLCKNFARGGTGFCPYGLRCEFVHPTDKEFQNIPPYQRMSHDDQDYDQDVIPEDYVVARHQPRFMRTGGRATTPTKVMLKHRNVAGSMMCLSNAGRDLQAGGDYNQPESNEDDLPPHLRRNRRENPPMNKRRTSLSTKWTSEENLGLRGHY", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASKILLNVQEEVTCPICLELLTEPLSLDCGHSLCRACITVSNKEAVTSMGGKSSCPVCGISYSFEHLQANQHLANIVERLKEVKLSPDNGKKRDLCDHHGEKLLLFCKEDRKVICWLCERSQEHRGHHTVLTEEVFKECQEKLQAVLKRLKKEEEEAEKLEADIREEKTSWKYQVQTERQRIQTEFDQLRSILNNEEQRELQRLEEEEKKTLDKFAEAEDELVQQKQLVRELISDVECRSQWSTMELLQDMSGIMKWSEIWRLKKPKMVSKKLKTVFHAPDLSRMLQMFRELTAVRCYWVDVTLNSVNLNLNLVLSEDQRQVISVPIWPFQCYNYGVLGSQYFSSGKHYWEVDVSKKTAWILGVYCRTYSRHMKYVVRRCANRQNLYTKYRPLFGYWVIGLQNKCKYGVFEESLSSDPEVLTLSMAVPPCRVGVFLDYEAGIVSFFNVTSHGSLIYKFSKCCFSQPVYPYFNPWNCPAPMTLCPPSS", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLCWGNASFGQLGLGGIDEEIVLEPRKSDFFINKRVRDVGCGLRHTVFVLDDGTVYTCGCNDLGQLGHEKSRKKPEQVVALDAQNIVAVSCGEAHTLALNDKGQVYAWGLDSDGQLGLVGSEECIRVPRNIKSLSDIQIVQVACGYYHSLALSKASEVFCWGQNKYGQLGLGTDCKKQTSPQLLKSLLGIPFMQVAAGGAHSFVLTLSGAIFGWGRNKFGQLGLNDENDRYVPNLLKSLRSQKIVYICCGEDHTAALTKEGGVFTFGAGGYGQLGHNSTSHEINPRKVFELMGSIVTEIACGRQHTSAFVPSSGRIYSFGLGGNGQLGTGSTSNRKSPFTVKGNWYPYNGQCLPDIDSEEYFCVKRIFSGGDQSFSHYSSPQNCGPPDDFRCPNPTKQIWTVNEALIQKWLSYPSGRFPVEIANEIDGTFSSSGCLNGSFLAVSNDDHYRTGTRFSGVDMNAARLLFHKLIQPDHPQISQQVAASLEKNLIPKLTSSLPDVEALRFYLTLPECPLMSDSNNFTTIAIPFGTALVNLEKAPLKVLENWWSVLEPPLFLKIVELFKEVVVHLLKLYKIGIPPSERRIFNSFLHTALKVLEILHRVNEKMGQIIQYDKFYIHEVQELIDIRNDYINWVQQQAYGMDVNHGLTELADIPVTICTYPFVFDAQAKTTLLQTDAVLQMQMAIDQAHRQNVSSLFLPVIESVNPCLILVVRRENIVGDAMEVLRKTKNIDYKKPLKVIFVGEDAVDAGGVRKEFFLLIMRELLDPKYGMFRYYEDSRLIWFSDKTFEDSDLFHLIGVICGLAIYNCTIVDLHFPLALYKKLLKKKPSLDDLKELMPDVGRSMQQLLDYPEDDIEETFCLNFTITVENFGATEVKELVLNGADTAVNKQNRQEFVDAYVDYIFNKSVASLFDAFHAGFHKVCGGKVLLLFQPNELQAMVIGNTNYDWKELEKNTEYKGEYWAEHPTIKIFWEVFHELPLEKKKQFLLFLTGSDRIPILGMKSLKLVIQSTGGGEEYLPVSHTCFNLLDLPKYTEKETLRSKLIQAIDHNEGFSLI", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSYRRELEKYRDLDEDEILGALTEEELRTLENELDELDPDNALLPAGLRQKDQTTKAPTGPFKREELLDHLEKQAKEFKDREDLVPYTGEKRGKVWVPKQKPLDPVLESVTLEPELEEALANASDAELCDIAAILGMHTLMSNQQYYQALSSSSIMNKEGLNSVIKPTQYKPVPDEEPNSTDVEETLERIKNNDPKLEEVNLNNIRNIPIPTLKAYAEALKENSYVKKFSIVGTRSNDPVAYALAEMLKENKVLKTLNVESNFISGAGILRLVEALPYNTSLVEMKIDNQSQPLGNKVEMEIVSMLEKNATLLKFGYHFTQQGPRLRASNAMMNNNDLVRKRRLADLTGPIIPKCRSGV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPKLKVKAGKGKKGKRKKAGKNEHRLDKESEVERARSNAALWEARLKVTEFSRVEYRDAARSLAQNNEDLTKQQYKLEKDMVEVIGFLKKQDLEKDELIEKLQQNLIAQKKSAQDEREKLVELYSKQIAHLEENYSQKTNEMQIIQSEFKLMREFRRQKVELEKELDEVKESLWRANQDHKETLARMERRFFEEKQRLEKEAEKKIMMLAEKAHSEAIIQLDEARKSVFKENVRLKEAFSYHLKEMKDIKKSKKMQEDAKLHLLQEKETNDLLVQEKVSQVSQKKVQIQELQQNVKALECALERMTMEMEKDAQGKEHQALLQEQAGNVELQKLQKVLHMKEREMNRIKKLARNILEERTEVESFFLEALWQVKQEIATSRNYYRQVAQSAYTSKMIQASLGKDQYPKIRTFHNKEHSTNDVSHDLSEAEKWTHIQAGKVDIGDLTWEQKEKVLRLLFAKMNGFQSRKSPGLKPSPPADVSSIKEKEINTSNLEEKPEESSSTFITQSIPELPAPSLVLPHIQTGRCQVTG", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGSAESKAQVTPSRPLRNHLLSRVNDPRSPTSGIPRTPIEVGESPRNTPQTVKEEEEEIPDSPEIFDPRSPTNGITRTPLRPPIHAVLNNLAKQLSEVFVAEDSSTEGGPLGFTGPEATNLERQVVESQTAPPAGEHVNDHEVEPSVEKAETQIDLEVCPGVEKVKSPIAEMLETLNDQEESPIAETLETMNDQEESPIAETMNDQEESPIAETLENLNDQAESPIAETLENLNDQAESPIAEMLDTLNDQEPVAVAQSVVSTESTQATGQQQKTRGKSPRSSGVKNVRQRPRKALLSSSSGRSPLRILQEDNSPNTNTQHRQAKKLSFQSEPALPHRALKISHPNWESSLNKENAEYGHSNS", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPPKKQVEEKKVLLGRPGNNLKAGIVGLANVGKSTFFQAITRCPLGNPANYPFATIDPEEARVIVPSPRFDKLCEIYKKTASEVPAHLTVYDIAGLTKGASAGEGLGNAFLSHIRSVDSIYQVVRCFDDAEIIHVEGDVDPVRDLEIINQELRLKDIEFAQKALEGAEKIAKRGGQSLEVKQKKEEMDLITKIIKLLESGQRVANHSWTSKEVEIINSMFLLTAKPCIYLINLSERDYIRKKNKHLLRIKEWVDKYSPGDLIIPFSVSLEERLSHMSPEDAEEELKKLQTISALPKIITTMRQKLDLISFFTCGPDEVREWTIRRGTKAPQAAGVIHNDLMNTFILAQVMKCEDVFEYKDDSAIKAAGKLMQKGKDYVVEDGDIIYFRAGAGKN", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDVSYYDGPKDEVIEAMLKSAVTAMKLGQYEDGKGRLEDTMEFGTSNFQLLGTIYMYYGRVCRHLNHDAKALEFFEHELNMFKLIFNYPEACDSTRRIVQQALKMEKFSKARRFAEDLIDYTSNKKNGEKYIGQARILFASVCLEGCERDVESNQDEKKKLLSICAEQIAAVKLFNENNTEGAVSETKIMLIEAKCLSLDEKYEESRRKYQECIDFAIKTDQFEAVHIAYYDKALYAETYLLFFIIRDLRSALFYATKFGKERDVVKYKSKLSEEMLRNGEFHEAYLYGLEALVSIRKLGLNEHIGDVLLTIAKCLIALGKRRQAAYFIILGSVLTINQSSFKLFYEQIDVAMNQERSETATDQDACLAIDSSPDPTSSNDMINKFVVKLEHATNVETWEMIVNGIIEDQKKPVAIEKKENEEPVDMMDLIFSMSSRMDDQRTELSAARFIPPRPVSSASKKTTKSHRILPGLRANWTKVQSMKFDGHTMNRILKRSKKSKSSLDSTNSIQGDDTRSDDVTMTSK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MADQAMTDQDQGAVTLYSGTAITDAKKNHPFSVKVGLAQVLRGGAIVEVSSVNQAKLAESAGACSVIVSDPVRSRGGVRRMPDPVLIKEVKRAVSVPVMARARVGHFVEAQILESLAVDYIDESEIISVADDDHFINKHNFRSPFICGCRDTGEALRRIREGAAMIRIQGDLTATGNIAETVKNVRSLMGEVRVLNNMDDDEVFTFAKKISAPYDLVAQTKQMGRVPVVQFASGGITTPADAALMMQLGCDGVFVGSEVFDGPDPFKKLRSIVQAVQHYNDPHVLAEMSSGLENAMESLNVRGDRIQDFGQGSV", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MHSEAEESKEVATDVFNSKNLAVQAQKKILGKMVSKSIATTLIDDTSSEVLDELYRVTREYTQNKKEAEKIIKNLIKTVIKLAILYRNNQFNQDELALMEKFKKKVHQLAMTVVSFHQVDYTFDRNVLSRLLNECREMLHQIIQRHLTAKSHGRVNNVFDHFSDCEFLAALYNPFGNFKPHLQKLCDGINKMLDEENI", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAWKSGGASHSELIHNLRKNGIIKTDKVFEVMLATDRSHYAKCNPYMDSPQSIGFQATISAPHMHAYALELLFDQLHEGAKALDVGSGSGILTACFARMVGPSGKVIGIDHIKELVDDSINNVRKDDPMLLSSGRVQLVVGDGRMGYAEEAPYDAIHVGAAAPVVPQALIDQLKPGGRLILPVGPAGGNQMLEQYDKLQDGSVKMKPLMGVIYVPLTDKEKQWSRWK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSEKKHSCEEKSLQLYPLSNKIRHVNPNISALPSETDISESLTNDLTKLEISNYAPFNRDLETFSDLIFGLTNIQKKSLYSLQLGRSRGYALYSDENEKYLWSINTKITSTEQREVLLVKSNNKKFDTSIVLKHTHLSSKSQNEKKARVKVFRQEIWALKTLSHPCVVQLLNYYVSSAELILVENYCMGGDLYHYTKKHHSDFSLEFVGRIFSELVHTVAYLHSKCLIHRDLKLENILLTQPYNVIKTIDNWKNYPNALIQISDFELSIFVDSKNHLVQSSCGSQEYAPPEVYMGIAHDGFRADAWSLGIVLFALLEGRLPFDSYPTLDPENVRIKRYVQRLVRCDYTWHLCKSPFKRSTGNTNDNDDPSWRFRLFVKKLLKNRDQRSTPTELLKDFNKHGNFTLPLLENVTI", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAEDLDELLDEVESKFCTPDLLRRGMVEQPKGCGGGTHSSDRNQAKAKETLRSTETFKKEDDLDSLINEILEEPNLDKKPSKLKSKSSGNTSVRASIEGLGKSCSPVYLGGSSIPCGIGTNISWRACDHLRCIACDFLVVSYDDYMWDKSCDYLFFRNNMPEFHKLKAKLIKKKGTRAYACQCSWRTIEEVTDLQTDHQLRWVCGKH", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDVSSEHTKDPGGEGGDGESLAARPSKIKASSGPPTSPEPGELESEPEEEEEEQAASQGGTAADEQAEAPKGLTAAEAAGEEGPGEPGRPAEPQPEPEEPAEVGAEEPAQPEPGAGPEELEAEAGAEELEQAAEGKEVRFQASLPLTRIDEEEAAAAPEAETERVEGEEEDKEETQRDGAESKERDGEGRPAKSQEEGKRLYGRDEFEDLEWSEEVQKLQEQQLRSDLLDQYRSLLVERNRSQRYNLYLQHKIFEALRRKKGLEAAEVADRGAEAEAPEKEQAYLRHLGMLEELKKQQADDLQWYHQELGQLKRQCQEKLTRVEKEWRRFQALKKQVVMQAMGSCRMRGGRQAALREVEQIQALEDKKEKEMSAVRLENIQLKQSLVHFETRMRTQEDLTQGLLLIDFEQLKIENQTFNEKIEERNEELLKLRSKVTNSVQVITHVKEKLHFMDMENACKKTQLAEIEAQAALGRDILTKTKQAREGLRTDNIRLNQKCGLLGKDSLLRDLEEKVDKTELLHRRLESLKRHHASLTLSCRGVRQKIREAKAFLPS", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAPTQAGHDTAYLKETVGEALARGCAAAISAQPNDPVEYLGLWLLKYVKNAEVEGNFYRERQQDLQKKKDRLVKEAQSEQAAKSVALTRKEAADALALVTAEPRELLEAAVKLVKQHTAAGAAYAAVVAEPEEPDWVAPEDDEAAAVETEDEAAGGAALAEGEEPPPEPEPEPEAAPEDGEGDAPAPKIPRPVDYSKKYFAYVAASAGQEHVLEADLYRPAPPPEDADEDFKPEPLPYSFRVLDEKLPMLYVPNVAAEERVKFFRKFPKIGSYQACGVALPASGEFKALLAADTLFPEGSGQPLSADDRDFVWEVSQSLSRALEAVQARAAEALAATSAAEAVEELKAKVAELREQAAAEAAAAAPPPPAEGEEGEGEAPPAEEEPPAEEEAEEEEEEAEEGAEEGAEEGEEGEEAPPKPKKKKKVFNPIPGLQAAIEKLTAAAEAATEADARAQAAVALEKQALDEVVALASSHSDATLSSLRNMLSVPQGTYHVVKALLHLLGRPAASFSTWKRAHSHFSPRLFEDMAAYDATAERDMAVWGRVRSCYKAAPAAKKLDAEMPNTLFGSVALMYIKQVRRVARKAVLQRELAAKLAKAQQDLADKQAALVEAERVKAEREAEEARLAAEAEAAAAAEAEAAARAAAEAEAAAAAEAAAEAAAEAAAAAAEAAAEAGEGEAVAEREAAPAEAEAAPAEGEAAPPAEGEGEAQPAQEGSNSSSSSSDSSSSEESKAAAE", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAKAAAIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVALNPQNTVFDAKRLIGRKFGDPVVQSDMKHWPFQVINDGDKPKVQVSYKGETKAFYPEEISSMVLTKMKEIAEAYLGYPVTNAVITVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDRTGKGERNVLIFDLGGGTFDVSILTIDDGIFEVKATAGDTHLGGEDFDNRLVNHFVEEFKRKHKKDISQNKRAVRRLRTACERAKRTLSSSTQASLEIDSLFEGIDFYTSITRARFEELCSDLFRSTLEPVEKALRDAKLDKAQIHDLVLVGGSTRIPKVQKLLQDFFNGRDLNKSINPDEAVAYGAAVQAAILMGDKSENVQDLLLLDVAPLSLGLETAGGVMTALIKRNSTIPTKQTQIFTTYSDNQPGVLIQVYEGERAMTKDNNLLGRFELSGIPPAPRGVPQIEVTFDIDANGILNVTATDKSTGKANKITITNDKGRLSKEEIERMVQEAEKYKAEDEVQRERVSAKNALESYAFNMKSAVEDEGLKGKISEADKKKVLDKCQEVISWLDANTLAEKDEFEHKRKELEQVCNPIISGLYQGAGGPGPGGFGAQGPKGGSGSGPTIEEVD", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAKAAAIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVALNPQNTVFDAKRLIGRKFGDPVVQSDMKHWPFQVINDGDKPKVQVSYKGETKAFYPEEISSMVLTKMKEIAEAYLGYPVTNAVITVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDRTGKGERNVLIFDLGGGTFDVSILTIDDGIFEVKATAGDTHLGGEDFDNRLVNHFVEEFKRKHKKDISQNKRAVRRLRTACERAKRTLSSSTQASLEIDSLFEGIDFYTSITRARFEELCSDLFRSTLEPVEKALRDAKLDKAQIHDLVLVGGSTRIPKVQKLLQDFFNGRDLNKSINPDEAVAYGAAVQAAILMGDKSENVQDLLLLDVAPLSLGLETAGGVMTALIKRNSTIPTKQTQIFTTYSDNQPGVLIQVYEGERAMTKDNNLLGRFELSGIPPAPRGVPQIEVTFDIDANGILNVTATDKSTGKANKITITNDKGRLSKEEIERMVQEAEKYKAEDEVQRERVSAKNALESYAFNMKSAVEDEGLKGKISEADKKKVLDKCQEVISWLDANTLAEKDEFEHKRKELEQVCNPIISGLYQGAGGPGPGGFGAQGPKGGSGSGPTIEEVD", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MALALSLSACSPPLRRTRRAGFRTSCSIFANPAQRAKRKLLELISEEDRGLRTQKDPKKRDEIVNAIESMTVIGRSSITTDDSLSATWRLLWTTEKEQLFIIEKAGLFGTTAGDVLQVIDVNKRILNNVITFPPDGVFFVRSDIDIASPQRVNFRFNSAVLRGKNWELPLPPFGKGWFENVYMDGEIRVAKDIRGDYLIVDRAPYNWTESFV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSDLRRKGWWNVPDYFHSPLVFDMEEDKEDYIFGPHDEYLHTLEVHSNTLIQLERWFTPTGQTRVTVVGPLKARLWVMDMIRKVGSKNNLDQIKGKMMLLQIRDHPLRDRDLELHPESGSSLWITTMNDTTFVEVPHFLRFPLTVAWLFCGFVRILGIHNFADLHW", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MESPVQILVWPFPCDEMNQKTPSTVEEIRIALQELGLSTNGNKEKLKRRWKFREKRLEEKRKQERYQKFSTSNENKTCLRYLLIVDVEATCEEGCGFSFENEIIELPCLLFDLIEKSIIDEFHSYVRPSMNPTLSDYCKSLTGIQQCTVDKAPIFSDVLEELFIFLRKHSNILVPSVDEIEIIEPLKSVPRTQPKNWAWACDGPWDMASFLAKQFKYDKMPIPDWIKGPFVDIRSFYKDVYRVPRTNINGMLEHWGLQFEGSEHRGIDDARNLSRIVKKMCSENVEFECNRWWMEYEKNGWIPNRSYPPYFAS", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSLSTIICIGMAGSGKTTFMQRLNSHLRAEKTPPYVINLDPAVLRVPYGANIDIRDSIKYKKVMENYQLGPNGAIVTSLNLFSTKIDQVIRLVEQKKDKFQNCIIDTPGQIECFVWSASGAIITESFASSFPTVIAYIVDTPRNSSPTTFMSNMLYACSILYKTKLPMIVVFNKTDVCKADFAKEWMTDFESFQAAIKEDQDLNGDNGLGSGYMSSLVNSMSLMLEEFYSQLDVVGVSSFTGDGFDEFMQCVDKKVDEYDQYYKQEREKALNLKKKKEEMRKQKSLNGLMKDLGLNEKSSAAASDNDSIDAISDLEEDANDGLVDRDEDEGVEREYTFPGEERTKGEVNENSAPDLQRRYQEAMQQVGKTASSETAENIAKYIRN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGRSQEQGQGQGPVHSIRLSTVGATRPTETGTTHEPTGLDLAMKLHYLKAAYIYSAETARDLTVRHLKEAMFMLFDQIAWTTGRFSRRDSGRPYIKCNDCGTRFVEGQCNLTVEEWLSKPDRSVDEFLVYHHPIGPELTFSPLIYVQMTRFKCGGLGLGLSWANIIGDAFSLFYAFNLWAKAITGEKIYAPTTPSIGERRFQSPNPTVKDPVSIKRVEPVGDLWVTPNDKKLANYCFNLSVADQISPHFPAKGDDSIPVFEILAGIIWKCIAKVRVEPKPVTVTIIKKDPNDLKLNAIRNSQVISSVSVDFPVAEATVEELVKAMGEAKDERCGIEEIGESCDGNLDFVVYGAKLTFLDLTGEDLYEAKVMGKSPESVYCNVEGIGEEGLVVVYAAAKSEERVVTVTLPEEEMERVKLEFKKFGLIAP", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKKEHVSHCQFSAWYPLFRSLTIKSVILPLPQNVKDYLLDDGTLVVSGREDPPTCSQPDSGDEAEETQWSDDESTATLTAPEFPEFNTQVQEAINSLGGSVFPKLNWSAPRDAYWIAMNSSLKCKSLSDIFLLFKSSDFITHDFTQPFIHCNDDSPDPCIEYELVLRKWCELIPGAEFRCFVKENKLIGISQRDYTQYYDHISKQKEEICRCIQDFFKEHLQYKFLDEDFVFDIYRDSRGKVWLIDFNPFGEVTDSLLFTWEELTSENNLRGDVSEADALEQDSPAFRCTNSEVTVQPSPYLSYGLPKDFVDLSTGEDAHKLIDFLKLKRNQQEDD", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSKVIFALVLVVVLVACINATYVEFEEAYAPVDCKGQCTTPCEPLTACKEKCAESCETSADKKTCRRNCKKADCEPQDKVCDACRMKCHKACRAANCASECPKHEHKSDTCRACMKTNCK", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLLSKFGSLAHLCGPGGVDHLPVKILQPAKADKESFEKAYQVGAVLGSGGFGTVYAGSRIADGLPVAVKHVVKERVTEWGSLGGATVPLEVVLLRKVGAAGGARGVIRLLDWFERPDGFLLVLERPEPAQDLFDFITERGALDEPLARRFFAQVLAAVRHCHSCGVVHRDIKDENLLVDLRSGELKLIDFGSGALLKDTVYTDFDGTRVYSPPEWIRYHRYHGRSATVWSLGVLLYDMVCGDIPFEQDEEILRGRLLFRRRVSPECQQLIRWCLSLRPSERPSLDQIAAHPWMLGADGGVPESCDLRLCTLDPDDVASTTSSSESL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MHHRNDSQRLGKAGCPPEPSLQMANTNFLSTLSPEHCRPLAGECMNKLKCGAAEAEIMNLPERVGTFSAIPALGGISLPPGVIVMTALHSPAAASAAVTDSAFQIANLADCPQNHSSSSSSSSGGAGGANPAKKKRKRCGVCVPCKRLINCGVCSSCRNRKTGHQICKFRKCEELKKKPGTSLERTPVPSAEAFRWFF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDQEIEIPSFFLCPISLDIMKDPVIVSTGITYDRESIEKWLFSGKKNSCPVTKQVITETDLTPNHTLRRLIQSWCTLNASYGIERIPTPKPPICKSEIEKLIKESSSSHLNQVKCLKRLRQIVSENTTNKRCLEAAEVPEFLANIVSNSVDTYNSPSSSLSSSNLNDMCQSNMLENRFDSSRSLMDEALSVLYHLDTSETALKSLLNNKKGTNLVKTLTKIMQRGIYESRAYAALLLKKLLEVADPMQIILLERELFGEVIQILHDQISHKATRSAMQILVITCPWGRNRHKAVEGGTISMIIELLMDDTFSSERRNSEMAMVVLDMLCQCAEGRAEFLNHGAAIAVVSKKILRVSQITSERAVRVLLSVGRFCATPSLLQEMLQLGVVAKLCLVLQVSCGNKTKEKAKELLKLHARVWRESPCVPRNLYDSYPA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSLPFYQRSHQHYDLSYRNKDLRTTMSHYQQEKKRSAVYTHGSTAYSSRSLAARRQESEAFSQASATSYQQQASQTYSLGASSSSRHSQGSEVSRKTASAYDYGYSHGLTDSSLLLEDYSSKLSPQTKRAKRSLLSGEETGSLPGNYLVPIYSGRQVHISGIRDSEEERIKEAAAYIAQKTLLASEEAIAASKQSTASKQSATSKRTTSTLQREETFEKKSRNIAIREKAEELSLKKTLEETQTYHGKLNEDHLLHAPEFIIKPRSHTVWEKENVKLHCSVAGWPEPRLTWYKNQVPINVHANPGKYIIESRYGMHTLEISKCDFEDTAQYRASAMNVQGELSAYASVVVKRYKGELDESLLRGGVSMPLSFAVTPYGYASKFEIHFDDKFDVSFGREGETMSLGCRVVITPEIKHFQPEVQWYRNGAPVSPSKWVQPHWSGDRATLTFSHLNKEDEGLYTIRVRMGEYYEQYSAYVFVRDADAEIEGAPAAPLDVVSLDANKDYIIISWKQPAVDGGSPILGYFIDKCEVGTDTWSQCNDTPVKFARFPVTGLIEGRSYIFRVRAVNKTGIGLPSRVSEPVAALDPAEKARLKSHPSAPWTGQIIVTEEEPTEGVIPGPPTDLSVTEATRSYVVLSWKPPGQRGHEGIMYFVEKCDVGAENWQRVNTELPVKSPRFALFDLVEGKSYRFRVRCSNSAGVGEPSETTEVTVVGDKLDIPKAPGKIIPSRNTDTSVVVSWEESRDAKELVGYYIEASVVGSGKWEPCNNNPVKGSRFTCHGLTTAQSYIFRVRAVNAAGLSEYSQDSEAIEVKAAIGGGVSPDVWPQLSDTPGGLTDSRGGMNGASPPTSQKDALLGSNPNKPSPPSSPSSRGQKEVSTVSESVQEPLSSPPQEAAPEEEQSQSEPPKKKKDPVAVPSAPYDITCLESFRDSMVLGWKQPDTTGGAEITGYYVNYREVVGEVPGKWREANIKAVSDAAYKISNLKENTLYQFQVSAMNIAGLGAPSTVSECFKCEEWTIAVPGPPHSVKLSEVRKNSLVLQWKPPVYSGRTPVTGYFVDLKEASAKDDQWRGLNEAAIVNKYLRVQGLKEGTSYVFRVRAVNQAGVGKPSDLAGPVVAETRPGTKEVVVSVDDDGVISLNFECDQMTPKSEFVWSKDYVPTEDSPRLEVENKGDKTKMTFKDLGTDDLGTYSCDVTDTDGIASSYLIDEEEMKRLLALSQEHKFPTVPTKSELAVEILEKGQVRFWMQAEKLSSNAKVSYIFNEKEIFEGPKYKMHIDRNTGIIEMFMEKLQDEDEGTYTFQIQDGKATGHSTLVLIGDVYKKLQKEAEFQRQEWIRKQGPHFAEYLSWEVTGECNVLLKCKVANIKKETHIVWYKDEREISVDEKHDFKDGICTLLITEFSKKDAGFYEVILKDDRGKDKSRLKLVDEAFQDLMTEVCKKIALSATDLKIQSTAEGIRLYSFVCYYLDDLKVNWSHNGTGIKYTDRVKSGVTGEQIWLQINEPTPNDKGKYVMELFDGKTGHQKTVDLSGQAFDEAFAEFQRLKQAAIAEKNRARVLGGLPDVVTIQEGKALNLTCNVWGDPPPEVSWLKNEKPLTSDDHCSLKFEAGKTAFFTISGVSTADSGKYGLVVKNKYGSETSDFTVSVFIPEEELRKGAMEPPKGNQKSK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEPSFKLSSGHKIPLVGFGTWKAETTLVGKAVEVALDAGYRHIDCAAVYLNEKEVGEAFTKKFTTEATVKREDVFITSKLWNTFHKKEHVRPALERTLSDLGLQYLDLYLVHWPVAFEYTSNDIQTSGSTQEFVSIRETWEEMEKLVDAGLVKSIGLSNFNVQGLMEVLSYARIKPAANQVELHPFLSQPELKKFCDKHNIHLTAYSPLGNGAFVDNEEVGAIAKKYNKTIPNVLCKWAIQKNFSVIPKSSTPSRVAENFDLFNFEIEEADMLFLDKMDKNLRTCDPAKFWGVPLFN", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MADGGAEPPAPPSSINAGEFLLSILHGSPSPSSQGPQHHQSFALDPAIAAIGPTVNNPFPPSNWQSNGHRPSNHNPPSWPLAFSPPHNLSPNFLGFPQFPPSPFTTNQFDGNQRVSPEDAYRLGFPGTTNPAIQSMVQQQQQQQLPPPQSETRKLVFGSFSGDATQSLNGLHNGNLKYDSNQHEQLMRHPQSTLSNSNMDPNLSHHRNHDLHEQRGGHSGRGNWGHIGNNGRGLKSTPPPPPPGFSSNQRGWDMSLGSKDDDRGMGRNHDQAMGEHSKVWNQSVDFSAEANRLRGLSIQNESKFNLSQQIDHPGPPKGASLHSVSAADAADSFSMLNKEARRGGERREELGQLSKAKREGNANSDEIEDFGEDIVKSLLLEDETGEKDANDGKKDSKTSREKESRVDNRGQRLLGQKARMVKMYMACRNDIHRYDATFIAIYKSLIPAEEELEKQRQLMAHLENLVAKEWPHAKLYLYGSCANSFGFPKSDIDVCLAIEGDDINKSEMLLKLAEILESDNLQNVQALTRARVPIVKLMDPVTGISCDICINNVLAVVNTKLLRDYAQIDVRLRQLAFIVKHWAKSRRVNETYQGTLSSYAYVLMCIHFLQQRRPPILPCLQEMEPTYSVRVDNIRCTYFDNVDRLRNFGSNNRETIAELVWGFFNYWAYAHDYAYNVVSVRTGSILGKREKDWTRRVGNDRHLICIEDPFETSHDLGRVVDKFSIRVLREEFERAARIMHQDPNPCAKLLEPYIPEDNNGQGHN", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLQHPKVKGPSIALLGFSKGGDLCLSMASFLKGITATVLINACVANTVAPLHYKDMIIPKLVDDLGKVKITKSGFLTFMDTWSNPLEEHNHQSLVPLEKAQVPFLFIVGMDDQSWKSEFYAQIASERLQAHGKERPQIICYPETGHCIDPPYFPPSRASVHAVLGEAIFYGGEPKAHSKAQVDAWQQIQTFFHKHLNGKKSVKHSKI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSEELHSDLIASIFGGKPAKIEEFFSKWNFANAAVSKFDMANSAKNELGDRICEVIENGELTHVLLETIKILSREKDGLEGLLNDPLCDKILAFAELSSNENNSKTVHTLMEAQKCLINTLFHSQRMRDRFYANPKTGENLQFFLGEFEENRRKTSSIDWIRLLNPVQAAEIWYFYHRIAFIATALGREFQKNWANDPKTIDSLLLAVEICTNRSENSTQDINRATEALKTFFNVFCHFHGDVKAIDHKNAAKTCQILRDAICSDVLTDDVVQSAIHCLSVPPLPMVLSVLCGKNSKNNGGENEEEKFFVEELSNMQLTEAILMHLDKQLTKVVALLLNDAPNQQQNPMLSAEASTLTDLVGPYFQVLARLCTDSKYVRRYCRIRVIPPLVSEEVQKRPEENNTLRGRIARIMMLPSSTKDVAAEFLFIICKRSVNRMIKYLGFGHSAGHLANLGLLGQINQPKHASDSEDSETEDYNQIKDSVNPVTGAIYPSDHGSALAGMSEEQKEYEAMKLVDAMNQMMETGIVKPGTIGDDGKIREVSHVLELLKNAPEPAPAENSDSDEE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEDKLDRYYTNVLSNAEKDKHTTVDSDDKSSGEENLDELLNELDRELDEDHEFLSAYRSERLQQISDHLKQVKKNVEDDGYGRLQCIDNEADAIQICTKTTMVVIHFELETFGKCQYMNEKLENLAKRYLTTRFIKVNVQTCPFLVNKLNIKVLPFVVGYKNGLEKVRYVGFSKLGNDPNGFDIRRLEQSLAHSGVIEDTFEIRKHSSVNTERFASTNHDRSESDSDLDI", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDTVGTDAAAASINERRFAQSTSPKVSVKSQDSLFLITYSNMQQTVVQASLADRYPSLKKLNILLYIDIPTIDYYNDEMTHNKLSRLNKRFKLHRLRNSIAQSFSNTSTAEDNDKFWEELKSLISSRSTPENKFDLNVLVSSSGSLRYVETIRFLVEKLFNSFKDLYVQKKLNLCFQINVSPTSLKWFSTFLNAELLNLKIINWQNIGSFTKTIQNSKSLPFKEYYTKLNEKFTGSNQSNGSMQDQTVLDSIVIVTNSTGVKALLTLLSDHPLTSLISQESIKALHEYSDAVNEDKGDDQSNTSLKRNSSSLLNFQNSVLTSNKDKSVRIRSLSINRKSNRAHMFKTNESITTIPSTSINNLIGQESNLRKQPSGTALHLQSHLHPHSRSQSYSSSNMSRSPSPFPYGKTPSNDELVYDELNNQINEVQDRAKNEEIVLYNNNNYDDYTKERGEQEQDRTSYADEYGFNYDDEEGGNEDNYDDDEDDDDDDDDDDESDDEGLSFYAPSILSRSGSSTDVLSSGIDSMAKNSKETRGRFRSLSLMDPALQKPFNQKFPNSQQPDSAGASSPKRSTSSNHFTNVYVHDGDFDGTDTINNKKNLSSATLIKRKSLMNRNLAPSISNGLIPPEFISRISTPSTSASSSNSSLNDMSTVSNAFSKLLNDTSKKQKFLNSPIPQHTQQASPLLMRNNSNSNLLFEKNLINKSFEELRRQPSVNLFSTLMNGNMEKNGLALNFKSRTPTDALMANSIKNSNNSSHRLLNLEEEDQIMSGSLPKEREDDNDSTNSTIVPNHPDNDNYNDNDNDNNTGINSNNFNLNLYDDNDSAGFTDVTTEGVKYSNSNSTVTKPVYKKAVTLDLYGEDDMDNMGGWVLGGNAR", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MASLLQSERVLYLVQGEKKVRAPLSQLYFCRYCSELRSLECVSHEVDSHYCPSCLENMPSAEAKLKKNRCANCFDCPGCMHTLSTRATSISTQLPDDPAKTTMKKAYYLACGFCRWTSRDVGMADKSVASGGWQEPENPHTQRMNKLIEYYQQLAQKEKVERDRKKLARRRNYMPLAFSQHTIHVVDKYSLGTRLQRPRAGASISTLAGLSLREGEDQKEVKIEPAQAVAEVEPLPEDYYTRPVNLTEVTTLQQRLLQPDLQPVSASQLYPRHKHLLIKRSLRCRKCEHNLSKPEFNPTSIKFKIQLVAVNYIPEVRIMSIPNLRYMKESQVLLTLTNPVENLTHVTLLECDEGDPDNINSTAKVVVPPKELILAGKDAAAEYDELAEPQDFQDDPDIVAFRKANKVGIFIKVTPQREEGEVTVCFKMKHDFKNLAAPIRPVEEGDQGTEVIWLTQHVELSFGPLLP", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSGLHRSSSSSKNIGNCLPSKELLDDLCSRFVLNVPEEDQQSFERILFLVEYAYWYYEDNAVENDPKLKSLSLKEFTSLLFNSCDVLRPYVTHIDDIFKDFTSYKCRVPVTGAIILDETYERCLLVKGWKGSSWSFPRGKKSKDEEDHACAIREVLEETGFDVSKLLKREEYIEFVFRQQRVRLYIVAGVTEDTVFAPLTKKEISEITWHRLDHLQPASNEVITHGVSGLKLYMVAPFLSSLKSWILKHPSPVARRPNKPLKALCVWNARTSVGGNGTATVESQNRKSELRTTTMESNSRKPELKRTTMESHSTKPELRKGTMESHNTTATVESHNTKPVVDHSQDIKPGGSFINFKFNQSVILQALESGNSA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDEHLISTINKLQDALAPLGGGSQSPIDLPQITVVGSQSSGKSSVLENIVGRDFLPRGTGIVTRRPLVLQLINRRPKKSEHAKVNQTANELIDLNINDDDKKKDESGKHQNEGQSEDNKEEWGEFLHLPGKKFYNFDEIRKEIVKETDKVTGANSGISSVPINLRIYSPHVLTLTLVDLPGLTKVPVGDQPPDIERQIKDMLLKYISKPNAIILSVNAANTDLANSDGLKLAREVDPEGTRTIGVLTKVDLMDQGTDVIDILAGRVIPLRYGYIPVINRGQKDIEHKKTIREALENERKFFENHPSYSSKAHYCGTPYLAKKLNSILLHHIRQTLPEIKAKIEATLKKYQNELINLGPETMDSASSVVLSMITDFSNEYAGILDGEAKELSSQELSGGARISYVFHETFKNGVDSLDPFDQIKDSDIRTIMYNSSGSAPSLFVGTEAFEVLVKQQIRRFEEPSLRLVTLVFDELVRMLKQIISQPKYSRYPALREAISNQFIQFLKDATIPTNEFVVDIIKAEQTYINTAHPDLLKGSQAMVMVEEKLHPRQVAVDPKTGKPLPTQPSSSKAPVMEEKSGFFGGFFSTKNKKKLAALESPPPVLKATGQMTERETMETEVIKLLISSYFSIVKRTIADIIPKALMLKLIVKSKTDIQKVLLEKLYGKQDIEELTKENDITIQRRKECKKMVEILRNASQIVSSV", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MALLTAKTFSLQFNNKRRVNKPYYPRKALLCYQLTPQNGSTPTRGHLKNKKKDHAEIRFINKIKSMGLDETQCYQVTCYLTWSPCPSCAGELVDFIKAHRHLNLRIFASRLYYHWRPNYQEGLLLLCGSQVPVEVMGLPEFTDCWENFVDHKEPPSFNPSEKLEELDKNSQAIKRRLERIKSRSVDVLENGLRSLQLGPVTPSSSIRNSR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFMLKSLGKYIWGNTNSTEIVQIPYGQLYSVHENYRECMFKDASASIRRTTAEFQYQLVIQRAYEEGEEELEDDGDEAEDEQSFLLDEKLHLRFDVNKDSITIMWDNPDFQDGTLYEFTCENCLQEGVAYTFEMVALQCMYERKYRQSHEKATLADLEQFSNPITRPSKEVDSLENIVTKLDLESEDLMRLKKQEQLDDEIAKKYLLGQQEAEEPLVQQQTSIVNPEKEEVTKTENIKSLEGELMGTISAELHLFDAVEEVFILQDPNVEASVFDLGDWNYWFTISTEEKTWLSQSVDADMNPVFSFEHLSFIWNYFDANSNAFSWLLRFDSQVRMEQFQELLMRALWESLNQQRWLKIDDEQRDYVMETFHEDEELEDSEDEEFARQQLLSRKEEEEEEDEEASDFEDSFADFSDGEADDLDESRWRKEAAKEHNSLLAVGYKNDRSYVVRNNKIGVFKHVDEKGLKFQTALNNLSTPKGKSLRPSKLMLHNQDSSILFQTENAPHSLYHMDIEYGKIVDEWKVHDDVPLVTFTPDNKFAQMTAEQTLIGLSNNSIFRIDPRVEGNKLVAEQFKQYATKNDFSSAATTENGYIAVASNKGDIRLFDRIGVNAKTALPALGEAIIGVDVTASGDFVLATCKTYILLIDTRIKEGRYAGRLGFERNFAKDKKPKPKRLQLSPQHIAMMQRELKGGASFTPAKFNTGIDAKETTIVSSIGPFLISWNLDRVKRGFTDSYKIRRYDANVQAEDFRFGTDRSLIVALPDDVAMVDKSSLRRPTRESICTPVKKLRSKHDIVNAPY", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNKKLEQLEKFKTNDKNPVYSTTNTGVSLSDDANSLKAGPRGPTLLEDFVLREKITHFDHERIPERIVHARGTGAHGYFLSYKDHSKLTKADFLSKQDKKTPVFIRISTVQGPRGSADTVRDVHGFAVKFYTDEGNYDLVGNNMPVFFIQDASSFPDFVHAVKMEPQNEMPTGGSAHDTFYDFCGLKPESAHSVLWVMSDRGIPISLRHQQGFGVHSYRFINQEGKSTFVKLHWKPLSGTCSLLWDEAQKIAGKDCDYHRRRFWEDIESGDFPQWELGAQLLDEDLQKKFDFDILDPTKLIPEELTPVIPLGRMVIDRNPDNFFAETEQVAFCVSHVVPGIDFSNDPLLQGRIFSYLDTQLSRLGGPNFNEIPINRPVCPFANNQRDGIHRMTINKGGASYFPNSIDKGYPLLKEPSANKGGFRPYPENISGTKSYDRSETFEDHFSQATMFWNSMSQHEKNHIIAAYTFEISKCSRPEVRTRYVNNILVNIDSVLAEKVAKNLGVKIEPTSTKPIKKIMVKPSPALSQPNLLSGDIVSRRISVIIEKGVDYDDVINFKDDMEKRGAMVMLVSSTLAQVECSGGEMLSPKGTIIGNPSIFFDAVYVPKSTEEATKILSDDGNFLHYILEAFKHLKTIAFGGSVSVIKELLRLPQDHGLLLGNGYKDITEQFFYSLAHHRVWERESKVSKIPA", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSTRSVSSSSYRRMFGGPGTASRPSSSRSYVTTSTRTYSLGSALRPSTSRSLYASSPGGVYATRSSAVRLRSSVPGVRLLQDSVDFSLADAINTEFKNTRTNEKVELQELNDRFANYIDKVRFLEQQNKILLAELEQLKGQGKSRLGDLYEEEMRELRRQVDQLTNDKARVEVERDNLAEDIMRLREKLQEEMLQREEAENTLQSFRQDVDNASLARLDLERKVESLQEEIAFLKKLHEEEIQELQAQIQEQHVQIDVDVSKPDLTAALRDVRQQYESVAAKNLQEAEEWYKSKFADLSEAANRNNDALRQAKQESTEYRRQVQSLTCEVDALKGTNESLERQMREMEENFAVEAANYQDTIGRLQDEIQNMKEEMARHLREYQDLLNVKMALDIEIATYRKLLEGEESRISLPLPNFSSLNLRETNLDSLPLVDTHSKRTLLIKTVETRDGQVINETSQHHDDLE", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASREEVLALQAEVAQREEELNSLKQKLASALLAEQEPQPERLVPVSPLPPKAALSRDEILRYSRQLVLPELGVHGQLRLGTACVLIVGCGGLGCPLAQYLAAAGVGRLGLVDYDVVEMSNLARQVLHGEALAGQAKAFSAAASLRRLNSAVECVPYTQALTPATALDLVRRYDVVADCSDNVPTRYLVNDACVLAGRPLVSASALRFEGQITVYHYDGGPCYRCIFPQPPPAETVTNCADGGVLGVVTGVLGCLQALEVLKIAAGLGPSYSGSLLLFDALRGHFRSIRLRSRRLDCAACGERPTVTDLLDYEAFCGSSATDKCRSLQLLSPEERVSVTDYKRLLDSGAFHLLLDVRPQVEVDICRLPHALHIPLKHLERRDAESLKLLKEAIWEEKQGTQEGAAVPIYVICKLGNDSQKAVKILQSLSAAQELDPLTVRDVVGGLMAWAAKIDGTFPQY", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MACSLKDELLCSICLSIYQDPVSLGCEHYFCRRCITEHWVRQEAQGARDCPECRRTFAEPALAPSLKLANIVERYSSFPLDAILNARRAARPCQAHDKVKLFCLTDRALLCFFCDEPALHEQHQVTGIDDAFDELQRELKDQLQALQDSEREHTEALQLLKRQLAETKSSTKSLRTTIGEAFERLHRLLRERQKAMLEELEADTARTLTDIEQKVQRYSQQLRKVQEGAQILQERLAETDRHTFLAGVASLSERLKGKIHETNLTYEDFPTSKYTGPLQYTIWKSLFQDIHPVPAALTLDPGTAHQRLILSDDCTIVAYGNLHPQPLQDSPKRFDVEVSVLGSEAFSSGVHYWEVVVAEKTQWVIGLAHEAASRKGSIQIQPSRGFYCIVMHDGNQYSACTEPWTRLNVRDKLDKVGVFLDYDQGLLIFYNADDMSWLYTFREKFPGKLCSYFSPGQSHANGKNVQPLRINTVRI", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDLLAASVESTLKNLQDKRNFLSEQREHYIDIRSRLVRFINDNDDGEEEGEGQGMVFGDIIISTSKIYLSLGYEYYVEKTKEEAITFVDDKLKLMEDAIEQFNLKIEEAKKTLDNLNHMEDGNGIEEDEANNDEDFLPSMEIREELDDEGNVISSSVTPTTKQPSQSNSKKEQTPAVGPKEKGLAKEKKSKSFEENLKGKLLKRNDEVKKKVQPSKVDTENVYTFADLVQQMDQQDELEDGYIETDEINYDYDAFENSNFKVNDNYEEDDEDEDEEEYLNHSIIPGFEAQSSFLQQIQRLRAQKQSQDHEREEGDVNKSLKPILKKSSFAENSDKKQKKKQVGFASSLEIHEVENLKEENKRQMQSFAVPMYETQESTGIANKMTSDEFDGDLFAKMLGVQEADEVHEKYKEELINQERLEGEASRSNRRTRVSRFRKDRASKKENTLSTFKQETTRSVENEVVEKEPVVGDIIEKEPVVGDVIEKEPVVGDVIEKEPAVTDIVEREPAVNDIVERKPVVGDIIEKEPTINDIVEKEPEINSKSEFETPFKKKKLKSLQKPRSSKSMKKKFDPKILENISDDDYDDDDDGNKKLLSNKSKNNTDEQDKFPSKIQEVSRSMAKTGATVGSEPVRITNVDYHALGGNLDDMVKAYSLGLYDDDLEEDPGTIVEKLEDFKEYNKQVELLRDEIRDFQLENKPVTMEEEENDGNVMNDIIEHEFPESYTNDEDEVALHPGRLQEEVAIEYRRLKEATASKWQSSSPAAHTEGELEPIDKFGNPVKTSRFRSQRLHMDSKP", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MQLRYFKSILPPADQYQKITSLTWAPNNSRLAAVSTDKVVYLFDENGEKRDKFKTKAAEANNPNTYIIRAMAFSPDSTKLAIAQSDNIVFIYRLVDPDTGAEKKSICNKFPQACAVTSLVWPKDRPNEVVFGLADGKVRLGMLKNNKSYTCYAHPENSYVVALASSLNGQNVISGHMDGAIWKFNFPAEEGGTPTSSQLVVHSCVPYSLGWGSCIAAAGNDNRVVFYDLNGREIRSFDYSNNDEVREFTTCAFNPSGDTVVFGTYNRFYMYTFNIQRNDWEEAGHKQIDNFYAVSAASWKPDGSKMTVGSMTGAVDMYDACVKRHMYKGKFEFTYVSKSAVIVKTLKTGMRIVLKSVYGYEIEKINIYHDRYLIARTTYTLLMGDLDTCKLSEIPWDSDGSEKFHFENERVCMVHYAGELHIVEYGRNDVLGTCRTEHMNPYLISAVVQEARGIASESKKLAYLIDLQTVRIQDLMAPVGSTLATVNHDTKVDWLELNQRGTHLLFRDKKRHLHLFSLSGQERTTLLNYCQYVQWVPGSDVIVAQSRNNLCVWYSVNKPDNVTMFPIKGEVVDIERHNHRTEVIVDEGINTVSYALDEALIYFGAALEDQDYERAVQTLEPLELTPETEAQWMQLAEQALATNQLVIAERCYAALGDIAKSRFLHKVVKKAQQAAKEFGGDGTDAWSVRAMMAQLNKQWPVSESLLLAQGKVDDAITLYQDNHRWEDAIRVADSTHHANAAALKQQYLTWLLETGQEEQAGAVKEREGDYLAAIGLYLKGGLPGRAAQVVMSVHNVNWDPALLDSILASLAKAGLYERAGELYEHMSRSSEAMQSYRRGHAYRKAIDLARREFPAEVIIIEEEWGDWLVTQKQMDAAINHFIESGATLKAIKAAIDCRQFAKAAGIIEVLDPREAMPYFRRIAQHYETTGALEEAERYYIRADMARDAVEMYSRAGKWEAAQRVARGYLTESEMRAFYRAKAAEFEAAHKLKEAEKAYLAAGGDDVDKAIAMYKRNKMYDQMIRLVTQYRKEKVPEAHTLIAQQLEVEGNLREAEKHFVEAKDWKSAVQMYRQVNQWEDALRVAKVYGGVNASKQVAYAWALTLGGDDGAQLLKKMGLLDHAIEYAVESGAFAQAFEMTRAGAKHKLPEVHLKYAMFLEDEGRFAEAEAEFISAGKPKEACDMYMHNQDWDAAMRIAERYDPTMVSEILVSQARVAVERKQWLPAEGLFIKAKRPEAALKMYRDARMWNDALRVAEQYLPTKVAEVQMELLSGQGAGGGSGGASADAVINKARGFERNNDYARAIETYLSLTAQDTSNQDQLEHCWGQAAQLAINYQRHRMKDVVNTVSERLQEIGRHQAAGELHESIDDAQGAIRAYCAGRLWDKARTLAGTNPTFSRYIEDQYNNYLLQNQQADELASRGGQHAQQAIEMYVARDEWAKVHELAAQQGPEVASNYALKHAERRFKQGDYAQAAQVFAQHGITAQPQYFELYKSIAQGVLHASQGDRNPVAEKSLRDMMYRLVNVLRSGGGAGKYKVDTDAFQNYYLAAHYLTCAAAAKEQGLKDIAAMNLTSVLRYVGPTIPADRAFYEAGLAWYEAGRKNMAFVMLNRFLDLSDAMDEPDSSAAVIENADFSDTDIPYDFTIPERAYCTESQREDVRNLVLEISMDRSSDQSLALKACEHCGKPTYEANLTCHFCKKKYDPCVVTGYPIQSYDRVVFKNNGPELNAIRDMWNKWVEAFGTDPVTGMQAAPMY", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSTTVEKIKAIEDEMARTQKNKATSFHLGQLKAKLAKLRRELLTSASSGSGGGAGIGFDVARTGVASVGFVGFPSVGKSTLLSKLTGTESEAAEYEFTTLVTVPGVIRYKGAKIQMLDLPGIIDGAKDGRGRGKQVIAVARTCNLLFIILDVNKPLHHKQIIEKELEGVGIRLNKTPPDILIKKKEKGGISITNTVPLTHLGNDEIRAVMSEYRINSAEIAFRCDATVDDLIDVLEASSRRYMPAIYVLNKIDSLSIEELELLYRIPNAVPISSGQDWNLDELLQVMWDRLNLVRIYTKPKGQIPDFTDPVVLRSDRCSVKDFCNQIHKSLVDDFRNALVYGSSVKHQPQYVGLSHILEDEDVVTILKK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAEAKEENREKNEEEESVKLFVGQIPKHMSESQLLTLFQEFAVVDEVNIIKDKITRASRGCCFLLCPSREEADKLVNACHNKKTLPGANSLLQVKYADGELERLEHKLFVGMLPKNVSEAEVQSLFSKYGTIKDLQILRGAQQTSKGCAFLKYETKEQAVSAMESINGKHKMEGSTVPLVVKWADTERERHTRRLQKAQSHIARLGNGDPTNPSLFGALPMGYVPPYNGYGYHQPPGTYGYMLPPIQNQAAFSNMIAQPNQGNNNALQGTSPDSVPPRLARRNFPMPPGNYMGSGYPAMRGHPFPFAYPRGIVSPRPLSSSPGSISPGMSTPLGIGLSSVVQTEGPEGANLFIYNIPREFGDQELAAAFQSFGIVLSAKVFVDKATGVSKCFGFVSYDSQAAAQNAIDMMNGRHLGGKKLKVQLKRDSNNGQPSSNPSLIS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEPPMEPSGGEQEPGAVRFLDLPWEDVLLPHVLNRVPLRQLLRLQRVSRAFRSLVQLHLAGLRRFDAAQVGPQIPRAALARLLRDAEGLQELALAPCHEWLSDEDLVPVLARNPQLRSVALGGCGQLSRRALGALAEGCPRLQRLSLAHCDWVDGLALRGLADRCPALEELDLTACRQLKDEAIVYLAQRRGAGLRSLSLAVNANVGDAAVQELARNCPELHHLDLTGCLRVGSDGVRTLAEYCPVLRSLRVRHCHHVAESSLSRLRKRGVDIDVEPPLHQALVLLQDMAGFAPFVNLQV", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSQIAQEMTVSLRNARTQLDMVNQQLAYLDRQEKLAELTKKELESYPTDKVWRSCGKSFILQDKSKYVNDLSHDETVLLDQRKTLKIKKNYLETTVEKTIDNLKALMKN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAILKRTNRAKAATAAAPNSTGKSNGIKKAVYTSTRKKTVGVDDLTLLSKITDEEINKNLELRFRNGEIYTYIGHVLISVNPFRDLGIYTMDILKSYQGKNRLETSPHVYAIAENAYYQMKSYHENQCIIISGESGAGKTEAAKRIMQYITHVSKSVGTEIERVSEIILATNPLLESFGCAKTLRNNNSSRHGKYLEMIFNSGGVPVGAKITNYLLEKNRIVNQVRNERNFHIFYQFTKSAPQKYRDTYGIQGPENYVYTSACQCLSVDGISDEKDFQGTMNAMKVIGITEPEQDEIFRMLSIILWLGNIQFQEGQDGGSVISDKSITEFLGYLIGVPVAAIERALTIRIMQTQHGARRGSVYEVPLNPTQALAVRDALSMAIYNCLFDWIVERVNKALVTSDNSVSNSIGILDIYGFEIFENNSFEQLCINYVNEKLQQIFIELTLKTEQEEYVREQIAWTPIKYFNNKVVCDLIESKRPPGLFAAMNDAIATAHADSAAADSAFAQRLNFLSSNPHFEQRQNQFIVKHYAGDVTYSITGMTDKNKDQLATDILNLIHSSNNEFMKSIFPVAEESNSRRRPPTAGDRIKTSANDLVETLMKCQPSYIRTIKPNQTKSPNDYDQQMVLHQIKYLGLQENIRIRRAGFAYRQAFDTFAQRFAVLSGKTSYAGEYTWQGDDKSACEQILKDTNIPSSEYQMGTSKVFIKNPETLFALEDMRDKFWDTMATRIQRAWRSYVRRRSEAAACIQKLWNRNKVNMELERVRNEGTKLLQGKKQRRRYSILGSRKFYGDYLSASKPNGTLWNTCGLSQNDHVIFSMRCEVLVHKLGRTSKPSPRQLVLTKKNLYLVITKIVDQKLTQQVEKKFAVSSIDSVGLTNLQDDWVAIRNKSSQNGDMFLRCFFKTEFITTLKRINRNIQVIVGPTIQYCRKPGKVQTVKTAKDETTKDYDYYKSGTIHVGTGLPPTSKSKPFPRLATGGSTAAARGPRPVVQNKPAATKPVSMPAAKSKPAPMANPVSTAQQTQNRPPAPAMQARPNTTQAAAPVTSTTTTIKQATTVSASKPAPSTVTSAASSPSNISKPSAPVANNVSKPSAVPPPPPPPPAEVEKKDLYLALYDFAGRSPNEMTIKKDEIIEIVQKEPSGWWLALKNGAEGWVPATYVTEYKGSTPQTTASSTNVAAQANNNASPAEVNNLAGSLADALRMRASAVRGSDEEEDW", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MCACMTKEALLEVCKQNGLYRTASLNDKLYCNFKGFSQIACLEDYVNLKALFLEGNVLETLEGLPPLADLKCLYVQQNCIWKISGLEAVPGLDTLNISNNQLTKLEGLACCPALRTLIATHNHLVTLDSVAHLAECKALQTLDLQNNELEDPGIVDILKQIPDLRCLYLKGNPVVSNIKNYRKVLVTSIPSLTYLDDRPVFDNERKIAQAWLEGGLEGERAMRNQLKEEEEERSRKNHEFMMQMRAAGWRERRKRMGLPDGDTDPALDDMSDGEYEFDEEPEELVEARQRLAAYTARPGEEEPAELASARQGLARDGKPIQEGAWGSGAAAESDSAIYLQSVKAAQAELDVVRQQQPRQLPTAQVLIEELDEPCGGKAPAADEGSTPPALSPMTSPSGSEGQGGEGVAAAKKGAASGAAEGISAAVDINDLD", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTDPHLNTPQVSTSPTFERSQDFLNIDEPPCAQETPSVSTFNLPGPSAPAQSVDKPVPMIRRRSTNYMDALNSREQARERESSIGEHAPGAERRSSGPMDFQNTIHNMQYRTTNDSDLSHAGVDMGDSISHTPICSRAGNRPIFKNSYLDNNSNGNSARVPHGSPPQLGTRRKSSFKYEDFKKDIYNQLHMFGEK", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAHFLETQEPLVFSGKKRNDRDDEDGDALVAKKSALAVCDADPAAAIANIRHEFGEHGGVNMSIEASATFTVMEPDTMRRMFTGELGPDNDFYVYSRHFNPTVLNLSRQMAALEGTQAAYCTSSGMSAISSVMLQLCSSGGHVVAASTLYGGTHALLSHFLPRTCNITTSFVDITDHGAVANAIVEGRTQVLYFESVANPTLTVADIPELSRMAHEKGVTVVVDNTFAPMVLSPAKLGADVVVHSISKFISGGADIIAGAVCGSENLVKEMMDLRGGSLMLLGPTMNAKVAFELSERIPHLGLRMREHSHRAQVYAERMRDLGMKVIYPGLETHPQHKLFKGMVNRDYGYGGLLSIDMETEEKANKLMAYLQNATQFGFMAVSLGYYETLMSCSGSSTSSELDPSQKEAAGISPGLVRMSVGYVGTLEQKWTQFEKAFLRM", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKYIIEHMEEGFSEWVILEYSQILREVGAENLILSSLPESTTEKDIPQRLLKLGLRWTTKDLKGINEDFKDLELLKDGRVCLLDPRATIDLQPEDATKFDYFVFGGILGDHPPRDRTKELKTAYPNLLISRRLGDKQMTTDTAIRTTQLIIKDRIAFEDIKFIDYPEFRFNKNEATEMPFRYVLDKEGKPILPEGMLDLIKKDSAQSLDDLLM", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDMQVQRPITSGSRQAPDPYDQYLESRGLYRKHTARDASSLFRVIAEQMYDTQMLHYEIRLECVRFMTLKRRIFEKEIPGDFDSYMQDMSKPKTYGTMTELRAMSCLYRRNVILYEPYNMGTSVVFNRRYAENFRVFFNNENHFDSVYDVEYIERAAICQSIAFKLLYQKLFKLPDVSFAVEIMLHPHTFNWDRFNVEFDDKGYMVRIHCTDGRVFKLDLPGDTNCILENYKLCNFHSTNGNQSINARKGGRLEIKNQEERKASGSSGHEPNDLLPMCPNRLESCVRQLLDDGISPFPYKVAKSMDPYMYRNIEFDCWNDMRKEAKLYNVYINDYNFKVGAKCKVELPNETEMYTCHVQNISKDKNYCHVFVERIGKEIVVPYESLHPLPPDEYRPWSLPFRYHRQMPRLPLPKYAGKANKSSKWKKNKLFEMDQYFEHSKCDLMPYMPVDNCYQGVHIQDDEQRDHNDPEQNDQNPTTEQRDREEPQAQKQHQRTKASRVQPQNSSSSQNQEVSGSAAPPPTQYMNYVPMIPSRPGHLPPPWPASPMAIAEEFPFPISGTPHPPPTEGCVYMPFGGYGPPPPGAVALSGPHPFMPLPSPPLNVTGIGEPRRSLHPNGEDLPVDMVTLRYFYNMGVDLHWRMSHHTPPDELGMFGYHQQNNTDQQAGRTVVIGATEDNLTAVESTPPPSPEVANATEQSPLEKSAYAKRNLNSVKVRGKRPEQLQDIKDSLGPAAFLPTPTPSPSSNGSQFSFYTTPSPHHHLITPPRLLQPPPPPPIFYHKAGPPQLGGAAQGQTPYAWGMPAPVVSPYEVINNYNMDPSAQPQQQQPATLQPAPLSVQSQPAAVYAATRHH", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSTDTMYFNSSRLLPSAGRNKTNNLIKQKTRNNRARGNAAKNANNNNYITDIPPPQTLPNGQKPNFGHSSNKKPSFNQKKHSPPSSPSSTTTLGKKNRQNNKETPRQNNKDDTRLLSQNLKNLLLNQKQSPHGSQGIIPMGCNGSAKKLSHSYAGSTFATNGPREAKNLPKPSFL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MCGILLHYCPNNNYLNDELIEFPEGTEFGDTTCTNESSIFNKIIPYIAARGPNYSSLRAVKAYRISWFSSVLSLRQPFTKQSINVDDRYFLQFNGELYNKEISQGDNDSLYIASMLQNLKEGMGVIDVIKSLEGEYAYTIYDVNSSKLYFGRDPIGRRSLSYSVTPDNELYVASVTGSAGSFQDCIGGVIYEYDTRTKLLNSNQRSHLPYEVTSEIDLNFTSLSEVSKNLYAVLRDSVKKRVESIHPRHIENSPIAVLFSGGIDCSVIVALICEVLQENDYKCGKPVIELLNVSFENPRTGLFPSDTPDRKLSINSAKTLQNLYPNVDIKLVEVDVPYDEYLKWKPFVINLMYPKQTEMDLSIAIAFFFASRGRGFLTSLNGERTPYQRHGIVLFSGLGADELYGGYHKFANKPPHELVEELTRQINNIYDRNLNRDDKVIAHNGVEVRYPFLDEYVIKLSTAEIPINFKVNKLILRKVASQYLKLDGISSEPKRAIQFGAKSAKMTKDGNKHGTDLLKENRNCS", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDKNDNSQCQLSTVSDEILIFLKKLLSLSVSDNLDDICETIALQSTFVDTFRSFINDEYYTVIYLYGSPCLTSSPTSPDSCTFGNMTFQWTSLLQDVFSGTSAFAIFKRFPLTDTPLTLQNMLYINQLPILKGGHKSNEIPERPINAIFLYTKYVMSCYFTAYLAMESVDDRSTIDLNSPSKGKELELTCQKFADFERSFTFFCREYQNSDTILQHHPLILSTIKHAEENNLDLSVRLLPSKVLSDSEFYKSLSNLVNVWLKTTRSLIKLFHDQISKTALEEFNFWQFYYRSLSRLNDQLHSRPVLFVLDILAFGKRFHTIASFNSETNIQCFVDKVGKIDALFKEISLDIFLSSSTLESLQLSAALLYSTFSKKWRNTGYPETRVLDFINFITEDLLKLISRLLPALGALSLSNVDFSHRTAVSSDILSLCYIRLKDFLRISGSLKEEQSYYGLKNSIKQIKAFENKLKYIQSFHEKHQQLIGALSEVYGLTHLTELEILEHLNKKEHVFNILTVFKDLQSLNVLDISLKGVNAWNSLETSYYNCMTVLEDEVIAQLKSLLQYSKTSSQMFTTLMRFQPLFFRTRVRTSISDCLHLLVNRIKQELDLLKTRFTEDVSDTELIAMNELRNLPMASSAIIWATQLKNRLHEYTKNINIIFGEDWNNFPDGFELKVECITLQKRLDTNLIFTNWINDVSSRNLNFDFDSKIFYLTQSESAESPLRLSVSIDFDPCSFCKEIRTLAHLGYNIPSQLMELASCLQRIQLIAMCLIDSVQSFNDVSFEISKTEEERFLLQEYELAVRQHIVTGLFISWNDFIVGNLSTPPKCAIGKRNFLKNIHPNVENYAYQFSSLTSLLMNKRNAISHTYMQIQEQLFQLDICEYSGDIFLTIQRKLQDLIDLLYVNGYSNLPPFVRALNLRFQDLLISRCRKFLSFFKTTILTSGNENNDLKSKFSSDMYEKLRGFLKPTNLTIQRNIIEFDPPVYRKKEDSIYLLDMCLQSVVNIPLLSIKTTAQRNCTLIGFFPVINRLESEILGIFESLLFHFDSILGYQNYWKKVEPFLNLNSLNLLLKSELFQLDQCYSLSLYLIHLKSEVDEIGKVTDFKIFSVNNTEFKSQVYLYLREWINALFDRFTFLLGKESEHLLNELDDTHSSLSTVDFTVNNTESLINSLKIFKKAGCYKLNVEHKIITYQNYEMTFNDCDAFSEFNFSLLKDITSKWKDLLESFECRRLKLENNKDEILRNFSEFAKRVNTETLSLISEWCASSLSLIKANYDEFSSTVDDFLFRFSKATEQCLMVKYIKKDLEIEIEESCDFSIQTEEIHLYKKFKDVISSNLEFIVEIKNTRWKLFDTATLSVQTTHQINALESVHTSFQHFKLFTNTKQSLNQLKDCTLLLQKLKSCPLKPVHWISLFEITKSTEQLDFEKLLVSDILGIDLQAHESFITTLLNSAVVEANLENQFNEVHSFWKNSYFSFKSFKGRNYIVVGCQELIDAVEKNMDSLNLIKTSRHFKDGDMNITDLQSKMKIIVKFLNIWKEIQQIWTHLSAIFYESTYIQQLLPELAASFFNSSKTYMHLVTLLKERSYLYKVSNIPSLLESAAKLSTTLEDSKKSLLKYFELQRHKISRLYFLGDDDLMELISNPCDPFVINKQIIKLYPGIRSLIVDTENTNINGCTTNEGNELLFDNPICLLDNTQPLHWISSLEPFLKATLFQLFSTSFQQIRDFYYNKSRNVFCKEWFLRYPSQITLLSLRCTLCHEIETGIADCCLDAVFNFINDGISSLVLLADENELSIKKKVTLMFNELLHFKETVGLLCKNSFNNYFWSREVKAFYREDHDDEAVVIKMFSLEFIYAFEYSELDDPIVYTDLTRNCFSVLLHSIASNLGGSPIGPAGTGKTETVKAVSAYLGKNVFVFNCDNAFNYKTIQRILSGLAQIGTYICFDEFNRLDSGTLSAISYDIQRIQSLVSHSDGLCQSPILLDAPTIFVTMNPGYLGRFKLPSNLKKLFRPIWMGSPDNKKICEILFLSFGFKESSLLSQVLDSFFLCCSGSLSNCLHYDFGLRAMKVVIKAAKRIKGFLKKKNTICQELEILWYAIREVLYPSLIYQDIPLFFKAEESYFNFPAVKANAFIDPDNFEVNIEQTLSKNFFGNNQYLKLKIMQLYQMSEAYNGIILLGKTGSGKSQIFRILQSALLNIGIDCIVYVISPKALTKESLFGSMNMDTREWTDGVFTKLLRKTRDSCYYKRYMFVFDDELSPEWVEAMNSLLDDNKTLTLSNGERIALQPYVKIFFEADSVASLTRATISRCGLICISNIDDNILSSTDKMLSFTSGATNYPLGSSNDEFSTVFSKVLTDEVMMNLISSCYKFSVDLQHIMNFTKQRFFTTFYSLLDQTKLFTRSSNITESLSFKELCNYLKKKICYILAWCCTGDTDAKSRERFTHWLMQNASVDLPEIKDFEHVSILDFDVSLETQSWYPIAGKTLKSSALKYAGNTVIPTLDTVRYAEFLNFSLTKNRCVIFCGPPGSGKSMLMLGTLRSRQDVEVIALNFSISTSSKSVVSFLEQSTVYYRSTGMTIMCPKNHEKVLVLFCDEINLPRSRNCLAEDVICFLRHMLEHQGFWHPLHKEWVTIKNIFVCGACNPSTDIGRNDFPERFLRRTVLIFVDYPESYSLVTIYNALLEKSALINQYKTIILNIVKASVKFYQVLRENFKSSTQGYVYTPRDLTRWLISFKNYAESYAETNNLSLIKVWYHEACRVLLDRLVSQKECSWGMTELQKVIVTDFGEFEVSVIFEKQIIFTDILKNGLEFLDFASLRPKLESLYKKFYSSHPNNTLVFVDETITHILRFHRILNNSGMHALLQGSVGLGQKAVVEFVCWLNSFSLFELQKNQTYSIEDFEDNLKSILILAGTTNCKACLAINESIAGVPGFLDLLNNLLTNSEVSNFFDQNDWAEIKKNLNKLNEFQPLKFDSEESVTEIFMNNVFQNLCVVFYVYTSADVDFQTNSLSPALLNRCTIDYYHSWDYHSMLQIANEVLQETISLNALDHDNPNLKNIKGSSIYDAVAQAVVNTHTSIVWEFKHLGKTSYFSCLHFIRFLNTFCLIFGRDANKLSKEKSRIENGFKKIKETSQGIDKFKEALSDQQNVLFSKTKTANDRLQCIIQTKQAVEAKKVYSLQAEASLQKKSFLLNEKKNSVMKEVSYAKPAVIEARKSVSDIKKAHLIELRSLSRPPMAIRITMEVVCKLLGFSATDWKNVQQLLKRDDFIPKILNYNLEKELSINLRRKIEQDYFSNPIFTFDSVNRASKACGPLLLWIKSICNYSKVLEKLEPLNSEVDRLKLEQKNAEECIQETIAACKDLDEKLLQLQEEYASMISEIHSMELQMDEVKCKMQRSIEVITDLSIERNEWSGFLNLYPKRMWNLVGESLMEASFVVYAGNLDPSMRIFLRNKCEPIISSFGFPISKSAVRTNIERCVQTSIESKYYKNLTDYSLENIYIIQENKSPLLIIDPSSQILDILPSLYKGKASDLISFSNKSFQNQIKLALLSGSAIIIKDAELWDVSIEPLLKPEFFTGSGEVQTTFAKDTITITLPLNIIFFSEVQSNELENKASKFMNVVNFTLSISLLETQMLKSVISVQEPGVFKQKDNCFTLKLSIERQIRSLQEQLLKTLCSSNENIVGTDEIVVLLKNLKEKHETIRLAYSESQSINRKVDELIRRYKLSIKSFLSVVVVFQHFISLKKSYSFSFNFIWSTFHQMLNVVLENRNQDFKSLIMDALRDLIRRCFLYIFPEDRVLFLFLLMFFFFPKETESLRKLLIVNGKTLELEQSYLNFFETCSDSNERGGLESLFFKTHASNIQNFCTEVLANTHCEEDCLKLLYDLWSSAFKVEFSNIKYDFLKIINDESESRMPTIVYLMENCEIDSLLQNAKIPQNIKKLTVSLGSAENESLADSYLKLASTEPLWLFINNIHLSTPWAEKLPSKMSNHLHKNSRIVCLSEIHNQLPHQLLCISRSIVFNKQTSFKNNLLNLLELLPTMTHTLPHNRFRLFFFLSWLHATLAEIYCFTCSSWKEPCYFDDSDFYFGTKILCNILYRNVHLEEFSWGTFKDLLLNVVYGPKVSASSDFIALDKILKRLIAQFKTQISSNILLTDNFKFILPYEITFSSAKEVIGQLPDEIPPGWLDIPENSKRKRTDIYFSMCI", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVNIPKTRKTYCPGKNCRKHTVHRVTQYKKGPDSKLAQGKRRYDRKQSGFGGQTKPVFHKKAKVTKKVVLRLECVSCKYKNQLVLKRCKHFELGGEKKTKGAAIQF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTEVYSKAPATVGQVKLSNNGTSNKAYSVPKSPAPVRTDLFSKIPAGTKIQVGSHSVIIQRYLSEGGFSHVYLALLENEKPFVLKRIYVPDKTALQLVHGEIETMKRLKGHRHIVNYIDSSALYSKSENRYEVYLLMEFCAGGGLIDFMNTRLQHRLTEGEILKILADVCDAVAAMHYLDPPLIHRDLKIENVLLVAPNSYKLCDFGSACEPLAPATTPDTIMFLEQNIAAYTTPQYRAPEMIDINRRQGIDEKSDIWAIGVLAYKLCYYTTPFEQVGNSAILKASFSFPPFPRYSDRMKRFIATCLQEQPSHRPNIYQTLKEIMEMQGTPLKLPDVYGGVNASTYNPPRAPLQRTPSGSLTPLSSRPAHTSLPPIPTVQTTSSNVPPVNRPSLKSKSPSVSNILSNQLSPISSANNDVMARLQPKSPIPATKSYSATIQTPRSPSLRRADSTSHIIKVPHLPDTSVKTAKTGASEIDVLSRYPSVEEIDKITDKIEVSKPLRNSGPLAFKPFEKISANKQTDLLQNKPEALLDLENRFLPKPSPKPSEFSSSVGSKQNLSMDIPSVQNVSTKQKSTNDTDNSKLKINKPLTGGYAPLPSRPNRMNHSVLNEKSNKEFVRGPRVLPPIEVSSSKMAGLDIRKEPFTPAVPSAKSGLKKDQSSEVANKDVVSKNKDNIAILADREARPQLLLDDNNDSSSSSSEHLISFNNHTGNKILSRQTTSSSIDSNNVQSNIEFLKGLNATHARSTSQVSHTQRLQQSISTSLERVKSNTKKESNSPRQVSKLKRPIGASNKILSGKFGEAFKKFEFGGEKMSRRRKSETKKNLVSILPDTEVDEYPKASNEWIVESEELPQVHETINQYRKSCETQRSRKSHEGSNDLERQPSSPDTVHPGIKRSHFIRERVKQLLSDANKHHQSPSDSETDRTPDSSSIHLPHIERLNLFHTKSESLE", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSAFGHDEAWMEAGGFGLEAAERTEYQSLCKSKLLFLGEQSVGKTSIISRFMYNSFGCACQATVGIDFLSKTMYLEDQIVQLQLWDTAGQERFHSLIPSYIRDSTIAVVVYDITNINSFKETDKWVEHVRAERGDDVVIMLLGNKIDLDNKRQVTAEQGEEKSRNLNVMFIETSAKTGYNVKKLFRRVASALLSTRTSPPPKEGTVEIELESFEESGNRSYC", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSQPTKNKKKEHGTDSKSSRMTRTLVNHILFERILPILPVESNLSTYSEVEEYSSFISCRSVLINVTVSRDANAMVEGTLELIESLLQGHEIISDKGSSDVIESILIILRLLSDALEYNWQNQESLHYNDISTHVEHDQEQKYRPKLNSILPDYSSTHSNGNKHFFHQSKPQALIPELASKLLESCAKLKFNTRTLQILQNMISHVHGNILTTLSSSILPRHKSYLTRHNHPSHCKMIDSTLGHILRFVAASNPSEYFEFIRKSVQVPVTQTHTHSHSHSHSLPSSVYNSIVPHFDLFSFIYLSKHNFKKYLELIKNLSVTLRKTIYHCLLLHYSAKAIMFWIMARPAEYYELFNLLKDNNNEHSKSLNTLNHTLFEEIHSTFNVNSMITTNQNAHQGSSSPSSSSPSSPPSSSSSDNNNQNIIAKSLSRQLSHHQSYIQQQSERKLHSSWTTNSQSSTSLSSSTSNSTTTDFSTHTQPGEYDPSLPDTPTMSNITISASSLLSQTPTPTTQLQQRLNSAAAAAAAAASPSNSTPTGYTAEQQSRASYDAHKTGHTGKDYDEHFLSVTRLDNVLELYTHFDDTEVLPHTSVLKFLTTLTMFDIDLFNELNATSFKYIPDCTMHRPKERTSSFNNTAHETGSEKTSGIKHITQGLKKLTSLPSSTKKTVKFVKMLLRNLNGNQAVSDVALLDTMRALLSFFTMTSAVFLVDRNLPSVLFAKRLIPIMGTNLSVGQDWNSKINNSLMVCLKKNSTTFVQLQLIFFSSAIQFDHELLLARLSIDTMANNLNMQKLCLYTEGFRIFFDIPSKKELRKAIAVKISKFFKTLFSIIADILLQEFPYFDEQITDIVASILDGTIINEYGTKKHFKGSSPSLCSTTRSRSGSTSQSSMTPVSPLGLDTDICPMNTLSLVGSSTSRNSDNVNSLNSSPKNLSSDPYLSHLVAPRARHALGGPSSIIRNKIPTTLTSPPGTEKSSPVQRPQTESISATPMAITNSTPLSSAAFGIRSPLQKIRTRRYSDESLGKFMKSTNNYIQEHLIPKDLNEATLQDARRIMINIFSIFKRPNSYFIIPHNINSNLQWVSQDFRNIMKPIFVAIVSPDVDLQNTAQSFMDTLLSNVITYGESDENISIEGYHLLCSYTVTLFAMGLFDLKINNEKRQILLDITVKFMKVRSHLAGIAEASHHMEYISDSEKLTFPLIMGTVGRALFVSLYSSQQKIEKTLKIAYTEYLSAINFHERNIDDADKTWVHNIEFVEAMCHDNYTTSGSIAFQRRTRNNILRFATIPNAILLDSMRMIYKKWHTYTHSKSLEKQERNDFRNFAGILASLSGILFINKKILQEMYPYLLDTVSELKKNIDSFISKQCQWLNYPDLLTRENSRDILSVELHPLSFNLLFNNLRLKLKELACSDLSIPENESSYVLLEQIIKMLRTILGRDDDNYVMMLFSTEIVDLIDLLTDEIKKIPAYCPKYLKAIIQMTKMFSALQHSEVNLGVKNHFHVKNKWLRQITDWFQVSIAREYDFENLSKPLKEMDLVKRDMDILYIDTAIEASTAIAYLTRHTFLEIPPAASDPELSRSRSVIFGFYFNILMKGLEKSSDRDNYPVFLRHKMSVLNDNVILSLTNLSNTNVDASLQFTLPMGYSGNRNIRNAFLEVFINIVTNYRTYTAKTDLGKLEAADKFLRYTIEHPQLSSFGAAVCPASDIDAYAAGLINAFETRNATHIVVAQLIKNEIEKSSRPTDILRRNSCATRSLSMLARSKGNEYLIRTLQPLLKKIIQNRDFFEIEKLKPEDSDAERQIELFVKYMNELLESISNSVSYFPPPLFYICQNIYKVACEKFPDHAIIAAGSFVFLRFFCPALVSPDSENIIDISHLSEKRTFISLAKVIQNIANGSENFSRWPALCSQKDFLKECSDRIFRFLAELCRTDRTIDIQVRTDPTPIAFDYQFLHSFVYLYGLEVRRNVLNEAKHDDGDIDGDDFYKTTFLLIDDVLGQLGQPKMEFSNEIPIYIREHMDDYPELYEFMNRHAFRNIETSTAYSPSVHESTSSEGIPIITLTMSNFSDRHVDIDTVAYKFLQIYARIWTTKHCLIIDCTEFDEGGLDMRKFISLVMGLLPEVAPKNCIGCYYFNVNETFMDNYGKCLDKDNVYVSSKIPHYFINSNSDEGLMKSVGITGQGLKVLQDIRVSLHDITLYDEKRNRFTPVSLKIGDIYFQVLHETPRQYKIRDMGTLFDVKFNDVYEISRIFEVHVSSITGVAAEFTVTFQDERRLIFSSPKYLEIVKMFYYAQIRLESEYEMDNNSSTSSPNSNNKDKQQKERTKLLCHLLLVSLIGLFDESKKMKNSSYNLIAATEASFGLNFGSHFHRSPEVYVPEDTTTFLGVIGKSLAESNPELTAYMFIYVLEALKNNVIPHVYIPHTICGLSYWIPNLYQHVYLADDEEGPENISHIFRILIRLSVRETDFKAVYMQYVWLLLLDDGRLTDIIVDEVINHALERDSENRDWKKTISLLTVLPTTEVANNIIQKILAKIRSFLPSLKLEAMTQSWSELTILVKISIHVFFETSLLVQMYLPEILFIVSLLIDVGPRELRSSLHQLLMNVCHSLAINSALPQDHRNNLDEISDIFAHQKVKFMFGFSEDKGRILQIFSASSFASKFNILDFFINNILLLMEYSSTYEANVWKTRYKKYVLESVFTSNSFLSARSIMIVGIMGKSYITEGLCKAMLIETMKVIAEPKITDEHLFLAISHIFTYSKIVEGLDPNLDLMKHLFWFSTLFLESRHPIIFEGALLFVSNCIRRLYMAQFENESETSLISTLLKGRKFAHTFLSKIENLSGIVWNEDNFTHILIFIINKGLSNPFIKSTAFDFLKMMFRNSYFEHQINQKSDHYLCYMFLLYFVLNCNQFEELLGDVDFEGEMVNIENKNTIPKILLEWLSSDNENANITLYQGAILFKCSVTDEPSRFRFALIIRHLLTKKPICALRFYSVIRNEIRKISAFEQNSDCVPLAFDILNLLVTHSESNSLEKLHEESIERLTKRGLSIVTSSGIFAKNSDMMIPLDVKPEDIYERKRIMTMILSRMSCSA", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLHELLLALLGFTGDLIVDEREQRKTLGLAFNSDSPLSDECTFKLAPDISFIEPSERDLIERLIKLGFYYRELDRFAKKSRNLSWIRSVTSVHPLERADELSKQSREKKPSVYRRAIANGIGEILSVYRSAVLHIEQKLLAETTPILATVTEGLNKFFVLFPPLYEVILEIERDDIRGGQLLNVLNKRCHCGVPELRTCLQRLLWNGHQVMYNQLAAWMVYGILQDPHGEFFIKRQDDGDLDHRSSQEEVSEKLARTSVHETSLTDWHSGFHISLDMLPDYIPMRLGESILFAGKAIRVLRNPSPAFQFQKDKSFQQTMRGSQRIRGFMHSDFPETETELDADLTGGELLPQSEADKIEAMLKDLKESSEFHKRSFECTVDSVRAIAASHLWQLVVVRADLNGHLKALKDYFLLEKGDFFQCFLEESRQLMRLPPRQSTGESDLMVPFQLAATKTIAEEDKYFSRVSLRMPSFGVTVRSSQADMVRSKVSLTGKANLTSDTSVDGWDAIALEYSVDWPMQLFFTQEVLSKYLKVFQYLIRLKRTQMELEKSWASVMHQDHIESAQHRKDGLNGSTSQQRRQGIRPMWRVREHMAFLIRNLQFYIQVDVIESQWKVLQTHIHDSQDFTELVGFHQEYLSALISQSFLDIGSVSRILDSIMKLCLQFCWNIENQESNPNTSELENIAEEFNKKSNSLYTILRSSKLAGSQRAPFLRRFLLRLNFNSFYEATARGVLNVVRQRPALPL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAAAGAASNPGGGPEMVRGQAFDVGPRYINLAYIGEGAYGMVCSAHDNVNKVRVAIKKISPFEHQTYCQRTLREIKILLRFKHENIIGINDIIRAPTIEQMKDVYIVQDLMETDLYKLLKTQHLSNDHICYFLYQILRGLKYIHSANVLHRDLKPSNLLLNTTCDLKICDFGLARVADPDHDHTGFLTEYVATRWYRAPEIMLNSKGYTKSIDIWSVGCILAEMLSNRPIFPGKHYLDQLNHILGILGSPSQEDLNCIINLKARNYLLSLPHKNKVPWNRLFPNADPKALDLLDKMLTFNPHKRIEVEAALAHPYLEQYYDPSDEPVAEAPFKFEMELDDLPKETLKELIFEETARFQPGY", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSLPLRHALENVTSVDRILEDLLVRFIINCPNEDLSSVERELFHFEEASWFYTDFIKLMNPTLPSLKIKSFAQLIIKLCPLVWKWDIRVDEALQQFSKYKKSIPVRGAAIFNENLSKILLVQGTESDSWSFPRGKISKDENDIDCCIREVKEEIGFDLTDYIDDNQFIERNIQGKNYKIFLISGVSEVFNFKPQVRNEIDKIEWFDFKKISKTMYKSNIKYYLINSMMRPLSMWLRHQRQIKNEDQLKSYAEEQLKLLLGITKEEQIDPGRELLNMLHTAVQANSNNNAVSNGQVPSSQELQHLKEQSGEHNQQKDQQSSFSSQQQPSIFPSLSEPFANNKNVIPPTMPMANVFMSNPQLFATMNGQPFAPFPFMLPLTNNSNSANPIPTPVPPNFNAPPNPMAFGVPNMHNLSGPAVSQPFSLPPAPLPRDSGYSSSSPGQLLDILNSKKPDSNVQSSKKPKLKILQRGTDLNSIKQNNNDETAHSNSQALLDLLKKPTSSQKIHASKPDTSFLPNDSVSGIQDAEYEDFESSSDEEVETARDERNSLNVDIGVNVMPSEKDSRRSQKEKPRNDASKTNLNASAESNSVEWGPGKSSPSTQSKQNSSVGMQNKYRQEIHIGDSDAYEVFESSSDEEDGKKLEELEQTQDNSKLISQDILKENNFQDGEVPHRDMPTESNKSINETVGLSSTTNTVKKVPKVKILKRGETFASLANDKKAFDSSSNVSSSKDLLQMLRNPISSTVSSNQQSPKSQHLSGDEEIMMMLKRNSVSKPQNSEENASTSSINDANASELLGMLKQKEKDITAPKQPYNVDSYSQKNSAKGLLNILKKNDSTGYPRTEGGPSSEMSTSMKRNDATNNQELDKNSTELLNYLKPKPLNDGYENISNKDSSHELLNILHGNKNSSAFNNNVYATDGYSLASDNNENSSNKLLNMLQNRSSAINEPNFDVRSNGTSGSNELLSILHRK", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAKQSLDVSSDRRKARKAYFTAPSSERRVLLSAPLSKELRAQYGIKALPIRRDDEVLVVRGSKKGQEGKISSVYRLKFAVQVDKVTKEKVNGASVPINLHPSKLVITKLHLDKDRKALIQRKGGKLE", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDDKASVGKISVSSDSVSTLNSEDFVLVSRQGDETPSTNNGSDDEKTGLKIVGNGSEQQLQKELADVLMDPPMDDQPGEKELVKRSQLDGEGDGPLSNQLSASSTINPVPLVGLQKPEMSLPVKPGQGDSEASSPFTPVADEDSVVFSKLTYLGCASVNAPRSEVEALRMMSILRSQCQISLDVTLSVPNVSEGIVRLLDPQTNTEIANYPIYKILFCVRGHDGTPESDCFAFTESHYNAELFRIHVFRCEIQEAVSRILYSFATAFRRSAKQTPLSATAAPQTPDSDIFTFSVSLEIKEDDGKGYFSAVPKDKDRQCFKLRQGIDKKIVIYVQQTTNKELAIERCFGLLLSPGKDVRNSDMHLLDLESMGKSSDGKSYVITGSWNPKSPHFQVVNEETPKDKVLFMTTAVDLVITEVQEPVRFLLETKVRVCSPNERLFWPFSKRSTTENFFLKLKQIKQRERKNNTDTLYEVVCLESESERERRKTTASPSVRLPQSGSQSSVIPSPPEDDEEEDNDEPLLSGSGDVSKECAEKILETWGELLSKWHLNLNVRPKQLSSLVRNGVPEALRGEVWQLLAGCHNNDHLVEKYRILITKESPQDSAITRDINRTFPAHDYFKDTGGDGQDSLYKICKAYSVYDEEIGYCQGQSFLAAVLLLHMPEEQAFSVLVKIMFDYGLRELFKQNFEDLHCKFYQLERLMQEYIPDLYNHFLDISLEAHMYASQWFLTLFTAKFPLYMVFHIIDLLLCEGISVIFNVALGLLKTSKDDLLLTDFEGALKFFRVQLPKRYRSEENAKKLMELACNMKISQKKLKKYEKEYHTMREQQAQQEDPIERFERENRRLQEANMRLEQENDDLAHELVTSKIALRKDLDNAEEKADALNKELLMTKQKLIDAEEEKRRLEEESAQLKEMCRRELDKAESEIKKNSSIIGDYKQICSQLSERLEKQQTANKVEIEKIRQKVDDCERCREFFNKEGRVKGISSTKEVLDEDTDEEKETLKNQLREMELELAQTKLQLVEAECKIQDLEHHLGLALNEVQAAKKTWFNRTLSSIKTATGVQGKETC", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPLFFRKRKPSEEARKRLEYQMCLAKEAGADDILDISKCELSEIPFGAFATCKVLQKKVLIVHTNHLTSLLPKSCSLLSLATIKVLDLHDNQLTALPDDLGQLTALQVLNVERNQLMQLPRSIGNLTQLQTLNVKDNKLKELPDTVGELRSLRTLNISGNEIQRLPQMLAHVRTLEMLSLDASAMVYPPREVCGAGTAAILQFLCKESGLEYYPPSQYLLPILEQDGIENSRDSPDGPTDRFSREELEWQNRFSDYEKRKEQKMLEKLEFERRLELGQREHTQLLQQSSSQKDEILQTVKEEQSRLEQGLSEHQRHLNAERQRLQEQLKQTEQNISSRIQKLLQDNQRQKKSSEILKSLENERIRMEQLMSITQEETESLRRRDVASAMQQMLTESCKNRLIQMAYESQRQNLVQQACSSMAEMDERFQQILSWQQMDQNKAISQILQESAMQKAAFEALQVKKDLMHRQIRSQIKLIETELLQLTQLELKRKSLDTESLQEMISEQRWALSSLLQQLLKEKQQREEELREILTELEAKSETRQENYWLIQYQRLLNQKPLSLKLQEEGMERQLVALLEELSAEHYLPIFAHHRLSLDLLSQMSPGDLAKVGVSEAGLQHEILRRVQELLDAARIQPELKPPMGEVVTPTAPQEPPESVRPSAPPAELEVQASECVVCLEREAQMIFLNCGHVCCCQQCCQPLRTCPLCRQDIAQRLRIYHSS", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGEGDAFWAPSVLPHSTLSTLSHHPQPQFGRRMESKVSEGGLNVTLTIRLLMHGKEVGSIIGKKGETVKKMREESGARINISEGNCPERIVTITGPTDAIFKAFAMIAYKFEEDIINSMSNSPATSKPPVTLRLVVPASQCGSLIGKGGSKIKEIRESTGAQVQVAGDMLPNSTERAVTISGTPDAIIQCVKQICVVMLESPPKGATIPYRPKPASTPVIFAGGQAYTIQGQYAIPHPDQLTKLHQLAMQQTPFPPLGQTNPAFPGEKLPLHSSEEAQNLMGQSSGLDASPPASTHELTIPNDLIGCIIGRQGTKINEIRQMSGAQIKIANATEGSSERQITITGTPANISLAQYLINARLTSEVTGMGTL", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSLVVQEQGSFQHILRLLNTNVDGNIKIVYALTTIKGVGRRYSNLVCKKADVDLHKRAGELTQEELERIVQIMQNPTHYKIPAWFLNRQNDITDGKDYHTLANNVESKLRDDLERLKKIRAHRGIRHFWGLRVRGQHTKTTGRRRA", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSKIPGSNLEFVREEDFVEYYIFPKIPDNVQDEGALRKLMLQIRNEISAIVREKSLERSYLWHKDEFQLQVRLGGAEERLLNEETNPEEEAELGDLPPHFHGVTHYGDNISDEWFVVYLLTEITRARGDCIARVSDSDGEFLLIEAADALPDWASPETCEQRVYLVGGHLQLLQNSAASSQDKPLTMAMAVQRIRMNPTLYRCSQEIQSCIDARLKEYQIAQPHFSIHRQVLELPHSAAQLLKQKPRLLSSAVRAFCERDSLDIKALRTMRYFPPEATRVRTNVRFTRCLYAMLSHQQYLPEKRLGWHLTDPVSEPERYKEQLLGLKLASGLEILATQAKRVEGQQLEDLPAWRSYLRSLLSKGYFRDNIEGSAEYQELLNKAKVYFRGNQERFRTASRAGAEILDLLLHPAEAASEELRDEENNLQPSDSDEWLNISAEDLDSMLQDRYGPKKLYKPNGQMNAEEFTKQLAEFLDRQSNYEGIEHRGLEEPELDSDDDEPPPQANGSTGLTAKVKKNPSMRKACQRNSVIQPEEPDSTHVRNFLDFVIPEDNWDSTSEMSDYADEDDMESNLNALSGGGSVFPLDRQIQSYMEQMDRELAQTSVGKSFHGKKKTAPQADEDDFDDIEDFEPININVNTLRNMMDSYQSQVGGAGPVSNLFSAMGVGMSAVEDKEQKDISESAV", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MHSTNLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRAVDTISSCLKAGMSVARFDFSWGDAEYHQETLENLKLAIKSTKKLCAVMLDTVGPELQVVNKSEAAISLEANGTVVLTPDQGQEASSELLPINFSGLAKALKPGATIFVGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHCSQIHIDLPTLSDEDKEVIRRWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKRTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGIDHTSKQHKRSGHRTAPKSDNVYLKLLVKLYTFLARRTDAPFNKVVLKALFLSKINRPPVSVSRIARALKQEGAANKTVVVVGTVTDDARIFEFPKTTVAALRFTAGARAKIVKAGGECITLDQLAVRAPKGQNTLILRGPRNSREAVRHFGMGPHKGKAPRILSTGRKFERARGRRRSKGFKV", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAAEQDPEARAAARPLLTDLYQATMALGYWRAGRARDAAEFELFFRRCPFGGAFALAAGLRDCVRFLRAFRLRDADVQFLASVLPPDTDPAFFEHLRALDCSEVTVRALPEGSLAFPGVPLLQVSGPLLVVQLLETPLLCLVSYASLVATNAARLRLIAGPEKRLLEMGLRRAQGPDGGLTASTYSYLGGFDSSSNVLAGQLRGVPVAGTLAHSFVTSFSGSEVPPDPMLAPAAGEGPGVDLAAKAQVWLEQVCAHLGLGVQEPHPGERAAFVAYALAFPRAFQGLLDTYSVWRSGLPNFLAVALALGELGYRAVGVRLDSGDLLQQAQEIRKVFRAAAAQFQVPWLESVLIVVSNNIDEEALARLAQEGSEVNVIGIGTSVVTCPQQPSLGGVYKLVAVGGQPRMKLTEDPEKQTLPGSKAAFRLLGSDGSPLMDMLQLAEEPVPQAGQELRVWPPGAQEPCTVRPAQVEPLLRLCLQQGQLCEPLPSLAESRALAQLSLSRLSPEHRRLRSPAQYQVVLSERLQALVNSLCAGQSP", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSAVPSVQTFGKKKSATAVAHVKAGKGLIKVNGSPITLVEPEILRFKVYEPLLLVGLDKFSNIDIRVRVTGGGHVSQVYAIRQAIAKGLVAYHQKYVDEQSKNELKKAFTSYDRTLLIADSRRPEPKKFGGKGARSRFQKSYR", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPPKFDPNEVKYLYLRAVGGEVGASAALAPKIGPLGLSPKKVGEDIAKATKEFKGIKVTVQLKIQNRQAAASVVPSASSLVITALKEPPRDRKKDKNVKHSGNIQLDEIIEIARQMRDKSFGRTLASVTKEILGTAQSVGCRVDFKNPHDIIEGINAGEIEIPEN", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSTESYEDAIKRLGELLSKKSDLGNVAAAKIKKLTDELEELDSNKLDAVERIKSGFLHFKTNNYEKNPTLYNSLAKSQTPKFLVFACADSRVSPSHILNFQLGEAFIVRNIANMVPPYDKTKHSNVGAALEYPITVLNVENILVIGHSCCGGIKGLMAIEDNTAPTKTEFIENWIQICAPAKNRIKQDCKDLSFEDQCTNCEKEAVNVSLGNLLSYPFVRERVVKNKLAIRGAHYDFVKGTFDLWELDFKTTPAFALS", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEHQLDPDQEESILITHFVNPHQFSYVRCIDVENSAMLVRQIEQDLKDYCSSERTKQVYVEDERVIVRYQRWSPPKLVRGVVRRRQNEEYLVWILDYGFNLCCSVWDLWPLPDHLSRSLCDFKEGGVALIAPHSGSVWSRSAIESLDKQLEDANQLTFQVVHRGKSNRNFGLLKFRSSCQTEDAANFLIKQDQGRCKETLTMAIPYEDISFEKAEINDMAIDTRPRLRRILELVVLLSENAKESTPTPSAIQQKKLDALLQSHETYLIPNSVKLLSKVQSISESSMQTALANRSKQISKELPHKANQSEDNRKKEVKYLESENLSECLSKVKLSSYQQKNTDSSTLSGPRNLASSSTNSNSNVRKSMTLFKSSGAHLTTSLSVPLTENCTNQSNNRTVVFSMDKMEQIFNDMLGKNPSERSVQKNRSQMPNSNVSTTKRCVEKMHVCRSENMGDRKPSVCLKHLVLAHSPEPVNPVTSYKELPLGNTILNAMDDLNFQTPLPTQIYAWPHLVNRGSLVLVNPSGTGRSWSYLPVICSSVLSSFENVTSNLDTRIAPGPLAILIVDSVENAKKLASHCEFLMKDFNTQNLKVVNTHAHSMMDVYMMLLNSCGVLVTTLAHLNNILSNELPLVNPTRLEFIIFDDYDRKRLDNAELLKEVFQKVNSIGGLSKQLVLVAQQWHSERFQKLLNRTTKPLILFGDFLEAALYGGLKFNVTLRSSALKARQLLDILAEQDGSKKRTLIYCKNQMELEELSAILIEAGLQCVDISKAQNQSPNRLMLVDDSQVRKQLPVRNIQLLIHFSLPESWLRFSRRFHTMADNIRNLFTKPIGRGQDLITYILMDENNAKEWPRIMKFLQDHGLTTQSMPDSEQQLDNTLPYCPYKLSNGNCNRNQCNKRHHFLKTDLPKIGNPLLQPGTLIRCKFLKAYDPAHMAVMPMKYKSKDSTIWIDVPYPSYPSTLVFKMSFGVPRKVHNPCKINDVCFVQHKELLSRVRIVDNPARPQVTVQLMDYGTELLQVKASQLLECPEQFRDLQQLAMDIRLSGLAGSGQGGMWSTDSIQWVQDRFADINEEIMQITVDFGVLDVAYVKEIALIEECPTMLTSVYKTFLRKELLRQGFAKIDSTSILELRAIHEQWKQEMEELRANKENIVCSSTDLDNRDSKITSLQNKQATCSSGKEEDLNPVETPLIIASPNSNETLEERKHVSDKVQNKIDELNDFNKSVEIEHVQDASPLDSSTALINTLIHELNTKSPTKKKNTQEFIKNIVHEDETQSIHHEISSSTNSLKQDKTIVVGPQDPPKELFLQYLNCATKSRESVYPMVKWHQTLTHIELVVEQQMLEYKLGLDGNTLEYKVNETTPPQRFILNLLGEVRIDSVKQHGYYLHIKLTKVGLLFHWPTLLNSLYVQKHAHWLIYDSERSHGPPPSFGLVLWEGYLTHKKTKSYSDSESSEFSSTPEDFIEPGVEYCEMDSNLYED", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKLIAFIAFLLILITIINAQGSIGSSSQSLSSEVDSSDISSSGSNSTASSEGSVSSSSNSGSQSTSNSGSEASGSSNSGSQSTSNSGSEASGSSNSGSQSSTDSSNSGSQGSTGSSNSGSQSSTDSSNSGSQSSTDSSNSGSQGSTGSSNSGSESSGSSNSGSEGSTGSSNSGSESSSGSSNSGSESSSGSSNSGSESSSGSSNSGSESSSGSSNSGSESSSGSSNSGSESSSGSSNSGSESSSGSSNSGSESSGSSNSGSESSSDSGSSSDGKTTCISFHDTLSINTVDDDEIECTGKGETRCISDNNYKCATKQRHGSIECSVNGYIRCTGSNIKCKIGNTECSIRNSRLDITEKPIFTRGPRHH", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNVLLEMAFLSQTLPDPYEDFIHHHLQYYGYFKAQKSSLPNSGTHQRVWRNSPRYMLNGSFGERDDFISDSLEKEMLLWPTCLSSTGHAHIDAVNRDSLLLSSPLLRTRQLIFDELDEASPRLREPRELFSCFSSRGPLQAPRWPIECEVIKENIHHIEWVPHQPEYFYQPTGSEKVPEIVGEEQGTVVYQLDSVPAEGTYFTSSRIGGKRGTIKELAVTLQGPDDNTLLFESRFESGNLQKAVRVGIYEYELTLRTDLYTDKHTQWFYFRVQNTRKDATYRFTIVNLLKPKSLYAVGMKPLMYSQLDATIYNIGWRREGREIKYYKNNVDDGQQPLYCLTWTTQFPHDQDTCFFAHFYPYTYTDLQCYLLSVANNPIQSQFCKLRALCRSLAGNTVYLLTITNPSRTPQEAAAKKAVVLSARVHPGESNSSWIMNGFLDFILSNSPDAQLLRDIFVFKVIPMLNPDGVIVGNYRCSLAGRDLNRHYKTVLKDSFPCIWYTKNMIKRLLEEREVLLYCDFHGHSRKNNIFLYGCHSNNRKHWLHERVFPLMLSKNAPDKFSFDSCNFKVQKCKEGTGRVVMWRMGIINSYTMESTFGGSTLGSKRDTHFTIEDLKSLGYHVCDTLLDFCDPDQTKYTQCLQELKELLQQEINKKLNNFGQDMDLEGNWSDIPLSDIESSTSGSDSSLSDGPPIRLLNIVADEPNQKTVLKNPKKKRLQTRKQRNEQYQKSYLMRELKLTENAPGRARFVSTLQKQPTFLKSPESPSPSVRRSENPRLNETQLSGREKGTSLDPPLTSPKNKERIQSKKPGFTASCSPKRSTNSSLGPAPDVKPNWSKTRYSATRKDHATMAVYPSLHIYTYP", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAATLILEPAGRCCWDEPVRIAVRGLAPEQPVTLRASLRDEKGALFQAHARYRADTLGELDLERAPALGGSFAGLEPMGLLWALEPEKPLVRLVKRDVRTPLAVELEVLDGHDPDPGRLLCRVRHERYFLPPGVRREPVRAGRVRGTLFLPPEPGPFPGIVDMFGTGGGLLEYRASLLAGKGFAVMALAYYNYEDLPKTMETLHLEYFEEAVNYLLSHPEVKGPGVGLLGISKGGELCLSMASFLKGITAAVVINGSVANVGGTLRYKGETLPPVGVNRNRIKVTKDGYADIVDVLNSPLEGPDQKSFIPVERAESTFLFLVGQDDHNWKSEFYANEACKRLQAHGRRKPQIICYPETGHYIEPPYFPLCRASLHALVGSPIIWGGEPRAHAMAQVDAWKQLQTFFHKHLGGHEGTIPSKV", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSAGGGRAFAWQVFPPMPTCRVYGTVAHQDGHLLVLGGCGRAGLPLDTAETLDMASHTWLALAPLPTARAGAAAVVLGKQVLVVGGVDEVQSPVAAVEAFLMDEGRWERRATLPQAAMGVATVERDGMVYALGGMGPDTAPQAQVRVYEPRRDCWLSLPSMPTPCYGASTFLHGNKIYVLGGRQGKLPVTAFEAFDLEARTWTRHPSLPSRRAFAGCAMAEGSVFSLGGLQQPGPHNFYSRPHFVNTVEMFDLEHGSWTKLPRSLRMRDKRADFVVGSLGGHIVAIGGLGNQPCPLGSVESFSLARRRWEALPAMPTARCSCSSLQAGPRLFVIGGVAQGPSQAVEALCLRDGV", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDIFRHTFGNNDDSFIRIPGAFREEPPADLNGRTEDQNSNTNEPTQSRDGRLKSILHFLFQAPLIVLYYLLNFIVRSSRLLKPLLRLHGFYQRKHNRLLDHSSQLHRLLENLENEAQAVTCSEGNGNNDDGSNTDSTSNNESSGVQFSFGSLYNPENGTFSKSIMQNSYTELLDACSEQVKFGVIYLHDPLLDNHMDYVNKILCSEAFVNMIRKYQVLLWYGDVTTSEGLQVSNALKIRQYPLLGIISLKAEKKIELIARVEGSISNYKAQDLEAIFSKNYSRLIQLRQQRQNIEMQRLIRQQQDSRYQDSLRRDQQRESERLEQTQREQMEREHQRIENQWLLWRKSQLKPEPSSDKDASKVAIRLENGQRLVRKFDASLPTEEIYAFVELQLHDMLNSENDTLPVYQPANYQHQYSFKLITPVPRRELDLSTKISDVSGIYPSGNIVMERLDE", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MARGPQTLVQVWVGGQLFQADRALLVEHCGFFRGLFRSGMRETRAAEVRLGVLSAGGFRATLQVLRGDRPALAAEDELLQAVECAAFLQAPALARFLEHNLTSDNCALLCDAAAAFGLRDVFHSAALFICDGERELAAELALPEARAYVAALRPSSYAAVSTHTPAPGFLEDASRTLCYLDEEEDAWRTLAALPLEASTLLAGVATLGNKLYIVGGVRGASKEVVELGFCYDPDGGTWHEFPSPHQPRYDTALAGFDGRLYAIGGEFQRTPISSVERYDPAAGCWSFVADLPQPAAGVPCAQACGRLFVCLWRPADTTAVVEYAVRTDAWLPVAELRRPQSYGHCMVAHRDSLYVVRNGPSDDFLHCAIDCLNLATGQWTALPGQFVNSKGALFTAVVRGDTVYTVNRMFTLLYAIEGGTWRLLREKAGFPRPGSLQTFLLRLPPGAPGPVTSTTAEL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDMTKNHTTDTEEFDDGDIRPVSLGIVDDYNASFELPLKPKFLQSENFSDLTSEWDQSRSNTPGLAEGKTEKAQPCGTTDSSKNRIHVEQLLESANEMNNYLAQNIENINNFQVGLLNGGKGLYSSMGDDSSACINGTNFSSTSNFELSDDELEDTTGCTSSIFDKDLFHQQNGLSIPRRRSPLFKSPTASFEIGDATDVEEQDIDDSIFSECSSITSFDMGGLHISLPHDEEEDQEKTKSESENPLLHGIPVDVEVPHISVDEALANFKETIELLLKLSGNRKCTGFNTRVEKKEYSNFYMKSKPTLSSADFLKRIQDKCEYQPTVYLVATFLIDTLFLTRDGNNILQLKLNLQEKEVHRMIIAAVRLSTKLLEDFVHSHEYFSKVCGISKRLLTKLEVSLLICVCNTKLMVSNRKLAASKLLLNELRSFCV", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRSRNQGGESASDGHISCPKPSIIGNAGEKSLSEDAKKKKKSNRKEDDVMASGTVKRHLKTSGECERKTKKSLELSKEDLIQLLSIMEGELQAREDVIHMLKTEKTKPEVLEAHYGSAEPEKVLRVLHRDAILAQEKSIGEDVYEKPISELDRLEEKQKETYRRMLEQLLLAEKCHRRTVYELENEKHKHTDYMNKSDDFTNLLEQERERLKKLLEQEKAYQARKEKENAKRLNKLRDELVKLKSFALMLVDERQMHIEQLGLQSQKVQDLTQKLREEEEKLKAITSKSKEDRQKLLKLEVDFEHKASRFSQEHEEMNAKLANQESHNRQLRLKLVGLTQRIEELEETNKNLQKAEEELQELRDKIAKGECGNSSLMAEVENLRKRVLEMEGKDEEITKTESQCRELRKKLQEEEHHSKELRLEVEKLQKRMSELEKLEEAFSKSKSECTQLHLNLEKEKNLTKDLLNELEVVKSRVKELECSESRLEKAELSLKDDLTKLKSFTVMLVDERKNMMEKIKQEERKVDGLNKNFKVEQGKVMDVTEKLIEESKKLLKLKSEMEEKVYNLTRERDELIGKLKSEEEKSSELSCSVDLLKKRLDGIEEVEREITRGRSRKGSELTCPEDNKIKELTLEIERLKKRLQQLEVVEGDLMKTEDEYDQLEQKFRTEQDKANFLSQQLEEIKHQIAKNKAIEKGEVVSQEAELRHRFRLEEAKSRDLKAEVQALKEKIHELMNKEDQLSQLQVDYSVLQQRFMEEENKNKNMGQEVLNLTKELELSKRYSRALRPSVNGRRMVDVPVTSTGVQTDAVSGEAAEEETPAVFIRKSFQEENHIMSNLRQVGLKKPVERSSVLDRYPPAANELTMRKSWIPWMRKRENGPSITQEKGPRTNSSPGHPGEVVLSPKQGQPLHIRVTPDHENSTATLEITSPTSEEFFSSTTVIPTLGNQKPRITIIPSPNVMPQKQKSGDTTLGPERAMSPVTITTFSREKTPESGRGAFADRPTSPIQIMTVSTSAAPAEIAVSPESQEMPMGRTILKVTPEKQTVPTPVRKYNSNANIITTEDNKIHIHLGSQFKRSPGTSGEGVSPVITVRPVNVTAEKEVSTGTVLRSPRNHLSSRPGASKVTSTITITPVTTSSARGTQSVSGQDGSSQRPTPTRIPMSKGMKAGKPVVAAPGAGNLTKFEPRAETQSMKIELKKSAASSTTSLGGGKG", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNAAASSYPMASLYVGDLHSDVTEAMLYEKFSPAGPVLSIRVCRDMITRRSLGYAYVNFQQPADAERALDTMNFDVIKGKPIRIMWSQRDPSLRKSGVGNVFIKNLDKSIDNKALYDTFSAFGNILSCKVVCDENGSKGYAFVHFETQEAADKAIEKMNGMLLNDRKVFVGRFKSRKEREAELGAKAKEFTNVYIKNFGEEVDDESLKELFSQFGKTLSVKVMRDPNGKSKGFGFVSYEKHEDANKAVEEMNGKEISGKIIFVGRAQKKVERQAELKRKFEQLKQERISRYQGVNLYIKNLDDTIDDEKLRKEFSPFGSITSAKVMLEDGRSKGFGFVCFSSPEEATKAVTEMNGRIVGSKPLYVALAQRKEERKAHLTNQYMQRVAGMRALPANAILNQFQPAAGGYFVPAVPQAQGRPPYYTPNQLAQMRPNPRWQQGGRPQGFQGMPSAIRQSGPRPTLRHLAPTGSECPDRLAMDFGGAGAAQQGLTDSCQSGGVPTAVQNLAPRAAVAAAAPRAVAPYKYASSVRSPHPAIQPLQAPQPAVHVQGQEPLTASMLAAAPPQEQKQMLGERLFPLIQTMHSNLAGKITGMLLEIDNSELLHMLESPESLRSKVDEAVAVLQAHHAKKEAAQKVGAVAAATS", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVQACEGRSRAQLPTLSLGADMTQPPPAKAPAKKHVRLQERRGSSVALMLDVRSLGTVEPICSVNTPREVTLHFLRTAGHPLTRWTLQHQPPSPKQLEEEFLKIPSNFVNPEDLDIPGHASKDRYKTILPNPQSRVCLGRAHSQEDSDYINANYIRGYDGKEKVYIATQGPMPNTVADFWEMVWQEDVSLIVMLTQLREGKEKCVHYWPTEEEAYGPFQIRIQGMKEHPEYTVRHLTIQHQQECRSVKHILFSAWPDHQTPESAGPLLRLVAEVETPETAANSGPIVVHCSAGIGRTGCFIATRIGCQQLKARGEVDILGIVCQLRLDRGGMIQTAEQYQFLHHTLALYAAQLPPETDP", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSRKRSTKPKPAAKIALKKENDQFLEALKLYEGKQYKKSLKLLDAILKKDGSHVDSLALKGLDLYSVGEKDDAASYVANAIRKIEGASASPICCHVLGIYMRNTKEYKESIKWFTAALNNGSTNKQIYRDLATLQSQIGDFKNALVSRKKYWEAFLGYRANWTSLAVAQDVNGERQQAINTLSQFEKLAEGKISDSEKYEHSECLMYKNDIMYKAASDNQDKLQNVLKHLNDIEPCVFDKFGLLERKATIYMKLGQLKDASIVYRTLIKRNPDNFKYYKLLEVSLGIQGDNKLKKALYGKLEQFYPRCEPPKFIPLTFLQDKEELSKKLREYVLPQLERGVPATFSNVKPLYQRRKSKVSPLLEKIVLDYLSGLDPTQDPIPFIWTNYYLSQHFLFLKDFPKAQEYIDAALDHTPTLVEFYILKARILKHLGLMDTAAGILEEGRQLDLQDRFINCKTVKYFLRANNIDKAVEVASLFTKNDDSVNGIKDLHLVEASWFIVEQAEAYYRLYLDRKKKLDDLASLKKEVESDKSEQIANDIKENQWLVRKYKGLALKRFNAIPKFYKQFEDDQLDFHSYCMRKGTPRAYLEMLEWGKALYTKPMYVRAMKEASKLYFQMHDDRLKRKSDSLDENSDEIQNNGQNSSSQKKKAKKEAAAMNKRKETEAKSVAAYPSDQDNDVFGEKLIETSTPMEDFATEFYNNYSMQVREDERDYILDFEFNYRIGKLALCFASLNKFAKRFGTTSGLFGSMAIVLLHATRNDTPFDPILKKVVTKSLEKEYSENFPLNEISNNSFDWLNFYQEKFGKNDINGLLFLYRYRDDVPIGSSNLKEMIISSLSPLEPHSQNEILQYYL", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATARPSSCGRDSVPATPRASIDVSLVVVVHGGGASNISPGRKELVSEGIAKAATEGYNILKAGGSAVDAVEGAVTMLENDPEFNAGYGSVLNADGDIEMDASIMDGKDLSAGAVSAVRCIANPVKLARLVMEKTPHCFLTGRGAEKFAADMGIPQTPAEKLITERTKKHLEKEKLEKGAQKADCPKNSGTVGAVALDCKGNLAYATSTGGIVNKMVGRVGDSPCIGAGGYADNNLGAVSTTGHGESILKVNLARLALFHVEQGKTVDEAATLALDYMKSKLKGLGGLILINKTGDWVAKWTSASMPWAAVKNGKLQAGIDLCETKTRNLPTC", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLTDSGGGGTSFEEDLDSVAPRSAPAGASEPPPPGGVGLGIRTVRLFGEAGPASGVGSSGGGGSGSGTGGGDAALDFKLAAAVLRTGGGGGASGSDEDEVSEVESFILDQEDLDNPVLKTTSEIFLSSTAEGADLRTVDPETQARLEALLEAAGIGKLSTADGKAFADPEVLRRLTSSVSCALDEAAAALTRMKAENSHNAGQVDTRSLAEACSDGDVNAVRKLLDEGRSVNEHTEEGESLLCLACSAGYYELAQVLLAMHANVEDRGNKGDITPLMAASSGGYLDIVKLLLLHDADVNSQSATGNTALTYACAGGFVDIVKVLLNEGANIEDHNENGHTPLMEAASAGHVEVARVLLDHGAGINTHSNEFKESALTLACYKGHLDMVRFLLEAGADQEHKTDEMHTALMEACMDGHVEVARLLLDSGAQVNMPADSFESPLTLAACGGHVELAALLIERGANLEEVNDEGYTPLMEAAREGHEEMVALLLAQGANINAQTEETQETALTLACCGGFSEVADFLIKAGADIELGCSTPLMEASQEGHLELVKYLLASGANVHATTATGDTALTYACENGHTDVADVLLQAGADLEHESEGGRTPLMKAARAGHLCTVQFLISKGANVNRATANNDHTVVSLACAGGHLAVVELLLAHGADPTHRLKDGSTMLIEAAKGGHTNVVSYLLDYPNNVLSVPTTDVSQLPPPSQDQSQVPRVPTHTLAMVVPPQEPDRTSQENSPALLGVQKGTSKQKSSSLQVADQDLLPSFHPYQPLECIVEETEGKLNELGQRISAIEKAQLKSLELIQGEPLNKDKIEELKKNREEQVQKKKKILKELQKVERQLQMKTQQQFTKEYLETKGQKDTVSLHQQCSHRGVFPEGEGDGSLPEDHFSELPQVDTILFKDNDVDDEQQSPPSAEQIDFVPVQPLSSPQCNFSSDLGSNGTNSLELQKVSGNQQIVGQPQIAITGHDQGLLVQEPDGLMVATPAQTLTDTLDDLIAAVSTRVPTGSNSSSQTTECLTPESCSQTTSNVASQSMPPVYPSVDIDAHTESNHDTALTLACAGGHEELVSVLIARDAKIEHRDKKGFTPLILAATAGHVGVVEILLDKGGDIEAQSERTKDTPLSLACSGGRQEVVDLLLARGANKEHRNVSDYTPLSLAASGGYVNIIKILLNAGAEINSRTGSKLGISPLMLAAMNGHVPAVKLLLDMGSDINAQIETNRNTALTLACFQGRAEVVSLLLDRKANVEHRAKTGLTPLMEAASGGYAEVGRVLLDKGADVNAPPVPSSRDTALTIAADKGHYKFCELLIHRGAHIDVRNKKGNTPLWLASNGGHFDVVQLLVQAGADVDAADNRKITPLMSAFRKGHVKVVQYLVKEVNQFPSDIECMRYIATITDKELLKKCHQCVETIVKAKDQQAAEANKNASILLKELDLEKSREESRKQALAAKREKRKEKRKKKKEEQKRKQEEDEENKPKENSELPEDEDEEENDEDVEQEVPIEPPSATTTTTIGISATSATFTNVFGKKRANVVTTPSTNRKNKKNKTKETPPTAHLILPEQHMSLAQQKADKNKINGEPRGGGAGGNSDSDNLDSTDCNSESSSGGKSQELNFVMDVNSSKYPSLLLHSQEEKTSTATSKTQTRLEGEVTPNSLSTSYKTVSLPLSSPNIKLNLTSPKRGQKREEGWKEVVRRSKKLSVPASVVSRIMGRGGCNITAIQDVTGAHIDVDKQKDKNGERMITIRGGTESTRYAVQLINALIQDPAKELEDLIPKNHIRTPASTKSIHANFSSGVGTTAASSKNAFPLGAPTLVTSQATTLSTFQPANKLNKNVPTNVRSSFPVSLPLAYPHPHFALLAAQTMQQIRHPRLPMAQFGGTFSPSPNTWGPFPVRPVNPGNTNSSPKHNNTSRLPNQNGTVLPSESAGLATASCPITVSSVVAASQQLCVTNTRTPSSVRKQLFACVPKTSPPATVISSVTSTCSSLPSVSSAPITSGQAPTTFLPASTSQAQLSSQKMESFSAVPPTKEKVSTQDQPMANLCTPSSTANSCSSSASNTPGAPETHPSSSPTPTSSNTQEEAQPSSVSDLSPMSMPFASNSEPAPLTLTSPRMVAADNQDTSNLPQLAVPAPRVSHRMQPRGSFYSMVPNATIHQDPQSIFVTNPVTLTPPQGPPAAVQLSSAVNIMNGSQMHINPANKSLPPTFGPATLFNHFSSLFDSSQVPANQGWGDGPLSSRVATDASFTVQSAFLGNSVLGHLENMHPDNSKAPGFRPPSQRVSTSPVGLPSIDPSGSSPSSSSAPLASFSGIPGTRVFLQGPAPVGTPSFNRQHFSPHPWTSASNSSTSAPPTLGQPKGVSASQDRKIPPPIGTERLARIRQGGSVAQAPAGTSFVAPVGHSGIWSFGVNAVSEGLSGWSQSVMGNHPMHQQLSDPSTFSQHQPMERDDSGMVAPSNIFHQPMASGFVDFSKGLPISMYGGTIIPSHPQLADVPGGPLFNGLHNPDPAWNPMIKVIQNSTECTDAQQIWPGTWAPHIGNMHLKYVN", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAKQLNLPENTDDWTKEDVNQWLESHKIDQKHREILTEQDVNGAVLKWLKKEHLVDMGITHGPAIQIEELFKELRKTAIEDSIQTSKMGKPSKNAPKDQTVSQKERRETSKQKQKGKENPDMANPSAMSTTAKGSKSLKVELIEDKIDYTKERQPSIDLTCVSYPFDEFSNPYRYKLDFSLQPETGPGNLIDPIHEFKAFTNTATATEEDVKMKFSNEVFRFASACMNSRTNGTIHFGVKDKPHGKIVGIKVTNDTKEALINHFNLMINKYFEDHQVQQAKKCIREPRFVEVLLPNSTLSDRFVIEVDIIPQFSECQYDYFQIKMQNYNNKIWEQSKKFSLFVRDGTSSKDITKNKVDFRAFKADFKTLAESRKAAEEKFRAKTNKKEREGPKLVKLLTGNQDLLDNSYYEQYILVTNKCHPDQTKHLDFLKEIKWFAVLEFDPESNINGVVKAYKESRVANLHFPSVYVEQKTTPNETISTLNLYHQPSWIFCNGRLDLDSEKYKPFDPSSWQRERASDVRKLISFLTHEDIMPRGKFLVVFLLLSSVDDPRDPLIETFCAFYQDLKGMENILCICVHPHIFQGWKDLLEARLIKHQDEISSQCISALSLEEINGTILKLKSVTQSSKRLLPSIGLSTVLLKKEEDIMTALEIICENECEGTLLEKDKNKFLEFKASKEEDFYRGGKVSWWNFYFSSESYSSPFVKRDKYERLEAMIQNCADSSKPTSTKIIHLYHHPGCGGTTLAMHILWELRKKFRCAVLKNKTVDFSEIGEQVTSLITYGAMNRQEYVPVLLLVDDFEEQDNVYLLQYSIQTAIAKKYIRYEKPLVIILNCMRSQNPEKSARIPDSIAVIQQLSPKEQRAFELKLKEIKEQHKNFEDFYSFMIMKTNFNKEYIENVVRNILKGQNIFTKEAKLFSFLALLNSYVPDTTISLSQCEKFLGIGNKKAFWGTEKFEDKMGTYSTILIKTEVIECGNYCGVRIIHSLIAEFSLEELKKSYHLNKSQIMLDMLTENLFFDTGMGKSKFLQDMHTLLLTRHRDEHEGETGNWFSPFIEALHKDEGNEAVEAVLLESIHRFNPNAFICQALARHFYIKKKDFGNALNWAKQAKIIEPDNSYISDTLGQVYKSKIRWWIEENGGNGNISVDDLIALLDLAEHASSAFKESQQQSEDREYEVKERLYPKSKRRYDTYNIAGYQGEIEVGLYTIQILQLIPFFDNKNELSKRYMVNFVSGSSDIPGDPNNEYKLALKNYIPYLTKLKFSLKKSFDFFDEYFVLLKPRNNIKQNEEAKTRRKVAGYFKKYVDIFCLLEESQNNTGLGSKFSEPLQVERCRRNLVALKADKFSGLLEYLIKSQEDAISTMKCIVNEYTFLLEQCTVKIQSKEKLNFILANIILSCIQPTSRLVKPVEKLKDQLREVLQPIGLTYQFSEPYFLASLLFWPENQQLDQHSEQMKEYAQALKNSFKGQYKHMHRTKQPIAYFFLGKGKRLERLVHKGKIDQCFKKTPDINSLWQSGDVWKEEKVQELLLRLQGRAENNCLYIEYGINEKITIPITPAFLGQLRSGRSIEKVSFYLGFSIGGPLAYDIEIV", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MQATAALETDSDKNYPKNGGHFQNDKLYNPKKENMFFSNGCNGVILAFPDGKEDSLATEERASDKENSIVDQRDLSELSFSENQDSNRGNIFSQSSEFEDSNDYAFLNETYSIHYSESKLKDENLLHLYSGLHPEVHKRVEMIFDTLDNNSIGLGRSAEASGADCGDVQKSDVDEDSQQEYHSAELECISAHLAKTVSRSSLDVSELKTSSYDFKCGGNFEDNHGKLESGPSPSLESLNGFAQECSLQVSTSQSSDMLQEYHEPKYEKCKEQEVDLTYHKAFDGILQRSSSPLNHQKVPETQVYTKEVKSQTTESKDFYGNRIFQNKALQRPENATMFPQDRALETHLKANDAHQPSGPCALDDSVISLCGSSQYKSLPEPGFFSPVIPRVAVTDYQAEVEGSCLHHVQGSATNKACSLMKEVCLTSVPDAAACIAAVQQTLHVSSRVNASSSIVSASSITETKMVRQSQAEEWQSDKRSVACNTAWSCGQQCRDAQRAAPGSDSGRPLSTGCLKPSGNSLNENSLELRKVFDTTDRQKHCNRAFQLCEEKAVPSRCCQKTTERAIKAEMHLLDVCYQMCHRHCHHIYKLVMESRAGLNRNLQTDSAKKELGAALLSVLEDLKLRYMNLKGKVHKGIPLEELPPLSVESKLLSAFSDFVSRLMKDEACSLSGANSELDNQSLPDVDVSPGLLKTLSQMSFIPDSSQPEQGKSPMSDVCKNGDTDIGFNCLKLNDKECKTVQEASEDWFDATERLIGADFSETQDSTAECEEWQPRNPLELKNSELHGKGQGFLIHVGGLCPSVSEADLRSHFQKYQVSEISIYDSTNYRYASLAFAKNSNAKMAVKEMNGVKINGKSVTVRLVKIPGEYTPPPLSTTGNSTSMNHLEKNTNKDATSASSICRLPRAKSRQLESEQDSEFPPLDQGVKKNCNQMKSGQLLPETPFQFIPPNTLNLRSFTKIMKRLAELHPDISRDHIIEALQEVRINHKGFLNGLSINTIVKMASSFLRNSALK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPPKFDPNEVKYLYLRAVGGEVGASAALAPKIGPLGLSPKKVGEDIAKATKEFKGIKVTVQLKIQNRQAAASVVPSASSLVITALKEPPRDRKKDKNVKHSGNIQLDEIIEIARQMRDKSFGRTLASVTKEILGTAQSVGCRVDFKNPHDIIEGINAGEIEIPEN", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGKVSANNQSGFFKFYIDTALLLNAEFISSFTNCINSEYNGKVKISYSSSSQQFKVIVYEEHKREAFSLFEEIVQKLKRESTVYKKPRLDIHPFGQLHYDFTTLLNFQRYLDLGYGIEDVKIKENEDIVKSKVGKKPTSSDFYIPCLIKPKIITPFEWEGNFKQVIYSPTVYNGSFKRLFYLPDNNDLLKEFAHATNTKYKASLKDNKIVVLANNDQDINAMLEKMKHIENLVSQKVYEYPEETLVYAGKIAPCQLKFLKLKFENEILYTAMHTTSIFKSVFNILGSFYTMRLLRNKHDGDSYELVPVFAKNPSKTPPCNDNFKIFSDDIKLIGCKIFAGVSLNFSSPKPAHRFYELNKTSSNLSIPVLQKPSNFHSSSTELSDNSIHQGRRAVDPVVNQNNPSNFEEMIMNKLNKLPTIDKQILGTSSLTHFQDKTTAIEHSINKSNSKQPPRFKFQLPPRPTSNTLPLEPEEELVTRYSVSSDGNTVDEAITKQSQTFQLVNSNEFNEVNANDVHKSLRQNCAKLDFDDSKSKNLLSVECLELDKGSDCSTPKSGSLTPSIDMKFLRLQDEKMDDLGDNYYTILMSSNPVSSYGVGSLYLFQPKIVCSEKYINHEEIDNMNLKSLHRWLSRSLHVLQSFSGEIELNLEFGVILYPNISSDVSACSHGFMNIYKDLNLPRSYFADCITKSVSNIDSLLNTPVKILFGRTEYTYPLIEHEVLDSKNYFVFKGSLLFTDDKRNKTEDSTVFFSMICSSKLDQFAFYKDSKQSSTCTINFPLALWDSRLRIETKVPLNDAILTEFSKSIRFRNVNKDLLLVFGNMEDRVIIHSVTRINENSVPFNKNLLPHSLEFILKCSKVKSYDISPSSINSKEAFVCLDRSKESKPIESCFSLSIQSVYMQSQFKYNNSIRAGETAPWKLANKQFIGGAITENVSDLYTAAIIMVNQLGGIHPTI", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MMEAKPKRRIVTENGDTGEDLVLATLIGNGDDVGPLVRHAFEMGRPEPLVHQLKNVARKKEAEIEDLCKTHYEEFIVAVDELRGVLVDAEELKSDLASDNFRLQEVGSALLVKLEELLESYAVKKNVTEAIKMSKICVQALELCVKCNSYISEGQFYHALKTMDLIEKSYLKLIPLKVLKLVIERRIPVIKTHIEKKVCSQFNEWLVHIRSSSKNIGQTAIGLTASARQREEEMLERQRRAEEQNTGGLGELAYTLDVEDSEQDSVLKFDLTPLYRAYHIHTILGVPERFRDYYYENRLLQLQSDLQITYTQPFVESYQTFLAQVAGYFIVEDRVIRTAGDFLLADQVETMWETAISKIVAILENQFARMDSPTHLLLVKDYVTLLGTTLRQYGYEVGPVLDALDKSRDKYHELLLEECRKQIVTAITEDTYQQMVIKKEADYENNVLSFNLQTSDIMPAFTYIAPFSSMVPDVCRIIRSYIKGSVDYLSYGVNTNFFSVLRKYLDKILIDVLNEVILETISNNSIGVSQAMQIAANISFLEKASDYFLRHAAQLCGIPSRSVERPQASLAAKVVLKTSRDAAYLALLNVVNTKLDEFMKLTENVNWTTEEMPQGPHEYINEVVIYLETVMSTAQQILPMDALYKVGVGAIEHISNSIVSTFLSDSIKRFNANAVSAINHDLRVIENFADERYHSSGLNEIYKEGSFRSYLVEARQLINLLSSSQPENFMNPVIRERNYNTLDYKKVATICEKFKDSADGIFGSLANRNTKLTAKKKSMDMLKKRLKEFN", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MQANQWQSVQNRTFTKWFNTKLSSRDLPSVFDLRKDLSDGILLIQLLEIIGDENLGRYNRNPRMRVHRLENVNKALEYIKSKGMPLTNIGPADIVDGNLKLILGLIWTLILRFTIADINEEGLTAKEGLLLWCQRKTANYHPEVDVQDFTRSWTNGLAFCALIHQHRPDLLDYNKLDKKNHRANMQLAFDIAQKSIGIPRLIEVEDVCDVDRPDERSIMTYVAEYFHAFSTLDKVETAARRVERFTEVLMSTHDMKIDYESRMKRLLGSIARMQEYWHTVQFENNYTDVKSHSNNFAKFKATEKREWVKEKIDLESLLGTIQTNLKTYQLRKYEPPAGLKIVDLERQWKDFLSEEANQSKLINTHMREIKESMRIAFADRANSFSKMLSTISNEITNLQGDWRDQLDHVEFLQEHLGPLEVELASVKVLYDNCFQAGIEENDYTMFSYEDLEHEFGITANIIANKIKYLENELLEREKRTLSKQELDGITKVFRHFEKKKSNMLNEVEFYAALASLGLVYDTEEGTALFHRAANSEEGVTYERFTEIVMEELEDRDSARQVLYAFCDVADGKSYVTSDDLLRSQVRPNIVKFLECNMNKHSEGLDYLTWIKQLLAEDKEIV", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MESDATTSEPKASVGSDSSPAEQTVLATLRDSVAAPTRGFMSPVRSVVASPRPVKGKAARRRLQLPPVTQAETCDEEPVPAVPEDQEEAQPLPPIYASPMRGMWRSEKVALYCDQVLQGSKAEDAEEAMSRYLLEKLKAKDRWLGVWKSNPELFFEKYEEASIPFVGILVEVTCKPRQNLSSCFKVTVSVAEPFSSNIANIPRDLVDEVLGELEYSAPLLEVYPVDGQDADVRDIALALEVVRFFYDFLWRDWDDEENCENYTALIEERINLWCDIQDGTIPGPIAQRFKKTLEKYKNKRVELIEYQSNIKEDPSAAEAVECWKKYYEIVMLCGLLKMWEDLRLRVHGPFFPRILRRRKGKRDFGKTITHIVAKVMTTDMVKNLSSDTLLQQHNDLNLALDSCYSGDIVVIFPGEYQASNLALLTDDITIKGVGKREEIMITSEPSHDSFVVSKADNVKLMQLSLIQQGTVDGIVVVESGHLTLENCLLKCEGTGVCVLTGASLTITNSEITGAQGAGVELYPGSIAILEGNEIHHCNNLRTSDSSKSTLGGVNMKVLPAPKLKMTNNHIYNNNGYGVSILQPSEQFFIVAEAALNKGAASGDKKDDKMLSKVMQTLNVEMNNNRIEANLKGDIRIVTG", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAEKNASAVDGAIRVSGMQFSYDVQDPIFFDFNLDLPAGSRCLLVGANGSGKTTLLKILAGKHMVGGKNVVQVLDRSAFHDTELVCSGDLSYLGGSWSKTAGSAGDIPLQGDFSAEHMIFGVEGIDPFRREKLIDLLDINLQWRMHKVSDGQRRRVQICMGLLHPFKVLLLDEVTVDLDVVARMDLLEFFKEECEQRGATIVYATHIFDGLETWASHLAYINGGELKLSAKLDEIKDLKTSPNLLSVVEAWLRSETKVEKKTKKKPVVTSPFMSSRQMAYYR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEQEDFYFPDTDLDLSFTSTTTDRTFASSSARTSLTLSFNDRLSTSSAVTTSSTSSSSVNHRRHDPHWSAIKSAKLLSSDGNIHLRHLKLIRHLGTGNLGRVFLCNLRDSSARFALKVIDRNCLTTEKKLSQVETEAEILSLLDHPFLPTLYARIDESHYTCLLIDYAPNGDLHSLLRKQPGNRLPIQPVRFFAAEVLVALEYLHAMGIVYRDLKPENVLLREDGHVMLSDFDLCFKSDVVPTFKSRRYRRSSSSPSLRRRRSGCFSVAAEKKYEREEIVSEFAAEPVTAFSRSCVGTHEYLAPELVSGNGHGSGVDWWAFGIFLYELLYGTTPFKGESKEQTLRNIVSTTKTASFHMDGDLDEARDLIEKLLVKDPRKRLGCARGAQDIKRHPFFDGIKWPLIRHYKPPEEVRGLVIKKSTRPHASHVIAVSPRRRKSFLWRALSYLLRGKSSSGGSKNQSNSNYYHYVGKSYASRKRV", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSNKFLGTWKLTSSENFDEYMKALGVGLGTRSLGNLAGPTVIISKSGDVITIRTESGFKNTEISFKLGQEFEETTADNRKTKSTVTLAGGKLNQVQKWNGNETTIKRELVDGKMVVECSMASVVCTRIYEQV", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSAVPSVQTFGKKKSATAVAHVKAGKGLIKVNGSPITLVEPEILRFKVYEPLLLVGLDKFSNIDIRVRVTGGGHVSQVYAIRQAIAKGLVAYHQKYVDEQSKNELKKAFTSYDRTLLIADSRRPEPKKFGGKGARSRFQKSYR", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASPKKKLQGLVAATITPMTEHGEINFSVIGQYVDYLVEVQGVKNIFVNGTTGEGLSLSISERCQVAEEWVTKGRNKLDQIVIHVGALSLKESQELAQHAAKIGADGIAVIAPFFLKPWNKDNLINFLKEVAAAAPALPFYYYHIPALTGVKIRAEELLDGIQDKIPTFQGLKFSDTDLLDFGQCVDQNHQRQFAFLFGVDEQLLSALVMGATGAVGSTYNYLGRKTNQMLEAFERKDFSSALNHQFCIQRFINFVVKLGFGVSQTKAIMTLVSGIPMGPPRLPLQKASREFTDNAKAKLKSLDVLSFTDLKDGNLEAC", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MWYTYNEDFGVVQLLFLHSNDTLTARTHITKGQIDIELTMRTTKKSSKKGIPLNLMVVGDVGLGRTAFINTLCEKPLIRHNNNFDPAEASSVSPVEIVPYQTDIILEDGTKINLTVLDTPHFGEAIDNENNFDIILQYIESQYDNVLEEESRIKRNARFCDDRVHALIYFISPTGHGLRELDIELMRRLAPRVNIIPAIAKADSLTAQELQTTKEMINADIEYYKIPVYDFPYDIEEDEEAIINLSQQLRATIPFAIVSSDRLIEMNGQTVRGRAYPWGVVEVDNPRHSDFLALRSALFATHIEDLHNITSNQLYETYRTEKLSTSQLLLDSTVGLDGKNLSQHDQVLREDRLRAIELSVQKEIEEKRRQLLAREEALRALEEKLAASTAAMANASVSTLPSSVSSTNHSQS", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKMSLDKLYEVIDKKKDEFVTRLSRAVSIPSVSADVTLRPKVVEMADFVVSEFTKLGAKMEKRDIGYHQMDGQDVPLPPIVLGQYGNDPSKKTVLIYNHFDVQPASLEDGWSTDPFTLTVDNKGRMFGRGATDDKGPLIGWISAIEAHKELGIDFPVNLLMCFEGMEEYGSEGLEDLIRAEAEKYFAKADCVCISDTYWLGTKKPVLTYGLRGVCYFNITVEGPSADLHSGVFGGTVHEPMTDLVAIMSTLVKPNGEILIPGIMDQVAELTPTEDSIYDGIDYTMEDLKEAVGADISIYPDPKRTLQHRWRYPTLSLHGIEGAFSGSGAKTVIPAKVIGKFSIRTVPNMESETVERLVKEHVTKVFNSLNSKNKLAFNNMHSGSWWISSPDHWHYDVGKKATERVYGITPDFVREGGSIPVTVTFEQSLKKNVLLLPMGRGDDGAHSINEKLDLDNFLKGIKLFCTYVHELASVSP", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSDEVFSTTLAYTKSPKVTKRTTFQDELIRAITARSARQRSSEYSDDFDSDEIVSLGDFSDTSADENSVNKKMNDFHISDDEEKNPSKLLFLKTNKSNGNITKDEPVCAIKNEEEMAPDGCEDIVVKSFSESQNKDEEFEKDKIKMKPKPRILSIKSTSSAENNSLDTDDHFKPSPRPRSMLKKKSHMEEKDGLEDKETALSEELELHSAPSSLPTPNGIQLEAEKKAFSENLDPEDSCLTSLASSSLKQILGDSFSPGSEGNASGKDPNEEITENHNSLKSDENKENSFSADHVTTAVEKSKESQVTADDLEEEKAKAELIMDDDRTVDPLLSKSQSILISTSATASSKKTIEDRNIKNKKSTNNRASSASARLMTSEFLKKSSSKRRTPSTTTSSHYLGTLKVLDQKPSQKQSIEPDRADNIRAAVYQEWLEKKNVYLHEMHRIKRIESENLRIQNEQKKAAKREEALASFEAWKAMKEKEAKKIAAKKRLEEKNKKKTEEENAARKGEALQAFEKWKEKKMEYLKEKNRKEREYERAKKQKEEETVAEKKKDNLTAVEKWNEKKEAFFKQKEKEKINEKRKEELKRAEKKDKDKQAINEYEKWLENKEKQERIERKQKKRHSFLESEALPPWSPPSRTVFAKVF", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFSLPLSLPLCEDTAFLPSKCCSSHKTIKQARTLIMIFLASGTHFQTMLKSKLNVLTLKKEPLPAVIFHEPEAIELCTTTPLMKTRTHSGCKVTYLGKVSTTGMQFLSGCTEKPVIELWKKHTLAREDVFPANALLEIRPFQVWLHHLDHKGEATVHMDTFQVARIAYCTADHNVSPNIFAWVYREINDDLSYQMDCHAVECESKLEAKKLAHAMMEAFRKTFHSMKSDGRIHSNSSSEEVSQELESDDG", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEALGPGPPASLFQPPRRPGLGTVGKPIRLLANHFQVQIPKIDVYHYDVDIKPEKRPRRVNREVVDTMVRHFKMQIFGDRQPGYDGKRNMYTAHPLPIGRDRVDMEVTLPGEGKDQTFKVSVQWVSVVSLQLLLEALAGHLNEVPDDSVQALDVITRHLPSMRYTPVGRSFFSPPEGYYHPLGGGREVWFGFHQSVRPAMWNMMLNIDVSATAFYRAQPIIEFMCEVLDIQNINEQTKPLTDSQRVKFTKEIRGLKVEVTHCGQMKRKYRVCNVTRRPASHQTFPLQLENGQAMECTVAQYFKQKYSLQLKYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDNQTSTMIKATARSAPDRQEEISRLVKSNSMVGGPDPYLKEFGIVVHNEMTELTGRVLPAPMLQYGGRNKTVATPNQGVWDMRGKQFYAGIEIKVWAVACFAPQKQCREDLLKSFTDQLRKISKDAGMPIQGQPCFCKYAQGADSVEPMFKHLKMTYVGLQLIVVILPGKTPVYAEVKRVGDTLLGMATQCVQVKNVVKTSPQTLSNLCLKINAKLGGINNVLVPHQRPSVFQQPVIFLGADVTHPPAGDGKKPSIAAVVGSMDGHPSRYCATVRVQTSRQEISQELLYSQEVIQDLTNMVRELLIQFYKSTRFKPTRIIYYRGGVSEGQMKQVAWPELIAIRKACISLEEDYRPGITYIVVQKRHHTRLFCADKTERVGKSGNVPAGTTVDSTITHPSEFDFYLCSHAGIQGTSRPSHYQVLWDDNCFTADELQLLTYQLCHTYVRCTRSVSIPAPAYYARLVAFRARYHLVDKDHDSAEGSHVSGQSNGRDPQALAKAVQIHHDTQHTMYFA", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSIDFDSGALQTQQEDEEYDKEDYAREQELQQLLTDLPHDMLDDSLSSSPEPSYSDCSGHEISEKIPQWEHGANWGNDDLPNHQKPYKNGFTENQYCMGFVGKQDEHLRNQAGRDKMSNGWDVLHANEDDPMFNGKYRYSKDHAYNSESNGQAFHGGDHYDAPGHCSSSELYHLPDDFQPYTNCQQVEHFPDSKKEHFQGFAVPEETSKISTEPFQVKYNPYQIKVARMDEMNQDPERRDGNFDDLQREFLDTGENSTGNMQFVQLQVLYKARGRQLEEQNNKLEESERQIRYLNHQLAIVKDQKDGLTISLQESQSLLQNSREMEIQLKGQLTALEKTVESLTTNEEQLRKELNISKVAMESFQQQLLDLRRSESIQRAREQHETVVSMLKKKHEEQVLALQQKLDDVNAMLNEEKELCSRLETRLKLSERKEAESKLEKTDIINRLSKSLEESQKQCANLLQSGSIQEATQLRLQLQQVQSSKIINDGMNKALQEEVRELQEQITMYESAARLGAFVNSGEEQQLSDSYVELGIKNFNWQKSRLGRIVANNGVKNDLSSEEIILELKTELERCLNSNKTKRKQIVQLQAELKGHLLKNEELKKSMEIAERTARDSQIQAENLANKVNNSPFYSSSSDRFREEIQKLQSEKQILQQENEKHLLFIKEFTVNEEKLKASNQELCNEMRGMIQDFDQDKKEAIERCERTYEQHNEDIKAHLLNELYEKFESEKELLSQGYEEKITLLQAQMNEIHREMAAVQECYIAVCKEKDALEENMREHFKKELQKSEEEVTAKAIQDVEMEWAQKLNQALQDAKTKSLQSFETQTIQTDESSLAKSDLNSDCIDELKVKLQNAIQEKEKAVHQAQLELEERHHEETSKQVEVALTRAYGRWLQELTSLPEYKARLKLEQEKWEKTNERNVERQVSDALYAAEIKWKMRSDKVDFTVRQKEFEEKIASMKRELELKAEESQALLKAEIATSRAQWNKEKHDEIQRLREDNEKDYRVFLDEHRNKLTDTLSTAKVEFEKQKNELIAQKDREMAERLDESLKQWALDESRRMRALENEILSEVEQCMYEIHDQLLDKSIVKDRLPSMKSNLDVTFLEKLKACLQKSVKGILYKVLANARQDWKKKYDTESNQETGIRGGELEGSDDRKTAKMWYLDKDLGKTEKQPCCEHWVQQLEKSKKECYEIRSKLEKACRHLQQLVKEQKLKAEKYRKNHILTEELKKQNSELQKKLELTVAPSPACLEPVEGGSNGCMMCNGNALEEIRAQYIKAVDKIKNDMLRYIHESKGRAAELLKSEVLRERQETARKMRKYYLTCLQQLLKDDGNNEGAEKKIINAASKLATMAKVLETPVSQKYQSKSLNSDLPQNENFLSETTQDQRSLQKPAHSHQNNNPLNQNIDQQTIEELIKRHVREKSDGNKVTDAEGASAINENSSFPTLRKSLVDNGNSQFVPSAPFQKLKTFSCIDSSTEGVLVTHQNKQSALQSGTLYPNSEHPKKKPGLQRFDLQETPVRDENGSNDWSCISSKSLFQPHSAKGSLTQLKMGPQNADVEEHSSAVASCSLAEENHNTFSSGARNQHFFAQVAKRKDENSGRKYSNKIQEPSATGIHPESKLFSDVGQGNKLPSRKLLLDFTLSPQQDSGFDSPFPNLNNFN", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVAFTIEQIRGLMDKVTNVRNMSVIAHVDHGKSTLSDSLVQKAGIISAAKAGDARFMDTRKDEQERGITIKSTAISLYASMTDEDVKDIKQKTDGNSFLVNLIDSPGHVDFSSEVTAALRVTDGALVVVDTVEGVCVQTETVLRQALGERIKPVVVINKVDRALLELQTTKEDLYQTFARTVESVNVIISTYCDPVLGDVQVYPQKGTVAFASGLHGWAFTVRQFANKYSKKFGVDKEKMMERLWGDSYFNPKTKKWTNKDKDADGKPLERAFNMFILDPIFRLFAAIMNFKKDEIPVLLEKLEIQLKGDEKDLEGKALLKVVMRKFLPAADALLEMIVLHLPSPVTAQAYRAETLYEGPSDDPFCTAIRNCDPNADLMLYVSKMVPTSDKGRFYAFGRVFAGTVKSGQKVRIQGPNYQVGKKEDLFLKSIQRTVLMMGRSVEQIDDCPAGNIIGLVGIDQFLLKSGTITTNEAAHNMKVMKFSVSPVVQVAVEVKNANDLPKLVEGLKRLSKSDPCVLTYMSESGEHIVAGTGELHLEICLQDLENDHAGVPLRISPPVVSYRETVEGESSMVALSKSPNKHNRIYVKAQPIDEEVSLDIENGVINPRDDFKARARILADKHGWDVVDARKIWCFGPDGNGPNLVVDQTKAVQYLNEIKDSVVAAFQWATKEGPIFGENCRSVRVNILDVTLHADAIHRGGGQIIPTMRRVTYASMLLAEPAIQEPVFLVEIQCPENAIGGIYSVLNKKRGQVISEEQRPGTPLFTVKAYLPVNESFGFTGELRQATGGQAFPQLIFDHWQVMSGDVTDENSKPGAIVKEKRVRAGLKPEVPEYTEYYDKL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKLQLLFLTLAQLAKHGLAIPLLQSSKTTTNSTLVASQEVNFTTYVYPDTNSTNIFPMPKCQNITLEDATIDQLQNYMENGILTSTDIVHCYLDRYLQVNPYVNGILQLNPDVLTIASELDDERANGIIRGPLHGIPFIVKDNFATKDKMDTTAGSYALLGSIVPRDAYVVKQLREAGAVLFGHATLSEWADMRSNDYSEGYSARGGQSRCPFNLTVNPGGSSSGSAISVASNMIAFALGTETDGSIIDPAMRNGVVGLKPTVGLTSRYGVIPESEHQDTTGPIARTVRDAVYVFQSMWGIDENDIYTLNQTGKTPEDGDYMKFLSNKTSLEGARFGLPWKRLWQNAKADEIDRLLEVVKQIEEAGAIVYNNTNFYNLDVISNDGWNWELGSVNESEYTVVKVDFYNNIKSYLSEVKNTEIHSLEDIVEYNNKYMGTEGGKPNIVPAFSSGQDGFLASLEWGGVKNETYWQAVEYVRRTSQDEGIDYALNYTDPKTNDSFILNGLLVPSGTSITYQQAAKAGYPMITLPIGVKTNGRPFGLGIMHSAWQEPQLIKYGSAIEDLLQYKAKPKFYEYVAKNVPVW", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDYKQRIEKFKDILNSEEPISLPGLCSLCIQGIPDEYSLRAKAWMLMLEFLPTDRSNWQSVLEKHRKTYTSFVQELLIDPWRKLTLHEESGENSDHPLNTSDDSKWKEYFDDNQILEQIDKDIRRTLPDLSFFQGKSEINKKPSVNNVSENISVNTEDDKVEEVGQKLNYTKITSIDQSQETPVHLSTIDFSKFQEECHLVLQGRIYRLENESTSSSTTALSTPRQSMDSKRTINAEAIAGENKLGLHREAAERILFIYAKLNPGIGYVQGMNEILAPLYYVLATDPTYENYYLCECDAFFLFTQMMVQVRDLYEKTLDHDSDHGIHFLMSKFTERLKKYDYELWENLEEKQIHPTYYSFRWFTCLLSQEFPLPDVIRLWDSIIADQMKARLFGKNDDGFNGAYDFLMDFCCSILIELRESILERNFADSIKLLQAHFNVDMPKLLNLTFELQHLRKTSKNDEDMSYVRKNSYNTNALANSLKNRVLSTYNTVKANVPQSSSSYTDNNKQKEPLEEKRSFFPSFRSSLDGVSPTQGRKSGEENIRTIFAKPTAHIGENGWSNLKVKGSSIFQRFGNFVGDTMRYITEEEESSEEEDLTTSRRKIGITSKRKVSVKRNVI", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKKNEKANISASYNDLIATICNPRISDVGTYRIESVVGEGSFGKVYLAAHLLTNTKVVLKSSCRKQAIILAREIHHHRRLLHPNITRLYEVVCTEDRIYLAMEYCPNGELYDWVAREKRLDEKTTCRIMWQLCCAIQYLHRQGCVHRDLKLENIFLDKAYNVKLGDFGFSRDSDCSRRTFMNTRCGTVAYCAPELVQGHKYIGECVDIWSLGIIMYALLIGRLPFDEDDVSLTEQKIINECPQYPETLSKNSSSLLKSLLCKDYRLRPSIDQIISHPYFKENGYHSSSIRDPRPSSKAEEKVRKRLEFVGVDMDQLNASISQQRCDMFYGWWLLLLEKEMRKENKKKKGLFHLHKGSSSAVHIAPATPSLKTAQVSVMSNNQDSLKSRHTSSDSSNSLLSTFRSWLFDPKQKHTADTLSSSAIRPRTPSPSAENYLTQENFDSDNLSESLDNSVENLTVFPSINSFGRRHSNLPQTTHVDTGEQNTPFTPPLLKTVNLDGNKDFTFPSNSQNSPSKSSNLSINIDIPPSPLQNTVISPQPTRRSRTPIRSLSGRSSVASSRNSSRTRSYSNVSSASSNSLISIISSKPSSSTVQRQTPFHSPFERLHKSFHFPSGEPFNTRINATAIFTVGSGRKNPQSSSSLMFNQSSVKEEEEPEETSFSDSSKHFTDLL", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPSQFNDNFVATDDDVGTMARVGMALDRELNGDSFQDNLNFQPRSGKREDFEPFFQDTPNTKSLSKHFHDFTMNASLETLPSVEKPRGRNMNAFEETSWNRFRKNGSLFPLSSPPISEPDLRPQALNETPDYRNRFLGAFKKQGVLDDHGNLKLDASPSFLKKPAEYTPLANRQNQNLAFDSPTEALPPKPTTPWRRNGFRSKTTPNLNSGKETPSSYKASARLMEQLGLNHSEPSVDFNNQTSYRLPNLTNLSSLIRDDTIDENGNAKEHDRLPELNTIPVASTDEQLFNAHQLLEKKFEILKRERNECNAKIDELQDKLELLTDAYNREKRRARSLEERMSKEMLTKLGESNVDDGMAASRYDTVKREKERLSEHLKSLQEQYEHIQSVYKNVLLDRESYIMRLGNKISENNELLNENRVLKEKLQTYLDKKESNVTSKIKSTAENSSKPLSMNEADERKDGLNNLLFENKSGANTKEMSNGTETAKENCSPQQDSTSPTSGYQDLVKELAKEIEMRKSLELKLKLSQSNKAGPVKHRKRRPKSKRRITGKVVFDSPNVASGVESDEGSEEISLDSEYSDILSDDGDFEKEKQATLPRRRSSSSMKGNKLAEDSYLNEAGFDWNQGTFHNGSEFGTTGVPDEPNEEELPKHVLKQVEHIINESAAHGVGKCNACHARQEDLIRGEQKVSHSNCLYADQTLRPSQPPSEALKTVVNQLTNELMELKKRYEKLSDRYNSLTPGYHKHKRQEIKNKLIKLIECMESKSDQIYLLYDVNVGKDFS", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MCDEEVAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSYELPDGQVITIGNERFRCPEALFQPSFLGMEACGIHETTYNSIMKCDVDIRKDLYANTVLSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGSVNNEEKTLIEPQRLLRKNTWHPEVDDSEVPPSVFPEYPVHKAIQKTSDSFRKRNYSAGDYVIAPLGGEREGSSLTHSWTFQPGKHNQRLYSDNFQEAQRQWKRLQEWGEVKETKKIRKRFDRFSGRKYINHYEIIKELGRGMHGKVKLGRDTVTRELLAIKIIPKTERRPKLGRANASSQKEKVRREIAILKKCVHPNVVRLREVIDDPSSTKVYLVLEYMSGGEVPWTDCDSPVLSISEARQYFRDVVLGLEYLHYQGIIHRDIKPANLLLNSSNCVKISDFGVSYIANAGLNEDNDVELAKTVGTPAFFAPELCWTDLDRPRPKISEAIDVWALGVTLFCLLFGRCPFNASMEYELFDKIVNERLNIPSTPDIGEEGRDLLKRLLCKDPEQRITLVEVKLHPWTLDGLKDPEKWLQNTDPSTVSRVEVSTDEVASAISLVGRLRRKLGKLFRFRRPKARVFDSSSSVPSDSSICRPESSGNSSIGLSASELSDSFNRLAVNESQKDRERKQVHPVEMGRNSSEKKPRCDFGWDYEAFPNDNQDADDACSYNTGDSIPQVSKSINGHFETYSRTSMDTDDVASFESPNAKHEESGMPVVTFRNYENYDANPSNFHPVVPGFVSSPNLHLAGGSDTPIYCIEHSFTPTN", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MERGTLQPRKKAPNGYGITPIVAHKTGEPVRYEVEDDLRKLKPSRTAPKPPAINTNLAEDTFSGFPLSQSRTTVSRVSLGSRQHSSSSIRKLQTNVSDVRSYDERNQKKSAFENFVSSMSSFLTGGGSSPTSSYGSGSASPRKSTVISSPFDPKHVTHVGFNYDTGEFTGMPTEWQALLKVSGITKSEQVQHPQAVLDAMAFYSQSKKYLEEGAKPPFPRESTEKPLLSVSALSSSSHLQPTSATSSSSRLYPSRPAPTPPASSSSSPLLSSQTVKTTTSNASRQPSPLVSSKSTDNIIRSHSPVLLTPQTLSTSETKHIRPNNSTPYQRRAETSTKPKAVATPQKVEAPSAPRLQKRAPRQQSNDSAVLAKLQSICNPKNPTLLYRNFVKIGQGASGDVYSARQVGTNLSVAIKKMNINQQPKKEFIVNEILVMKSHHHKNIVNFIDTFFYKSELWMVMEYMRGGSLTEVVTNNTLSEGQIAAICKETLEGLQHLHENGIVHRDIKSDNILLSLQGDIKLTDFGFCAQIDSNMTKRTTMVGTPYWMAPEVVTRKEYGFKVDVWSLGIMAIEMVEGEPPYLNENPLRALYLIATIGTPKISRPELLSSVFHDFLSKSLTVNPKQRPSSGELLRHPFLKQAVPVSSLIPLIKSIHHSGK", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKALILVGGFGTRLRPLTLTLPKPLVEFGNKPMILHQVEALAAAGVTDIVLAVNYRPEIMVEALKKYEKEYNVNITFSVENEPLGTAGPLALARDILAKDHSPFFVLNSDVICEYPFADLAAFHKAHGAEGTIVVTKVEEPSKYGVVVHYPNSESLIERFVEKPVEFVSNRINGGIYILNPSVLDRIEPRPTSIEKEVFPAMVNDKQLHSFDLEGYWMDVGQPKDYLTGTCLYLSSLRKHKPEILAPASSNIIGNVLIDPSATIGKNCKIGPNVVIGPNVTIGDGVRLQRCAILKSSRVRDHAWVKSSIVGWNSTLGSWSRLENVSVLGDDVVVNDEIYVNGGSILPHKSISANIEVPGTIVM", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAGSDAEWVTIANNLLFKCHIHLRIHELQDCDANVFIALYQSILGEKVPDLIVIPRSQEDDAHNVQAVIDSLALDYLQVSLSHITGENIVKGDKESIKNLLEIFDGLLEYLTERISETSHEKSETEQYFKESDRGERLEEPESTKESKSSWKRVSFGRCSLSSEMLGPSWDGDEAESTGEIIRLGDTAHTFSLRSNGAQCPNEMLSKKALASPSSKSHEDMLYPPSVLSKSRTSFVEDTETLSVSGIPNARKLGEPIRAAIPLHPPYHPSEPRAPCPIGKEYLHSSHCSPAVNSTGEHTEFSGDLDDGLFLISKLPKGSKWEVYPAQVQGPRTRKPPKGKRNENRATASSCNSPFPQRPRKRLTEQELHDVSEKLSQRLSELDWMLKSALGDRIKEKTDHKEENTGNEEVEDGTEETLSQHSDGIVEYGPKKSRPGLSMRRKPPYRSHSLSPSPVNKHKQFHLERKRQRKPRETDVRQFQAQAFTEAFERELRRHKVQENIGPLRIHEKEEETEKIYRGEAVRKGTPECSQPWKIYSRKTTTQSLRGGLPKPNKAVPMKVSEHSLLPLMLEQFPFLYVSGPTLSKMWKQQIAQVEQLKKEACRENRSKKKLQDEIEEALRRHDLLTTLVKKEYEHNKRLQDFKDCIRRQRLTQSKIKENRQQIVRARKYYDDYRVQLCAKMMRMRTREEMIFKKLFEEGLNIQKQRLRDLRNYAKEKRDEQRRRHQDELDSMENYYKDQFSLLAEAISQEHQELKAREKSQAQTLHKVKRELRSKMEKEIQQLQDMITQNDDDVFFRELEAERFRSRLQLASFQYSKSPSL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSISNQSGLDILREVDDEGQENSFLRKTTRKIQQFFKSDPGYQSRKAEYNSPAKATGDVNINVTSQDWLSKLDRNLSIENLTGKSLGIVVVSGRNKGLIKNPFLGGLVDIVMRRQDKLYLKSVEQVSSEYSPMQEQVYEFKVDMPLVQKRLGAFLKKNRVDGLSLSMHFSTGNYSLPVLIRHVLLYDYYTDDMKDSLWRAMIIYVSRQVTSNKYTKFHLWCVQKRIGNIRMYLVRPGDVYSFQGQTSWAAICNKSYMCNIQLEQSPEVSVKSSLPKYSNEPIFQSKTISQEEVGWLLFMLSIGNHARAFPIQNYLANTVMETVLPVELRCPFLSRSVDCEIFQKNTKRRVMEKWRQEFKKDLNVCEELEFKNVKKSGYMQPLFDLTMPLGCFDSLETKEMFYLRHNIAGFQADLTPYPMRI", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MATSRLQLEGDDSVHLHITHANLKSFSADARFSPQMSVEAVKEKLWKKCGTSVNSMALELYDDSGSKVAVLSDDSRPLGFFSPFDGFRLHIIDLDPSSVTTGGWLEDTSLVEKYNISEEDYAKRTDSFRKFKEKRVSQNPVAAEAKTKENYMEDLCANIKVGDRCQVEPGEKRGMVKYVGRAESLGPGYWVGIQYDEPLGKHDGMVKGTRFFECPRLQGGMVRPDKVKVGDYPERDPFEEDEI", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MANQKQMRTQILITDIPSGKFTSKWPTQLEKTLFKEQFPNLQSHLQYYTPLPFLNRIIIIFDNEDDTLQVFKFLQELLAKENSGPMKLFVTESLLNNQHPRSRSTDDAVSLQDNNLALLEDHRNKPLLSINTDPGVTGVDSSSLNKGGSSLSPDKSSLESPTMLKLSTDSKPFSYQEPLPKLSRSSSSTSNLSLNRSSQTSLPSQLENKDKSASGTKCLFASKPLGLTIDTSTRSNAASCTENDVNATASNPPKSPSITVNEFFH", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MHDKKSPMANSHYLKNLKQQFRNKNLIETTIHLVKCNDHDSLAFLARTYGVPPQLRHVVWPILLKYHPMCISPNITSNTISWDPITNDFILNDPFLKSKAPTDKQDKSDDENILPYDIESIILHDLKKYFHSRSNPAGSSSNANTTNIATPTPVSSSDASTISSMEVLSPSLDYEFQIIETLKNAIVKFLLKWSKIFKYESGLAWIALGLAEWYPIYPYETMSPFNETHSFYEVEDYVVLSGRKHALLSTNNGNNGNSNSSSNNTNNNNTNITSGMHNLSINTNTSLHNSPYISHTLSYLYKEYPLPFELRSKLPTKPIFSFSALFERLALVILHCPDTILAHKQLKNDSNASSSSKANSNFNTNYFPIISGGDLSFQTQVFFKVFSSILPELYQPLTEESSLQPSSSRNSWIYWWLKCSGAKALQRQDRGRVWDLLLGWRPKPNMDTINFFLNYNDKKMDHLYHDTPQCDNEQYWMKDWIALYNNDPFWFPDLDSMALGSKKFPYDYSVFKELILRNRYGGTQSKAQKDNTVPSPGSDSNDKSELKLPFSSIDPHMQLIFIFIAILQFNEFKLLEFEEAEISEFLNNVPLLTKFDDSSYRKLYENTESSITSLPSSPTTSTMASLQSSSNSSAHISNYHMLIEVGNDAKASHCFDDLLNMAGDIWRKWLWRELEESSL", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNGDVQSVIRGYLERAQVAKTMSDAGRWNEAGDLLRQLMTDVKSCKISASNRDEHDARNTFLRALEANLKLVQQNVRDEDDLHEAMTRQSGSPEPPADPDVWSKPSPPLPSSSKFGATKKGVGAAGPRPREISKSTSSMSTNPADVKPANPTQGILPQNSAGDSFDASAYDAYIVQAVRGTMATNTENTMSLDDIIGMHDVKQVLHEAVTLPLLVPEFFQGLRSPWKAMVLAGPPGTGKTLIARAIASESSSTFFTVSSTDLSSKWRGDSEKIVRLLFELARFYAPSIIFIDEIDTLGGQRGNSGEHEASRRVKSEFLVQMDGSQNKFDSRRVFVLAATNIPWELDEALRRRFEKRIFIPLPDIDARKKLIEKSMEGTPKSDEINYDDLAARTEGFSGADVVSLCRTAAINVLRRYDTKSLRGGELTAAMESLKAELVRNIDFEAALQAVSPSAGPDTMLKCKEWCDSFGAM", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSDVTGDLAAVSEAKGGSDAARISEVKAWLTSQFEAVGKEVPNFEYTHRSITHLYNLATASQAKSQAATIVANDFRLKASEYRAQAARIREILESAGMSQESLPSNVVSSAQVLANVANLLNIRDTELSSFLVAMGDISLRKTGVEEKRAKAQKESNALLDYTRKAIQRLTYLKKILAQLEDDVVPCESQMENWKTNLEVMAVKEEQYIQQYKKYEQMLLNRVGYTPKISHRELVEMAEHRKELDKMTKPVLDTLRSYQDLPPDKALAALAIEDKKRQFTAAEKYLEEVLQSALETNDE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFSSSAKIVKPNGEKPDEFESGISQALLELEMNSDLKAQLRELNITAAKEIEVGGGRKAIIIFVPVPQLKSFQKIQVRLVRELEKKFSGKHVVFIAQRRILPKPTRKSRTKNKQKRPRSRTLTAVHDAILEDLVFPSEIVGKRIRVKLDGSRLIKVHLDKAQQNNVEHKVETFSGVYKKLTGKDVNFEFPEFQL", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTVGVLALQGSFNEHIAALRRLGVQGVEIRKADQLLTVSSLIIPGGESTTMAKLAEYHNLFPALREFVKMGKPVWGTCAGLIFLADRAVGQKEGGQELVGGLDCTVHRNFFGSQIQSFEADILVPQLTSQEGGPETYRGVFIRAPAVLDVGPDVEVLADYPVPSNKVLYSSSTVQIQEEDALPETKVIVAVKQGNLLATAFHPELTADTRWHSYFIKMTKEIEQGASSSSSKTIVSVGETSAGPEPAKPDLPIFQ", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAKDLDELLDEVETKFCRLDPLRLDLGERPKGDGGGGSHSGDRNGAQEKETLRSTETFKKEDDLDSLINEIFEEPDFDRKSFQKFKSKSSSNTCVRAPMQGVSKSCSPVYLSGSAIPCGIGTNTSQRACDRLRCVACDFRIVSYNDYMWDKSCDYLFFRNNMPEFHKLKTKLIEKKGARAYACQCSWRTVEELTDLQTDHQLRWVCGKH", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MATDWLGSIVSINCGDSLGVYQGRVSAVDQVSQTISLTRPFHNGVKCLVPEVTFRAGDITELKILEIPGPGDNQHFGDLHQTELGPSGAGCQVGINQNGTGKFVKKPASSSSAPQNIPKRTDVKSQDVAVSPQQQQCSKSYVDRHMESLSQSKSFRRRHNSWSSSSRHPNQATPKKSGLKNGQMKNKDDECFGDDIEEIPDTDFDFEGNLALFDKAAVFEEIDTYERRSGTRSRGIPNERPTRYRHDENILESEPIVYRRIIVPHNVSKEFCTDSGLVVPSISYELHKKLLSVAEKHGLTLERRLEMTGVCASQMALTLLGGPNRLNPKNVHQRPTVALLCGPHVKGAQGISCGRHLANHDVQVILFLPNFVKMLESITNELSLFSKTQGQQVSSLKDLPTSPVDLVINCLDCPENVFLRDQPWYKAAVAWANQNRAPVLSIDPPVHEVEQGIDAKWSLALGLPLPLGEHAGRIYLCDIGIPQQVFQEVGINYHSPFGCKFVIPLHSA", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSRPSSAGPCASKPCGKQKQPPPPPPHAPSLPATISGGPGASAPPAPTAAAITGPLSQQHQELTSLFECPVCFDYVLPPILQCQAGHLVCNQCRQKLSCCPTCRASLTPSIRNLAMEKVASAVLFPCKYASTGCSLSLHHTEKPEHEDICEYRPYSCPCPGASCKWQGSLENVMQHLTHSHKSITTLQGEDIVFLATDINLPGAVDWVMMQYCFNHHFMLVLEKQEKYEGHQQFFAIVLLIGTRKQAENYAYRLELNGNRRRLTWEATPRSIHDGVAAAIMNSDCLVFDTAIAHLFADNGNLGINVTISTCCP", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MELPSINSTTSISDNQELRNYYDKLLFKNNSGKSLADLPGKMADFNDNSAAAHPRSRVDFINGYIGFREDKQSLLGQKNTKRASFSAFADEGRKQSEMSINGKSPNLSLFSFEFNGTPTQDRKPYKQDYLNVMNTSPNNILSPLNNSSQKYYPQKQQQQQQQQQQQQQQSIFDPGRRSSYISDALIHGNAATQQPQYSQPVYINNNPSLQVPYTAPSEYTQQQQYSSPFNARRNTQPVLNLHPAAAPTNDAGLAVVDGKNLTSSKELHDLYLDCGSNYFASDKVYKFIDSIKGTLRGDNVSASSSRIIEFLDFLKNCNLNYNPQSDAFISTAVSNASSTGAAKSKNSTSMHLHYKPLVLVSLKNGKLELLSKPQTATLILKRGDLVIIDGDRGKDLVLVVEPVVDINLALFINFLKKKIHFDSLITNSQQHFPNDQFIKTLVDTTNGKPVAHELNPKLYDIIELTQLIIPSKQVLRFATPWESSTNLHNKFQDELKALHIAQLKLRSLNNNNSGGGLNIKILNAEFQFDRKKLTFYYICQERNDFRDLIKELFKFYKTRIWLCAIPNNLSIDSKFYDSNKFEWEMYQDMMSHYSMDNTGIVVAPELNRLKLDDFQIGVYMELVKVLFG", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLRQILSDMFIDPDLLAELSEEQKQILFYKMREEQIRRWKEREAAMERKESLPVKSRPKKENGKSVHWKLGADKQVWVWVMGEHHLDKPYDVLCDEILAEREHLRAAKDSELRKTQSLELANSLKIKSQNCDLQAMKKTEPQNVTRKAASEEASGQGPRAIPTRKDDKAQTKPVKEKDHEEMKQTEDEKTKQIYKSWKEDSEWQASLRKSKAADEKRRSLAKQAREDYKRLSQRGRSGDGLQNPLTGPQKPRRPPLPPKPQFLQPLGIPPKSLGNQGVIRTEISSAQMDTIRWFKEEQLPFRAGYQKNSDTIAPWFHGILTLKKANELLSTGVPGSFLIRVSEKIKGYALSYLSEEGCKHFLIDASANSYSFLGVDQLQHATLADLVEYHKEEPITSLGKELLLYPCGQQDKLPDYLELFQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNSVAGNKERLAVSTRGKKYGVNEMCSPTKPSAPCSPESWYRKAYEESRAGSRPTPEGAGSALGSSGTPSPGSGTSSPSSFTGSPGPASPGIGTSSPGSLGGSPGFGTGSPGSGSGGGSSPGSDRGVWCENCNARLVELKRQALKLLLPGPLPGKDPAFSAVIHDKLQVPNTIRKSWNDRDNRCDICATHLNQLKQEAIQMVLTLEQAAGSEHYDTSLGSPPPISSIPTLVGSRHMGGLQQPREWAFVPAPYATSTYTGLVNKHSGKPNSLGVSNGAEKKSGSPTHQAKVSLQMATSPSNGNILNSVAIQAHQYLDGTWSLSRTNGVTLYPYQISQLMTETGREGLTESALNRYNADKPAASSVPAPQGSCVASETSTGTSVAASFFARAAQKLNLSSKKKKHRPSTPSVAEAPLFATSFSGILQTSPPPAPPCLLRAVNKVKDTPGMGKVKVMLRICSTSARDTSESSSFLKVDPRKKQITLYDPLTCGGQNAFQKRSSQVPPKMFAFDAVFPQDASQAEVCAGTVAEVIQSVVNGADGCVFCFGHAKLGKSYTMIGRDDSMQNLGIIPCAISWLFKLINERKEKTGARFSVRISAVEVWGKEENLRDLLSEVATGSLQDGQSPGVYLCEDPICGTQLQNQSELRAPTAEKAAFFLDAAIASRRSNQQDCDEDDHRNSHMLFTLHIYQYRMEKSGKGGMSGGRSRLHLIDLGSCVKALSKNREGGSGLCLSLSALGNVILALVNGSKHIPYKESKLTMLLRESLGNVNCRTTMIAHISAAASSYAETLSTIQIASRVLRMKKKKTKYTSSSSGGESSCEEGRMRRPTQLRPFHARAPVDPEFPLAPLSSDPDYSSSSEQSCDTVIYIGPNGTALSDKELTDNEGPPDFVPIVPALQKTRGDSRPGEAAEAAASKSERDCLKCNTFAELQERLDCIDGSEEPSKFPFEELPIQFGPEQAGRCAPLSQAVGPDTPSESEKEDNGSDNGQLTEREGTELPASKAQRNRSPAPTVTRSSSPSPASPRSIPGSSSQHSTSQLTQSPSLQSSRESLNSCGFVEGKPRPMGSPRLGIASLSKTSEYKPPSSPSQRCKVYTQKGVLPSSAPPPSLSKDSGMVSSESLLQPDVRTPPVGMSPQVLKKSMSAGSEGFPGTLVDGEDQEGPPADSKKEILSTTMVTVQQPLELNGEDELVFTLVEELTISGVLDSGRPTSIISFNSDCSVQALASGSRPVSIISSISEDLECYSSMAPVSEVSITQFLPLPKLGLDEKARDAGSRRSSISSWLSEMSAGSDGEQSCHSFIAQTCFGHGEAMAEPPASEFVSSIQNTAVVCREKPEVGPDNLLILSEMGEESGNKAAPIKGCKISTVGKAMVTISNTASLSSCEGYIPMKTNITVYPCIAMSPRNVQEPESSTATPKVSPKASQAQESKEPSTRREMKFEDPWLKREEEVKRENAYSSEEGVKCEPLPSSLKTEGKSEQELDGRPSSGNRLSSSSSEAAAFQGTDNVRRVVDGCEMALPGLVAQSPLHVNRNLKSSSLPRAFQKADRHEELDSFYHCLADSNGFSAASGIPSSKTTLERKVASPKHCVLARPKGTPPLPPVRKSSLDQKNRASPQHGGGSSNTSSPLNQPATFLACFPDESNGKTKDVSSSSKLFSAKLEQLASRSNSLGRTTVSHYECLSLERAESLSSVSSRMHAGKDSTMPRTGRSLGRSTGASPPSCGITQSTGASPKASQSKISAVSKLLLASPKSRSSLSTSTTKTLSFSTKSLPQSVGQSSNLPPSGKHMSWSTQSLSRNRGSGLASKLPLRAVNGRISELLQGSAGPRSAQLRAEAEERSGAPSEDKPAAAHLLPSPYSKITPPRKPHRCSSGHGSDNSSVLSGELPPAMGKTALFYHSGGSSGYESMMRDSEATGSASSAQDSMSENSSSVGGRCRSLKNQKKRSNSGSQRRRLIPALSLDTPSPVRKTASSTGVRWVDGPLRSTQRSLGEPFEIKVYEIDDVERLQRRRGATSKEVMCFNAKLKILEHRQQRIAEVRAKYEWLMKELEATKQYLMLDPNKWLREFDLEQVLQLDSLEYLEALEGVTERLESRVNFCKAHLMMITCFDITSRRR", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAAGVPCALVTSCSSVFSGDQLVQHILGTEDLIVEVTSNDAVRFYPWTIDNKYYSADINLCVVPNKFLVTAEIAESVQAFVVYFDSTQKSGLDSVSSWLPLAKAWLPEVMILVCDRVSEDGINRQKAQEWCIKHGFELVELSPEELPEEDDDFPESTGVKRIVQALNANVWSNVVMKNDRNQGFSLLNSLTGTNHSIGSADPCHPEQPHLPAADSTESLSDHRGGASNTTDAQVDSIVDPMLDLDIQELASLTTGGGDVENFERLFSKLKEMKDKAATLPHEQRKVHAEKVAKAFWMAIGGDRDEIEGLSSDEEH", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAAAAAAAGGAALAVSTGLETATLQKLALRRKKVLGAEEMELYELAQAAGAAIDPDVFKILVDLLNLNVAPLAVFQMLKSMCAGQRLASDPQDSVPISLSTSTSETRGRNRGGPILGNVTISAERGSRERPIQRMPRQPSATRLPKVGGSGKSNSRSSP", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSGSTESKKQPRRRFIGRKSGNSNNDKLTTVAENGNEIIHKQKSRIALGRSVNHVPEDILNDKELNEAIKLLPSNYNFEIHKTVWNIRKYNAKRIALQMPEGLLIYSLIISDILEQFCGVETLVMGDVSYGACCIDDFTARALDCDFIVHYAHSCLVPIDVTKIKVLYVFVTINIQEDHIIKTLQKNFPKGSRIATFGTIQFNPAVHSVRDKLLNDEEHMLYIIPPQIKPLSRGEVLGCTSERLDKEQYDAMVFIGDGRFHLESAMIHNPEIPAFKYDPYNRKFTREGYDQKQLVEVRAEAIEVARKGKVFGLILGALGRQGNLNTVKNLEKNLIAAGKTVVKIILSEVFPQKLAMFDQIDVFVQVACPRLSIDWGYAFNKPLLTPYEASVLLKKDVMFSEKYYPMDYYEAKGYGRGETPKHAIE", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MYPAYNPYSYRYLNPRNKGMSWRQKNYLASYGDTGDYCDNYQRAQLKAILSQVNPNLTPRLCRANTRDVGVQVNPRQDASVQCSLGPRTLLRRRPGALRKPPPEQGSPASPTKTVRFPRTIAVYSPVAAGRLAPFQDEGVNLEEKGEAVRSEGSEGGRQEGKQGDGEIKEQMKMDKTDEEEAAPAQTRPKFQFLEQKYGYYHCKDCNIRWESAYVWCVQETNKVYFKQFCRTCQKSYNPYRVEDIMCQSCKQTRCACPVKLRHVDPKRPHRQDLCGRCKGKRLSCDSTFSFKYII", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVGSKDIDLFNLRENEQIVSPCLIVHGKCNKQNGAKTVQVQHPQLPPITYPIHNQFFKATVILTPGENKLTFVTDTNTARTIVCYYTPLTQNPPVHLCLILAKDSPLQFDSPREQKDREGGNGLELAIKKLRLGARLMQAYTNEQMLRNSMGNRTFPFVEEFTWDTLFERPAMRNTIKIHVVRSEKTVKEIQDPDIAQQNSKGKNTGALFGIAMDALKSYGGPFTNNEKPVQAACMFLDTHWDGKLIRGHAALGGGDDSIKLAIFGSHGLYSWPTCLEQLVPYFTDETRSSTSEVANDCNECGTYWECLTITLGAFMHEIGHLLGCPHQESGVMLRGYTTLNRSFLTKEAYSVRTNSTGASPPIFPKEECTWNRLDTVRFLYHPSFTLPQDYYDPSFMRPTKLGGYPNIKHSVYPLGNGSCRILSPTGIYLIEIICDDLARGHIEYLPVSLGGQGPQREVIVTLDDLRARLPKNELAKFGNTFKLKILSVNAPETEFDKFPSLLDVQPLDMSKYGFSKNVQGIKSPLYGRSDGGNAVGVVAFDVRLVTAVRIYHGYALDGVRFYYKEKPTGTKDAPASKPSVPPRNYFSKITHSIKNHASINEENLKSVLFGHETQNFTDATLEPGEIIIGFNLRCGAWVDAIQIITSHGRMTDMFGNKDGGGFAELQPPNGQYILGVTGRVGQWVDAFGIIYGAL", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKHFMMPRNAILRDIGESQSPNPSLTKSKSQRKIKSSKENAPPPDLNSLIPDHRSSPAKLKSPLPPRPPSSNPLKRKLIAEATADNGVAIGVSDSGVKVIVRMKPPSKGEEEEMIVKKISNDALTINEQTFTFDSIADPESTQDEIFQLVGAPLVENCLAGFNSSVFAYGQTGSGKTYTMWGPANGLLEEHLSGDQRGLTPRVFELLFARLSEEQAKHAERQLKYQCRCSFLEIYNEQITDLLDPSLKNLMIREDVKSGVYVENLTEEYVKNLKDLSKLLVKGLANRRTGATSVNAESSRSHCVFTCVVESHCKSVADGLSSFKTSRINLVDLAGSERQKLTGAAGDRLKEAGNINRSLSQLGNLINILAEISQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMVCAVSPSQSCRSETFSTLRFAQRAKAIQNKAIVNEVMQDDVNFLREVIRQLRDELQRVKDDKGNNPTNPNAAYTTSWNARRSLSLLRSFGLGHPKSLPNGDDDGDTEMEIDEEAVERLCAQMGLSPPAEDNNQEMSRVEKINSSLQTVVLKDESYNNSHLKSSEATDVNMEDACCQTENNGSETDNALTVAETMDDGSSVQPDSITNSLHSCISDTNQGNSPSKAENIPSCQDLVIEADVSAIVSVADTSNNTEQVSVNPVSPCLSVAPVSVSPVLIPPTESASPKIRNSRKSLRTTSMSTASQKDIERANQLTPEVVEPSPAMSTEVLNLYSALSTKKSEAFPVPTRQLAASLHRGMKLLDSYRQSTALRRSTFRLSYKALECKPSTVLSKADVGVQTYPQADEIAEDNSKEVLCSRCKCRAECDAQEISDTSNLQLVPIDNSEGSEKSNFQVPKAVEKVLAGSIRREMAMEEFCTKQASEISQLNRLVQQYKHERECNAIIGQTREDKIVRLESLMDGVLSKDDFLDEEFASLMHEHKLLKDMYENHPEVLQTRIELKRVQEELESFKNFYGDMGEREVLLEEIHDLKAQLQCYTDSSLTSARRRGSLLKLTYACDPNQAPQLNTIPESVDEGPEKTLEQERLRWTEAESNWISLAEELRTELDTNRLLMEKQKRELDTEKRCAEELTEAMQMAMQGHARMIEQYADLEEKHIQLLARHRRIREGIDDVKKAAARAGVKGAESRFINALAAEISALKVQREKEVRYFRDENKSLQSQLRDTAEAVQAAGELLVRFKEAEEGLTFAQKRAMDAEYEASEAYKKVDKLKRKYETEISTVNQQHNAEPQNPIESLQASCNDDAMAKYDEPSASDGDNQWREEFQPFYKKDEELSKLAEPSWFSGYDRCNI", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRGELNIPALSRFGKSISASLHHQNGSSRDDNDSNPYENRSSNSGLNRRNSVFGLPSSGLSSRLSKPSLSSINNSNNSSSNTGGNVLPNPALTPVRNMSNKPPLTWSPSSANLFGTSKVTSIIGNNVSDVVPPVIKKALASSHGGGMSLSIVLLEPVLYLAGFDLNECQIENPALLRGALVLRVAKPANIRGISLSFTGRSRTEWPEGIPVKGHDTYEDKVIISHNWKFYEPTMKDADAPQHGADVARLVGEQLPLPSSAAASLRGYSVFAPGEYTYNFDLAIPNCFPESVEAKMGWVRYFLEATVERFGTFKSNLNGRTPVQLVRTPSPASLSSSELINISRDWDERLHYELQVSGKSFRLGEVVPITFRFLLLDKVRLYKLSISVVESSEYWCRSRKFHRVDPKRRVLLAERSAKHQNTDNLFETPDEGDGLSSAVFNFNVALPTCLVKERDRLTFDTTYKYIKVRHRLKALLVLSIENTENPEKRKYFEINIETPVRILSCRCVKDSTLLPPYESSSQGDNQVLLPCPCRLATTHVEPTEVTAFTTQSVLASSAPSAGRPAAAQISRPAQLFRIPSTNPPPFDGDVCPPACNTPPPNYDELFDVLSSISIQDCETDRANDDTILNNRVRRSGTIREEAPHRSLSRTVSRSFEIPR", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGSINNNFNTNNNSNTDLDRDWKTALNIPKKDTRPQTDDVLNTKGNTFEDFYLKRELLMGIFEAGFEKPSPIQEEAIPVAITGRDILARAKNGTGKTAAFVIPTLEKVKPKLNKIQALIMVPTRELALQTSQVVRTLGKHCGISCMVTTGGTNLRDDILRLNETVHILVGTPGRVLDLASRKVADLSDCSLFIMDEADKMLSRDFKTIIEQILSFLPPTHQSLLFSATFPLTVKEFMVKHLHKPYEINLMEELTLKGITQYYAFVEERQKLHCLNTLFSKLQINQAIIFCNSTNRVELLAKKITDLGYSCYYSHARMKQQERNKVFHEFRQGKVRTLVCSDLLTRGIDIQAVNVVINFDFPKTAETYLHRIGRSGRFGHLGLAINLINWNDRFNLYKIEQELGTEIAAIPATIDKSLYVAENDETVPVPFPIEQQSYHQQAIPQQQLPSQQQFAIPPQQHHPQFMVPPSHQQQQAYPPPQMPSQQGYPPQQEHFMAMPPGQSQPQY", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGSKGKKGKSSEVNLETSKNKEKNIKGKKKNSLDPIEKNKQETAGLQTTSRPTAKQLVGGSSWTGKIPVVLLNEHCQRSKWEKSDVKVRQTKSKNYIFTSVVLAKKDPKNPSILDHVSLIPPKSYYENGYFPEKETLVEARNVGAVYALHRIMSHKSLQHALPPEHRNIWFDMEKQKKEELKNKHSWLYNEDPFKAAKELQAARASSAAKPPPKASQKNEKVSLTSIKNTSLSHFSKFNFKYALPIHMSLENRRSLENLFRNMNTWDILEDTKNLEPDTSIVNDLISLGFRDIHAKEACQYCVSLEDALEWLIIHVPEDDLPTRFLPSDYTTGISVQNLNSANLAIHYNAKRISETGYSFDLCFSTLQTFENNIQISSEYLQQHLIGESFDGNISLEPNSTEWDDDVSALQSILDNKVSKIENGCRVRIDYPTSEFGELFVDFRRPARSYPAHIPLMSLSSTKRMASYIKLSILKKMVVYAMDLRGECMLSWLYNHLQENIEDFLQNIGSLLNISAATIGVSLSSQNKSAPTAKKNNSFKPKLFRRSRELSEKLCNNWSERVKSPSYQLKVREREKLPAWESRRKIMDAIQHSQVVVISGETGSGKSTQVVQFILDHYLSSGEKDLQTVVCTQPRRISAISLAERVAFERDTTVGKEVGYSVHGEKSISKETLLEFCTTGLLLRRIQQHGLGFLSTLSCVVVDEVHERSIENDILLTLLKLVISRIPNLKVILMSATVNSDTFKYYFGNAGHLHIHGRTFPIKDYYIEDFAPKLNEDDDEEDVPRRKKKEYEIDYHLISRLVSSIDAELGSSSGSILVFLPGVSNIARCIREIKSKDGSKFEVLPLHASLNTSEQRRCFKTYTKRKIICATNIAETSITIDDVVAVIDSGRVKQIDYDVERDLVTFKETWASRAACQQRRGRAGRVKKGICYKLYTRGFEEKGMLGQTPPEVLRTALSQVCLNVVPLVKRFSSAGNSVNQGSIKKFMNSLIDPPNDATVDLALKKLIQVGALTVSEDLTGLGEYLVSLPIDLKLGKLLVFGSIFGYLEPALTITAILSTKSPFLGDDEAREIRSKQSQGWGDVLADARVYHNWLEILETRGVKKTVQWCEEMHLHYTTLQQIRQNRNELSEAAQLLELTTKKLTGNFDWYSTENLTVLSTLIAAALSPNVVKCVYPDKKFVASFSGSLEMEQEARLTKFYDQNNQRLFIHPSSTMFVNSPNASRCTFVAYEQKVETTKPFLRNCTPINTYGMILLGANDILIDPLGKGLILDQAYCIKAWPKVVILLKMLKRCLDASLHERLESSSGLNYESEIHQCIRTLIAGNGV", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAIRKLLLLLKPIDPYPFLQTEGASLIKNPQVLQYLESRCKVHKNAIKFCQEILSKKPVEWKPISRNDLSHPIRDVDMVITVGGDGTLLHASHFIDDSVPVLGVNSDPTQAHEVEELSDQFDASRSTGHLCAATVENFEQVLDDILFGRVVPAKVSRISLKLNSETLLSHALNDILIAQPCPAAVSRFSFKIKNKDGASSPKTVNCRSSGLRICTAAGSTAAMQSAGGFVMPMLSRDLQFMVREPISPGSTASLMHSTFKPDQFMDVNWYSDHGTIYIDGCQVQHSVQLGDTIEISSDAPVLNVFLSHGISQIRSRY", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASHIVGYPRMGPKRELKFALESFWDGKSSADDLQKVSADLRSDIWKQMSAAGIKYIPSNTFSHYDQVLDTTAMLGAVPSRYGFTSGEIGLDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPEVKFSYASHKAVNEYKEAKALGVETVPVLVGPVSYLLLSKLAKGVDKSFDLLSLLPKILPVYKEVIAELKAAGASWIQLDEPLFVMDLEGHKLQAFSGAYAELESTLSGLNVLVETYFADIPAEAYKTLTSLKGVTAFGFDLVRGTKTIDLIKSGFPQGKYLFAGVVDGRNIWANDLAASLITLQSLEGVVGKDKLVVSTSCSLLHTAVDLINETKLDAEIKSWLAFAAQKVVEVDALAKALAGQTNESFFTANADALSSRRSSPRVTNESVQKAAAALKGSDHRRTTEVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREYKAKKISEEDYVKAIKEEIKKVVDIQEDLDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPVIYGDVSRPKPMTVFWSSTAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRHETCYQIALAIKDEVEDLEKGGIGVIQIDEAALREGLPLRKAEHSFYLDWAVHSFRITNCGVQDSTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTDEIADRINKMLAVLEQNILWVNPDCGLKTRKYTEVKPALKAMVDAAKLIRSQLGSAK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASTRSIELEHFEERDKRPRPGSRRGAPSSSGGSSISGPKGNGLIPSPAHSAHCSFYRTRTLQALSSEKKAKKARFYRNGDRYFKGLVFAISNDRFRSFDALLIELTRSLSDNVNLPQGVRTIYTIDGSRKVTSLDELLEGESYVCASNEPFRKVDYTKNVNPNWSVNIKGGTTRTLAVASAKSEVKESKDFIKPKLVTVIRSGVKPRKAVRILLNKKTAHSFEQVLTDITEAIKLDSGVVKRLCTLDGKQVTCLQDFFGDDDVFIACGPEKYRYAQDDFVLDHSECRVLKSSYSRASAAKYSGSRSPGFSRRSKSPASVNGTPSSQLSTPKSTKSSSSSPTSPGSFRGLKQISAQGRSSSNVNGGPELDRCLSPEGVNGNRCSESFPLLEKYRIGKVIGDGNFAVVKECVDRYTGKEFALKIIDKAKCCGKEHLIENEVSILRRVKHPNIIMLVEEMETATDLFLVMELVKGGDLFDAITSSTKYTERDGSAMVYNLANALRYLHSLSIVHRDIKPENLLVCEYPDGTKSLKLGDFGLATVVEGPLYTVCGTPTYVAPEIIAETGYGLKVDVWAAGVITYILLCGFPPFRSENNLQEDLFDQILAGKLEFPAPYWDNITDSAKELISQMLQVNVEARCTAGEILSHPWVSDDASQENNMQAEVTGKLKQHFNNALPKQNSTTTGVSVIMNTALDKEGQIFCSKLCQDSSRPSREQTSPVPPSAQEAPPPLESPRPPGPPATSGCDLAGTWRRHRD", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MFDSTKKSLHSMSDSANRFQNKITSKLPGEHGEDAMYDIRSVHGTGPRLSIKYVDVTKLPPPPKHASQLRAGGSSTGSTPRTASPAVGNQDYSKPSYSQPSYSQPSQPPKEPALPSRGTPSLPSRPGSRPSVLNQEQVPPPPVRPNVMSQMPPPPSYSSSGSYSQTYQSNANYTASSPLPTASANAPLPVPPPRRVSQNSSYASGSVPAATAASTASPVKKPPPPAPPKPRRLAARTSSNSSGVSSPTSVPPPVQRNTRPNL", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MENVPKENKVVEKAPVQNEAPALGGGEYQEPGGNVKGVWAPPAPGFGEDVPNRLVDNIDMIDGDGDDMERFMEEMRELRRKIRELQLRYSLRILIGDPPHHDHHDEFCLMP", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MFKKFDEKENVSNCIQLKTSVIKGIKNQLIEQFPGIEPWLNQIMPKKDPVKIVRCHEHIEILTVNGELLFFRQREGPFYPTLRLLHKYPFILPHQQVDKGAIKFVLSGANIMCPGLTSPGAKLYPAAVDTIVAIMAEGKQHALCVGVMKMSAEDIEKVNKGIGIENIHYLNDGLWHMKTYK", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MESTESYAAGSPEELAKRSPEPHDSSEADSAEKPTHIRFLVSNAAAGSVIGKGGSTITEFQAKSGARIQLSRNQEFFPGTTDRIIMISGSIKEVVNGLELILDKLHSELHAEDGNEVEPRRRIRLVVPNSSCGGIIGKGGATIKSFIEESKAGIKISPLDNTFYGLSDRLVTLSGTFEEQMRAIDLILAKLTEDDHYSQNVHSPYSYAAGYNSVNYAPNGSGGKYQNHKEEASTTVTIGVADEHIGLVLGRGGRNIMEITQMTGARIKISDRGDFMSGTTDRKVSITGPQRAIQQAETMIKQKVDSATERTTD", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAPKVTSELLRQLRQAMRNSECVAEPIQAYIIPSGDAHQSEYIAPCDCRRAFVSGFDGSAGTAIITEEHAAMWTDGRYFLQAAKQMDNNWTLMKMGLKDTPTQEDWLVSVLPEGSRVGVDPLIIPTDYWKKMAKVLRSAGHHLVPVKENLVDKIWTDRPERPCKPLLTLGLDYTGISWKEKVADLRLKMAERSIVWFVVTALDEIAWLFNLRGSDVEHNPVFFSYAIIGLERIMLFIDGDRIDAPGVKQHLLLDLGLEAEYKIQVLPYKSILSELKTLCADLSPREKVWVSDKASYAVSEAIPKDHRCCMPYTPICIAKAVKNSAESAGMRRAHIKDAVALCELFNWLEQEVPKGGVTEISAADKAEEFRRQQADFVDLSFPTISSTGPNGAIIHYAPIPETNRTLSLDEVYLIDSGAQYKDGTTDVTRTMHFGTPTAYEKECFTYVLKGHIAVSAAVFPTGTKGHLLDSFARSALWDSGLDYLHGTGHGVGSFLNVHEGPCGISYKTFSDEPLEAGMIVTDEPGYYEDGAFGIRIENVVLVVPAKTKYNFNNRGSLTFEPLTLVPIQTKMIDVDALTDKECDWLNSYHQTCRDVIGKELQTQGRQEALEWLLRETEPISRQH", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSNFDDLQILDQLQTSARFRGDTRIRRFKGSNNSKSPFGLNPSSRISQWPRITFIRAKDNYNPAEELCQLIDEQNAHNEAKHQSKEIMLPKETNVKSCEDLSKTGEIKALDIVLFKAITSKGDTLKVKKIPEYAEAFDTTKNVEVSSFPEKSMNENVLIQHSLNLAPSDKEISSTEESEQLCYKEQESEKELYSKDNDDSLDILNVPNLVNDDIANNNAAPPPPLAQAQEQLSTENEDEFDIDDTTDKMTTFTMNKFADLSVLEEDDDDEDEDEELEGEKEEEEEEKEKPEISNYDETEGKVNFSLEDSESLEFDEGSDDYDYAKLTTELVGNTDSLAEDEDDILEEDEDEDEEELLAIFDASDDSEIEAYLSIGDTLQNDFTIYDYDSEDEEYNNPSTQKTEKVSQSKKGAKVKEEKGLKKDRKLPKKMRKAQKKLERKAGKAVAARMGDAFSNSLDDESMNLYAELQEQWLKDKSKKARRRAEREKLRSEGLLGKKSKKKLLRESQKPSSSDSDNASLTRIDKIFINDVYQRMQQFKHSAIEEISLPPCRKYVRRLVHALANDLNLKSRSYGSGNKRYTMLSKTHKFDASSVDLVSLTRIMERLQTRVEYQSFSKSGRKSRMTVSSVRSSKATRVYDGQIVGEDAPEISKENPGRRLLEKLGWYAGKGLGHPENEGSKDSLRAIVKVSRSGLG", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDPIQLLFYVNGQKVVEKNVDPEMMLLPYLRKNLRLTGTKYGCGGGGCGACTVMISRYNPSTKAIRHHPVNACLTPICSLHGTAVTTVEGLGNTRTRLHPIQERIAKCHGTQCGFCTPGMVMSMYALLRNHPEPTLDQLTDALGGNLCRCTGYRPIIDACKTFCKASACCQSKENGVCCLDQEINGLAESQEEDKTSPELFSEEEFLPLDPTQELIFPPELMRIAEKQPPKTRVFYGERVTWISPVTLKELVEAKFKYPQAPIVMGYTSVGPEVKFKGVFHPIIISPDRIEELGVISQARDGLTLGAGLSLDQVKDILADIVQKLPEEKTQTYRALLKHLRTLAGSQIRNMASLGGHIVSRHLDSDLNPLLAVGNCTLNLLSKDGERRIPLSEEFLRKCPEADLKPQEVLVSVNIPWSRKWEFVSAFRQAQRQQNALAIVNSGMRVLFREGGGVIEELSILYGGVGSTIISAKNSCQRLIGRPWNEGMLDTRCRLVLDEVTLAASAPGGKVEFKRTLIISFLFKFYLEVSQGLKREDPGHSPSLAGNHESALDDLHSKHPWRTLTHQNVDPAQLPQDPIGRPIMHLSGIKHATGEAIYCDDMPAVDRELFLTFVTSSRAHAKIVSIDLSEALSLPGVVDIITADHLQEANTFGTETFLATDEVHCVGHLVCAVIADSETRAKQAAKQVKVVYQDLAPLILTIEEAIQHKSFFKSERKLECGNVDEAFKIVDQILEGEIHIGGQEHFYMETQSMLVVPKGEDGEIDIYVSTQFPKYIQDIVAATLKLSANKVMCHVRRVGGAFGGKVGKTSILAAITAFAASKHGRAVRCILERGEDMLITGGRHPYLGKYKAGFMNEGRILALDVEHYCNGGCSLDESLWVIEMGLLKLDNAYKFPNLRCRGWACRTNLPSNTALRGFGFPQAGLVTEACITEVAIKCGLSPEQVRTINMYKHVDTTHYKQEFSAKALSECWRECMAKCSYFERKAAIGKFNAENSWKKRGMAVIPLKFPVGIGSVAMGQAAALVHIYLDGSALVSHGGIEMGQGVHTKMIQVVSRELRMPMSSVHLRGTSTETVPNTNASGGSVVADLNGLAVKDACQTLLKRLEPIISKNPQGTWKDWAQTAFDQSISLSAVGYFRGYESNIDWEKGEGHPFEYFVFGAACSEVEINCLTGDHKNIRTNIVMDVGHSINPALDIGQVEGAFIQGMGLYTIEELSYSPQGTLYSRGPNQYKIPAICDIPTEMHISFLPPSEHSNTLYSSKGLGESGVFLGCSVFFAIHDAVKAARQERGISGPWKLNSPLTPEKIRMACEDKFTKMIPRDEPGSYVPCNIPV", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSGLDDRKKLTHAKNRKPLNDIPKSAENRPNTRSTSSRRGAEKDVPITFIGSSRTVKADLPEFTNTRSRRPLHSESKKELSRNPVSRGEEHSSSLPKSSPESSVSVMSSNASLWSACTEEVNKIGVCAKRESRNLRVYKMKSFTSNMEQILSNDNQLAPTVIRILNSRNSWCLNSCHACLTFIMENITSDNYGKRSACLKALASITNSLLDTIIGFASTKTRRIGVDVVAEERAAKATECIHNFRKIVKNRDKIYKQIDQETIYKLDAILERLKKVSSHK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSDRKAVIKNADMSEDMQQDAVDCATQAMEKYNIEKDIAAYIKKEFDKKYNPTWHCIVGRNFGSYVTHETKHFIYFYLGQVAILLFKSG", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAKGGKGPKGKKITLNVAKNCIKITFDGRKRLDLSKMGITTFPKCILRLSDIDELDLSRNMIRKIPDSIAKFQNLRWLDLHSNYIDKLPESIGQMTSLLFLNVSNNRLTTNGLPVELNQLKNIRTVNLGLNHLDSVPTTLGALKELHEVGLHDNLLTTIPASIAKLPKLKKLNIKRNPFPNADESEMFVDSIKRLENLYLVEEKDMCSSCLQRCQQARDKLNKIKSMAPSAPRKALFSNLVSPNSTAKDAQEEWRLRSPSTF", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSNGYEDHMDDVCRDDIGRTNLIVNYLPQNMTQDELRSLFSSIGEVESAKLIRDKVAGFEMRSSSLSKGHSLGYGFVNYLNAKDAERAINTLNGLRLQSKTIKVSFARPSSESIKDANLYISGLPRTMTQKDVEDMFLPFGHIINSRVLVDQATGLSRGVAFIRFDKRSEAEEAIASFNGHKPPGSSEPITVKFAANPNQNKNVALLSQICHSPARRFGGPVHHQAQRFRFSPMGVDHMSSISSVNVASSATSGWCIFVYNLGQDADEGILWQMFGPFGAVTNVKVIRDFNTNKCKGFGFVTMTNYEEAAMAIASLNGYRLGDKTLQVSFKTSKSHK", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTTKDYPSLWGFGTTKTFKIPIEHLDFKYIEKCSDVKHLEKILCVLRSGEEGYYPELTEFCEKHLQALAPESRALRKDKPAATAASFTAEEWEKIDGDIKSWVSEIKKEEDKMHFHETETFPAMKDNLPPVRGSNSCLHVGKEKYSKRPTKKKTPRDYAEWDKFDVEKECLKIDEDYKEKTVIDKSHLSKIETRIDTAGLTEKEKDFLATREKEKGNEAFNSGDYEEAVMYYTRSISALPTVVAYNNRAQAEIKLQNWNSAFQDCEKVLELEPGNVKALLRRATTYKHQNKLREATEDLSKVLDVEPDNDLAKKTLSEVERDLKNSEAASETQTKGKRMVIQEIENSEDEEGKSGRKHEDGGGDKKPAEPAGAARAAQPCVMGNIQKKLTGKAEGGKRPARGAPQRGQTPEAGADKRSPRRASAAAAAGGGATGHPGGGQGAENPAGLKSQGNELFRSGQFAEAAGKYSAAIALLEPAGSEIADDLSILYSNRAACYLKEGNCSGCIQDCNRALELHPFSMKPLLRRAMAYETLEQYGKAYVDYKTVLQIDCGLQLANDSVNRLSRILMELDGPNWREKLSPIPAVPASVPLQAWHPAKEMISKQAGDSSSHRQQGITDEKTFKALKEEGNQCVNDKNYKDALSKYSECLKINNKECAIYTNRALCYLKLCQFEEAKQDCDQALQLADGNVKAFYRRALAHKGLKNYQKSLIDLNKVILLDPSIIEAKMELEEVTRLLNLKDKTAPFNKEKERRKIEIQEVNEGKEEPGRPAGEVSMGCLASEKGGKSSRSPEDPEKLPIAKPNNAYEFGQIINALSTRKDKEACAHLLAITAPKDLPMFLSNKLEGDTFLLLIQSLKNNLIEKDPSLVYQHLLYLSKAERFKMMLTLISKGQKELIEQLFEDLSDTPNNHFTLEDIQALKRQYEL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTSIKVVCRIRPTNQLEQDLGGNNVIYPLNDSTVHIETSDYSGNFVFDRVFHPSSTQNDIFSYSIESTVDDLFLGYNGTVLAYGQTGSGKTYTMMGIENNFEKEGMTPRMLRRIFDKIRDSPSTTEYEVKVSYMEIYMEKIHDLLSEKNDRLTVHEDKLQGVYVQGLKTIYVSSETEALDILNKGMGSRAVASTSMNAQSSRSHSIFVLEVVQTDTESGETRRGRLFLVDLAGSESVGKSGAVGQTLEEAKKINRSLSTLGMVINSLTDSKLSHVPYRDSKLTRILKESLGGNSRTTLIINCSPDSYNATETLSTLRFGHRAKSIKNKAVVNSELSVDEMKRQLYIYKDALSRCVCGARINNNLDYNNCHSNVWSGEHSLTLSNLAEKSNLKEAEIIQGNRTIQESNNDRDESTVASIHRHNFDSDSINRLYAEAQLELKQRDGVLSSTKQQLSDLMTALGDAQERYVELVKNHRVNSNLTANNSLNDKPGFTIEQKDKNFSINNERNNFLQKLSTLDSSLAALVNVQRKLIKALISKERPQNGTVIKKIQGGT", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEAGPSGAAAGAYLPPLQQVFQAPRRPGIGTVGKPIKLLANYFEVDIPKIDVYHYEVDIKPDKCPRRVNREVVEYMVQHFKPQIFGDRKPVYDGKKNIYTVTALPIGNERVDFEVTIPGEGKDRIFKVSIKWLAIVSWRMLHEALVSGQIPVPLESVQALDVAMRHLASMRYTPVGRSFFSPPEGYYHPLGGGREVWFGFHQSVRPAMWKMMLNIDVSATAFYKAQPVIEFMCEVLDIRNIDEQPKPLTDSQRVRFTKEIKGLKVEVTHCGQMKRKYRVCNVTRRPASHQTFPLQLESGQTVECTVAQYFKQKYNLQLKYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDNQTSTMIKATARSAPDRQEEISRLMKNASYNLDPYIQEFGIKVKDDMTEVTGRVLPAPILQYGGRNRAIATPNQGVWDMRGKQFYNGIEIKVWAIACFAPQKQCREEVLKNFTDQLRKISKDAGMPIQGQPCFCKYAQGADSVEPMFRHLKNTYSGLQLIIVILPGKTPVYAEVKRVGDTLLGMATQCVQVKNVVKTSPQTLSNLCLKINVKLGGINNILVPHQRSAVFQQPVIFLGADVTHPPAGDGKKPSITAVVGSMDAHPSRYCATVRVQRPRQEIIEDLSYMVRELLIQFYKSTRFKPTRIIFYRDGVPEGQLPQILHYELLAIRDACIKLEKDYQPGITYIVVQKRHHTRLFCADKNERIGKSGNIPAGTTVDTNITHPFEFDFYLCSHAGIQGTSRPSHYYVLWDDNRFTADELQILTYQLCHTYVRCTRSVSIPAPAYYARLVAFRARYHLVDKEHDSGEGSHISGQSNGRDPQALAKAVQVHQDTLRTMYFA", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSEITLGKYLFERLKQVNVNTIFGLPGDFNLSLLDKIYEVDGLRWAGNANELNAAYAADGYARIKGLSVLVTTFGVGELSALNGIAGSYAEHVGVLHVVGVPSISAQAKQLLLHHTLGNGDFTVFHRMSANISETTSMITDIATAPSEIDRLIRTTFITQRPSYLGLPANLVDLKVPGSLLEKPIDLSLKPNDPEAEKEVIDTVLELIQNSKNPVILSDACASRHNVKKETQKLIDLTQFPAFVTPLGKGSIDEQHPRYGGVYVGTLSKQDVKQAVESADLILSVGALLSDFNTGSFSYSYKTKNVVEFHSDYVKVKNATFLGVQMKFALQNLLKVIPDVVKGYKSVPVPTKTPANKGVPASTPLKQEWLWNELSKFLQEGDVIISETGTSAFGINQTIFPKDAYGISQVLWGSIGFTTGATLGAAFAAEEIDPNKRVILFIGDGSLQLTVQEISTMIRWGLKPYLFVLNNDGYTIEKLIHGPHAEYNEIQTWDHLALLPAFGAKKYENHKIATTGEWDALTTDSEFQKNSVIRLIELKLPVFDAPESLIKQAQLTAATNAKQ", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSRSKESDELIFFVNGKKVIERNADPEVNLLFYLRKIIQLTGTKYGCGGGDCGACTVMISRYNPISKKISHFSAAACLVPICSLHGAAVTTVEGIGSTKTRIHPVQERIAKGHGTQCGFCTPGMVMSIYTLLRNHPEPSTEQIMETLGGNLCRCTGYRPIVESARSFSPNSACCPMNEKWKCCLDEGKNEPERKNSVCTKLYEKEEFQPLDPTQELIFPPELMRMAEDSPNTVLTFRGERTTWIAPGTLNDLLELKMEYPSAPLVIGNTCLGLDMKFKDVSYPIIISPARILELFVVTNTNEGLTLGAGLSLTQVKNILSDVVSRLPKERTQTYRALLKHLRTLAGQQIRNVASLGGHIISRLPTSDLNPIFGVGNCKLNVASTEGTQQIPLNDHFLAGVPEAILKPEQVLISVFVPLSRKWEFVSAFRQAPRQQNAFAIVNAGMRVAFKEDTNTITDLSILYGGIGATVVSAKSCQQLIGRCWDEEMLDDAGRMIREEVSLLTAAPGGMVEYRKTLAISFLFKFYLDVLKQLKRRNPHRCPDISQKLLQVLEDFPLTMPHGTQSFKDVDSQQPLQDQSGRPIMHQSGIKHATGEAVFCDDMSVLAGELFLAVVTSSKPHARIISLDASEALASPGVVDVITAQDVPGDNGREEESLYAQDEVICVGQIVCAVAADSYARAKQATKKVKIVYEDMEPMIVTVQDALQHESFIGPEKKLEQGNVQLAFQSADQILEGEVHLGGQEHFYMETQSVRVIPKGEDMEMDIYVSSQDAAFTQEMVARTLGIPKNRITCHVKRVGGGFGGKTSKPGLLASVAAVAAQKTGRPIRFILERGDDMLITGGRHPLLGKYRVGFMNNGKIKAADIQLYINGGCTPDDSELVIEYALLKLENAYKIPNLRVRGRVCKTNLPSNTAFRGFGFPQGAFVTGTWVSAVAAKCHLPPEKVRELNMYKTIDRTIHKQEFDPTNLIKCWETCMENSSYYSRKKAVDEFNQQSFWKKRGIAIIPMKFSVGFPKTFYHQAAALVQIYTDGSVLVAHGGVELGQGINTKMIQVASRELKIPMSYIHLDEMNTMTVPNTITTGGSTGADVNGRAVQNACQILMKRLEPIISQNPNGDWEEWINEAFIQSISLSATGYFRGYQADMDWEKGEGDIYPYFVFGAACSEVEIDCLTGAHKNIRTDIVMDGSFSINPAVDIGQIEGAFVQGLGLYTLEELKYSPEGVLYTRGPHQYKIASVSDIPEEFHVSLLTPTQNPKAIYSSKGLGEAGMFLGSSVFFAIAAAVAAARKERGLPLILAINSPATAEVIRMACEDQFTNLVPKTDSKCCKPWSIPVA", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEAAAAVVAAEAEVENEDGDSSCGDVCFMDKGLQSISELSLDSTLHAVNLHCNNISKIEAIDHIWNLQHLDLSSNQISRIEGLNTLTKLCTLNLSCNLITKVEGLEELINLTRLNVSYNHIDDLSGLIPLHGIKHKLRYIDLHSNRIDSIHHLLQCMVGLHFLTNLILEKDGDDNPVCRLPGYRAVILQTLPQLRILDCKNIFGEPVNLTEINSSQLQCLEGLLDNLVSSDSPLNISEDEIIDRMPVITAPIDELVPLEQFASTPSDAVLTSFMSVCQSSEPEKNNHENDLQNEIKLQKLDDQILQLLNETSNSIDNVLEKDPRPKRDTDITSESDYGNRKECNRKVPRRSKIPYDAKTIQTIKHHNKNYNSFVSCNRKMKPPYLKELYVSSSLANCPMLQESEKPKTEIIKVDQSHSEDNTYQSLVEQLDQEREKRWRAEQAENKLMDYIDELHKHANEKEDIHSLALLTTDRLKEIIFRERNSKGQLEVMVHKLQNEIKKLTVELMKAKDQQEDHLKHLRTLEKTLEKMERQKRQQQAAQIRLIQEVELKASAADREIYLLRTSLHREREQAQQLHQLLALKEQEHRKELETREFFTDADFQDALAKEIAKEEKKHEQMIKEYQEKIDVLSQQYMDLENEFRIALTVEARRFQDVKDGFENVATELAKSKHALIWAQRKENESSSLIKDLTCMVKEQKTKLAEVSKLKQETAANLQNQINTLEILIEDDKQKSIQIELLKHEKVQLISELAAKESLIFGLRTERKVWGHELAQQGSSLAQNRGKLEAQIESLSRENECLRKTNESDSDALRIKCKIIDDQTETIRKLKDCLQEKDEHIKRLQEKITEIEKCTQEQLDEKSSQLDEVLEKLERHNERKEKLKQQLKGKEVELEEIRKAYSTLNRKWHDKGELLCHLETQVKEVKEKFENKEKKLKAERDKSIELQKNAMEKLHSMDDAFKRQVDAIVEAHQAEIAQLANEKQKCIDSANLKVHQIEKEMRELLEETCKNKKTMEAKIKQLAFALNEIQQDM", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFSAKAKTYELKLEQTRCNGDWQAIPEVARKLHKHNSSKSFVCELAKIEASLKSALTAELKNIANVLEPKLIDNQYSLIPALPSARTDPLLSQLNSLNIQNATEDEKLQETSIRLLLYIVQRKFPEAVDLDWSPQGIPWTETSTGICILQATVLSSLAQYAQANDEVAYQYVRSAIGLIQNANIGKKPLPELTRWCDLAYSLYAQMSVSACSSQLERANILTTCLSYFTEHKSVNLLYKLVTSRQAIKYLEHILRTNTNGSKIFTKSPVKNLYLNWPQLVLDYGSLLCNFTSFPKAGDQNMFAVEFIELATSIWINTEKSYDITVSLIRMLYQLTGKCFQAQQIFRSLVFFLRHIEEFEEASEAFEIYKFLCVKSHERLARKNSDVAGSFSDIKPVFVDEPKSIIEVCSVMMTVYAQYLRNLKKVSEILDYITKIASDYDLLKRDDIAPLIYHTEGVAYSFMYYQANNPSLRERYHQKSVQSYQKCLEKQPTNTNALFHLAMQYSERRAITDAMQIVRRLLEVNPKYSIVSWHLLVLCVSCSEQYAAGIKLIDSVFETWGINHVNEDGTIEISLTNLTFNDRCALVDLLITKLALFEAEKGVEATLDIQDEIFTLFASIFDLNEYRISKEGSSDELSTLLERSTIQSIKSSKKISKDVENEKGSILGFSRKSSLKRSTVLSKKSHSSYKENFQLRRGKTVSYLNQKLWLTAASLFLKSGNDDQARSALLEAKKIDHECAWVYYLNGLSLLQQGKEVEGYEQLDVAHYLDPEDPLISTALAKCLLQGGYGPMHSRRNRADAILSSCTLQYGWDLPEAWYYTAEIFRQLGDLKQAAFSYDYCIQLADTNPVRRWSNLQPRFMNV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKILLSKQQTRKIAIVSETHGLVFRPINSKNSRRSTCAVELVPKAELNGNGFRRLSNHEIYGFIGLIEIEGLMFIATITGKSKVAQPIPNKTVNKIYAVDFFCLNNSKWDFMDIDSSGYPIVTNDGDFAISSPPSISTHSSRSSLRSSSSRSLNAQEQAPKHPCHELRKLLSNGSFYYSTDFDLTCTLQKRGFTEHSLSFDDFDREFMWNSFLMDEIITYRDRLDVTAKELLDQRGFLTTVIRGFAETIFSYINRLKVGLTIISRQSWKRAGTRFNARGIDDDGHVANFVETEMIMYSSQYCYAFTQIRGSLPIFWEQDTSLISPKIQITRSVEATQPTFDEHFIRLFKKYGPVHIINLLSTKSSEIQLSRRYKEQLKNSEKMKIGRDVFLTSFDFHRETSQDGFAAASRIIPKIRNTILDAGYFSYDVKEGRLISEQDGVFRTNCLDCLDRTNLIQQTISLAVFKLFLEDFRLVKPSSFIDDNEFVQKVNALWADNGDQISQIYTGTNALKSSYSRKGKMSFSGALSDATKSVSRMYINNFVDKGKQQNIDTLLGKLPHQQVVELYDPICEYVNERLLESEEKFTTHSNINLFVGTFNVNGNSRRADLSKWLFPIGDKFKPDVVVLGLQEVIELTAGSILNADYTKSSFWETMVTDCLNQYEEKYLLLRVEQMSSLLILFFARSDRAYNIKEVGGSTKKTGFGGITGNKGAVAIRFDYGATSFCFVNTHLSAGASNIDERRNDYNNIYRNITFPRSKTIPHHDSLFWLGDLNYRITLTNDEVRRELRAQKDGYIDRLLQYDQLTQEINEGVVFQGFKEPTLQFRPTYKYDYGTDNYDTSEKARTPSWTDRIIYKGENLHPLAYSDAPLKISDHKPVYAAYRANVKFVDEKEKLNLVEKLYAEYKNTHPEALTTGPDELSHARMEKQKESIPLDATVQSAGIKLIDLDDTSSCVSPLLSGPSPQPSVVGPGGLSNVSPDKSKLNVLPPPPPTSRHNKEPSSKLLSPTKEISIVSVSPRKGESNLPALERHSTPKPLPPVPALSLSKPVSLQKSSSELQHAKETIDNGKIVPRPCPPIRRKSSTAPDEISTSTKNSGVSTTEDPEPAKASTKPEKPPVVKKPHYLSVAANKLNTSQEHSIKVSPSNSKSEEELPCKKKSKPKVPAKNPELEKLSVHPLKPCDPN", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPFAQIVIGPPGSGKSTYCNGCSQFFNAIGRHSQVVNMDPANDALPYPCAVDIRDFITLEEIMQEQQLGPNGGLMYAVESLDNSIDLFILQIKSLVEEEKAYLVFDCPGQVELFTHHSSLFNIFKKMEKELDIRFCVVNLIDCFYMTSPSQYISILLLALRSMLMMDLPHINVFSKIDMLKSYGELPFRLDYYTEVQDLDYLEPYIEKEGSSVLGKKYSKLTETIKELVSDFNLVSFEVLSVDDKESMINLQGVIDKANGYIFGASEVGGDTVWAEASREGALIANYDIQDRWIDNKEKYDKEEEEKRTALLKEQELQNKAVDVNEEDEWENALKEWEEKQGMDFVR", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAEGSAVSDPQHAARLLRALSSFREEARFCDAHLVLDGEEIPVQKNILAAASPYIRTKLNYNPPKDDGSTYKIELEGISVMVMREILDYIFSGQIRLNEDTIQDVVQAADLLLLTDLKTLCCEFLEGCIAAENCIGIRDFALHYCLHHVHYLATEYLETHFRDVSSTEEFLELSPQKLKEVISLEKLNVGNERYVFEAVIRWIAHDVEMRKVHMKDVMSALWVSGLDSSYLREQMLNEPLVREIVKECSNIPLSQPQQGEAMLASFKPRGYSECIVTIGGEERVSRKPTAAMRCMCPLYDPNRQLWIELAPLSMPRINHGVLSAEGFLFVLGGQDENKQTLSSGEKYDPDANTWTALPPMHEARHNFGIVEIDGMLYILGGEDGDRELISMECYDIYSKTWTKQPDLTMVRKIGCYAAMKKKIYAMGGGSYGKLFESVECYDPRTQQWTAICPLKERRFGAVACGVAMELYVFGGVRSREDIQGSEMVTCKSEFYHDEFKRWIYLNDQNLCIPASSSFVYGAVPIGASIYVIGDLDTGTNYDYVREFKRSTGTWHHTKPLLPSDLRRTGCAALRIANCKLFRLQLQQGLFRIRVHSP", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDALEDYVWPRATSELILLPVTGLECVGDRLLAGEGPDVLVYSLDFGGHLRMIKRVQNLLGHYLIHGFRVRPEPNGDLDLEAMVAVFGSKGLRVVKISWGQGHFWELWRSGLWNMSDWIWDARWLEGNIALALGHNSVVLYDPVVGCILQEVPCTDRCTLSSACLIGDAWKELTIVAGAVSNQLLVWYPATALADNKPVAPDRRISGHVGIIFSMSYLESKGLLATASEDRSVRIWKVGDLRVPGGRVQNIGHCFGHSARVWQVKLLENYLISAGEDCVCLVWSHEGEILQAFRGHQGRGIRAIAAHERQAWVITGGDDSGIRLWHLVGRGYRGLGVSALCFKSRSRPGTLKAVTLAGSWRLLAVTDTGALYLYDVEVKCWEQLLEDKHFQSYCLLEAAPGPEGFGLCAMANGEGRVKVVPINTPTAAVDQTLFPGKVHSLSWALRGYEELLLLASGPGGVVACLEISAAPSGKAIFVKERCRYLLPPSKQRWHTCSAFLPPGDFLVCGDRRGSVLLFPSRPGLLKDPGVGGKARAGAGAPVVGSGSSGGGNAFTGLGPVSTLPSLHGKQGVTSVTCHGGYVYTTGRDGAYYQLFVRDGQLQPVLRQKSCRGMNWLAGLRIVPDGSMVILGFHANEFVVWNPRSHEKLHIVNCGGGHRSWAFSDTEAAMAFAYLKDGDVMLYRALGGCTRPHVILREGLHGREITCVKRVGTITLGPEYGVPSFMQPDDLEPGSEGPDLTDIVITCSEDTTVCVLALPTTTGSAHALTAVCNHISSVRAVAVWGIGTPGGPQDPQPGLTAHVVSAGGRAEMHCFSIMVTPDPSTPSRLACHVMHLSSHRLDEYWDRQRNRHRMVKVDPETRYMSLAVCELDQPGLGPLVAAACSDGAVRLFLLQDSGRILQLLAETFHHKRCVLKVHSFTHEAPNQRRRLLLCSAATDGSLAFWDLTTMLDHDSTVLEPPVDPGLPYRLGTPSLTLQAHSCGINSLHTLPTREGHHLVASGSEDGSLHVFVLAVEMLQLEEAVGEAGLVPQLRVLEEYSVPCAHAAHVTGLKILSPSIMVSASIDQRLTFWRLGHGEPTFMNSTVFHVPDVADMDCWPVSPEFGHRCALGGQGLEVYNWYD", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGNTDSKSSSILLNHCIALVRPEDADASSPSRTSSPSPSLSVDADPLSLNLSIFKLDSGPDVEALFSDKPNVPLDTVFNDFYLDFISVDVQDFSINSSFKKILHIISSLNPPNFNNLIVFLSLYIILSANSLPASRTGLHSSRLINAIKTLSILIPIYFDRVKSSTQDHYDVFWATQHEIEGLPLQNIPLGERLLLAILKLAFQDNFTTAVTAHPSELWEIGILTNSNKYRSLLNMHHQWHLFANRLLLLRLLAALFSSDLYTSGGKQDINMFLVYWCTQMPKDKSIQFTSSLLNCTMRFILNNNKDFQSLKANFFSSDATASNWQTLYFQFVQSCLHVLNLSMSYKAQDNVITIFLTQLQREYDLKLILSSFIKIFKYPIDLAIEQESNIFNFTNNKHIDASRRRAVSTSSHDNSSSSHASLPSSSSAAYHTKPQTKPQLPEIHPLLIPMTILMTNLIDCNKCFQNYFADKFASRFIIFSIYYLKYYDYSSLSSSSSTTRSNSSTTSNGTSNDTSNERSIVELNENSVSQILLPLLNHLLLILTSKKLVLFKMLQTFNLNYYTNNLPNFYKLSNINGDINNLTFRDFTVIQLSNLILDNIKFNLQPNPIFYELIYNLLPINDEILTSSHKNDDSHDDLILLSAKKKSASPSAATSSHTSSSKLSYNAAMSLLYVLSKSSNKVYLTTYATPVFKTKDIPYMISPGFKMDLLALLLRSITIFFTLYFDDAENLLFAMVRHQSITHQINDSINSISKALDMNPNLNSHIMTLKQMGFNRKVQWKDFYQFEEITDLPQVNLYSSANQQHQNQQQGQNDNRGQNQNEDPGQENESPTPYLLFNPASLENETPGTVKHFSSTNHDKNYQVIAFIDFKSDSNLNLQHQLEYWPHRPQWPTPLTFTHKCKNPKYENFNEVWSGTVYLQILLRVIKQILSKVPEIPRIKSVQYFETLSKLSALRSDILTTIHPRLPLDVRRLTTFQPLSMHTNDKLLMWFHIATWANIFTQTSFKYEETFSHELRQFESLLDISIDECEGNTISKPTTDRLGYIRRSRGQSSVSLERTISAGSGVSTPTMALNRTKSNGSGNLMNYFFQNTAQNHFQHLRSSSSSSSITLEKTTSNSSSIRTRPNSHHVAPETNNNNSTNGNSNNSSNGGFSFFKWKWGGNNSNGGSDDTKASQRDPNVSTSIITDNLNSYMFEEEISPGVVNNIIENNIWVGTDIRLFKIANFRKESFSFLEMTSSFFKKFKFINSDNDNYNNNEFDDNTQLRYTSRGLYR", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTAAENPFVSDTSSLQSQLKEKEKELLAAKAEVEALRTNEELKDRVFKELRENVRKLEEKLGATENQVDQKELERKKLEEEKEDALAAQDAAEEALRRVYTHQQDDDSLPLESIIAPLESQIKIHKHEISALQEDKKALERLTKSKESALLEAERILRSALERALIVEEVQNHNFELRRQIEICQDENKFLEKINRQKVLEIEKLSQSIVELEEAILAGGTAANAVRDYRRQISQLNDEKRTLERELARVKVSASRVALAVANEWKDENDRVMPVKQWLEERRILHGEMQKLKDKLAVSERTAKAESQLKERLKLRLKTIEDGLKGPNTFFVSPTTKTEKSGKILGFLTSGGGSKKRSSSQLRGSVTGRIHAMNQPIDRVGESDEMENSKITANGLTDQHEEDSERKTEEDGNVYSEDMVSGFLYDRLQKEVIALRKLCESKEGTINAKNEEIKMLLKKVDALTKAIEVETKKAKREAAAREKENALAMLNEESKLCRKAKLPRSRIPNPRCQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASLSLAPVNIFKAGADEERAETARLTSFIGAIAIGDLVKSTLGPKGMDKILLSSGRDASLMVTNDGATILKNIGVDNPAAKVLVDMSRVQDDEVGDGTTSVTVLAAELLREAESLIAKKIHPQTIIAGWREATKAAREALLSSAVDHGSDEVKFRQDLMNIAGTTLSSKLLTHHKDHFTKLAVEAVLRLKGSGNLEAIHIIKKLGGSLADSYLDEGFLLDKKIGVNQPKRIENAKILIANTGMDTDKIKIFGSRVRVDSTAKVAEIEHAEKEKMKEKVERILKHGINCFINRQLIYNYPEQLFGAAGVMAIEHADFAGVERLALVTGGEIASTFDHPELVKLGSCKLIEEVMIGEDKLIHFSGVALGEACTIVLRGATQQILDEAERSLHDALCVLAQTVKDSRTVYGGGCSEMLMAHAVTQLANRTPGKEAVAMESYAKALRMLPTIIADNAGYDSADLVAQLRAAHSEGNTTAGLDMREGTIGDMAILGITESFQVKRQVLLSAAEAAEVILRVDNIIKAAPRKRVPDHHPC", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MFPRPVLNSRAQAILLPQPPNMLDHRQWPPRLASFPFTKTGMLSRATSVLAGLTAHLWDLGGGAGRRTSKAQRVHPQPSHQRQPPPPQHPGPYQERIWVGGEGWGEVGGLRLSKVGRRDREVGRGLRAPAGRGRAMGGMPRMGTVGDFGQALSSLAWTSTCFQDFCLPSLPGKLPAPLISKQQFLSNSSRSLFN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNQDDNGKNVVSKVSDLTSCSDFSTSSPVPCLNPLSHENNRIDLIRDLLASLSKEGVVAVYNHVRSLLFTDFTEVFPEEVSLRVFSYLDQLDLCKCKLMSKRWKRLLEDPGIWKALYMQKGWFVNENVLNEFEAWRRTHKFPQPRFENFLKQQNIIGPYGTMFLPQQFIFDSNGRPLLNWSYLYKEHAHLDSNWRHGRFLVSTFNNPSIRFPADQDFRATLDSVYCVQYDDEIMVSGSKDRTVSVWDVNSRFILYKLYGHSGSVLCLDFCRRRNLLVSGSSDSTIIIWDWQNRRPLKVYFGHTDNVLGVVVSENYIISSSRDHTARVWRLDATSPAEACMHVLRGHLASVNSVQYSSKTGLIVTASSDRTLRTWDITTGHCIRIIHAHQRGIACAQYNGKFIVSGSSDLTIRIFEASSGKLLRMLQGHEDLIRTVRFNDEKIVSGGYDGTVRIWNFNTGEQHCVLHNSRNSRVFGLQFDHRRIIACTHSSEILVWNFDDGLDCTFF", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQVAMNGKARKEAVQTAAKELLKFVNRSPSPFHAVAECRNRLLQAGFSELKETEKWNIKPESKYFMTRNSSTIIAFAVGGQYVPGNGFSLIGAHTDSPCLRVKRRSRRSQVGFQQVGVETYGGGIWSTWFDRDLTLAGRVIVKCPTSGRLEQQLVHVERPILRIPHLAIHLQRNINENFGPNTEMHLVPILATAIQEELEKGTPEPGPLNAVDERHHSVLMSLLCAHLGLSPKDIVEMELCLADTQPAVLGGAYDEFIFAPRLDNLHSCFCALQALIDSCAGPGSLATEPHVRMVTLYDNEEVGSESAQGAQSLLTELVLRRISASCQHPTAFEEAIPKSFMISADMAHAVHPNYLDKHEENHRPLFHKGPVIKVNSKQRYASNAVSEALIREVANKVKVPLQDLMVRNDTPCGTTIGPILASRLGLRVLDLGSPQLAMHSIREMACTTGVLQTLTLFKGFFELFPSLSHNLLVD", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPREIITLQLGQCGNQIGFEFWKQLCAEHGISPEGIVEEFATEGTDRKDVFFYQADDEHYIPRAVLLDLEPRVIHSILNSSYAKLYNPENIYLSEHGGGAGNNWGRGFSQGEKIHEDIFDIIDREADGSDSLEGFVLCHSIAGGTGSGLGSYLLERLNDRYPKKLVQTYSVFPNQDEMSDVVVQPYNSLLTLKRLTQNADCVVVLDNTALNRIATDRLHIQNPSFSQINQLVSTIMSASTTTLRYPGYMNNDLIGLIASLIPTPRLHFLMTGYTPLTTDQSVASVRKTTVLDVMRRLLQPKNVMVSTGRDRQTNHCYIAILNIIQGEVDPTQVHKSLQRIRERKLANFIPWGPASIQVALSRKSPYLPSAHRVSGLMMANHTSISSLFESSCQQYDKLWKRGAFLEQFRKEDIFKDNFEEMHRSREVVQELIDEYHAATRPDYISWGTQEQ", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MITKIHARSVYDSRGNPTVEVDLTTTDTGLHRAIVPSGASTGQHEAIELRDKDKTKWAGKGVLKAVENVNTIIAPALIKEKFDVKDQATIDKFLIDLDGTPNKAKLGANAILGVSLAVAKAGAAAKKVPLYAHVADLAGTKKPFVLPVPFMNVINGGSHAGGRLAFQEFMIVPSEAPSFTEAMRQGAEVYQILKTLTKKKYGQSAGNVGDEGGWPDIQTVEEALDLITDAIDKAGYTGQIKIAMDVASSEFYKEDAKKYDLDFKNPDSDSSKWLTYQELADLYKSLAQRYPIVSIEDPFAEDDWEAWAHFYKTSDFQIVGDDLTVTNPIRIKRAIDEKSCNALLLKVNQIATLTESIQAAKDSYSAGWGVMVSHRSGETEDVTIADIVVGLRAGQIKTGAPARSERLAKLNQILRIEEELGEQAIYAGTSSEPPHLYIFI", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAEAVWSTDTGEAVYRSRDPVRNLRLRVHLQRITSSNFLHYQPAAQMGKDLIDLATFRPPQAASGHRPDEEEEEEVVIGWQEKLFSQFEVDLYQNESACQSPLDHQYRQEILKLENSGGRKNRRIFTYTDSDRYTDLEEYCQKITTSASEVPSFLAERMANVRRRRQDRRGVEGSKLKSRIVTWEPSEDFIKNNHAINTPLQTMYIMADLGPYGKLGYKVHEHVLCILKVDSNGVITVKPDFTGIKGPYRIETEGEKQEHTSAWKYTIDNVSSLAQPEEEEREQRVFKDLYGRHKEYLSSLVGTDFEMIAPGALRLFVNGEVVSAQGYEYDNLYVHFFVELPAANWSSPPFQQLSGVTQACATKSLGMDKVAYFSFPFTFEAFFLHEDESAESLPEWPVLYCKVLSLDFWQRYRVEGYGAVVLPATPGSHTLTVSTWRPMELGLVAELRRFFIGGSLELEDPSYVRIPGTFKGERLSRFGFRTETTGTVTFRLHCLQQSRAFMESNSLQKQMRSVLDRLEGFSQQSSTHNVLEAFRRARRRMQEARESLPQDLVSPTGTLT", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDDQELGFKAGDVIEVMDATNREWWWGRVADGEGWFPASFVRLRVNQDEPADDYEAPRAGAREADDSGPEAQSCKDQMRTNVINEILSTERDYIKHLRDICEGYVRQCRKREDMFSEEQLRTIFGNIEDIYRCQKAFVKALEQKFNTERPHLSELGACFLEHQADFQIYSEYCNNHPNACVELSRLTKLSKYVYFFEACRLLQRMIDISLDGFLLTPVQKICKYPLQLAELLKYTHPQHRDFKNVEAALHAMKNVAQLINERKRRLENIDKIAQWQSSIEDWEGEDLLVRSSELIHSGELTRVTQPQARSQQRMFFLFDRQLIYCKKDLLRRDVLYYKGRLDMDDLEVVDVEDGKDRDLHVSVKNAFRLYCGTTGDSHLLCARKPEQKQRWLKAFAREREQVRLDQETGFSITELQRKQAMLNASKQQATGKPKAVGRPGYLTRHKHPSLPASRPQQQVLVLAEPRRKPSNFWHSISRLAPFRK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKNLLTEKCISSHNFHQKVIKQRMEKKVDSRYFKDGAVKKPYSAKTLSNKKSSASFGIRRELPSTSHLVQYRGTHTCTRQGRLRELRIRCVARKFLYLWIRMTFGRVFPSKARFYYEQRLLRKVFEEWKEEWWVFQHEWKLCVRADCHYRYYLYNLMFQTWKTYVRQQQEMRNKYIRAEVHDAKQKMRQAWKSWLIYVVVRRTKLQMQTTALEFRQRIILRVWWSTWRQRLGQVRVSRALHASALKHRALSLQVQAWSQWREQLLYVQKEKQKVVSAVKHHQHWQKRRFLKAWLEYLQVRRVKRQQNEMAERFHHVTVLQIYFCDWQQAWERRESLYAHHAQVEKLARKMALRRAFTHWKHYMLLCAEEAAQFEMAEEHHRHSQLYFCFRALKDNVTHAHLQQIRRNLAHQQHGVTLLHRFWNLWRSQIEQKKERELLPLLHAAWDHYRIALLCKCIELWLQYTQKRRYKQLLQARADGHFQQRALPAAFHTWNRLWRWRHQENVLSARATRFHRETLEKQVFSLWRQKMFQHRENRLAERMAILHAERQLLYRSWFMWHQQAAARHQEQEWQTVACAHHRHGRLKKAFCLWRESAQGLRTERTGRVRAAEFHMAQLLRWAWSQWRECLALRGAERQKLMRADLHHQHSVLHRALQAWVTYQGRVRSILREVAARESQHNRQLLRGALRRWKENTMARVDEAKKTFQASTHYRRTICSKVLVQWREAVSVQMYYRQQEDCAIWEAQKVLDRGCLRTWFQRWWDCSRRSAQQRLQLERAVQHHHRQLLLEGLARWKTHHLQCVRKRLLHRQSTQLLAQRLSRTCFRQWRQQLAARRQEQRATVRALWFWAFSLQAKVWATWLAFVLERRRKKARLQWALQAYQGQLLQEGATRLLRFAASMKASRQQLQAQQQVQAAHSLHRAVRRCATLWKQKVLGRGGKPQPLAAIAPSRKVTFEGPLLNRIAAGAGDGTLETKRPQASRPLGALGRLAAEEPHALELNTAHSARKQPRRPHFLLEPAQSQRPQKPQEHGLGMAQPAAPSLTRPFLAEAPTALVPHSPLPGALSSAPGPKQPPTASTGPELLLLPLSSFMPCGAAAPARVSAQRATPRDKPPVPSSLASVPDPHLLLPGDFSATRAGPGLSTAGSLDLEAELEEIQQQLLHYQTTKQNLWSCRRQASSLRRWLELNREEPGPEDQEVEQQVQKELEQVEMQIQLLAEELQAQRQPIGACVARIQALRQALC", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAGSNLLIHLDTIDQNDLIYVERDMNFAQKVGLCFLLYGDDHSDATYILQKLLAMTRSDFPQSDLLIKFAKSRPETWRRHLVEALCIIGARKVLRRLGFCWQELRMHYLPHIAGITLHVHPLLKSLYRMCEELSLVQSGRLLLDVREKVESQQAGDPLRFYDPAYLEIFLLDWLTRRSIKLGDINAAGSDVQLLVGHLKSNGLQAQANLLKDTIISNAPEPDAAGTAAMAVKQEIESDNQQSYCSTQIDALKLTRENAGIALIINQQKFHRNVSRDNMKFLSPDPLRRRDGTDVDKERLIEVFSSMGYNVEAYDNVDHMGIIERIRSACDRSLVRDSLVVFILSHGFEEAVYASNSIAMKITDIEDLLCSYDTLYYKPKLLIIQACQEKLVHKKKPNELFRIDVTTVSPDQHIDMLRAMSTVNGYAALRHTQTGSWFIGSLCDAIDRRSASEHIADILTIVTNEVSKKRGSNDESMVPNVKSTFRQHVYFPPRL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MIKISDEKTWQSSRDSVYEVGDSYSGQNANRPLVATNCSEYAVAHANCQLRPVRRFAEVSLLPDILETMRNLGLNRLLRLQSYTWPHLAGGSGHGAMIVGSPASGRTFAYIPPVCHAVSRALMDFTGQCEDVEHDISQPDRYGPIALILVPDLRRVHQVSAMCLALLRKAHKNDSVTLALNVSSTKSSQFFLKLLNGVGCLVATPAQLVWFWQEAPGLMRFPCLQFLVYDDVDLMSREQLQDVQQVLQEILPLSHSPQVVMVSKSYCHTLMSKLRAVNDKPALVFGDILEAALYGGTRIRISIMRSEAKANAVVQMLQQCSPEEFRTVIFCSDDGDMQCLVAALEVQHYSCLPYYQTADLEVRQQVHSWQARSNGVILLCTDNCPELDIRDAHTIIHHSMSHSWSKFKLRHLKISDNLCNMVKPTASIVKKPLYSLVLLDDNNHRQLPRLVDFLQLHQKVDHRLVEVAKRIRQELGKARNDQHQLCDQILVLGKCYDPVCESRHRLSHIDRRPDYLPASGDVKVQLVKVYSPTHFCVRLLEHLPPKGTWRMMEYSAVQEFRMQLTQIKEPRRYWPPVAGAICMYHTTFTKERVRVLKVAAIKNTNIVQSDLTVKLQALDVDTRIFSTNCGKLFECPEALQQEAPLACDLRLPGWVPYFGERSWTEENIRNVNLILTQLPKDHFLQAKILFVAAGTLFVQDLVAIMYADQFKAHVRHLSLARRLVEATLVKRSENAAEMIREFFAEVIIEDDIDENVQDSKEKANSKPHEKMKGKMTDQPAKLQSQPPLSGRCLRLANMAHESVKENQLHQELQERRYETPEIPHQSNESDIPQSNEDRFSQLYECIMNCASLQLEDESKPAKHPDHVLSESVEFHKIMTNEDATPDHTQEKTALLLLPNNVARPSVTYYQTMTTLEFQVFLPEDDHDYKALLLGAQLFFRAISKSSDLILQFIMTLRFPYSSMSHNIRGRTVYISVKKLLALIDPLAFREYRFLKPNHDLFDKVDKQLQETQNRLVRFLEDMNYVKRNFEGQEKRETSEDEEVNVEGIERPDCHKIWDL", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKYIPPLNFSPVVSTDVSLYRSGYPMPLNYSFIKHQLHLKTIIYIGDKDRPLEEYQSFLESEKIKYYHIFMDSSRDEGIQERMNQVLHLVLDVRNYPILVHSNKGKHRVGVVVGIIRKLLQGWSTAGICQEYGLFSGGMKDGVDLEFITMFETNLKIPRNVIPGFAKHCLYLNELEAAEGSDDESGSESILTAKQPI", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "METKENRWVPVTVLPGCVGCRTVAALASWTVRDVKERIFAETGFPVSEQRLWRGGRELSDWIKIGDLTSKNCHLFVNLQSKGLKGGGRFGQTTPPLVDFLKDILRRYPEGGQILKELIQNAEDAGATEVKFLYDETQYGTETLWSKDMAPYQGPALYVYNNAVFTPEDWHGIQEIARSRKKDDPLKVGRFGIGFNSVYHITDVPCIFSGDQIGMLDPHQTLFGPHESGQCWNLKDDSKEISELSDQFAPFVGIFGSTKETFINGNFPGTFFRFPLRLQPSQLSSNLYNKQKVLELFESFRADADTVLLFLKSVQDVSLYVREADGTEKLVFRVTSSESKALKHERPNSIKILGTAISNYCKKTPSNNITCVTYHVNIVLEEESTKDAQKTSWLVCNSVGGRGISSKLDSLADELKFVPIIGIAMPLSSRDDEAKGATSDFSGKAFCFLPLPPGEESSTGLPVHISGFFGLTDNRRSIKWRELDQWRDPAALWNEFLVMNVVPKAYATLILDSIKRLEMEKSSDFPLSVDVIYKLWPEASKVKVHWQPVLEPLFSELLQNAVIYSISCDWVRLEQVYFSELDENLEYTKTVLNYLQSSGKQIAKVPGNVDAAVQLTAASGTTPVRKVTPAWVRQVLRKCAHLGCAEEKLHLLEFVLSDQAYSELLGLELLPLQNGNFVPFSSSVSDQDVIYITSAEYPRSLFPSLEGRFILDNLKPHLVAALKEAAQTRGRPCTQLQLLNPERFARLIKEVMNTFWPGRELIVQWYPFDENRNHPSVSWLKMVWKNLYIHFSEDLTLFDEMPLIPRTILEEGQTCVELIRLRIPSLVILDDESEAQLPEFLADIVQKLGGFVLKKLDASIQHPLIKKYIHSPLPSAVLQIMEKMPLQKLCNQITSLLPTHKDALRKFLASLTDSSEKEKRIIQELAIFKRINHSSDQGISSYTKLKGCKVLHHTAKLPADLRLSISVIDSSDEATIRLANMLKIEQLKTTSCLKLVLKDIENAFYSHEEVTQLMLWVLENLSSLKNENPNVLEWLTPLKFIQISQEQMVSAGELFDPDIEVLKDLFCNEEGTYFPPSVFTSPDILHSLRQIGLKNEASLKEKDVVQVAKKIEALQVGACPDQDVLLKKAKTLLLVLNKNHTLLQSSEGKMTLKKIKWVPACKERPPNYPGSLVWKGDLCNLCAPPDMCDVGHAILIGSSLPLVESIHVNLEKALGIFTKPSLSAVLKHFKIVVDWYSSKTFSDEDYYQFQHILLEIYGFMHDHLNEGKDSFRALKFPWVWTGKKFCPLAQAVIKPIHDLDLQPYLHNVPKTMAKFHQLFKVCGSIEELTSDHISMVIQKIYLKSDQDLSEQESKQNLHLMLNIIRWLYSNQIPASPNTPVPIHHSKNPSKLIMKPIHECCYCDIKVDDLNDLLEDSVEPIILVHEDIPMKTAEWLKVPCLSTRLINPENMGFEQSGQREPLTVRIKNILEEYPSVSDIFKELLQNADDANATECSFLIDMRRNMDIRENLLDPGMAACHGPALWSFNNSQFSDSDFVNITRLGESLKRGEVDKVGKFGLGFNSVYHITDIPIIMSREFMIMFDPNINHISKHIKDKSNPGIKINWSKQQKRLRKFPNQFKPFIDVFGCQLPLTVEAPYSYNGTLFRLSFRTQQEAKVSEVSSTCYNTADIYSLVDEFSLCGHRLIIFTQSVKSMYLKYLKIEETNPSLAQDTVIIKKKSCSSKALNTPVLSVLKEAAKLMKTCSSSNKKLPSDEPKSSCILQITVEEFHHVFRRIADLQSPLFRGPDDDPAALFEMAKSGQSKKPSDELSQKTVECTTWLLCTCMDTGEALKFSLSESGRRLGLVPCGAVGVQLSEIQDQKWTVKPHIGEVFCYLPLRIKTGLPVHINGCFAVTSNRKEIWKTDTKGRWNTTFMRHVIVKAYLQVLSVLRDLATSGELMDYTYYAVWPDPDLVHDDFSVICQGFYEDIAHGKGKELTKVFSDGSTWVSMKNVRFLDDSILKRRDVGSAAFKIFLKYLKKTGSKNLCAVELPSSVKLGFEEAGCKQILLENTFSEKQFFSEVFFPNIQEIEAELRDPLMIFVLNEKVDEFSGVLRVTPCIPCSLEGHPLVLPSRLIHPEGRVAKLFDIKDGRFPYGSTQDYLNPIILIKLVQLGMAKDDILWDDMLERAVSVAEINKSDHVAACLRSSILLSLIDEKLKIRDPRAKDFAAKYQTIRFLPFLTKPAGFSLDWKGNSFKPETMFAATDLYTAEHQDIVCLLQPILNENSHSFRGCGSVSLAVKEFLGLLKKPTVDLVINQLKEVAKSVDDGITLYQENITNACYKYLHEALMQNEITKMSIIDKLKPFSFILVENAYVDSEKVSFHLNFEAAPYLYQLPNKYKNNFRELFETVGVRQSCTVEDFALVLESIDQERGTKQITEENFQLCRRIISEGIWSLIREKKQEFCEKNYGKILLPDTNLMLLPAKSLCYNDCPWIKVKDTTVKYCHADIPREVAVKLGAVPKRHKALERYASNVCFTTLGTEFGQKEKLTSRIKSILNAYPSEKEMLKELLQNADDAKATEICFVFDPRQHPVDRIFDDKWAPLQGPALCVYNNQPFTEDDVRGIQNLGKGTKEGNPYKTGQYGIGFNSVYHITDCPSFISGNDILCIFDPHARYAPGATSISPGRMFRDLDADFRTQFSDVLDLYLGTHFKLDNCTMFRFPLRNAEMAKVSEISSVPASDRMVQNLLDKLRSDGAELLMFLNHMEKISICEIDKSTGALNVLYSVKGKITDGDRLKRKQFHASVIDSVTKKRQLKDIPVQQITYTMDTEDSEGNLTTWLICNRSGFSSMEKVSKSVISAHKNQDITLFPRGGVAACITHNYKKPHRAFCFLPLSLETGLPFHVNGHFALDSARRNLWRDDNGVGVRSDWNNSLMTALIAPAYVELLIQLKKRYFPGSDPTLSVLQNTPIHVVKDTLKKFLSFFPVNRLDLQPDLYCLVKALYNCIHEDMKRLLPVVRAPNIDGSDLHSAVIITWINMSTSNKTRPFFDNLLQDELQHLKNADYNITTRKTVAENVYRLKHLLLEIGFNLVYNCDETANLYHCLIDADIPVSYVTPADIRSFLMTFSSPDTNCHIGKLPCRLQQTNLKLFHSLKLLVDYCFKDAEENEIEVEGLPLLITLDSVLQTFDAKRPKFLTTYHELIPSRKDLFMNTLYLKYSNILLNCKVAKVFDISSFADLLSSVLPREYKTKSCTKWKDNFASESWLKNAWHFISESVSVKEDQEETKPTFDIVVDTLKDWALLPGTKFTVSANQLVVPEGDVLLPLSLMHIAVFPNAQSDKVFHALMKAGCIQLALNKICSKDSAFVPLLSCHTANIESPTSILKALHYMVQTSTFRAEKLVENDFEALLMYFNCNLNHLMSQDDIKILKSLPCYKSISGRYVSIGKFGTCYVLTKSIPSAEVEKWTQSSSSAFLEEKIHLKELYEVIGCVPVDDLEVYLKHLLPKIENLSYDAKLEHLIYLKNRLSSAEELSEIKEQLFEKLESLLIIHDANSRLKQAKHFYDRTVRVFEVMLPEKLFIPNDFFKKLEQLIKPKNHVTFMTSWVEFLRNIGLKYILSQQQLLQFAKEISVRANTENWSKETLQNTVDILLHHIFQERMDLLSGNFLKELSLIPFLCPERAPAEFIRFHPQYQEVNGTLPLIKFNGAQVNPKFKQCDVLQLLWTSCPILPEKATPLSIKEQEGSDLGPQEQLEQVLNMLNVNLDPPLDKVINNCRNICNITTLDEEMVKTRAKVLRSIYEFLSAEKREFRFQLRGVAFVMVEDGWKLLKPEEVVINLEYESDFKPYLYKLPLELGTFHQLFKHLGTEDIISTKQYVEVLSRIFKNSEGKQLDPNEMRTVKRVVSGLFRSLQNDSVKVRSDLENVRDLALYLPSQDGRLVKSSILVFDDAPHYKSRIQGNIGVQMLVDLSQCYLGKDHGFHTKLIMLFPQKLRPRLLSSILEEQLDEETPKVCQFGALCSLQGRLQLLLSSEQFITGLIRIMKHENDNAFLANEEKAIRLCKALREGLKVSCFEKLQTTLRVKGFNPIPHSRSETFAFLKRFGNAVILLYIQHSDSKDINFLLALAMTLKSATDNLISDTSYLIAMLGCNDIYRIGEKLDSLGVKYDSSEPSKLELPMPGTPIPAEIHYTLLMDPMNVFYPGEYVGYLVDAEGGDIYGSYQPTYTYAIIVQEVEREDADNSSFLGKIYQIDIGYSEYKIVSSLDLYKFSRPEESSQSRDSAPSTPTSPTEFLTPGLRSIPPLFSGRESHKTSSKHQSPKKLKVNSLPEILKEVTSVVEQAWKLPESERKKIIRRLYLKWHPDKNPENHDIANEVFKHLQNEINRLEKQAFLDQNADRASRRTFSTSASRFQSDKYSFQRFYTSWNQEATSHKSERQQQNKEKCPPSAGQTYSQRFFVPPTFKSVGNPVEARRWLRQARANFSAARNDLHKNANEWVCFKCYLSTKLALIAADYAVRGKSDKDVKPTALAQKIEEYSQQLEGLTNDVHTLEAYGVDSLKTRYPDLLPFPQIPNDRFTSEVAMRVMECTACIIIKLENFMQQKV", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAAERSRSPVDSPVPASMFAPEPSSPGAARAAAAAARLHGGFDSDCSEDGEALNGEPELDLTSKLVLVSPTSEQYDSLLRQMWERMDEGCGETIYVIGQGSDGTEYGLSEADMEASYATVKSMAEQIEADVILLRERQESGGRVRDYLVRKRVGDNDFLEVRVAVVGNVDAGKSTLLGVLTHGELDNGRGFARQKLFRHKHEIESGRTSSVGNDILGFDSEGNVVNKPDSHGGSLEWTKICEKSSKVITFIDLAGHEKYLKTTVFGMTGHLPDFCMLMVGSNAGIVGMTKEHLGLALALNVPVFVVVTKIDMCPANILQETLKLLQRLLKSPGCRKIPVLVQSKDDVIVTASNFSSERMCPIFQISNVTGENLDLLKMFLNLLSPRTSYREEEPAEFQIDDTYSVPGVGTVVSGTTLRGLIKLNDTLLLGPDPLGNFLSIAVKSIHRKRMPVKEVRGGQTASFALKKIKRSSIRKGMVMVSPRLNPQASWEFEAEILVLHHPTTISPRYQAMVHCGSIRQTATILSMDKDCLRTGDKATVHFRFIKTPEYLHIDQRLVFREGRTKAVGTITKLLQTTNNSPMNSKPQQIKMQSTKKGPLSKREEGGPSGVPAAGPPSTGDEASSLGTTQAATSSGLQPQPKPSSGGRRRGGQRHKVKSQGACVTPASGC", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAPSPTKTTWKLQEIVAHGCSVSSVVLGRSSGRLVATGGDDCRVHLWSVNKPNCIMSLTGHTTPVESVRFNNSEELIVAGSQSGSLRIWDLEAAKILRTLMGHKANVSSLDFHPYGEFVASGSLDTNIKLWDVRRKGCVFRYKGHTQAVRCLRFSPDGKWLASASDDHSVKLWDLTAGKMMAELSEHKGPVNIIEFHPNEYLLASGSADRTVRFWDLEKFQLVGCTEGETIPVRAILFSNDGGCIFCGGKDSLRVYGWEPDQCFDTVPVGWGKVSDLAICNNQLIGVSSAQSNISSFVVDLTRVKMTGCAPQGPVPAEIPISQPAPTGTSLRRIYERPSTTCSKPNRVSPTSDDEEKESRAEIQNPEDYKEIFQPKNAISRTPPRNSEPFPAPPEDDISIVKEAVAPTPDVVTPATSNKKNTEQLQRPPVAASTPIVCQEPSPVPAPQSKPPVISAARNEPIGLKAADFLPAVKSSSPTEVVDDEAVSQIRKGHDTMCMVLTSRMRNLDTVRAVWSSGDIKTSIDSAVAINDLSVVVDLLNIINQKASLWKLDLCMTVLPQIEKMLQSKYESYVQTGCISLKLILQRFLPLITDILAAPPSVGVDISREERLSKCKLCYKQLRILSPLVKSKASQSGRYGSAFRELHLLMSGLE", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEDLLVEVRLDNGAYYKGQVTAVADDGIFVDVDGVPESMKYPFVNVRLPPEETVEVAAPIFEEGMEVEVFTRTNDRETCGWWVGIIKMRKAEIYAVAYIGFETSYTEICELGRLRAKNSNPPITAKTFYQFTLPVPEELREEAQKDGIHKEFQRTIDAGVCNYSRDLDALIVISKFEHTQKRASMLKDMHFRNLSQKVMLLKRTEEAARQLETTKLMSRGNYVEEFRVRDDLMGLAIGSHGSNIQAARTVDGVTNIELEEKSCTFKISGETEESVQRARAMLEYAEEFFQVPRELVGKVIGKNGRIIQEIVDKSGVFRIKVSAIAGDDEQDQNIPRELAHVPFVFIGTVESIANAKVLLEYHLSHLKEVEQLRQEKMEIDQQLRAIQESSMGSTQSFPVTRRSERGYSSDIESVRSMRGGGGGQRGRVRGRGGGGPGGGNGLNQRYHNNRRDEDDYNSRGDHQRDQQRGYNDRGGGDNTGSYRGGGGGAGGPGNNRRGGINRRPPRNDQQNGRDYQHHNHTTEEVRETREMSSVERADSNSSYEGSSRRRRRQKNNNGPSNTNGAVANNNNKPQSAQQPQQQQPPAPGNKAALNAGDASKQNSGNANAAGGASKPKDASRNGDKQQAGTQQQQPSQVQQQQAAQQQQPKPRRNKNRSNNHTDQPSGQQQLAENVKKEGLVNGTS", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDTLVEDDICILNHEKAHRREAVTPLSAYPGDESVASHFALVTAYEDIKKRLKDSEKENSFLKKRIRALEERLVGARADEETSSVGREQVNKAYHAYREVCIDRDNLKNQLEKINKDNSESLKMLNEQLQSKEVELLQLRTEVETQQVMRNLNPPSSSWEVEKLSCDLKIHGLEQELGLLRKECSDLRTELQKARQTGPPQEDILQGRDVIRPSLSREEHVPHQGLHHSDNMQHAYWELKREMSNLHLVTQVQAELLRKLKTSAAVKKACTPVGCVEDLGRDSTKLHLTNFTATYKRHPSLSPNGKAPCYAPSSPLPGDRKVFSDKAVLQSWTDNERLVPNDGADFPEHSSYGRNSLEDNSWVFPSPPKSSETAFGENKSKILPLSNLPPLHYLDQQNQNCLYKS", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAKDIYKTFKRSVSGIVGGNNINGEGSSSPSTSAPQVKYRGGTGRTWIHPPDYLINGHVEYVARFLGCVETPKANGSDVAREAIHAIRFQRDLKRSEQTRETAKLQKVEIRISIDNVIIADIKTKAPMYTFPLGRISFCADDKDDKRMFSFIARAEGASGKPSCYAFTSEKLAEDITLTIGEAFDLAYKRFLDKNRTSLENQKQIYILKKKIVELETENQVLIERLAEALRANSKADYENTGPPIYPGLGPPALPLSPMPQGPPPNIPPSSIYSMPRANDLPPTEMAPTLPQISTSSNGASPSVSPASTSPSGPAPSIPPPRPPALAPPPPVAPRRNPVVSPKNSTAGLLDGLELGSAEPAKKAPSNIFDDSFDPRAGEKKSTAAEYNPFGADFLSGIQNGKEAPPSASAELLASEAIARLPKPESSSVPPKKTAAEYDAMINEVEKKLAAMSSGSFEFGQLQTGDLGGIEGESDYGTPSDRLNPKMMNLKQ", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MMMENGLSMEYGDGYMEQEEEWEREGLLDPAWEKQQKKTFTAWCNSHLRKAGTAIDNIEEDFRNGLKLMLLLEVISGETLPKPDRGKMRFHKIANVNKALDFIASKGVHLVSIGAEEIVDGNLKMTLGMIWTIILRFAIQDISVEEMTAKEGLLLWCQRKTAPYKNVNVQNFHLSFKDGLAFCALIHRHRPDLIDYAKLSKDNPLENLNTAFDVAEKYLDIPRMLDPDDLINTPKPDERAIMTYVSCYYHAFQGAQQVGNNTALPDERAVMTYVSSYYHCFSGAQKAETAANRICKVLKVNQENERLMEEYERLASDLLEWIRRTMPWLNSRQADNSLAGVQKKLEEYRTYRRKHKPPRVEQKAKLETNFNTLQTKLRLSNRPAYLPTEGKTVSDISNSWKGLELAEKAFEEWLLAETMRLERLEHLAQKFKHKADAHEDWTRGKEEMLQSQDFRQCKLNELKALKKKHEAFESDLAAHQDRVEQIAAIAQELNTLEYHDCVSVNARCQRICDQWDRLGALTQRRRTALDEAERILEKIDILHLEFAKRAAPFNNWLDGTREDLVDMFIVHTMEEIQGLIQAHDQFKATLGEADKEFNLIVNLVREVESIVKQHQIPGGLENPYTTLTANDMTRKWSDVRQLVPQRDQTLANELRKQQNNEMLRRQFAEKANIVGPWIERQMDAVTAIGMGLQGSLEDQLHRLKEYEQAVYAYKPNIEELEKIHQAVQESMIFENRYTNYTMETLRVGWEQLLTSINRNINEVENQILTRDSKGISQEQLNEFRSSFNHFDKNRTGRLSPEEFKSCLVSLGYSIGKDRQGDLDFQRILAVVDPNNTGYVHFDAFLDFMTRESTDTDTAEQVIDSFRILAADKPYILPDELRRELPPDQAEYCIQRMPPYKGPNGVPGALDYMSFSTALYGETDL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTPTNQSSGTTNASVEVLSEDGPMPINVMMQEGVKALTKILSNQLQDRQAFQNAPHAMQFVIRNGGKALSNARLEELKDALPKMDSLSLEDELAKIDGQSAYHIDSAEEKETFESKIGQIASRNSADFIIEEDLQNILDDDLKDSELNLDGEEAEIIFDYESQELDTPDGIGEKISQMIESVLPGGFGSEEQGGLRTVTNVEDLDVAEEVTDIDHDTVDAARLHGDGQHSISSRKHSRSKNSKKNGHVRRHDFYDESRDHKSCCPHHHYENLSKLRNYYYHDFEYISRTENRVPDFSVLVNESSPMCLFCEYYMVFGEPPRNMIKWYNRTFGYNRMPNPPRDEQDSRKRNR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDALKSAGRALIRSPSLAKQSWAGGRHRKLPENWTDTRETLLEGMVFSLKYLGMTLVERPKGEELSAAAVKRIVATAKASGKKLQKVTLKVSPRGIILTDSLTSQLIENVSIYRISYCTADKMHDKVFAYIAQSQQNESLECHAFLCTKRKVAQAVTLTVAQAFKVAFEFWQVSKEEKEKREKANQEGGDVPGTRRDSTPSLKTLVATGNLLDLEEVAKAPLSTVSANTNNVDETPRPQVLGNNSVVWELDDGLDEAFSRLAQSRTNPQVLDTGLSAQDIHYAQCLSPTDWDKPDSSGIDQDDDVFTF", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMAETEGSVADDRELITREGGIGTKSPWKTTTSPVETIDAPVMGAHSWPALADAAQQPRPKNPPAPAPAPPSKNIPTSIPIPTPAVTGQAKSKGGGKANPGHKNPSGRHSKPGPRSNQNGPPPPPYLVHAVPYHPPPFPPMVPLPHAAGPDFPYAPYPPYPVPVPPVTESGNEKQVQASPLPPVLPAPQGDPGKPWPHQRGFDPRNMPQGAGPRNFGRPPFMGPAPGFLVGPGPGFPGPVYYLPGPPPGAIRGPYPPRFAPYPVNQGPPILSPEKLDLRDRVLKQVEYYFSDENLENDHYLISLMDEEGWVPTKIIAGFKRVKAMTMDVDFIVYALGFSNSVEVQGDQIRKRDKWSDWIPASKKSTSAETIGDGDKDSPKSITSGDNFGNPSKGSSKPTVSDFSSEGAQSSRTNNYKSGNLKSSADEKRNVEDLSNDFSNTFLLDEELDLEHRSPRKSGLSMSKSIEYEDDDMAVDDQDIQKLVIVTQNSGKSDGAGIGGTEAKNIPKELASTINDGLYYFEQELKKKRSGRRKNNSHLDTKDGKIKSGEGLNTKLGENSAANDGGEEHGIITSRRKQNKGTHKHHTAHARRFFSSNIRNNGNISESPPSSSIGFFFGSTPPDSHGPRLSKLSSSPQCTLSGSSPPVGSLPKSFPPFQHPSHQLLEENGFKQEKYLKYRKRCLNERKKLGSGCSEEMNHLYRFWSYFLRDTFVLSMYDDFQKFALEDAAGNYDYGLECLFRFYSYGLEKHFDEDLYKDFEKLSLDFYHKGNLYGLEKYWAFHHYRGKEEPITKHPELEKLLKEEFRSIDDFRAKETITNQKENKSH", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAETKKGSESYPIKTIVVLVQENRSFDHTLGWFKELNREIDGVMKSDQKFNPGFSSDLNSHNVVFGDQSQYVDPNPGHSIRDIYEQVFGKPWDSGHPDPNPGPATMSGFAQNAERKMKGMSSAVMNGFKPDALPVYKELVQNFAICDRWFASVPGATQPNRLFIHSATSHGTTNNERKLLIEGFPQKTIFESLDEAGFTFGIYYQCFPTTLFYRNLRKLKYLTRFHDYGLQFKKDCKEGNLPNYVVVEQRWYDLLLNPANDDHPSHDVSEGQKLVKEVYEALRSSPQWNEILFIITYDEHGGFYDHVPTPLDGVPNPDGILGPPPYNFEFNRLGVRVPTFFISPWIEPGTVLHGSNGPYLMSQYEHSSIPATVKKIFKLKDFLTKRDSWAGTFESVITRNSPRQDCPETLSNPVKMRGTVAKENAELSDFQEELVIVAAGLKGDYKNEELLYKLCKKTCVSDASKYVTKAFDKFVEESKKARERGGDENDIVFCVDDDDDHNVVKPPPSQSEPSHATPWSN", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSCCGGNCGCGSGCQCGGGCGGCKMFPDVEATATTKTFVLAAPSNKASSGGMEMAVESGENGGCGCNTCKCGTSCSGCSCCSCN", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEEYEEFCEKALGRAQEASLSTGSFLPAQAESVSLIRFHGVAVLSPLLTIEKRKKIQEEKQKALDVQSRKQANRKKALLTRVQEILENVQVRKAPNASDFDQWATETIYSNPEVTDLNVPVRVPNSLPSPTEHCTSVKLEKITGLLPVNNEDQQTPKRVGLPGDSEVSGSLRQCESPESRQAEDGAALRLSSASPQETIISDVLGKEEQDPSCLAEVTPDPYIMSLQNLMKRSKEYVERELSSRSLRNSLKRSVNETHSDRENDAAKASDCVKEKAPPMPIGRHCGSAIPDKPSLNKSNVLLQGASQASSMGTAGLASFSKIDLPAGAAPPAAPDAGSDFTVIPTFVTENKVKSLKGPYAKLPSPEPSMSPTMHRRHSRSASACQILINNPVNACELSPKGKEEAVDRTAPAAAETTNESETVPKSPTDLTGVCSSNVSATKITSESTREMVVGKPSQRQQALGAHLGNNVTVERSAMEGPFIADDRGAQKVDGTCMAVPKLHELQPSSQCVSSQTLEDVCELKSASLLAKNSCNLQMELNKSYDVKHPSPLLTQTQTSRQQMDTPPVFRGNEQFVDNSFEKVKRRLDLDVDSLQKENCPYIITAGVAEQERDRLLERRYPKGFVHINKNKMLETSPKEGQELLKSKMLAFEEMRKRLEEQHAQQLSLLIAEQEREQEQLQKEIEEQEKMLKEKAVTTDVSDLNSALEWRQRTDSALLETMLSQVDSLQTSNNSGFITSALQYSFGSAGEAPFYLWGSLTSGVTRVSGTRPCGRAQAKWSQVFNPEIHAKFNKITAVAKGFLTRKLMQTDKLKQLRQTVKDTMEFIRSFQSEAPLKRGVVSAQDASLQERVLAQLRAALYGIHDIFFVMDAAERMSILHHDREARKEKLLRQMDKMKSPRVALSVATQKSLDRKKFMKVAEMGMPNKKFLLKQNPSETRVLQPNQGQNAPVHRLLSRQGTPKTSVKGVVQNRQKPSQSRVPNRAPVSGAYAGKTQRKRPNVATI", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFYVIGGITVSVVAFFFTIKFLFELAARVVSFLQNEDRERRGDRTIYDYVRGNYLDPRSCKVSWDWKDPYEVGHSMAFRVHLFYKNGQPFPAHRPVGLRVHISHVELAVEIPVTQEVLQEPNSNVVKVAFTVRKAGRYEITVKLGGLNVAYSPYYKIFQPGMVVPSKTKIVCHFSTLVLTCGQPHTLQIVPRDEYDNPTNNSMSLRDEHNYTLSIHELGPQEEESTGVSFEKSVTSNRQTFQVFLRLTLHSRGCFHACISYQNQPINNGEFDIIVLSEDEKNIVERNVSTSGVSIYFEAYLYNATNCSSTPWHLPPMHMTSSQRRPSTAVDEEDEDSPSECHTPEKVKKPKKVYCYVSPKQFSVKEFYLKIIPWRLYTFRVCPGTKFSYLGPDPVHKLLTLVVDDGIQPPVELSCKERNILAATFIRSLHKNIGGSETFQDKVNFFQRELRQVHMKRPHSKVTLKVSRHALLESSLKATRNFSISDWSKNFEVVFQDEEALDWGGPRREWFELICKALFDTTNQLFTRFSDNNQALVHPNPNRPAHLRLKMYEFAGRLVGKCLYESSLGGAYKQLVRARFTRSFLAQIIGLRMHYKYFETDDPEFYKSKVCFILNNDMSEMELVFAEEKYNKSGQLDKVVELMTGGAQTPVTNANKIFYLNLLAQYRLASQVKEEVEHFLKGLNELVPENLLAIFDENELELLMCGTGDISVSDFKAHAVVVGGSWHFREKVMRWFWTVVSSLTQEELARLLQFTTGSSQLPPGGFAALCPSFQIIAAPTHSTLPTAHTCFNQLCLPTYDSYEEVHRMLQLAISEGCEGFGML", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MIDSVKLRRDSAADFFSHYEYLCALQNSVPLPAVRACLREGVLDFNADRLRGVDWAPLLSTLKINKDLPLVSIKSFFQPWLGDTGSDMNKFCRSRVPAIRYKDVTFQLCKALKGCLSISSVLKNLELNGLILRERDLTILAKGLNKSASLVHLSLANCPIGDGGLEIICQGIKSSITLKTVNFTGCNLTWQGADHMAKILKYQTMRRHEETWAESLRYRRPDLDCMAGLRRITLNCNTLIGDLGACAFADSLSEDLWLRALDLQQCGLTNEGAKALLEALETNTTLVVLDIRKNPLIDHSMMKAVIKKVLQNGRSAKSEYQWITSPSVKEPSKTAKQKRRTIILGSGHKGKATIRIGLATKKPVSSGRKHSLGKEYYAPAPLPPGVSGFLPWRTAERAKRHRGFPLIKTRDICNQLQQPGFPVTVTVESPSSSEVEEVDDSSESVHEVPEKTSIEQEALQEKLEECLKQLKEERVIRLKVDKRVSELEHENAQLRNINFSLSEALHAQSLTNMILDDEGVLGSIENSFQKFHAFLDLLKDAGLGQLATMAGIDQSDFQLLGHPQMTSTVSNPPKEEKKALEDEKPEPKQNALGQMQNIQFQKITGDARIPLPLDSFPVPVSTPEGLGTSSNNLGVPATEQRQESFEGFIARMCSPSPDATSGTGSQRKEEELSRNSRSSSEKKTKTESH", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGRITTDLLRRRAEHNEGCLSNLKEVALHQQDIERIELIGDACRELEILYLCNNYISRIEGLQHLKYLKYLNLAVNNITYIEGLEGCEALERLDLTLNFVADVTCVERLRANAFLDQLHLTGNPCTKVAGYRAYVVHALPQLRELDGEEVIKTERLEARQSKDDISVAVNEEALRLQKRSVSSQRWLHEALTAFPPRYNEKGERLYGHTPEERLQMLREKEEEERRKREEQRERERSSQFGAIREELERKPQRLTAEEEIAKHGRLLLRNEPKLPFTLDEEADDGEAVVLTVKVPRFLSTTLIDVQVEVNYIRVFVKEKLIQVPLSQEVAPSGVNVQRSSVNGELRIRIPYAPHVLQEVSEARRRRQRLLGLLSDDKNEDGTG", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPVDDCWLYFPASRGRTFVQTVWVAPTCPNCCWFPGFLPPVPRPPHVPRVLLRGPRGAVLPASRPSKTLPSSSQTPCPTDPCICPPPSTPDSRQEKNTQSELPNKKGQLQKLPTMNGSKDPPGSYDFDLIIIGGGSGGLAAAKEAAKFDKKVLVLDFVTPTPLGTRWGLGGTCVNVGCIPKKLMHQAALLGQALKDSRNYGWKVEDTVKHDWEKMTESVQSHIGSLNWGYRVALREKKVVYENAYGRFIGPHRIVATNNKGKEKIYSAERFLIATGERPRYLGIPGDKEYCISSDDLFSLPYCPGKTLVVGASYVALECAGFLAGIGLDVTVMVRSILLRGFDQDMANKIGEHMEEHGIKFIRQFVPTKIEQIEAGTPGRLRVTAQSTNSEETIEGEFNTVLLAVGRDSCTRTIGLETVGVKINEKTGKIPVTDEEQTNVPYIYAIGDILEGKLELTPVAIQAGRLLAQRLYGGSNVKCDYDNVPTTVFTPLEYGCCGLSEEKAVEKFGEENIEVYHSFFWPLEWTVPSRDNNKCYAKIICNLKDDERVVGFHVLGPNAGEVTQGFAAALKCGLTKQQLDSTIGIHPVCAEIFTTLSVTKRSGGDILQSGCUG", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVVKLYGQVTAACPQRVLLCFLEKGIEFEIIHIDLDTFEQKKPEHLLRQPFGQVPAIEDGDFKLFESRAIARYYATKFADQGTNLLGKSLEHRAIVDQWADVETYYFNVLAQPLVINLIIKPRLGEKCDVVLVEDLKVKLGVVLDIYNNRLSSNRFLAGEEFTMADLTHMPAMGYLMSITDINQMVKARGSFNRWWEEISDRPSWKKLMVLAGH", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSVPVAPKKSCYTQLRDNRNAARNNNESILSLGDTNANQIMLEVSSSHDESKTCDLGDEIGNTNSSEPENRTHFHKEFHQLQGFGKGSQAGSASLKDFRLSSTIQRELNEEHTVERGTDSLQTTRSIQGPSLSSWRNVMSEASLDVLAKRDAEIPRHVPKDKLAKTLDNEELRRHSLERASSSVAAVGSLTPQHPQPLSLDSREARGQIPGGGEGPQKTLPDHAVPAAFPATDSTSEGKSVRHPKPSTSESKQSTPSETQTVGAHVLQVCSEHTSHSAHPEPALNLTLASKEIPSKLEAQLGQGKGEAKLDLKYVPPRRVEQEGKAAQEGYLGCHKEENLSALEGRDPCGEAHPEATDALGHLLNSDLHHLGVGRGNCEEKRGVNPGEQDSLHTTPKQGSASLGGADNQPTGKISPCAGEKLGERTSSSFSPGDSHVAFIPNNLTDSKPLDVIEEERRLGSGNKDSVMVLVFNPSVGENKTEVPEPLDPQSGRSEARESKEVTTSVAENRNLLENADKIESTSARADSVLNIPAPLHPETTVNMTYQPTTPSSSFQDVSVFGMDAGSPLVVPPPTDSARLLNTSPKVPDKNTCPSGIPKPVFTHSKDTPSSQEGMENYQVEKTEERTETKPIIMPKPKHVRPKIITYIRRNPQALGQVDASLVPVGLPYAPPTCTMPLPHEEKAAGGDLKPSANLYEKFKPDLQKPRVFSSGLMVSGIKPPGHPFSQMSEKFLQEVTDHPGKEEFCSPPYAHYEVPPTFYRSAMLLKPQLGLGAMSRLPSAKSRILIASQRSSASAIHPPGPITTATSLYSSDPSADLKKASSSNAAKSNLPKSGLRPPGYSRLPAAKLAAFGFVRSSSVSSVSSTQSGDSAQPEQGRPATRSTFGNEEQPVLKASLPSKDTPKGAGRVAPPASSSVTAPRRSLLPAPKSTSTPAGTKKDAQKDQDTNKPAVSSPKRVAASTTKLHSPGYPKQRTAAARNGFPPKPDPQAREAERQLVLRLKERCEQQTRQLGVAQGELKRAICGFDALAVATQHFFRKNESALVKEKELSIELANIRDEVAFHTAKCEKLQKEKEELERRFEDEVKRLGWQQQAELQELEERLQLQFEAEMARLQEEHGDQLLSIRCQHQEQVEDLTASHDAALLEMENNHTVAITILQDDHDHKVQELMSTHELEKKELEENFEKLRLSLQDQVDTLTFQSQSLRDRARRFEEALRKNTEEQLEIALAPYQHLEEDMKSLKQVLEMKNQQIHEQEKKILELEKLAEKNIILEEKIQVLQQQNEDLKARIDQNTVVTRQLSEENANLQEYVEKETQEKKRLSRTNEELLWKLQTGDPTSPIKLSPTSPVYRGSSSGPSSPARVSTTPR", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MADSEADKPLRKISAAFKKLAIIVNSPNPEVPVTQFSHACSLVSPLFGCLGIAFKFAEMDYVAKVDDLVRASSSISTLVVMMDKDIEADCVRKAGSHTRNLLRVKRGLDMVKVLFEQIIASEGDNSLKDPATKSYAQVFAPHHGWAIRKAVSLGMYALPTRAHLLNMLKEDEAAAKIHMQSYVNSSAPLITYLDNLFLSKQLGIDW", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEESVNQMQPLNEKQIANSQDGYVWQVTDMNRLHRFLCFGSEGGTYYIKEQKLGLENAEALIRLIEDGRGCEVIQEIKSFSQEGRTTKQEPMLFALAICSQCSDISTKQAAFKAVSEVCRIPTHLFTFIQFKKDLKESMKCGMWGRALRKAIADWYNEKGGMALALAVTKYKQRNGWSHKDLLRLSHLKPSSEGLAIVTKYITKGWKEVHELYKEKALSVETEKLLKYLEAVEKVKRTRDELEVIHLIEEHRLVREHLLTNHLKSKEVWKALLQEMPLTALLRNLGKMTANSVLEPGNSEVSLVCEKLCNEKLLKKARIHPFHILIALETYKTGHGLRGKLKWRPDEEILKALDAAFYKTFKTVEPTGKRFLLAVDVSASMNQRVLGSILNASTVAAAMCMVVTRTEKDSYVVAFSDEMVPCPVTTDMTLQQVLMAMSQIPAGGTDCSLPMIWAQKTNTPADVFIVFTDNETFAGGVHPAIALREYRKKMDIPAKLIVCGMTSNGFTIADPDDRGMLDMCGFDTGALDVIRNFTLDMI", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTEVSKAAAFDRTQFEELMKKRFFFSPSFQIYGGISGLYDYGPPGSALQSNLVDIWRKHFVIEESMLEVDCSMLTPHEVLKTSGHVDKFADWMCKDPATGEIFRADHLVEEVLEARLKGDKEARGQNSNDQPEESDDKKKRKKKVKEIRATRLDDKTVEEYEFILAQIDNYDGDQLGELMKKYDIRNPATNGELETPRQFNLMFETQIGPSGGLKGYLRPETAQGQFLNFSRLLEFNNGKVPFASAMVGKAFRNEISPRSGLLRVREFLMAEVEHFVDPKNKEHDRFDEVSHMPLRLLPRGVQLEGKTDILEMPIGDAVKKGIVDNTTLGYFMARISLFLEKIGIDMNRVRFRQHMSNEMAHYACDCWDAEIQCSYGWIECVGCADRSAYDLSVHSKATKTPLVVQEALPEPVVVEQFEVEVNRKKFGPRFKRDAKAVEEAMISWPESEKVEKSAQLVAEGKIIVNVNGVEHTVESDLVTIEKRKHTEHIRTYTPNVIEPSFGLGRILYVLMEHAYWTRPEDVNRGVLSFPASIAPIKALIVPLSRNAEFAPFVKKLSAKLRNLGISNKIDDSNANIGRRYARNDELGTPFGLTVDFETLQNETITLRERDSTKQVRGSQDEVIAALVSMVEGKSSFEDALAKFGEFKSTQE", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEVKARAPGKIILAGEHAVVHGSTAVAAAIDLYTYVTLRFPLPSAENNDRLTLQLKDISLEFSWSLARIKEAIPYDSSTLCRSTPASCSEETLKSIAVLVEEQNLPKEKMWLSSGISTFLWLYTRIIGFNPATVVINSELPYGSGLGSSAALCVALTAALLASSISEKTRGNGWSSLDETNLELLNKWAFEGEKIIHGKPSGIDNTVSAYGNMIKFCSGEITRLQSNMPLRMLITNTRVGRNTKALVSGVSQRAVRHPDAMKSVFNAVDSISKELAAIIQSKDETSVTEKEERIKELMEMNQGLLLSMGVSHSSIEAVILTTVKHKLVSKLTGAGGGGCVLTLLPTGTVVDKVVEELESSGFQCFTALIGGNGAQICY", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEENLISMREDHSFHVRYRMEASCLELALEGERLCKSGDCRAGVSFFEAAVQVGTEDLKTLSAIYSQLGNAYFYLHDYAKALEYHHHDLTLARTIGDQLGEAKASGNLGNTLKVLGNFDEAIVCCQRHLDISRELNDKVGEARALYNLGNVYHAKGKSFGCPGPQDVGEFPEEVRDALQAAVDFYEENLSLVTALGDRAAQGRAFGNLGNTHYLLGNFRDAVIAHEQRLLIAKEFGDKAAERRAYSNLGNAYIFLGEFETASEYYKKTLLLARQLKDRAVEAQSCYSLGNTYTLLQDYEKAIDYHLKHLAIAQELNDRIGEGRACWSLGNAYTALGNHDQAMHFAEKHLEISREVGDKSGELTARLNLSDLQMVLGLSYSTNNSIMSENTEIDSSLNGVRPKLGRRHSMENMELMKLTPEKVQNWNSEILAKQKPLIAKPSAKLLFVNRLKGKKYKTNSSTKVLQDASNSIDHRIPNSQRKISADTIGDEGFFDLLSRFQSNRMDDQRCCLQEKNCHTASTTTSSTPPKMMLKTSSVPVVSPNTDEFLDLLASSQSRRLDDQRASFSNLPGLRLTQNSQSVLSHLMTNDNKEADEDFFDILVKCQGSRLDDQRCAPPPATTKGPTVPDEDFFSLILRSQGKRMDEQRVLLQRDQNRDTDFGLKDFLQNNALLEFKNSGKKSADH", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSVAIKFTVKINDGSLRRQQTRHVFLSPAALNRLKLSPSQVIYLKHKGGEAVGITQSVKGNGIGPFEILISPLLAKWANLKAFQRVNISQYVHPLKEAEGIKIVASLSSNNEPIPESLIRKELLEIRYLHPGMIVMGESPMNMAKSGSKNLSSENMATEIFEINSGLSAQSGTEVGSSQSSPSVNESEPKATEDLDELSPGSYKVKEIHIRSPSNLIEAISDMSLDEPRIYKFTAASSMEIETPDLLKLPHEDRTQSAYNQGSEETQNFDGPPSAVTFSSIGGLQAQIAQIRDIVELPFQNPELFKFFNIMPPRGVLLYGPPGTGKTMVMRAVAAEANAQVFTIDGPSVVGKYLGETESRLRKIFEDARAHQPSIIFIDEIDALAPKRTEDVSEAESRAVATLLTLLDGMANAGKVVVIAATNRPNSIDEALRRPGRLEKEIEIGIPDKSARLDIIKLLLSGVPNEINDAQLEDLASRTHAYVGADLAAVVREAALRAIKRTISLQKDTSGLDIFGAVQMDDLEFALSSVRQSAMREFMMESPNVHWSDIGGQEEVKQKLKESVEWPLTHGETFSRLGVRPPKGVLLYGPPGCSKTITAKAIATETGLNFIAVKGPELFDKFVGESERAVRQVFQKARQASPSVIFFDEIDALTANRGEDNSSDRVVAALLNELDGIEALRNVLVLAATNRPDMIDPALMRPGRLDRLLYVGPPNFEARKQIVKIQAEKMKFAEDVDLDLIAEKTEGCSGAEVVALCQEAGLIAMHEDLEAKEICQAHFKTALLALRKAITRDMLEYYASFSESVTSIS", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAEDLDELLDEVESKFCRPDPLRLGTVERPRGGGGGFFGHDQNRAEAKENLRSAETFEKEDDLDSLINEIFEEPHFDKKHFKLKSKSSGNTSVRASIQGLGKSCSPVYIGGSTVPCGIGTNASPRACDHLRCTACDFWVESYDDYRWDKSCDYLFFRNNMPEFHKLKTKLVKKKGTRAYACQCSWKAVEELTDLQTDHQLRWVCGKH", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGHSKQIRILLLNEMEKLEKTLFRLEQGYELQFRLGPTLQGKAVTVYTNYPFPGETFNREKFRSLDWENPTEREDDSDKYCKLNLQQSGSFQYYFLQGNEKSGGGYIVVDPILRVGADNHVLPLDCVTLQTFLAKCLGPFDEWESRLRVAKESGYNMIHFTPLQTLGLSRSCYSLANQLELNPDFSRPNRKYTWNDVGQLVEKLKKEWNVICITDVVYNHTAANSKWIQEHPECAYNLVNSPHLKPAWVLDRALWRFSCDVAEGKYKEKGIPALIENDHHMNSIRKIIWEDIFPKLKLWEFFQVDVNKAVEQFRRLLTQENRRVTKSDPNQHLTIIQDPEYRRFGCTVDMNIALTTFIPHDKGPAAIEECCNWFHKRMEELNSEKHRLINYHQEQAVNCLLGNVFYERLAGHGPKLGPVTRKHPLVTRYFTFPFEEIDFSMEESMIHLPNKACFLMAHNGWVMGDDPLRNFAEPGSEVYLRRELICWGDSVKLRYGNKPEDCPYLWAHMKKYTEITATYFQGVRLDNCHSTPLHVAEYMLDAARNLQPNLYVVAELFTGSEDLDNVFVTRLGISSLIREAMSAYNSHEEGRLVYRYGGEPVGSFVQPCLRPLMPAIAHALFMDITHDNECPIVHRSAYDALPSTTIVSMACCASGSTRGYDELVPHQISVVSEERFYTKWNPEALPSNTGEVNFQSGIIAARCAISKLHQELGAKGFIQVYVDQVDEDIVAVTRHSPSIHQSVVAVSRTAFRNPKTSFYSKEVPQMCIPGKIEEVVLEARTIERNTKPYRKDENSINGTPDITVEIREHIQLNESKIVKQAGVATKGPNEYIQEIEFENLSPGSVIIFRVSLDPHAQVAVGILRNHLTQFSPHFKSGSLAVDNADPILKIPFASLASRLTLAELNQILYRCESEEKEDGGGCYDIPNWSALKYAGLQGLMSVLAEIRPKNDLGHPFCNNLRSGDWMIDYVSNRLISRSGTIAEVGKWLQAMFFYLKQIPRYLIPCYFDAILIGAYTTLLDTAWKQMSSFVQNGSTFVKHLSLGSVQLCGVGKFPSLPILSPALMDVPYRLNEITKEKEQCCVSLAAGLPHFSSGIFRCWGRDTFIALRGILLITGRYVEARNIILAFAGTLRHGLIPNLLGEGIYARYNCRDAVWWWLQCIQDYCKMVPNGLDILKCPVSRMYPTDDSAPLPAGTLDQPLFEVIQEAMQKHMQGIQFRERNAGPQIDRNMKDEGFNITAGVDEETGFVYGGNRFNCGTWMDKMGESDRARNRGIPATPRDGSAVEIVGLSKSAVRWLLELSKKNIFPYHEVTVKRHGKAIKVSYDEWNRKIQDNFEKLFHVSEDPSDLNEKHPNLVHKRGIYKDSYGASSPWCDYQLRPNFTIAMVVAPELFTTEKAWKALEIAEKKLLGPLGMKTLDPDDMVYCGIYDNALDNDNYNLAKGFNYHQGPEWLWPIGYFLRAKLYFSRLMGPETTAKTIVLVKNVLSRHYVHLERSPWKGLPELTNENAQYCPFSCETQAWSIATILETLYDL", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSEYLVTGGTGFIASYIIKSLLELGHTVRTTVRNPRDEEKVGFLWEFQGAKQRLKILQADLTVEGSFDEAVNGVDGVFHTASPVLVPQDHNIQETLVDPIIKGTTNVMSSCAKSKATLKRIVLTSSCSSIRYRFDATEASPLNESHWSDPEYCKRFNLWYGYAKTLGEREAWRIAEEKGLDLVVVNPSFVVGPLLGPKPTSTLLMILAIAKGLAGEYPNFTVGFVHIDDVVAAHVLAMEEPKASGRIICSSSVAHWSEIIELMRNKYPNYPFENKCSNKEGDNSPHSMDTRKIHELGFGSFKSLPEMFDDCIISFQKKGLL", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MESESSQDDWSAFDPMSREYYDQVGNRYTNESGQKLIMEAPLPPKDEKEQRATRQAKYPYLVVPKSSPYVTEKMLINFFGRALIKEMEFRRLSRVYFVYFENIASLETAQQRVQRYPNLIKCITGRPQKEREITSDPVTSTEPMPTPGPAISATERTPVNHNREVPISTGGQNHPEFFRPPLVTKDDYKRGSLLATNDPIQRYLNVKYEFALERHDIYKLKDETRIPQVVLHFRSGRTIPLSTVAVTEEDKTKSLLEDGVSKCVVCQNWTDTFCKLCKMPFCNASCFADVAEQHKQACGKGEILNLDEKVGRKYPKPGLPPSGSKVRITAFEQTNVVYVRSADIQIDIAYYTVLTEVMMLGKDASKLQSTPVCGQIVLYKFEGHMSRAMVLNVDNIKEIYVVFIDFGSVEVTQLERLYECSSYLAGLTCYPVAVKLRGVPRRFVGPNIREVMYELDQSLVFNIKYSSREYDTSKGMQVVVMTEIDINRSLNRLFKTILTPVEPSVSDLGYKEDCLPYIPLHCGKNINVVVMDNTFIQCGFIYCTSIDLAYEVTKMQRDIQEYGEKIAKCATYAPPINELCIAKYEGKWRRGLSVELVGDGYPSILFIDYGNIVPTHVTDIRPYPPQFIFPIMTTQLDLIGVPEKPTDEQIKWLDKNYPIGSVITCSEITFDEETNSYSTRIEKLQEFLSLD", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDFTSLETTTFEEVVIALGSNVGNRMNNFKEALRLMKDYGISVTRHSCLYETEPVHVTDQPRFLNAAIRGVTKLKPHELLNVLKKIEKEMGREENGLRYGPRPLDLDILFYGKHKIISDKLIIPHERIWERPFVLAPLVDLLGTEDIDNDKIVAYWHSLSMHSGGIFQAWERLGGESLLGKDGIIQRVIPIGDHLWDFSKKTYVMGILNLTPDSFSDGGKFQSVDTAVSRVRSMISEGVDIIDIGAQSTRPMASRISSQEEIDRLIPVLKVVRGMAEMKGKLISVDTFNSEVALEAIRNGADILNDVSGGSLDENMHKVVADSDVPYMIMHMRGDPCTMQNKENLEYNEICKDVATELYERVREAELSGIPAWRIMIDPGIGFSKGIDHNLDIVMELPKIREEMAKKSIGLSHAPILIGPSRKRFLGDICGRPEASERDAATVACVTAGILKGANIIRVHNVRDNVDAARLCDAMMTKRFKNVD", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGKKDKNVSVEEEVDEAEIEKLAAENANKPAPQLTKEDLDAMDDAEPGTSRLALIGKMIKKVSIGTDISNISMPGSFILAKSTLSYFSDNFSSFFGELLKANKIDNELERMLQVQRYLFTTLKETEDTTRKPLNPILGETWQANIHVKDGDGNDVTDNYFFAEQISHHPPISSSTVYNKKEGVNCTFCLPVRSQFMGTYVKISFEGESHITFEKYDEKFTFVAPPMAIRIFRSFSEYVGKGILKSDKNDYLIKSTYTSKPLFGGVYNGFESKVYKGKEKLYKIKGTWSGDMKITNLKTNETTPFFTRPTESAKVVFPDDGNTLPTDSSVVWKGVFDASKKDDVKGMSQEKVKVEEEQRKLAHHRKNADEWKPVHFNKIDGRWQLVNLKD", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTKEWECRREQIIELSKINGMTIRELQARMSKMYKFDASIRSYKRVLARWGIRVHRQRFVSPRTEEAAARTASGDVSKALDELVTQLFHARQSDKDSLAQIEANFGLKLSKRALHYRRKRLALKRPPPDSHDSPNNSIPLMANSCLLSADNSSSSTTSNPNVAPPISTLPDPVATISSSSSSHLDMGAIHPPHHSSLPPHMGVDPSTMADAHNAHSSLTPPQSGYSSMPSLPYLQQPFQIPSQRFSRQQQSHPFPAAQHAVNGQPQALYPFIYQSRNVPMGSTMFASSNQSAAHPDGNNALPMDNTHANISYMQSSQSMPVNSYSYDRYTPNQPSYLESKPGNHQPSYTSEQPMYSTASVPQQISNGPTAVNGLPMNSYTPHSNHLHSPSPNSNSGPTDSLSAPNSTSSPSMAHANGASFASQYPSLNKSIFPASYSSSAEDGQNMQAPAHAYMQSSIYGVNQEQKSEYPSNLSMQSSMSIKDPSQLQRIHLYPQHSQYDPNGMTMRDHYSERIEPEAKPSDETLTVRSSRDLSVHNVGTLPVLSAAAATQAAMPHTMGPSAHDSASAPSPHMQSQQALPYQYYNPLPAMADPAQNVPQQLPPPIHSHLSDDQHIQYSYPNTFVNRFPQNIHHPSANLLDASAALNPVQNPLLMPQQNHEHSPLVRSDAALHDHGPLLPVYPDVDSRFV", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSDVSADGGFLSAEQATTPVAIPTPYPSLTVSASYKEKSSGRRRPVRPSFDAAADNEFITLLHGSDPVKVELNRLENEVRDKDRELSEANAEIKALRLSERQREKACEELTDELAKLDGKLKLTESLLQSKNLEIKKINEEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARSEIGKLQEDNRALDRLTKSKEAALLDAERTVETALAKAALVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKFQEMNEERKTLDRELARAKVTANRVATVVANEWKDGNDKVMPVKQWLEERRFLQGEMQQLRDKLAISDRAAKSEAQLKDKFQLRLRVLEETLRGTSSISIRNTPEGRSMSNGPSRRQSIGGSDNLQKFASNGFLSKKTPMRNSFTSNSTSVLKNAKGTSKSFDGGTRSLDRGKALLKGPGNYSFNKACDETKESESPNTWKEDSEEKPPSELPAPATEDNVPGVLYDLLQKEVVALRKSSHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVDKDQDNRAKRSSNTKPSSNTAQILAARAAGRSGLTRSTQ", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MYVVKRDGRQETVHFDKITARLKKLSYGLSSDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTCNHPDYASLAARIAVSNLHKNTKKSFSETIKDMFYHVNDRSGLKSPLIADDVFEIIMQNAARLDSEIIYDRDFEYDYFGFKTLERSYLLKVQGTVVERPQHMLMRVAVGIHKDDIDSVIQTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKDDSIEGIYETLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADVYEFLELRKNHGKEEHRARDLFYALWLPDLFMERVQNNGQWSLFCPNEAPGLADCWGAEFETLYTKYEREGKAKKVVQAQQLWYEILTSQVETGTPYMLFKDSCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREKGVPLDSHPPKLAGSLDSKNRYFDFEKLAEVTATVTVNLNKIIDVNYYPVETAKTSNMRHRPIGIGVQGLADAFILLGMPFDSPEAQQLNKDIFETIYYHALKASTELAARLGPYETYAGSPVSKGILQPDMWNVIPSDRWDWAVLRDMISKNGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTDMGLWTPTLKNKLINENGSIVNVAEIPDDLKAIYRTVWEIKQRTVVDMAADRGCYIDQSQSLNIHMDKPNFAKLTSLHFYTWKKGLKTGMYYLRSRAAADAIKFTVDTAMLKEKPSVAEGDKEVEEEDNETKLAQMVCSLTNPEECLACGS", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAEGAASREAPAPLDVAGGEDDPRAGADAASGDAAPEASGGRMRDRRSGVALPGNAGVPADSEAGLLEAARATPRRTSIIKDPSNQKCGGRKKTVSFSSMPSEKKISSAHDCISFMQAGCELKKVRPNSRIYNRFFTLDTDLQALRWEPSKKDLEKAKLDISAIKEIRLGKNTETFRNNGLADQICEDCAFSILHGENYESLDLVANSADVANIWVSGLRYLVSRSKQPLDFMEGNQNTPRFMWLKTVFEAADVDGNGIMLEDTSVELIKQLNPTLKESKIRLKFKEIQKSKEKLTTRVTEEEFCEAFCELCTRPEVYFLLVQISKNKEYLDANDLMLFLEVEQGVTHVTEDMCLDIIRRYELSEDGRQKGFLAIDGFTQYLLSPECDIFDPEQKKVAQDMTQPLSHYYINASHNTYLIEDQFRGPADINGYVRALKMGCRSIELDVSDGPDNEPILCNRNNMAMLLSFRSVLEVINKFAFVASEYPLILCLGNHCSLPQQRVMVQQMKKVFGNKLYTEAPLSSESYLPSPEKLKHMIIVKGKKLPSESDLLEGEVTDEDEEAEMSRRVSGDYNGEQKHIWLCRELSDLVSICKSVQYRDFELSMKTQNYWEICSFSETLASRIANEYPEDFVNYNKKFLSRVYPSAMRIDSSNLNPQDFWNCGCQIVAMNFQTPGPMMDLHTGWFLQNGGCGYVLRPSIMRDEVSYFSANTKGIVPGVSPLLLHIKIISGQNFPKPKGACAKGDVIDPYVCVEIHGIPADCSEQRTKTVQQNSDNPIFDETFEFQVNLPELTMVRFVILDDDYIGDEFIGQYTIPFECLQPGYRHVPLRSFVGDIMEHVTLFVHIAITNRSGGGKAQKRSLSVRMGKKVREYTMLRNIGLKTIDDIFKIAVHPLREAIDMRENMQNAIVSVKELCGLPPIASLKQCLLTLSSRLITSDSTPSVSLVMKDCFPYLEPLGTIPDVQKRMLAAYDLMIQESRVLIEMADTVQEKIVQCQKAGMEFHEELHNLGAKEGLKGRKLNKAIESFAWNITVLKGQGDLLKNAKNEAVENIKQIQLACLSCGLSKGPGSAAEAKGKRSLEAIEEKESSEENGKL", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDNKRLYNGNLSNIPEVIDPGITIPIYEEDIRNDTRMNTNARSVRVSDKRGRSSSTSPQKIGSYRTRAGRFSDTLTNLLPSISAKLHHSKKSTPVVVVPPTSSTPDSLNSTTYAPRVSSDSFTVATPLSLQSTTTRTRTRNNTVSSQITASSSLTTDVGNATSANIWSANAESNTSSSPLFDYPLATSYFEPLTRFKSTDNYTLPQTAQLNSFLEKNGNPNIWSSAGNSNTDHLNTPIVNRQRSQSQSTTNRVYTDAPYYQQPAQNYQVQVPPRVPKSTSISPVILDDVDPASINWITANQKVPLVNQISALLPTNTISISNVFPLQPTQQHQQNAVNLTSTSLATLCSQYGKVLSARTLRGLNMALVEFSTVESAICALEALQGKELSKVGAPSTVSFARVLPMYEQPLNVNGFNNTPKQPLLQEQLNHGVLNYQLQQSLQQPELQQQPTSFNQPNLTYCNPTQNLSHLQLSSNENEPYPFPLPPPSLSDSKKDILHTISSFKLEYDHLELNHLLQNALKNKGVSDTNYFGPLPEHNSKVPKRKDTFDAPKLRELRKQFDSNSLSTIEMEQLAIVMLDQLPELSSDYLGNTVIQKLFENSSNIIRDIMLRKCNKYLTSMGVHKNGTWVCQKIIKMANTPRQINLVTSGVSDYCTPLFNDQFGNYVIQGILKFGFPWNSFIFESVLSHFWTIVQNRYGSRAVRACLEADSIITQCQLLTITSLIIVLSPYLATDTNGTLLITWLLDTCTLPNKNLILCDKLVNKNLVKLCCHKLGSLTVLKILNLRGGEEEALSKNKIIHAIFDGPISSDSILFQILDEGNYGPTFIYKVLTSRILDNSVRDEAITKIRQLILNSNINLQSRQLLEEVGLSSAGISPKQSSKNHRKQHPQGFHSPGRARGVSVSSVRSSNSRHNSVIQMNNAGPTPALNFNPAPMSEINSYFNNQQVVYSGNQNQNQNGNSNGLDELNSQFDSFRIANGTNLSLPIVNLPNVSNNNNNYNNSGYSSQMNPLSRSVSHNNNNNTNNYNNNDNDNNNNNNNNNNNNNNNNNNNNNSNNSNNNNNNDTSLYRYRSYGY", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSEVAPEEIIENADGSRSIITYKIEDGVKYKITQKVKEVKVLEKVHKSVAERKNWHKYGSEKGSPAGPSAVTARLGEEVELRLSRNWKQAEEERIQKEKASLTKTGLQCRLCGNDHMTMNCPFKTILSELSALEDPATNEGGVEAASEEKAGQVGGAGSIPGQYVPPSRRAGARDPSSDAYRDSRERDDMCTLKIMQVNENADENSLREELLFPFAPIPRVSVVRNKETGKSRGLAFVTFSSEEVAEQALRFLDGRGYMNLILRVEWSKPKVKE", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNPERWAADLVSNVKDYGKRIIDYAHEKTSNPQPVTVHTTNSAKGRLGEFLYQNRVSVGLGSAALFLAGLSYYKRYDYVRKRRAPRAPNHQKTQVVVLSAWNPLASVIAHDLDRRGFIVVVLVRDASEAATVSLQQRPYIQSLIVTHNEAVERFLLSFSNQSGPKEYALRLRGLILVPTGDSLYTPIENIGKDAWISAFQQLSESFSNVSRMIPLLKSQKARIIGLSHGILSAYEPPNYAVPSILSSSIETFLRTLKRETGLQVICIKLGNLSFLNYDHSSSPGKSNYPPSLCTERKVLNKIFDSLLGCWPSPTRHVGCRTFFMVTVSRFLPTCVIDGIFSLFGKFHFFSCSLIKRS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKWATLLKDIKEKVGLAQSSDSDPFPVDLTAPPSSSSSSSSPSFTYPSSSSLHHFNFSPSSRDNHELELDFKRLWEEFRSSSSEKEKEAALNLTVDIFCRLVKRHANVDQLVTMLVETHIFSFVIGRAFVTDIEKLKIGSKTRSLNVEKVLRFFSDVTKEGFSPGANLLTAVEVLVSGPIDKQSLLDSGIFCCLIHVLIALLAYDELSKSKITGDLEVVSAEKDAGYIVLQTRRLEVEGSVVHIMKALASNPSAAQSLIEDDSLESLFNMVANGSITVFSQYKEGLVPLHNIQLHRHAMQILGLLLVNDNGSTARYIRKHHLIKVLLMAVKEFDPSCGDSAYTMGIVDLLLECVELSYRPEAGGVRLREDIRNAHGYHFLVQFALVLSSLPKNPIFVSSNHDSGSDDPEVFHDGENTNSTENADFSSQNFAPSLSRLLDVLVTLAQTGPAEPSVGRASRSSQTKPTGHSRSRTSSVDSIYDETWEQGSGKVKDLEAVQMLQDIFLKAENKDLQAEVLNRMFKIFSSHVENYRLCQELRTVPLLVLNMAGFPSSLQDIILKILEYAVTVVNCVPEQELLSLCCLLQQPITSQLKHTILSFFVKLISFDQQYKKVLREVGVLEVLQDDLKQHKLLIGPDQYSGVSSHSDRKPSSGSFRKNLDTKDAIISSPKLMESGSGKLPVFEVDNTITVGWDCLISLLKKAEANQSSFRAANGVAIILPFLISDAHRSGVLRILSCLITEDTKQVHHDELGAVVDLLKSGMVTGISGHQYKLHDDAKCDTMGALWRIVGVNGSAQRVFGEATGFSLLLTTLHTFQGKREHMDESDLTVYIKLFKYLFRLMTAAVCENAVNRMKLHAVITSQTFFELLAESGLLCVELERQVIQLLLELALEVVVPPFLTSESTALATIPENENTTFVVTTPSGQFNPDKERIYNAGAVRVLIRSLLLFSPKMQLEFLRLLESLARASPFNQENLTSIGCVELLLEIIYPFLAGSSPFLSYALKIVEILGAYRLSPSELRMLFRYVLQMRIMNSGHAIVGMMEKLILMEDTALEHLSLAPFVELDMSKTGHASVQVSLGERSWPPAAGYSFVCWFQFRNFLTTQGKESEASKAGGSSKTRMTSAQQHEQNIFRMFSVGAVSNESPFYAELYFQEDGILTLATSNSHSLSFSGLEIEEGRWHHLAVVHSKPNALAGLFQASVAYVYLDGKLRHTGKLGYSPSPVGKSLQVTVGTPATCARVSDLTWKTRSCYLFEEVLTSGCIGFMYILGRGYKGLFQDADLLRFVPNQACGGGSMAILDSLDTDMTSSSNGQKFDGSNRQGDSKADGSGIVWDLERLGNLAFQLPGKKLIFAFDGTCSEFIRASGNFSLLNLVDPLSAAASPIGGIPRFGRLVGNVSICRQSVIGDTIRPVGGMTVVLALVEAAESRNMLHMALSLLACALHQNPQNVKDMQTIRGYHLLALFLRPKMTLFDMQSLEIFFQIAACEALFSEPKKLESVQSNITMPPTETIFENSYEDLSLSRFRYDSSSVGSHGDMDDFSVPKDSFSHLSELETDIPVETSNCIVLSNADMVEHVLLDWTLWVTSPVSIQIALLGFLENLVSMHWYRNHNLTILRRINLVEHLLVTLQRGDVEVPVLEKLVVLLGCILEDGFLTSELENVVRFVIMTFNPPEVKSRSSLLRESMGKHVIVRNMLLEMLIDLQVTIKAEDLLELWHKIVSSKLITYFLDEAVHPTSMRWIMTLLGVCLASSPNFSLKFRTSGGYQGLLRVLQNFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALVPNDGSYVELKFIELLDSVVAMAKSTYDRLIMQSMLAHQSGNLSQVSASLVAELIEGAEMTGELQGEALMHKTYAARLMGGEASAPAAATSVLRFMVDLAKMCPQFSTACRRAEFVENCADLYFSCVRAAYAVKMAKQLSVKAEEKHINDADDSGSQGSLPHDQDQSTKTSISVGSFPQGQVSLGSEDMSLPANYVVNDKMENILPPPTQDTSKSLQGVEDVKKQDDHHVGPSASSERDFQDFTGNPVQVQATDSQSSASFPMIESPLLSEKSSLKVSFTPSPSPVVALASWLGSNYNESKSSTLGSPSLESYVSVNEVDASSERKSGSQGSSAANAFFTVSPKLLLETDETGYGGGPCSAGASAVLDFMAEALADLVTEQIKAVPVLESILEMVPFYVDPESVLVFQGLCLSRVMNYLERRLLRDDEEDEKKLDKAKWSVNLDAFCWMIVDRVYMGAFSQPAGVLRALEFLLSMLQLANKDGRVEEVTPSGKGLLSLGRATRQLDAYVHSILKNTNRMVLYCFLPSFLITIGEEDLLSQLGLLVESKKRPSPNPATDESGIDISTVLQLLVANRRIIFCPSNLDTDLNCCLCVNLISLLLDQRKSVQNMSLDIVKYLLVHRRSALEDLLVTKPNQGQNFDVLHGGFDKLLTGNLPEFFKWLESSDKIINKVLEQCAAIMWVQYIAGSAKFPGVRIKGMEGRRKREMGRKSRDMSKLDLKHWDQLNERRYALEVLRDAMSTELRVVRQNKYGWILHAESEWQTHLQQLVHERGIFPMRKSKGTEDPEWQLCPIEGPYRMRKKLERCKLKIDSIQNVLDGKLELGEIELPKVKNEDGPVISDTDSEPPFLLSELYDESFLKESDDFKDVASARNGWNDDRASSTNEASLHSALDFGGKSSIASVPITDTTHVKSETGSPRHSSSAKMDETNGREEKSEKELNDDGEYLIRPYLEHLEKIRFRYNCERVVDLDKHDGIFLIGEFCLYVIENFYIDEDGCICEKECEDELSVIDQALGVKKDVSGSSDFHSKSSTSWTTTVKTGAVGGRAWAYGGGAWGKEKMCMTGNLPHPWRMWKLNNVHEILKRDYQLRPVAIEIFSMDGCNDLLVFHKKEREEVFKNLVAMNLPRNSMLDTTISGSAKQESNEGGRLFKLMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYDSESLDFSDPKTFRKLHKPMGCQTPEGEEEFRKRYESWDDPEVPKFHYGSHYSSAGIVLFYLIRLPPFSSENQKLQGGQFDHADRLFNSIKDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFSLDLGEKQSGEKVGDVFLPPWARGSVREFILKHREALESDYVSENLHHWIDLIFGYKQRGKAAEEAVNVFYHYTYEGNVDIDAVTDPAMKASILAQINHFGQTPKQLFPKAHVKRRTDRKIPLHPLKHSMHLVPHEIRKCSSSISQIITFHDKVLVAGANCFLKPRGYTKYITWGFPDRSLRFMSYDQDKLLSTHENLHESNQIQCAGVSHDGRIVVTGAEDGLVCVWRVSKDGPRGSRRLRLEKALCAHTAKVTCLRVSQPYMMIASGSDDCTVIIWDLSSLSFVRQLPDFPVPISAIYINDLTGEIVTAAGTVLAVWSINGDCLAVANTSQLPSDSVLSVTGSTSSDWLETSWYVTGHQSGAVKVWRMIHCTDPVSAESKTSSSNRTGGLNLGDQVPEYKLILHKVLKFHKQPVTALHLTSDLKQLLSGDSAGQLLSWTVPDETLRASMKQASLKQASLKQASLKQASSV", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKSSIPITEVLPRAVGSLTFDENYNLLDTSGVAKVIEKSPIAEIIRKSNAELGRLGYSVYEDAQYIGHAFKKAGHFIVYFTPKNKNREGVVPPVGITN", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSKITSSQVREHVKELLKYSNETKKRNFLETVELQVGLKNYDPQRDKRFSGSLKLPNCPRPNMSICIFGDAFDVDRAKSCGVDAMSVDDLKKLNKNKKLIKKLSKKYNAFIASEVLIKQVPRLLGPQLSKAGKFPTPVSHNDDLYGKVTDVRSTIKFQLKKVLCLAVAVGNVEMEEDVLVNQILMSVNFFVSLLKKNWQNVGSLVVKSSMGPAFRLY", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSGGTPYIGSKISLISKAEIRYEGILYTIDTENSTVALAKVRSFGTEDRPTDRPIPPRDEIFEYIIFRGSDIKDLTVCEPPKPQCSLPQDPAIVQSSLGSSSASSFQSVSSYGPFGRMPAYSQFNTGPLVGPQFGAVGVGSSLTSFGAETTSSTSLPPSSAVGTSFTQEARTLKTQSSQGQSSSPLDSLRKSPNIEQAVQTAAAPHAPSTATVGRRSPVLSRPVPSSIQKTAESPEQRKGELHKMQRPDIDQLKNDKNDPSKRQPVLSALQPRRGRGGNRGGRGRFGVRRDGPMKFEKDFDFESANAQFNKEEIDREFHNKLKIKDDKPEKPVNGEDKTDSVVDTQNSEGNAEEEEVLAGGVCYYDKTKSFFDNISCDDNRDRRQTWAEERRINVETFGLPLRSNRGRGGFRGRGGGMGFRGGRGRGGERRGAPGGGGFGPARGFRGGFRGGRGGREFADYEYRKDNKVAA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSTELTVQSERAFQKQPHIFNNPKVKTSKRTKRWYKNAGLGFKTPKTAIEGSYIDKKCPFTGLVSIRGKILTGTVVSTKMHRTIVIRRAYLHYIPKYNRYEKRHKNVPVHVSPAFRVQVGDIVTVGQCRPISKTVRFNVVKVSAAAGKANKQFAKF", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGRVIRNQRKGAGSIFTSHTRLRQGAAKLRTLDYAERHGYIRGIVKQIVHDSGRGAPLAKVVFRDPYKYRLREEIFIANEGVHTGQFIYAGKKASLNVGNVLPLGSVPEGTIVSNVEEKPGDRGALARASGNYVIIIGHNPDENKTRVRLPSGAKKVISSDARGVIGVIAGGGRVDKPLLKAGRAFHKYRLKRNSWPKTRGVAMNPVDHPHGGGNHQHIGKASTISRGAVSGQKAGLIAARRTGLLRGSQKTQD", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSKITSSQVREHVKELLKYSNETKKRNFLETVELQVGLKNYDPQRDKRFSGSLKLPNCPRPNMSICIFGDAFDVDRAKSCGVDAMSVDDLKKLNKNKKLIKKLSKKYNAFIASEVLIKQVPRLLGPQLSKAGKFPTPVSHNDDLYGKVTDVRSTIKFQLKKVLCLAVAVGNVEMEEDVLVNQILMSVNFFVSLLKKNWQNVGSLVVKSSMGPAFRLY", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSTELTVQSERAFQKQPHIFNNPKVKTSKRTKRWYKNAGLGFKTPKTAIEGSYIDKKCPFTGLVSIRGKILTGTVVSTKMHRTIVIRRAYLHYIPKYNRYEKRHKNVPVHVSPAFRVQVGDIVTVGQCRPISKTVRFNVVKVSAAAGKANKQFAKF", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEKEMEDKEEFDEGEIEYTSYAGEHHLPLIMSLVDQELSEPYSIFTYRYFVYLWPQLCFLAFHKGKCVGTIVCKMGDHRQTFRGYIAMLVVIKPYRGRGIASELVTRAIKAMMESGCEEVTLEAEVSNKGALALYGRLGFIRAKRLYHYYLNGMDAFRLKLLFPKPRVPQIPSQVQTQQEYETFPRPRVP", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEQIQMVKVLEKCQVTPPSDTTDVELSLPVTFFDIPWLHLNKMQSLLFYDFPYPRTHFLDTVIPNLKASLSLTLKHYVPLSGNLLMPIKSGEMPKFQYSRDEGDSITLIVAESDQDFDYLKGHQLVDSNDLHGLFYVMPRVIRTMQDYKVIPLVAVQVTVFPNRGIAVALTAHHSIADAKSFVMFINAWAYINKFGKDADLLSANLLPSFDRSIIKDLYGLEETFWNEMQDVLEMFSRFGSKPPRFNKVRATYVLSLAEIQKLKNKVLNLRGSEPTIRVTTFTMTCGYVWTCMVKSKDDVVSEESSNDENELEYFSFTADCRGLLTPPCPPNYFGNCLASCVAKATHKELVGDKGLLVAVAAIGEAIEKRLHNEKGVLADAKTWLSESNGIPSKRFLGITGSPKFDSYGVDFGWGKPAKFDITSVDYAELIYVIQSRDFEKGVEIGVSLPKIHMDAFAKIFEEGFCSLS", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSEGITDIEESQIQTNYDKVVYKFDDMELDENLLRGVFGYGFEEPSAIQQRAIMPIIEGHDVLAQAQSGTGKTGTFSIAALQRIDTSVKAPQALMLAPTRELALQIQKVVMALAFHMDIKVHACIGGTSFVEDAEGLRDAQIVVGTPGRVFDNIQRRRFRTDKIKMFILDEADEMLSSGFKEQIYQIFTLLPPTTQVVLLSATMPNDVLEVTTKFMRNPVRILVKKDELTLEGIKQFYVNVEEEEYKYECLTDLYDSISVTQAVIFCNTRRKVEELTTKLRNDKFTVSAIYSDLPQQERDTIMKEFRSGSSRILISTDLLARGIDVQQVSLVINYDLPANKENYIHRIGRGGRFGRKGVAINFVTNEDVGAMRELEKFYSTQIEELPSDIATLLN", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAGSGGSGGSGGGPGPGPGGGGGPSGSGSGPGSNGGLGSGGELHPRTGRLVSLSACGRTARRQQPGQEFNHGLVLSREPLRDGRVFTVRIDRKVNSWSGSIEIGVTALDPSVLDFPSSATGLKGGSWVVSGCSVLRDGRSVLEEYGQDLDQLGEGDRVGVERTVAGELRLWVNGRDCGVAATGLPPRVWAVVDLYGKCTQITVLPPEPGFSPPTPIPTPPLEPLAPTEDSALAEQGTSADEAFMVSPAQARPETFPNSLESHNDFANMELSEVVSNTILSAYNGGLLNVNLSSPPAGEGLGSSGAATSPILTSNDALLFHEKCGTLIKLSNNNKTAERRRPLDEFNNGVVMTNRPLRDNEMFEIRIDKLVDKWSGSIEIGVTTHNPNSLEYPATMTNLQSGTIMMSGCGILTNGKGTRREYCEFSLDELQEGDHIGLTRKSNSALHFFINGIDQGVATPLTPPVVYGVVDLYGMAVKVTIVHNNNHSDRLRRNNAILRALSPEGALRRAAPAAQAEPERLLFHPNCGQKAAITHEGRTALRPHATDDFNHGVVLSSRALRDGEVFQVRIDKMVDKWAGSIEIGVTTHNPAYLQLPSTMTNLRSGTWMMTGNGVMHNGTTILDEYGHNLDRLKAGDTVGVVRREDGTLHFFVNGMTQGPAAWNVPPGVYAVVDLYGQAAQATIVDDVEVAPVPEPLPEGNNQVSPSSPSSGAGGSDLRFHQLHGSNAVITNGGRTALRHNCRSEFNDAIVISNRALRDGELFEIVIQKMVDRWSGSIEAGVTAIRPEDLEFPNTMTDIDYDTWMLSGTAIMQDGNTMRNNYGCDLDALGTGARIGMMRTAKGDLHYFINGQDQGAACSGLPPGKEVYAVVDLYGQCVQVSITNATGPMDNSLATSNTATEKSFPLHSPVAGVAHRFHSTCGKNVTLEEDGTRAVRAAGYAHGLVFSTKELRAEEVFEVKVEELDEKWAGSLRLGLTTLAPGEMGPGAGGGGPGLPPSLPELRTKTTWMVSSCEVRRDGQLQRMNYGRNLERLGVGSRVGVRRGADDTMHILVDGEDMGPAATGIAKNVWAVLDLYGPVRGVSIVSSTRLEESEGTQPPSPSSDTGSEGEEDDEGEEHGLGGQNEVGIIPTTLEFLENHGKNILLSNGNRTATRVASYNQGIVVINQPLVPQLLVQVRIDFLNRQWTSSLVLGVITCAPERLNFPASACALKRAAWLLRGRGVFHNGLKICEKFGPNLDTCPEGTILGLRLDSSGGLHLHVNGVDQGVAVPDVPQPCHALVDLYGQCEQVTIVNPEPGAASGKSAGTQGDMEKADMVDGIKESVCWGPPPAASPLKSCEYHALCSRFQELLLLPEDYFMPPPKRSLCYCESCRKLRGDEAHRRRGEPPREYALPFGWCRFNLRVNPRLEAGTLTKKWHMAYHGSNVAAVRRVLDRGELGAGTASILSCRPLKGEPGVGFEEPGENCAPPREEQPPPVLLSPSLQYAGAETLASKVQFRDPKSQRTHQAQVAFQVCVRPGSYTPGPPSAALGEPPDPHFSPAELEWVTKEKGATLLCALLVRVE", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MQRVSGLLSWTLSRVLWLSGLSEPGAARQPRIMEEKALEVYDLIRTIRDPEKPNTLEELEVVSESCVEVQEINEEEYLVIIRFTPTVPHCSLATLIGLCLRVKLQRCLPFKHKLEIYISEGTHSTEEDINKQINDKERVAAAMENPNLREIVEQCVLEPD", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDRSKENCISGPVKATAPVGGPKRVLVTQQFPCQNPLPVNSGQAQRVLCPSNSSQRVPLQAQKLVSSHKPVQNQKQKQLQATSVPHPVSRPLNNTQKSKQPLPSAPENNPEEELASKQKNEESKKRQWALEDFEIGRPLGKGKFGNVYLAREKQSKFILALKVLFKAQLEKAGVEHQLRREVEIQSHLRHPNILRLYGYFHDATRVYLILEYAPLGTVYRELQKLSKFDEQRTATYITELANALSYCHSKRVIHRDIKPENLLLGSAGELKIADFGWSVHAPSSRRTTLCGTLDYLPPEMIEGRMHDEKVDLWSLGVLCYEFLVGKPPFEANTYQETYKRISRVEFTFPDFVTEGARDLISRLLKHNPSQRPMLREVLEHPWITANSSKPSNCQNKESASKQS", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLVLRSALTRALASRTLAPQMCSSFATGPRQYDGIFYEFRSYYLKPSKMNEFLENFEKNAHLRTAHSELVGYWSVEFGGRMNTVFHIWKYDNFAHRTEVRKALAKDKEWQEQFLIPNLALIDKQESEITYLVPWCKLEKPPKEGVYELATFQMKPGGPALWGDAFKRAVHAHVNLGYTKLVGVFHTEYGALNRVHVLWWNESADSRAAGRHKSHEDPRVVAAVRESVNYLVSQQNMLLIPTSFSPLK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAKSVLVTGGAGYIGSHTVLQLLEGGYSAVVVDNYDNSSAASLQRVKKLAGENGNRLSFHQVDLRDRPALEKIFSETKFDAVIHFAGLKAVGESVEKPLLYYNNNIVGTVTLLEVMAQYGCKNLVFSSSATVYGWPKEVPCTEESPISATNPYGRTKLFIEEICRDVHRSDSEWKIILLRYFNPVGAHPSGYIGEDPLGVPNNLMPYVQQVAVGRRPHLTVFGTDYKTKDGTGVRDYIHVMDLADGHIAALRKLDDLKISCEVYNLGTGNGTSVLEMVAAFEKASGKKIPLVMAGRRPGDAEVVYASTEKAERELNWKAKNGIEEMCRDLWNWASNNPYGYNSSSNGSSS", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDDRNEIPQDGPASMEPEGVIESTWHEVYDNFDDMNLREELLRGIYGYGFEKPSAIQQRAIIPCVRGRDVIAQAQSGTGKTATFSIAILQQIDTSIRECQALILAPTRELATQIQRVVMALGEYMKVHSHACIGGTNVREDARILESGCHVVVGTPGRVYDMINRKVLRTQYIKLFVLDEADEMLSRGFKDQIQDVFKMLPPDVQVILLSATMPPDVLEVSRCFMRDPVSILVKKEELTLEGIKQFYVNVKQENWKLGTLCDLYDTLSITQSVIFCNTRRKVDQLTQEMSIHNFTVSAMHGDMEQRDREVIMKQFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPSNRENYIHRIGRGGRFGRKGVAINFITDDDRRILKDIEQFYHTTIEEMPANIADLI", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSFLNIFTFFSVLVSVATAVRFDLTNVTCNNLHGPHCGTYVMEVVGQNGTFLGQSTFAGADVLTESAGDAWARYLGQETRFLPKLTTIASNDTKNFSPLIFTTNIYTCNPQSIGDAMVPFANTVTGEIEYNSWADTADNASFITGLANQLFNSTQYGVQVASCYPNFASVILSTPTVNIFAANETLPDYCTAIQLKAVCPPDAGFA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFKKFTREDVHSRSKVKSSIQRTLKAKLVKQYPKIEDVIDELIPKKSQIELIKCEDKIQLYSVDGEVLFFQKFDELIPSLKLVHKFPEAYPTVQVDRGAIKFVLSGANIMCPGLTSAGADLPPAPGYEKGTIVVINAENKENALAIGELMMGTEEIKSVNKGHSIELIHHLGDPLWNFSVE", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAAAAEGVLATRSDEPARDDAAVETAEEAKEPAEADITELCRDMFSKMATYLTGELTATSEDYKLLENMNKLTSLKYLEMKDIAINISRNLKDLNQKYAGLQPYLDQINVIEEQVAALEQAAYKLDAYSKKLEAKYKKLEKR", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEDLKTVEASDNVVSDNVEKVNPELIDSTIRESNIQSATKVDNIPQSQTDTEETQQSQTDTEETQQSQTDDTTGNAKIYVDDTFSPSDAATAAVLTGKDSTSTTIVEEVMEPDEIGLPSVKITEAATGTARNGGGSPRTVSSPRFSGSPVSTGTPKNVDSHRGLIDTAAPFESVKEAVSKFGGITDWKSHRMQAVERRKLIEEELKKIHEEIPEYKTHSETAEAAKLQVLKELESTKRLIEQLKLNLDKAQTEEQQAKQDSELAKLRVEEMEQGIAEDVSVAAKAQLEVAKARHTTAITELSSVKEELETLHKEYDALVQDKDVAVKKVEEAMLASKEVEKTVEELTIELIATKESLESAHASHLEAEEQRIGAAMARDQDTHRWEKELKQAEEELQRLNQQIHSSKDLKSKLDTASALLLDLKAELVAYMESKLKQEACDSTTNTDPSTENMSHPDLHAAVASAKKELEEVNVNIEKAAAEVSCLKLASSSLQLELEKEKSTLASIKQREGMASIAVASIEAEIDRTRSEIASVQSKEKDAREKMVELPKQLQQAAEEADEAKSLAEVAREELRKAKEEAEQAKAGASTMESRLFAAQKEIEAAKASERLALAAIKALEESESTLKANDTDSPRSVTLSLEEYYELSKRAHEAEELANARVAAAVSRIEEAKETEMRSLEKLEEVNRDMDARKKALKEATEKAEKAKEGKLGVEQELRKWRAEHEQKRKAGDGVNTEKNLKESFEGGKMEQSPEAVVYASSPSESYGTEENSETNLSPQTKSRKKKKKLSFPRFFMFLSKKKSHNN", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MHRTYSLRNQRAPTAAELQAPPPPPSSTKSKFFGKASIASSFRKNAAGNFGPELARKLSQLVKTEKGVLRAMEVVASERREAAKQLSLWGADNDDDVSDVTDKLGVLIYELGELQDQFIDKYDQYRVTLKSIRNIEASVQPSRDRKEKITDEIAHLKYKDPQSTKIPVLEQELVRAEAESLVAEAQLSNITREKLKAAYSYMFDSLRELSEKFALIAGYGKALLELLDDSPVTPGEARPAYDGYEASRQIIMDAESALESWTLDMAAVKPTLSFHQTVDDVYEDEDGEEEEEPEIQNGDIPGQVVEEEEVEWTTEVPVDDEAHEADHHVSQNGHTSGSENI", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTNTRGSNSATSASTTNSQGVLMVGPNFKVGKKIGCGNFGELRLGKNLYNNEHVAIKLEPMKSKAPQLHLEYRFYKLLGQAEGLPQVHYFGPCGKYNALVMELLGHSLEDLFDLCDRHFSLKTVAMVAMQLIRRIEYVHTKHLIYRDVKPENFLIGRYSTRKQHVLHIIDFGLAKEYIDCDTGKHIAYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHMFMYFLRGSLPWQGLKADTLKERYQKIGDTKRQTAVEVLCEGFPDEFAQYLRYARRLDFFETPDYDFCYNLFKSVLDRLGATYDYEFDWTPKLNNVSTPSGSLHTSESKDVKRTDRGELKVSQAAAHAQFGSTQVINSNAGEVVEESRNTEGRTAAGDNSSGEVKCCCFRRRRRKHNNATPATQK", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPCPAQISDDLEFFVNGRKVTEKNVDPEVTLLAFLRKNLCLTGTKDACGTGGCGACTVMVSQHDPVCKKTRHFSVMACLVPLCSLHGAAVTTVEGVGSIKTRLHPVQERIAKSHGTQCGFCTPGMVMSIYTLLRNHPQPSEEQLMEALGGNLCRCTGYRPILESGRTFCMEPDGCPQKGTGQCCLDQKESDSSGSKSDICTKLFVKDEFQPLDPTQELIFPPELLRMAENPEKQTLTFYGERITWIAPGTLQELLVLKAKYPEAPLISGNTALGPAMKSQGHFYPVLLSPARIPDLRMVTKTSGGLTIGACCSLAQVKDILAESISELPQEKTQTYRALLKHLRSLAGQQIRNMASLGGHVISRHCYSDLNPILSVGNTTLNLLSEEGPRQIPLSGHFLAGLASADLKPEEILGSVYIPHSQKREFVSAFRQAQCHQNALPDVNAGMRVLFREGTDVIEELSIAYGGVGPTTVSAQRSCQQLLGRRWNALMLDEACRLLLDEVSLPGSALGGKVEFRRTLIVSLFFKFYLEVLQELKADQKLPPESTDSQRYPEIADRFLSSLGDFQVTLPRGVQTYQRVDSHQPLQDPVGRPIMHLSGLKHATGEAVFCDDIPRVDKELFMALVTSTRAHARIISIDSSEVLDLPGVVDVITAEDIPGNNGEEDDKLLAVDKVLCVGQVICAVVAETDVQAKRATEKIKITYEDLKPVIFTIEDAIKHNSFLCPEKKLEQGNIEEAFENVDQVAEGTVHVGGQEHFYMETQRVLVIPKTEDKELDMYVSTQDPAHVQKTVSSTLNIPISRITCHVKRVGGGFGGKVGRPAVFGAIAAVGAVKTGHPIRLVLDREDDMLITGGRHPLFAKYKVGFMNSGRIKALDIECYINGGCTLDDSELVTEFLVLKLENAYKIRNLRLRGRACMTNLPSNTAFRGFGFPQGALVTESCITAVAAKCGLPPEKIREKNMYKTVDKTIYKQAFNPDPLIRCWNECLDKSSFHIRRTRVDEFNKKSYWKKRGIAIVPMKFSVGFAATSYHQAAALVHIYTDGSVLVAHGGNELGQGIHTKMLQVASRELKIPLSYLHICETSTTTVPNTIATAASVGADVNGRAVQNACQILLKRLEPVIKKNPEGTWRDWIEAAFEKRISLSATGYFRGYKAFMDWEKGEGDPFPYYVYGAACSEVEIDCLTGAHKKIRTDIVMDACCSLNPAIDIGQIEGAFIQGMGLYTTEELLYSPEGVLYSRSPDKYKIPTVTDVPEQFNVSLLPSSQTPLTLYSSKGLGESGMFLGSSVFFAIVDAVAAARRQRDIAEDFTVKSPATPEWVRMACADRFTDMIPRDDPKTFKPWSIPIA", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MENNTASSPYTKLELVGRGSYGAVYRGICNLTKETVAIKILNLDTDEDEVSDIQKEVAVLSELKQSDVENIIKYHGSYLVGTNLWIIMDYCHGGSVRTLMEAGPISEPCISLILRETLQALKFIHHAGIIHRDIKAANILVSMSGNVKLCDFGVAAELNINRRKRITFIGTPYWMAPEVIRDGQEYNVMADIWSLGITAYEIATGSPPHAKEDPFRAVYLIAHTAPPRLNGNFSALLKEFIASCLQDVPQRRLDSSELLKSKFIKQYSRMSISELTNVVKRYDTWQAAGGIPQTLLLGEEADDGSDPDQETSDTAASDDGWEFGTIKQGQSNVSSITGTSTSTTTAATSSTTVTGTVIPKSSTVHEPPSSNDSHPLLQLFKDSKISDDDSPSNAEGASTEDSKGEVSYSQIELPSLDSSNLSSKKSTIQSKHTKQAEDYDLFVGRTRSNSKTSSDQSIKRPLPRVVQRQKTSLGKRGISMSPMKPGLRMPSSFDLQSRSISMGAFEQLSTPLEAPAHKHSAVLQPLEVNRSISIPPPKSISPSILHKPSLESASSTPKISSCSSTPKPFNSKLRAHLPPLSIGSPAVQPLANDNYDSLGVRGLNMELFNDYPGNMHNIKSVLSLEIDIVLGEMDACLKSLECNLLNRKAYNE", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MYPNSPSLGRIPLPLPCARQQQTSAYLSKVPVSVAPDLLSPEQFFQASLNIHKNANLPRLLMNGNVLTVPPLSSPPWSYLNHSPLISPGSPPSSFQNRKRRSDEGNSPYDVKRQRFQSPQEQTVNHQAVPLRGDIRCSYPGSPAFPLLQSPSPPVLKGHSSNSGDCWLYDHIDTTLPVAKDKLSKQILDLFQALQQQVCDLKKKDICRAELQREIQQIFPQSRLYLVGSSLNGFGIRSSDADLCLVLKEEPMNQNTEARHILSLLHKHFYTRLSYIERPQFIRAKVPIVKFRDKVSGAEFDLNVNNVVGIRNTFLLRTYAYLDKRVRPLVLVIKKWANHHGINDASRGTLSSYTIVLMVLHYLQTLPEPILPSLQKKYPECFDRTMQLHLVHQAPRNIPQFLSKNETPLGDLLLGFLKYFAVEFDWSKDIISLREAKALPRTDDYEWRNKYICVEEPFDGSNTARAVYEKQKFDLIRAEFLKAWVALRDNRDLYSLLPVKGIMKKMHSL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSAYALSQSHRQLTEGHLKDTDPEVDQIIKDEIDRQQHSIVLIASENFTTTAVFDALGTPMCNKYSEGYPGARYYGGNEHIDRMELLCQERALKAFGLTPDKWGVNVQTLSGSPANLQVYQAIMKPHERLMGLDLPHGGHLSHGYQTDSRKISAVSTYFETMPYRVDLETGLIDYDMLEKTAVLYRPKVLVAGTSAYCRLIDYKRMREIADKVGAYLVVDMAHISGLIAAGVIPSPFEYADIVTTTTHKSLRGPRGAMIFFRRGVRSVNPKTGQEILYDLENPINFSVFPGHQGGPHNHTIAALATALKQANTPEFKEYQEQVLKNAKALESEFTKKGYKLVSDGTDSHMVLVSLKDKQIDGARVETVCEKINIALNKNSIPGDKSALVPGGVRIGAPAMTTRGLGEEDFKKIVSYIDFAVNYAKEVQSQLPKDANKLKDFKNAVSGDSEKLKAVRDEIYQWAGSFPLAV", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTAGSAPPVDYTSLKKNFQPFLSRRVENRSLKSFWDASDISDDVIELAGGMPNERFFPIESMDLKISKVPFNDNPKWHNSFTTAHLDLGSPSELPIARSFQYAETKGLPPLLHFVKDFVSRINRPAFSDETESNWDVILSGGSNDSMFKVFETICDESTTVMIEEFTFTPAMSNVEATGAKVIPIKMNLTFDRESQGIDVEYLTQLLDNWSTGPYKDLNKPRVLYTIATGQNPTGMSVPQWKREKIYQLAQRHDFLIVEDDPYGYLYFPSYNPQEPLENPYHSSDLTTERYLNDFLMKSFLTLDTDARVIRLETFSKIFAPGLRLSFIVANKFLLQKILDLADITTRAPSGTSQAIVYSTIKAMAESNLSSSLSMKEAMFEGWIRWIMQIASKYNHRKNLTLKALYETESYQAGQFTVMEPSAGMFIIIKINWGNFDRPDDLPQQMDILDKFLLKNGVKVVLGYKMAVCPNYSKQNSDFLRLTIAYARDDDQLIEASKRIGSGIKEFFDNYKS", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDATIAPHRIPPEMPQYGEENHIFELMQNMLEQLLIHQPEDPIPFMIQHLHRDNDNVPRIVILGPPASGKTTIAMWLCKHLNSSLLTLENLILNEFSYTATEARRLYLQRKTVPSALLVQLIQERLAEEDCIKQGWILDGIPETREQALRIQTLGITPRHVIVLSAPDTVLIERNLGKRIDPQTGEIYHTTFDWPPESEIQNRLMVPEDISELETAQKLLEYHRNIVRVIPSYPKILKVISADQPCVDVFYQALTYVQSNHRTNAPFTPRVLLLGPVGSGKSLQAALLAQKYRLVNVCCGQLLKEAVADRTTFGELIQPFFEKEMAVPDSLLMKVLSQRLDQQDCIQKGWVLHGVPRDLDQAHLLNRLGYNPNRVFFLNVPFDSIMERLTLRRIDPVTGERYHLMYKPPPTMEIQARLLQNPKDAEEQVKLKMDLFYRNSADLEQLYGSAITLNGDQDPYTVFEYIESGIINPLPKKIP", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVKSLVAKLSTASSNLSLASTFGGGSGAAEETNYAKHRNDPGRFFGDGVQFKAKLIGILEVGEARGDRMCQEALQDLKMAIRAAGEHKQRITIHVTIDGLRLRDEKTGDSLYHHPVHKISFIAQDMTDSRAFGYIFGSPDSGHRFFGIKTDKAASQVVLAMRDLFQVVFELKKKEIEMARQQIQGKSLHDHSSQLASLSSLKSSGLGGMGLGHSDLASGGISSGHALTLLGSSLSTTNGTSRLGVSLDVAKASGSAAKEVSPESVADLVDLEQELTSLQRGISQMERITPNEPTTSSTGGAGHPSLAKSASEDDPFGDSFIYVPSYSILPPPPDSGRNRHKPPNKTPDAVTSLDAMLSPPPGTSSSHGSASAGLQAADNDDDNWLQELDQQNDVFDTSKVVSSSGLGSVLAMAPLASSESTATPTQQLTEVAAGSGPLADLDIGLSTALGNEEQTSTILSLDAFTDLDPLGTGRTRPYVDKKYFFQELKNPPKKLLKELSSGSQAGLGLGLSLGQLDGLFPEDSTTISTTTTTATNITAGNPQQNSANTLTSTASTAASLGQLLSTVALNPDPLPAPISIPTSISHSITPSAELKLLLGHVTNPPNPTGHYYTTEPPTLNSLENPHPPADPVLLPRDTDPFSPTRKKSDPDPFQESDLFAKLDAFEFEAPPAVPAPSIPNLATETKANVFNGPLQVQLPPEKELQLQQPPSTVRNRPTASVSALPSGGALDVISSISNKKMPHLFGQARSFGKSGSDIGSSVNMRRLQESDSLSETEAAPEPPPRPDSTPYSEPPPLPPKKQFSDLVIRPSPANTTQPPTSGRYEYLNSNVTARRTASSVDAPPIPLPSRRVGRSDGCFPGPGRPRKPGHTEDDYLAPLGAPPPLLPPPSQGSSARARPQRQASLGRPQDIYENKAEILQAQAQAQAQAPEVAPSSNTLAPDITLTQLLTLGMDDLAIKLNVPASKLSTMTLVQLTAYLSEYLSSEKSQVHSQERRSSPANTAPAPASTAAVFKVNFDQQTSFVAKFDDTFGEDEPVMPSGSSDSTFVANFANFNDAPTPVPTVSPVVATVPSADRYAVFREIIDQELQQQQQETDLMGDLTPPPVDETQAKEISEGLEVNNVGAELPIDALDVKPAPKIDTKITEVVAQAKDRYAALRDIILVENLFDKPAIATDTQPEKEKDLLQDFPEFSDEFNEDHDLRQIMDHQNVQTHARDRHGLVDSRGFPTEPSSSALTVGDYDEDEDADAGGESSLDSNEKDAEPVSGQDQYEKLSTSTQQLDAAAPALEDVQQLQQQSLPPKQDQKFLSILTAPGGGTKDDIEIDELMHRAISNLSLDSRDRVSPATSSAAPSRGAPGLHTPSQFNDVSTSPIPLQKPGMGPSPVPSQLSAVSQLIDTATKQMMGDKDREKQSWATFDSPKAKGKARLTLPPPPPPASNTSQPDTVESPCSSDPRDDGWSKQQRRWAKKERQQTSSSSRDLSPWDDETPEYLKRRQLAAAQMAHPHQPPMQAPPQHTDRHGYYMRHARRMNSCDEDYDYDGEFVARRDQPQHQQQQRKFKHGLSRSRDNFELESPSWYHHPAHHTWSPQEIEQVRVRSFDRTAYERSSYGPPPPIYDKRGQLRGKYRGDHRDRERERDRDREYRDYARPSYDFDYENVYEERGGRSPLAYKPGRGGGDYLYDRERDRDRERDRKSFDRESLESYESATRRRRSFGSGNDVYGSLDSRDDYRGDRERDRERDREQMKTRSLRKPTTTSGKLRISGDIDYEQDSEQDFQQRSGVRSLQRPNQLGGDVVLPSNAVVGPQRLRKSSGSSPWDGEEPALPGQKSWKRPASAAETERRLAESRRAVALGQTPSDGEKERRFRKKTRARSAKDLATVGAPSASTSAPSRSSYGRGIRDNYDYICPGQRNDDDDDDDEDYVDDEPPTDEDKFERLNRRRHEMHQRMLESERRQMERHQPPSLAKLPGQNRTRGVVANSDYGFVDSYEQTPTPTPRSNASSTGPGGLMMSGGESSAGVTSSKFNFDDGFESDFNQSSPPPAPAGTASSCNSTPAGPVSANANNGGSKSLFRFSNDFSDREKREQFEMDTPPTSTPPITQKLRFDDNVKVSQFDDAAFEDDFAKASFDFEKEQAGSATAGAGGSGAMSRKQNMRTSKLQQRQELIKKSESVNIFAKKQEDPFEDDEFFKSPDQEQAMDQHNDDTEGGKFQWSEDANFAKFDENM", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPNPSCTSSPGPLPEEIRNLLADVETFVADTLKGENLSKKAKEKRESLIKKIKDVKSVYLQEFQDKGDAEDGDEYDDPFAGPADTISLASERYDKDDDGPSDGNQFPPIAAQDLPFVIKAGYLEKRRKDHSFLGFEWQKRWCALSKTVFYYYGSDKDKQQKGEFAIDGYDVRMNNTLRKDGKKDCCFEICAPDKRIYQFTAASPKDAEEWVQQLKFILQDLGSDVIPEDDEERGELYDDVDHPAAVSSPQRSQPIDDEIYEELPEEEEDTASVKMDEQGKGSRDSVHHTSGDKSTDYANFYQGLWDCTGALSDELSFKRGDVIYILSKEYNRYGWWVGEMKGAIGLVPKAYLMEMYDI", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MELEQLKKSVEENPDDSSLQFELGLYLWDNGGDSEKAAEHFVLSAKSDPNNAVAFKYLGHYYSRVTLDLNRAAKCYQRAVLINPNDSDSGEALCDLFDRQGKEILEIAVCRDASEKSPKAFWAFCRLGYIQLHQKKWSEAVQSLQHAIRGYPTMSDLWEALGLAYQRLGMFTAAIKAYGRAIELDETKIFALVESANIFLMLGSYRKGVELFEQALKISPQNISVLYGLASGLLSWSKECINLGAFGWAASLLEDARKAAKASSELASSMSCIWKLHGDIQLTYARCFPWSGGTENSEFTLKTFSDSILSWRSICYSAALSAKASYQRALHLAPWQANVYTDIAITCDLVSSLSDDSDTSSSWKLPEKMVLGALLLECENSEFWVALGCMSDNSALKLHALIRALHLDVSLAVAWAFMGQIFRESDEMKFAKQAFDCARSIDPTLALPWAGSADTYARESTSDEAFESCLRAAQISPLAEFQVGLAWLALLQGNISSPQIFACIEQAVQRSPYYPEPHNLHGLVCEARHNYHTAIASYRLALAAMSIYPESSVKSHAGKVSINLVRSLSKAGRFKESVMECANLKSKGLLDAGGLQIYAFSLWRTGQNDSALSVIRDLAGRISTREKTSIAFPISFICSLLYCISGLDSAITSIQKMPKDFFQSSKISFIVSAIHSLDQSDRLQSIVASTRSYITSQEEIVAMHYLIALSKLLKTGAGDFLGYEKGIAHLSKAIHMYPHSNLIRNLLGYILLAGEGMKDACTASRCCIINVSECANKEGLKSALEVLGGGSVACNVIGNTAPRFSFPTCHCQYLNAPVVVVELQRFLHQEPSNSSVRYLLILNLVQKAREQRFPRQLCRAIERLISVALSDETCSKEGEYKKFQLLLCASEISLQMGNIAESINHARKASSLSLPSSYLFLGHLQLCRAYAANGSTKNMQEEYRACLELKTDSNIGWICLKLIESQYNLEPDANLLEMSLQECSSQKKNSWKEWMAVYSLARGLDSTGKKDFFSAEEFLAQACSLLNSESCLLLCHGAVCMELARQSNDSQFLSLAVKSLSKVQASSLFPLPIVYTLLAQAHGSLGSKEKWEKNLRLEWFCWPPEMRPAEVYFQMHILARQSEDRPETTSGIENYQSPEKWVIRAIHTDPSCRRYWKVLDKLVQHPMS", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSQQLSFNASSAKPDKSFSNYFWGANDEGYHALLSRFSDVKHINEELRSFYHERANIEEDYAKRMAKLSRTTFSSLETGCLKESVQVMKAEVDNMAKSHLQISQLLQDDVENAFTRYAASLKDKKKMIVSGIEKVHKDKLSKHQALVKAQDKYHYLCKKVNYYVSQQNMLFGKELEKNNAKLNKTQNAITASSSDYQSAVAAVRDSYARWTNEWRSTCDKLQDIEEERRHFLKSVMWTFTLLISRSCFNDDQACERIRKNLEQCSVSQDVLEFIDAKSTGTGIPQPPKFYDYYKGEVPDDSVELVQANFQRAQTKIENDNMPLNRPYVLSATARNESSFENTLPNTPSAIQSLTTVSSNSSQNGRSSPKKSFLSKFKLTSRPSTPNVGNTAPDALSSPRNDSPLTSAADEQMKHLSLQEEPKQNPTPAAPGAFPNSNTLPPRYNELGSLPSPNSVSFTEDSRPNVNTPSRRQQIQEEFGSVLQMENRAVSPVYDSRKNGSRSSFTLRKSRSPKRPSSSLSQNASRLPRSLTPGNLEPNYDFGVRVDPASGTAPTDDEPYTDRDSSFVDDTINTKATGNTSNRLSLPAYPTDGGDTSIDNPTSTDGQRILGYVSALYDYDAAIPEEISFRKGDTIAVLKLYEDGWWEGFVVGEDDHNRGQFPSNFVREIEV", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSTEDEKLLKEAKKLPWEDRLGHKNWKVRNEANVDLASVFDSITDPKDPRLRDFGHLFRKTVADSNAPVQEKALDALIAFLRAADSDAGRYAKEVCDAIALKCLTGRKNTVDKAQAAFLLWVELEAVDVFLDTMEKAIKNKVAKAVVPAVDVMFQALSEFGSKVIPPKRILKMLPELFDHQDQNVRASAKGVTLELCRWIGKDPVKSILFEKMRDTMKKELEAELANVTAGAKPTRKIRSEQDKEPEAEASSDVVGDGPSEEAVADAPQEIDEYDLMDPVDILTPLEKSGFWDGVKATKWSERKEAVAELTKLASTKKIAPGDFSEICRTLKKLITDVNLAVAVEAIQAIGNLACGLRTHFSASSRFMLPVLLEKLKEKKQSVTDPLTQTLQTMYKAGCLNLVDVIEDVKTAVKNKVPLVRSSTLTWLTFCLETSNKALILKAHKEYVPLCMECLNDGTPDVRDAAFSALAAIAKSVGMRPLERSLEKLDDVRKKKLSEMIAGSGGGDQAGTSSVTVQSSVGSTATGNSDASFVRKSAASMLSGKRPAPSAQASKKVGTGKPGGGKKDGSVRNEGSKSVEPPEDVEPAEMGLEEIENRLGSLVKPETVSQLKSSVWKERLEATLALKEEIEGLQELDKSVEILVRLLCAVPGWNEKNVQVQQQVIEIITYISSTAAKFPKKCVVLCITGTSERVADIKTRASAMKCLTAFCEAVGPGFVFERLFKIMKEHKNPKVLSEGLLWMVSAVDDFGVSLLKLKDLIDFCKDVGLQSSTAATRNATIKLLGALHKFVGPDIKGFLNDVKPALLSALDTEYEKNPFEGTAAPKRVVKTSVSTSTSSGGLDSLPREDISTKITPNLLKGFESPDWKMRLESIEAVNKILEEANKRIQPTGTGELFGGLRGRLLDSNKNLVMQTLTTIGGVAAAMGPAVEKASKGILSDVLKCLGDNKKHMRECTLAALDLWLGAVHLDKMIPYIIIALTDGKMGAEGRKDLFDWLTKQLTGLSDFVDAIHLLKPASTAMTDKSADVRKAAEGCISEILRVSGQEMIEKNLKDIQGPALALVLEKVRPGFVQEPFESSKAMAGPVSKGVTKISKSTSNGTLKQGNRSRAVPTKGSSQITSVHDIAIQSQALLNTKDSNKEDRERVVVRRIKFEELRPEQIQDLENDMMKFFREDLQKRLLSPDFKKQVDGLEILQKALPSVSKEIIEVLDVLLRWFVLQFCKSNTTCLLKVLEFLPELFNTLRDEEYCMTEAEAAIFLPCLAEKLGHNIEKVREKMRELMKQIIQAYSVGKTYPYILEGLRSKNNRTRIECTDLIGYLLETCGTEIGGLLKYLNIVASLTAERDGELRKAALNTMATGYQILGADIWKYVGKLTDAQKSMIDDRFKWKAKDMEKRREGKPGEARAALRRSVRDSGPEVAEQSGDISQTVPGPLFPRQSYGISEQMLERTPVPRTIAGVNGPTDWNEALDIIMFGSPEQSVEGMKVVCHELAQASNDPEESAIDELVKDADGLVSCLANKVAKTFDVSLMGASSRSCKYVLNTLMQTFQNKKLAHAVKEGTLESLITELLLWLLDERVPRMEDGSQLLKALNVLMLKILDNADRTSSFVVLISLLRPLDPSRWPSPATAEVYAVRNQKFSDLVVKCLIKLTKLLQSTIYEVDLDRLLQSIHVYLQDLGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSLVPIDMRPQPIILAYIDLNLETLAAARMLTATGPVGQTHWTDSTANNPSPPANSADVQLKQELGAIFKKIGDKQTSTIGLYDLYHITKSYPKVDIFSQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPSSLALPSPDIPSLSSLDVKPLMNPRSDLYTDDIRASNMNPGVMTGTLDAIRERMKNMQLASSEPVSKPLMPTNDNLSMNQQSVPPSQMGQETVHTHPVVLPMDEKALSGLQARMERLKGGSLEHM", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MQLTVKALQGRECSLQVPEDELVSTLKQLVSEKLNVPVRQQRLLFKGKALADGKRLSDYSIGPNSKLNLVVKPLEKVLLEEGEAQRLADSPPPQVWQLISKVLARHFSAADASRVLEQLQRDYERSLSRLTLDDIERLASRFLHPEVTETMEKGFSK", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLAELSHRELIKLAQKRCIPPLLPKFHKGQSGGRVCIIGGCEDYTGAPYFSANATALMGCDLTHVICEYNAGTVIKSYTPNLMVHPYLRMSNTKLDVDMDEQRKKINSLLDRIHVVVIGPGLGRDPLMLKSIKDIIRYILEKHEGKIPLVIDADGLFLVTQDSEVKEMLKSYPKGRVILTPNVVEFKRLCDAIGKKGDSHSEMGSLIAQELNCIVVEKGQSDKIFSPDSEKDMLTNSEEGSNKRVGGQGDTLTGAISCMLAFSRAMYDFKICEQEEKGESSNDKPLKNWVDYAMLSCYAGCTITRECSRLGFKAKGRAMQTTDLNDRVGEVFAKLFG", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSTPKKTYSSTSSAKSKAHSVRVAQTTADAALQAVFEKSGDSGDSFDYSKSVSKSTAESLPSGAVTAYLQRMQRGGLTQSFGCMIAVEGTGFRVIAYSENAPEILDLVPQAVPSVGEMDTLRIGTDVRTLFTASSVASLEKAAEAQEMSLLNPITVNCRRSGKQLYAIAHRIDIGIVIDFEAVKTDDHLVSAAGALQSHKLAAKAITRLQALPGGDIGLLCDTVVEEVRELTGYDRVMAYRFHEDEHGEVVAEIRRADLEPYLGLHYPGTDIPQASRFLFMKNKVRIIADCSAPPVKVIQDPTLRQPVSLAGSTLRSPHGCHAQYMGNMGSIASLVMAVIINDNEEDSHGSVQRGRKLWGLVVCHHTSPRTVPFPLRSACGFLMQVFGLQLNMEVELAAQLREKHILRTQTLLCDMLLRDAPIGIVSQIPNIMDLVKCDGAALYYGKRFWLLGTTPTESQIKDIAEWLLEYHKDSTGLSTDSLADANYPAAHLLGDAVCGMAAAKITAKDFLFWFRSHTAKEIKWGGAKHDPGEKDDGRKMHPRSSFKAFLEVVKRRSLPWEDVEMDAIHSLQLILRGSFQDIDDSDTKTMIHARLNDLKLHDMDELSVVANEMVRLIETATAPILAVDSNGMINGWNAKIAQVTGLPVSEAMGRSLVKDLVTDESVAVVERLLYLALRGEEEQNVEIKLKTFGTQTEKGVVILIVNACSSRDVSENVVGVCFVGQDVTGQKMFMDKFTRIQGDYKTIVQNPHPLIPPIFGADEFGYCFEWNPAMEGLTGWKKDEVVGKLLVGEIFGMQMMCCRMKSQDAMTKFMIALNTAMDGQSTDKFTFSFFDREGKYVDVLLSTNKRTNADGVITGVFCFLQIASSELQQALKVQRATEKVAVAKLKELAYIRQEIKNPLCGITFTRQLLEDTDLSDDQQQFLDTSAVCEQQLQKVLNDMDLESIEDGYLELDTAEFEMGTVMNAVISQGMTTSREKGLQIFRETPREINTMRLLGDQIRLQQVLSDFLLNTVRFTPSPEGWVKIKVVPTRKRLGGSVHVVHLEFRVSHPGAGLPEELVLEMYDRGKGMTQEGLGLNMCRKLVRLMNGDVHYVREAMQCYFVVNVELPMAQRDDASSQM", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAEKAKQIYEEFIQTEAPKEVNIDHFTKDITMKNLVEPSLSSFDMAQKRIHALMEKDSLPRFVRSEFYQELIK", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSEQDKSASKEKSKKPVRFLPQLSMEKLASKEKFKAPARALPQLSMVSTKPHWQQAAPSFHLSVKQDDESPEPFSVKNEQSHAEYMERFGKKGKLPHQVDDSYVGPSTSKSKGKSPHKERENFRSTLVNVIMQQDADLDSAVPDGSTIPKPTASAIEKDILRYYYYIHHGIDTDHVAPMEDSWLEHVLDLVPQHLKVFTDSIVTLSDEMREDYLLSVRKSIVDFVLKDPREKGDDKKTDELPAHRAEMEILPKPWRKSFLAASSYIRDHLNAMNPTMLAVLDLWHTNFKKLRLVDIKEFHNCQDALELSSFQNIIMRHMDSAKETLLKMWFPEVQNIYYQGNKKKQLPTGDSSAKLESFFNCAAALMTLQLQDLTLVSMQDFTDLIAQPPDSVRAFEHPGFIMRLILDNDTIKFEPELSDYIDIFLNVYDVMIKAVSFVPRVETKLYSKWESKSKPTTLKPIILNEIVDAHKEKIKEVIMKESVAPTEHLRLYDKYDFLITRKAERDVDNFLAENHSYEKIIDEICKYQKLIEEIQYTSIKTIRLGMFEMHCEELIRALVKRADIICGKLLAKMFRDHQEVNTRLCDEFERIAEKALSTPPNTAELMEMKAYIQKVEVTDMIELEQRLVDSKNCLAFLIEYVNFSPADMRLNNSVFQWYGRMGEIFEEHRKIIKEKIEQYQEGLKLRCERFVEELESYAKQSEEFYSFGDLQDVQRYLKKAQILNGKLDLAADKIEQFNAEEEAFGWLPSVYPQRKKIQDGLNPYLRLYETAVEFSSNYRAWTEGPYHKVNPDQVEADIGNYWRGLYKLEKTFHDSPYALAMTKKVRSKVEDFKQHIPLIQVICNPGLRPRHWEAMSAIVGYPLQPSDDSTVSSFLDMNLEPYIDRFEGISEAASKEYSLEKAMEKMITEWDAVEFVIHSYRETGTFILASVDEIQMLLDDHIIKTQTMRGSPFIKPYEKQMREWEGKLLLLQEILDEWLKVQATWLYLEPIFSSPDIMSQMPEEGRRFTAVDKTWRDIMRSVMQDKHVLTVVTIDRMLERLKKSNELLELILKGLNEYLEKKRLFFPRFFFLSNDELLEILSETKDPTRVQPHLKKCFEGIAKVEFTETLDITHMKSSEGEVVELIEIISTAKARGQVEKWLVELERVMINSIHKVTGDATFAYTKYERINWVRDWPGQTVLCVSQIFWTKEVQTAIPMGIKALEQYLKTCNRQIDDIVTLVRGKLSMQNRVTLGALVVLDVHARDVLSSLVKKNISDDSDFEWLSQLRYYWQENHLETKMINAGLRYGYEYLGNSPRLVITPLTDRCYRTLFGALHLHLGGAPEGPAGTGKTETTKDLAKAVAKQCVVFNCSDGLDYLALGKFFKGLLSCGAWACFDEFNRIDLEVLSVVAQQILTIQRGINAGADILMFEGTELKLDPTCAVFITMNPGYAGRSELPDNLKALFRTVAMMVPDYAMIAEIVLYSCGFVTARPLSVKIVATYRLCSEQLSSQHHYDYGMRAVKSVLTAAGNLKLKYPNENEEILLLRSIIDVNLPKFLSHDLPLFEGITSDLFPGVKLPKPDYNDLLAAIKDNCASMNLQMTAFFSEKILQVYEMMIVRHGFMIVGEPFGGKTSAYRVLAGALNDICEKGLMEENKVQITVLNPKSVTMGQLYGQFDSVSHEWSDGVLAVSFRAFASSVTPDRKWLIFDGPVDAVWIENMNTVLDDNKKLCLMSGEIIQMSPQMNLIFEPMDLEVASPATVSRCGMIYMEPHMLGWRPLMLSWVNLLPASVSVIQKEFIMGLFDRMVPVSVEFIRKHTKELSPTSDTNLVRSLMNLIDCFMDDFADEVKLKERNDRETYSLLEGIFLFSLIWSVGASCTDDDRLKFNKILRELMESPISDRTRNTFKLQSGTEQTSSKALTVPFPEKGTIYDYQFVTEGIGKWEPWIKKLKEAPPIPKDVMFNEIIVPTLDTIRYSALMELLTTHQKPSIFVGPTGTGKSVYITNFLLNQLNKEIYKPLLINFSAQTTAAQTQNIVMSKLDKRRKGVFGPPLGKRMVVFVDDVNMPAREVYGAQPPIELLRQWLDHWNWYDLKDCSMIKLVDIQIMCAMGPPGGGRNPVTPRYMRHFNIITINEFSDKSMYTIFSRILTWHLEICYKFPDEFLDLTTQIVNGTMTLYKEAMKNLLPTPAKSHYLFNLRDFSRVIQGVCLSRPETTETTEVIKRLWVHEVLRVYYDRLLDNTDRSWLINYIQEILRNYMYEDFHELFQRLDFDNDGMVEADDLRSLMFCDFHDPKREDTNYREIADVDNLRMIVEIHLEEYNNISKKPMNLVLFRFAIEHISRISRILKQPRSHALLVGVGGSGRQSVTRLAAHMADYSVFQVEISKGYDTTEWHEDLKVILRKCAEGEMQGVFLFTDTQIKEESFLEDVSNLLNAGEIPNLFALDEKQEICDKMRQLDRQRDKTKQTDGSPIALFNMFIDHCRSQLHVVLAMSPIGDAFRNRLRKFPALVNCCTIDWFQSWPEDALQAVASRFLEEIEMSEEIRDGCIDMCKSFHTSTIDLSKSFFVELQRYNYVTPTSYLELISTFKLLLEKKRSEVMKMKKRYEVGLEKLDSASSQVATMQMELEALHPQLKVASKEVDEMMIMIEKESVEVAKTEKIVKADETIANEQAMASKAIKDECDADLAGALPILESALAALDTLTAQDITVVKSMKSPPAGVKLVMEAICILKGIKADKIPDPTGSGKKIEDFWGPAKRLLGDMRFLQSLHEYDKDNIPPAYMNIIRKNYIPNPDFVPEKIRNASTAAEGLCKWVIAMDSYDKVAKIVAPKKIKLAAAEGELKIAMDGLRKKQAALKEVQDKLARLQDTLELNKQKKADLENQVDLCSKKLERAEQLIGGLGGEKTRWSHTALELGQLYINLTGDILISSGVVAYLGAFTSTYRQNQTKEWTTLCKGRDIPCSDDCSLMGTLGEAVTIRTWNIAGLPSDSFSIDNGIIIMNARRWPLMIDPQSQANKWIKNMEKANSLYVIKLSEPDYVRTLENCIQFGTPVLLENVGEELDPILEPLLLKQTFKQGGSTCIRLGDSTIEYAPDFRFYITTKLRNPHYLPETSVKVTLLNFMITPEGMQDQLLGIVVAQERPDLEEEKQALILQGAENKRQLKEIEDKILEVLSSSEGNILEDETAIKILSSSKALANEISQKQEVAEETEKKIDTTRMGYRPIAIHSSILFFSLADLANIEPMYQYSLTWFINLFILSIENSEKSEILAKRLQILKDHFTYSLYVNVCRSLFEKDKLLFSFCLTINLLLHERAINKAEWRFLLTGGIGLDNPYANLCTWLPQKSWDEICRLDDLPAFKTIRREFMRLKDGWKKVYDSLEPHHEVFPEEWEDKANEFQRMLIIRCLRPDKVIPMLQEFIINRLGRAFIEPPPFDLAKAFGDSNCCAPLIFVLSPGADPMAALLKFADDQGYGGSKLSSLSLGQGQGPIAMKMLEKAVKEGTWVVLQNCHLATSWMPTLEKVCEELSPESTHPDFRMWLTSYPSPNFPVSVLQNGVKMTNEAPKGLRANIIRSYLMDPISDPEFFGSCKKPEEFKKLLYGLCFFHALVQERRKFGPLGWNIPYEFNETDLRISVQQLHMFLNQYEELPYEALRYMTGECNYGGRVTDDWDRRTLRSILNKFFNPELVENSDYKFDSSGIYFVPPSGDHKSYIEYTKTLPLTPAPEIFGMNANADITKDQSETQLLFDNILLTQSRSAGAGAKSSDEVVNEVASDILGKLPNNFDIEAAMRRYPTTYTQSMNTVLVQEMGRFNKLLKTIRDSCVNIQKAIKGLAVMSTDLEEVVSSILNVKIPEMWMGKSYPSLKPLGSYVNDFLARLKFLQQWYEVGPPPVFWLSGFFFTQAFLTGAQQNYARKYTIPIDLLGFDYEVMEDKEYKHPPEDGVFIHGLFLDGASWNRKIKKLAESHPKILYDTVPVMWLKPCKRADIPKRPSYVAPLYKTSERRGVLSTTGHSTNFVIAMTLPSDQPKEHWIGRGVALLCQLNS", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASRQPEVPPALAPSGPLSKMSLPIGMCRRAFSYDDALEDPAPMTPPPSDMGSIPWKPVIPERKYQHLDKTEEGAASVSSLAVTPSTATDSSDKAPVVKAKATHIIMNSLITKQTQESIQRFEQQAGLRDAGYTPHKGLTTEETKYLRVAEALHKLKLQSGETTREEKHPASAQSSPSSTPHSSPKQKSRGWFPSGSSTALPAPNPHSMDPGGGNDRNSADKWSLFGPRPLQKSDSGFAIQAYKGAPKPSPMEVMRAQATRAGEDPAVFKPPKMDVPVVEGKKQPPRTHNLKPRDLNVLTPTGF", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKVKKGGGGAGTATESAPGPSGQSVAPIPQPPAESESGSESEPDAGPGPRPGPLQRKQPIGPEDVLGLQRITGDYLCSPEENIYKIDFVRFKIRDMDSGTVLFEIKKPPVSERLPINRRDLDPNAGRFVRYQFTPAFLRLRQVGATVEFTVGDKPVNNFRMIERHYFRNQLLKSFDFHFGFCIPSSKNTCEHIYDFPPLSEELISEMIRHPYETQSDSFYFVDDRLVMHNKADYSYSGTP", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMEHDVEDLINQLDISEKAMLLSGTDLWHTAAIPRLNIPSIRLSDGPNGIRGTSFFNSSPSACFPCGTALGATFDKKLLFEVGEYLAEEAKAKGVSVVLGPTVNIHRGPLNGRGFESFSEDSTLSGLAASYVILGLQSKNVQACIKHFVCNDMEDERNSVSIDVSQRALREVYLMPFQLACKYSNFKSLMTSYNKVNGEHVSQSRILLDNILRKEWEWKGTIISDWFGTYSLKKAIDAGLDLEMPGKPRFRNVNTIQHLVGSKELSESILDERAKNVLKLVKHSWQNTEAENHCELNNDSSCLREALKKFASQSIVLLKNKKKLLPLSRKGTFAVIGPNAKVCNYSGGGSANLKPYYTVSMYDGIAAKIDGVPEYALGCHNYLNLPNIANLLVNPRTGKHGYVAKFYLEPATSENRTLIDDYDLEDGVVRFYDYCNDKMKDGYFYIDIEGYLIPDEDAVYEFGISVFGTALLFIDDVLLIDNKTKQTPTNHTFEFGTIEERNSIYLKKGRKYNVRVEYGSAATYTLSTNLSPSTGGRYSIGCVKVIDPETEIDYAVRVAKSVDCVILCVGLTAEWETEGEDRKTMTLPSLSDKLVYSILQSNPNTVVVTQSGTPIEMPWISEAHTLLHIWYNGNELGNALANIIFGEQNPCGKLPITFPKKLKDNPAYLSFRSSRGHCVYGEDVFVGYKYYEAVEREVLFPFGYGLSYTTFELSNLYLKNCGERLRIDLEISNTGPMSGAEIIQVYISQIVRSVNRPVKELKEFSKVVLCPKETKLIRIELDIKYATSFYDELNEKWCSEEGEYNVLVGTSSKDIALTGKFTLPKTIHWTGL", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPVHATPAAESQIISKPEVVRRTANFKPSVWGDRFANYAEDIITQTQMQEQVEELKQVVRKEVFTNAADDSSHQLKLIDEIQRLGVAYHFESEIDQALERIHETYQDIHDGGDLYNVALRFRLLRRHGYNVSCDVFNKFKDTNGDYKKSLVTDLSGMLSFYEAAHLRVHGEKLLEEALVFTTTHLQSASAKSSLLKTQITEAVERPLLKTMERLGARRYMSIYQDEASYSENLLKLAKLDFNVVQCLHKKELSDILRWYKELDFARRMPFARDRIVELFFWIAGIYFEPEYVFGRHILTKLIEITTVMDDMYDAFGTFEELVILTEAIDRWDASCMDQLPDYMQPFYITLLDVIDEVEEELTKQGRSYRIHYAKEIMKNQARLYFAEAIWFHEGCTPKMDGYMRVAASSVGNTMLSVVSLVGMGDIITKFEFEWLTNEPKILRASNTIFRLMDDIAGYKFEKERGHVASSIDCYMNEYGVSEQETIDIFNKRIVDSWKDINEEFLRPTAAPVPVLNRVLNLTRVVDLLYKRGDAFTHVGKLMKDCIAAMFIDPVPL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDGQTLRKAERSWSCSREKKEGYAKDMVTDFDEKHDEYLILLQQRNRILKHLKSKDPVQLRLEHLEQGFSVYVNGANSELKSSPRKAIHSDFSRSASHTEGTHDYGRRTLFREAEEALRRSSRTAPSKVQRRGWHQKSVQIRTEAGPRLHIEPPVDYSDDFELCGDVTLQANNTSEDRPQELRRSLELSVNLQRKQKDCSSDEYDSIEEDILSEPEPEDPALVGHPRHDRPPSSGDWTQKDVHGEQETEGRSSPGPDTLVVLEFNPASKSHKRERNLSAKRKDNAEVFVPTKPEPNLTPQAPAVFPDQERMCSRPGSRRERPLSATRKTLCEAEYPEEDASAVLQAIQVENAALQRALLSRKAEQPASPLQDAEGPPAKPWTSLLEEKEETLELLPITTATTTQEPAGAAGGARAINQAMDRIGLLGSRQQQKLLKVLQAVESDSAHLGRVVSPTKEQVSDTEDKQRMRADEIKDAIYVTMEILSNWGNSWWVGLTEVEFFDLNDTKLYVSPHDVDIRNTATPGELGRLVNRNLAGKKDSSPWTCPFHPPLQLFFVIRNTRQLGDFHLAKIKVRNYWTADGDLDIGAKNVKLYVNRNLIFNGKLDKGDREAPADHSILVDQKNEKSEQLEEAMNAHSEESKGTHEMAGASGDKELGLGCSPPAETLADAKLSSQGNVSGKRKNSTNCRKDSLSQLEEYLRLSAVPTSMGDMPSAPATSPPVKCPPVHEEPSLIQQLENLMGRKICEPPGKTPSWLQPSPTGKDRKQGGRKPKPLWLSPEKPLAWKGRLPSDDVIGEGPGETEARDKGLRHEPGWGTSRSVNTKERPQRATTKVHSDDSDIFNQPPNRERPASGRRGSRKDAGSSSHGDDQPASREDTWSSRTPSRSRWRSEQEHTLHESWSSLSAFDRSHRGRISNTELPGDILDELLQQKSSRHSDLPPSKKGEQPGLSRGQDGYSGETDAGGDFKIPVLPYGQRLVIDIKSTWGDRHYVGLNGIEIFSSKGEPVQISNIKADPPDINILPAYGKDPRVVTNLIDGVNRTQDDMHVWLAPFTRGRSHSITIDFTHPCHVALIRIWNYNKSRIHSFRGVKDITMLLDTQCIFEGEIAKASGTLAGAPEHFGDTILFTTDDDILEAIFYSDEMFDLDVGSLDSLQDEEAMRRPSTADGEGDERPFTQAGLGADERIPELELPSSSPVPQVTTPEPGIYHGICLQLNFTASWGDLHYLGLTGLEVVGKEGQALPIHLHQISASPRDLNELPEYSDDSRALDKLIDGTNITMEDEHMWLIPFSPGLDHVVTIRLDRAESIAGLRFWNYNKSPEDTYRGAKIVHVSLDGLCVSPPEGFLIRKGPGNCHFDFAQEILFVDYLRAQLLPQPARRLDMRSLECASMDYEAPLMPCGFIFQFQLLTSWGDPYYIGLTGLELYDERGEKIPLSENNIAAFPDSVNSLEGVGGDVRTPDKLIDQVNDTSDGRHMWLAPILPGLVNRVYVIFDLPTTVSMIKLWNYAKTPHRGVKEFGLLVDDLLVYNGILAMVSHLVGGILPTCEPTVPYHTILFTEDRDIRHQEKHTTISNQAEDQDVQMMNENQIITNAKRKQSVVDPALRPKTCISEKETRRRRC", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSQNGKIIPNLDQNSTRLLNLTVLQRIDPYIEEILITAAHVTFYEFNIELSQWSRKDVEGSLFVVKRSTQPRFQFIVMNRRNTDNLVENLLGDFEYEVQGPYLLYRNASQEVNGIWFYNKRECEEVATLFNRILSAYSKVNQKPKASSSKSEFEELEAKPTMAVMDGPLEPSSTARDAPDDPAFVNFFSSTMNLGNTASGSASGPYQSSAIPHQPHQPHQPTIAPPVAAAAPPQIQSPPPLQSSSPLMTLFDNNPEVISSNSNIHTDLVTPSFFGPPRMMAQPHLIPGVSMPTAPPLNPNNASHQQRSYGTPVLQPFPPPTPPPSLAPAPTGPVISRDKVKEALLSLLQEDEFIDKITRTLQNALQQ", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPKSNDDDVAQSEAVPLLDLVKPSLPISFPIKALQDLKSRSYFDSFHFQFNRSTVPFRRNSDCLPNRPRVLVCHDMKGGYVDDKWVQGCENEAGFAIWHWYLMDIFVYFSHSLVTIPPPCWTNTAHRHGVKVLGTFITEWDEGKATCKEMLATKESAQMYAERLAELATALGFDGWLINIENDIDEEQIPNMKEFVSHLKKVLHLSTPGALVIWYDSVTVRGNLQWQDQLTELNKPFFDLCDGIFMNYTWKESYPNLSAEVAGDRKFDVYMGIDVFGRGSFGGGQWTVNAALDLLKRNNVSAAIFAPGWVYETAQPPNFHTAQNKWWSLVEKSWGIVQTYPQVLPFYSDFNQGFGYHVSLEGRQLSDSPWYNISCQSLQPLLEFNEDNKDIIQVTVDQEGKNVFDFSEQHLNNYYEYDSAREASFNGGGNIVFRGKLKGDAYFTTRLFKPHLQLSSSPITISYSVKSDETSNLGILLSFSSPSLETKSILVAPEDPIRRFDDMSLQCLTTSVQTVSEWTVHEASLVMDGHTLTEISAFCYRPENSTKSAEFVALLGHISVKDHVQNQQNPEILLPASSWVIEAHNVELVPGNSSSKILRVKLEWRQKDLEDSAFTRYNVYAENVKSTDLRPRKVLEKPKSETVLLGIAHVPAYYVAELVVESDVKAVRFMVQACGEDASLGKLDEALNLLVDLEGLSVNHD", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVGMSMQPYGIQSMLKEGYRHLSGLDEAVIKNIEACKELSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEIQHPAAKLLVLAAKAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIISGYTKAVSKAVEILEQLVETGSETMDVRNKDEVISRMRAAVASKQFGQEEIICSLVTDACIQVCPKNPTNFNVDNVRVSKLLGGGLHNSCIVRGMVLKSDAVGSIKRMEKAKVAVFAGGVDTTATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVAESGAKVIVSGGSIGEMALHFCERYKIMVLKISSKFELRRFCRTAGAVAHLKLSRPSPEDLGYVDSISVEEIGGVTVTIARNEEGGNSISTVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELAQRLKEYANAEIGLDKYAITKYAESFEFVPKTLADNAGLNAMEIIAALYTGHGSGNTKLGIDLEEGACKDVSETKVWDLFATKLFALKYASDAACTVLRVDQIIMAKPAGGPRRDAAQAAGAGAEED", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTPKRALISLTSYHGPFYKDGAKTGVFVVEILRSFDTFEKHGFEVDFVSETGGFGWDEHYLPKSFIGGEDKMNFETKNSAFNKALARIKTANEVNASDYKIFFASAGHGALFDYPKAKNLQDIASKIYANGGVIAAICHGPLLFDGLIDIKTTRPLIEGKAITGFPLEGEIALGVDDILRSRKLTTVERVANKNGAKYLAPIHPWDDYSITDGKLVTGVNANSSYSTTIRAINALYS", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEEEGKKGKKPGIVSPFKRVFLKGEKSRDKKAHEKVTERRPLHTVVLALPERVEPDRLLSDYIEKEVKYLGQLTSIPGYLNPSSRTEILHFIDKAKRSHQLPGHLTQEHDAVLSLSAYNVKLAWRDGEDIILRVPIHDIAAVSYVRDDAAHLVVLKTAQDPGISPSQSLCAESSRGLSAGSLSESAVGPVEACCLVIMATESKVAAEELCSLLSQVFQIVYTESTIDFLDRAIFDGASTPTHHLSLHSDDSSTKVDMKDSYDADASTFCFPDSGDVGGLPPLPFCMQTSPHSKTVSESELSTSATELLQDYMLTLRTKLSSQEIQQFAALLHEYRNGASIHEFCISLRQLYGDSRKFLLLGLRPFIPEKDSQHFENFLETIGVKDGRGIITDSFGRHRRALSTTSTSTINGNRTTGSPDDRSAPSEGDEWDRMISDISSDIEALGCSMDQDSA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSDSIISFAAFILADAGLEITSDNLLTITKAAGANVDNVWADVYAKALEGKDLKEILSGFHNAGPVAGAGAASGAAAAGGDAAAEEEKEEEAAEESDDDMGFGLFD", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MARYEEVSVSGFEEFHRAVEQHNGKTIFAYFTGSKDAGGKSWCPDCVQAEPVVREGLKHISEGCVFIYCQVGEKPYWKDPNNDFRKNLKVTAVPTLLKYGTPQKLVESECLQANLVEMLFSED", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSGYSSLEEDAEDFFFTARTSFFRRAPQGKPRSGQQDVEKEKETHSYLSKEEIKEKVHKYNLAVTDKLKMTLNSNGIYTGFIKVQMELCKPPQTSPNSGKLSPSSNGCMNTLHISSTNTVGEVIEALLKKFLVTESPAKFALYKRCHREDQVYACKLSDREHPLYLRLVAGPRTDTLSFVLREHEIGEWEAFSLPELQNFLRILDKEEDEQLQNLKRRYTAYRQKLEEALREVWKPD", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNDERLVAINFEQQIFSKISEISESVGRAIRYCKEDGKVEGCETASHVDFLNHIHLLLGNYNNDLASSIEKKKSELIVRNSEGLPVMDIHEEVDKDGNIISASVAPQRISSVIDYADVFRSLPGFKNIENGGNRDTSSRIEELSEEEINDSTKNINYKAPEMGSLPSNTEFTSTPTLGVSEFPSKHGDHSDSKTYESPISNSQAASLSDSDMVQQIKNGSKTSLFKKGFLFKKNNAMQNRTTSNIKSNASAIEKNKETILNALNNSTLNENGHQDTQNEVLRDIVLEHPTAKAQDTGESNKDNNTSTSKHKKRPKRLSKFKQAKLETKKSGNKDHATSSEKLSLGNESIHSINETRSSSIEEPDNADNKIVEEEPMLLPVPTTAQGQNVLEAVQYDGLDSLEDMEALIQEMEEEGELDDNSESEEDEHGMTIGFSKELKAPPDPQYFTEKTGATTYVNGNDESLNVSDFPQIVEQEELSSKNPRKVHFSDTLEIKHVSRSGKANIEVIPAPTEEYDNLFGPDDFQSRISAFRKARSKLRAKENEEGNHSNATCTIKNDDLSNTLNNRAANTKLNPKEEDKSTVESELKAPPKEKSSETSKEYLNEPSVVKDFVVERTPSDKISESKEAFDAKVEEISDQRAISQRYYELRKKMIDNTGEYIKDEEEQAVIPLDENGNEKPKMSRFMTARLRGRVPIYEH", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNRAFSRKKDKTWMHTPEALSKHFIPYNAKFLGSTEVEQPKGTEVVRDAVRKLKFARHIKKSEGQKIPKVELQISIYGVKILEPKTKEVQHNCQLHRISFCADDKTDKRIFTFICKDSESNKHLCYVFDSEKCAEEITLTIGQAFDLAYRKFLESGGKDVETRKQIAGLQKRIQDLETENMELKNKVQDLENQLRITQVSAPPAGSMTPKSPSTDIFDMIPFSPISHQSSMPTRNGTQPPPVPSRSTEIKRDLFGAEPFDPFNCGAADFPPDIQSKLDEMQEGFKMGLTLEGTVFCLDPLDSRC", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSLHVLNDENVPNEKSSQCRDFQFLPPELTGRSSVLCLSQKENVPPQSQAKATNVTFQTPPRDPQTHRILSPNMTNKREAPFGLQNDHCVFLQKENQRPLAPVDDAPVVQMAAEILRAEGELQEGILTSSSLSASTSLLDSELVTPPIEPVLEPSHQGLEPVLESELVTPPVEPVLEPSHQELEPVLESELVTPPIEPVLEPSHQGLEPVLDSELVTPPIEPVLEPSHQGLEPVLESELVTPPIEPVLEPSHQGLEPVLDSELVTPPIEPVLEPSHQGLEPVLDSELVTPPIEPLLEPSHQGLEPVVDLKEESFRDPSEVLGTGAEVDYLEQFGTSSFKESAWRKQSLYVKFDPLLKDSPLRPMPVAPITNSTQDTEEESGSGKPTEAELVNLDFLGDLDVPVSAPTPVWSLEPRGLLPAEPIVDVLKYSQKDLDAVVNVMQQENLELKSKYEDLNTKYLEMGKSVDEFEKIAYKSLEEAEKQRELKEIAEDKIQKVLKERDQLNADLNSMEKSFSDLFKRFEKRKEVIEGYQKNEESLKKYVGECIVKIEKEGQRYQALKIHAEEKLRLANEEIAQVHSKAQAEVLALQASLRKAQMQNHSLEMTLEQKTKEIDELTRICDDLISKMEKI", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAMQKIFAREILDSRGNPTVEVDLHTAKGRFRAAVPSGASTGIYEALELRDGDKARYLGKGVLKAVEHINKTLGPALLEKKLSVVDQEKVDKFMIELDGTENKSKFGANAILGVSLAVCKAGAAEKGVPLYRHIADLAGNPDLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMRIGAEVYHHLKGVIKAKYGKDATNVGDEGGFAPNILENNEALELLKTAIQAAGYPDKVVIGMDVAASEFYRNGKYDLDFKSPDDPARHISGEKLGELYKNFIQNYPVVSIEDPFDQDDWATWTSFLSGVDIQIVGDDLTVTNPKRIAQAVEKKACNCLLLKVNQIGSVTESIQACKLAQSNGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTGAPCRSERLAKYNQLMRIEEALGDKAVFAGRKFRNPKAK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSARSSGLVAAAALPVPSSSSSVAGGDVPRPPPRRRAASVAGQQQTRQEFGNGYTPRRSLAAVNDSGDSCHLRIVVLTGQSLAKKDIFGASDPYVRIDLNTINGDINIDSVLTKTKKKTLNPTWNEEFIFRVKPSEHKLVFQVFDENRLTRDDFLGMVELTLVNLPTEQEGRTIGEQSYTLRPRRSVGAKSRIKGTLRIYHAFIRETREQSEPSSGNSDGEWEHVEATNAGETSAQPHPFPTGGHDALPAGWEERQDANGRTYYVNHTARTTQWDRPTVLNSHSSQSTDDQLASDFQRRFHISVDDTESGRSADSISHNSIEDNNNAAGLAYTPKTAATSSAPPNTPTNNNGILAQIAMQYRAEEDQDPTVDHTSFVYNSLRHPVAHRQPEISATSLQNDLRPVREAPGVPDIAITNPFTRRAAGNMAGGAGWQQERRRQQMQLHIQQHQQRQQQQQQNRILLDVDHRQQEPQHRGQRHQQQHRPSNEDTDHTDSHNPSDISAPSTRRNSEEDNAAVPPMEQNTGGEEEPLPPRWSMQVAPNGRTFFIDHASRRTTWIDPRNGRASPMPNQTRRVEDDLGPLPEGWEERVHTDGRVFYIDHNTRTTQWEDPRLSNPNIAGQAVPYSRDYKQKYEYFKSHIRKPTNVPNKFEIRIRRTSILEDSYRIISSVTKTDLLKTKLWVEFEGETGLDYGGLAREWFYLLSKEMFNPYYGLFEYSAMDNYTLQINNGSGLCNEEHLSYFKFIGRIAGMAVYHGKLLDAFFIRPFYKMMLQKPIDLKDMESVDTEYYNSLMWIKENDPRILELTFCLDEDVFGQKSQHELKPGGANIDVTNENKDEYIKLVIEWRFVARVKEQMSSFLDGFGSIIPLNLIKIFDEHELELLMCGIQNIDVKDWRENTLYKGDYHMNHIIIQWFWRAVLSFSNEMRSRLLQFVTGTSRVPMNGFKELYGSNGPQMFTIEKWGTPNNFPRAHTCFNRLDLPPYEGYLQLKDKLIKAIEGSQGFAGVD", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGPTDQDWIGCAVSIACDEVLGVFQGLIKQISAEEITIVRAFRNGVPLRKQNAEVVLKCTDIRSIDLIEPAKQDLDGHTAPPPVVNKPTPVKLPHFSNILGKQQQLQLQQQQQQLQLQQQQKQQFQEQEREQDLPSTPRSRANDNGRVAAGGASSSSGPRGNFNSALSDKMHQLKLIETNGSNGTLRTPQTSRASSAQQQPQISTTPNSVAAFFGNMIPPKVEVKLGSYVSNTRESYCSSSGDSGEATGLSLGSSKPIDIVSNGDGFYKQTAASSYGNTNGNVRRNGNANNNGNGTGNGSYTNGNGNGNGKNKRNRVRRESSMRQQQVQLTFGSEADDPLIHEDFDFEGNLALFDKQAIWDDIESTTQKPDVVRHIVNNHHHKPEQKYRHDENILASKPLQLRQIESMFGGSQDFVTDDGLIIPTIPAYVRNKIEISADKAGLSLQRQIDILARGASDLAITLLGGARRLTPANNHQWPKIAIICDGGKNMRTINIGAATGRQLASHGLTVLLYVEQAKLLEQNSSSPEISLFKATDNVIVHSVDALPTPDLVILSTNTANLSDAIRKWLSVNRASVLAIDPPPCGINEVAIKYSILPILPLNGISTATTSSSSSAAATPTPIASTSAAASATKSAASTNNCGKLYLCNLGIPDKFYRDCGIKYKSPYGHKYVIPIHSKD", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSTQTRSGGGGGGGHARNQKKSNASNSGGGGTGHHDGVSHAAAAGKKGGQDASKTDKPEKAQPKATTEQLRIAQITNSTTEDPQINEKVLLLLTMTQRSEEEVCCALNECDYDLEAAANFLIEELPQGAFAKYEKKRKNKAANNTADGAAGDGDWADGNGNADRREKSRNRSSNRGGTRGSSDSRGWRGRETRENERNQRESREPWSGQNAGQDRGDDRANDNYRGQRNGGGRSGPGGGGRGGGFVSRSGRGGGRMGGRTGGPRGDRGSGGPGGAYGSGRGGNANEDHHEVELWDNTIAQNAEKQQQAHDDAWGDWNNEEYEGSLKDSKVFTTSNLATQSAANVVSGTGASVTAVPAAAGTEISAPPGLEHQLVQQGSHLEESSSSGPAAVTPPATLSGSATTPLLQYSAAVSNPPPQLQSQGTQSGAGTGASAAAGGGAGSTPSSFVSASPDTFSSAASAAATLVHQAQKQQQLQQQTTPIKPSATLSVEQSQYFNSLASQGVSPGSVPVQSAPAGYAQNPVAAYSQTSTSVGVSQYPNTYANVFASGTAAGAGTAEQSQQQPQIRRARVKLPPPSKIPASAVEMPGDNALNNIGYLDVQFGALDFGTDDGFEPLPEKVGSGFSIDGQQQQQQPDDYQSKSQQQQQVTLAAGLQSSQISDALNAAGYTSRSTSQQQQGVSSAVNATIDQLTKSDPYGQTGGSGNAYQNAYQSSGASKTASGFPTTAPGGYSSSTYANVQSSVANSYQQQGYGSYQPSSYQQQAGSGAQSGTGAVSGGGGTATQNIPVGGSSSQNSTSGNASSAYLTSGYSTPQSAYQSSQSVYGNTGLSNSSGFSGSASNASSQYANFSASAKLKDATTASSAAHYDSVSTSSGVSSNSGSTGNGGVVSGQTGANQAAVSNNNSVSGSSSVSNVTAGVASGNVAGVGGGVSQSGVSSGVGVPGGSASSVGVNVNNNSSSASSVGAATVAQTATGTTAAVLASLTNKNTSSSNSSGSGGSAATTTGNASGQGAGASTGGVGSSSGAGGAGSGGGSGSGLVPTNIQMVSQYIQTGLPYYQQPVYSYEELQMMQQRVPHVQGYYDLNYPPASLGAGRDNLGSVTYSAMNDGRFARTDNNSSPVGNVSSTMSQQAGSSAPMLNVPYAYFYGGNVMPGSFQYGTPAIYPQIPAANTASGQQFPKPSYSAGYGSTSYDTLSQTTQDYSKGGYSSSVNQQSKTQTVSNQSQAGTGSDLTSSMYGKGHVALNKVNSYEKQSFHSGTPPPFNMPNTQTAGGTSAQPYGMYLPMPAAGHHNMIHQPIHQVHSELPLQVVVGGGTESHVLIHGNVNGPQVTYHRIWQTEPVQCQNQLVAAHCNNFEPNIDCCVHDNNNDLSFFAD", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNPATIITNFNNSQLQTPPTSPVSFDKYYTYYNIQLEQSTLMPQPSKIKNGDPFTWSDIQFIIKSNQLEIFARSRQQTIKYHKFKQWLKDNKLSINDYLLDYELHWKESELREQQHELVSDKEYSIDYPEDLIFHNPNDISILYNKFPYYFEPNVKHICIWSKLKIPVDKNSEVGDISVMTKKLINRYLEKTFVAKGISWDQIVWFKNWLSLQSVRSISHIHVILKDVDDKFVDELISGGSGEVLTLDDYRNLE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFPVAPKPQDSSQPSDRLMTEKQQEEAEWESINVLLMMHGLKPLSLVKRTDLKDLIIFDKQSSQRMRQNLKLLVEETSCQQNMIQELIETNQQLRNELQLEQSRAANQEQRANDLEQIMESVKSKIGELEDESLSRACHQQNKIKDLQKEQKTLQVKCQHYKKKRTEQEETIASLQMEVCRLKKEEEDRIVTQNRVFAYLCKRVPHTVLDRQLLCLIDYYESKIRKIHTQRQYKEDESQSEEENDYRNLDASPTYKGLLMSLQNQLKESKSKIDALSSEKLNLQKDLETRPTQHELRLYKQQVKKLEKALKKNVKLQELINHKKAEDTEKKDEPSKYNQQQALIDQRYFQVLCSINSIIHNPRAPVIIYKQTKGGVQNFNKDLVQDCGFEHLVPVIEMWADQLTSLKDLYKSLKTLSAELVPWLNLKKQDENEGIKVEDLLFIVDTMLEEVENKEKDSNMPHFQTLQAIVSHFQKLFDVPSLNGVYPRMNEVYTRLGEMNNAVRNLQELLELDSSSSLCVLVSTVGKLCRLINEDVNEQVMQVLGPEDLQSIIYKLEEHEEFFPAFQAFTNDLLEILEIDDLDAIVPAVKKLKVLSY", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDATQLTELMGSHDFMQLQHQLHHNNNNYNTDGHNGLSSESAEGSSRPVRRATRRTSQLSNNTYDLEMTDSSSQSDDTSGGGGSSNGGGSTTNTGHPSGCSLGGQGPSGRGRVQQASSGACPSTIAPNSTSSNSSNANGNASRRRKGALNAKERNMRRLESNERERMRMHSLNDAFQSLREVIPHVEMERRLSKIETLTLAKNYIINLTHIILSKRNEEAAALELNSGAVGGVLLSNLSSESGGPVASGIPANSNAATICFEDTLASGGAFDCAILAATDGSLLNAATVTTSPAMQSIQSQAIHLQTPMEQQQQQASHLPHHQQAMHGHGHLGASIQSQQQPSLVLNGTTSVGLGIGIGVGVGVGVGVCNNAPSFADINDNFDEPFREFL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTSHLDDEGLHPMVSTLLGGGTLPTVPFKAYTRERMMELRTTKASMTRPENLSEDFNGEDGKFSPLKWLEHRWEIEGIKNRPMSKKIDSLCAGADENTGLSPQRRAFSSGCKAPTDDKGRDGEYERLGGHGKNWRNGSTGGADKFASRGNDFKPSFQKGNQLERGTRGAEWKKDTTRGAKFAPRREERLTSLSGSEKLPEWADGPTTMDDMIELRGFDEPKKVKNKKNPKEKKEKEAVKAPEPVECVGSRPSSTGLKTSEPIDDPAIAYSSSGGGALPATDQELAALLGCLDIQKTSRKIDGDDMAWAHKSEETAGGTSRLSRFFAKKNKSPELDAMLSSVGGGNDENVANPMLARLFGHSGGDNNASSSGAGDIKGGMRLEDLEKGMESKEPSKVSPLQDPSQQAQLLQHLQKFAKQQAESGQHIHHHRQPTPPNGGPQHQQHLHHPMVHPGMQIIADPSLLASFAQNPVILNAYVENQLQEAVNAAIRANNGQQLPPQLHEQLRMASMRNKAFLQSQTLTFVSLQQQHQNIQQHQQQQQHHQHKGRTPAMIPASVQRQLQKSSSNADQKKEKTSQSPPESNQETSDAHNQSDAMNQLKKLHMQQNYANMVQAMNSGVGWARGNGVVNGQQQHPQQQLPPNVQMLMAQHQQAQMQHLKMMMSRAQQQHMLMAKIAQMQQQQAQMANMQERQGPSHNQQQHQPVVPSELSQVGPIQTPLEKLLASVGVQGSQFTGSGDRIPSSVRPMSLEDLEKQLTAVPK", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MASSPGNTNPHNTPPFDLGILFKPSSNPYPPPAASYPPPTGPFLHNQYDQQHYAPPGISAQPSPVTQQQQDVSSSSAATNLHPQRTLSYPTPPLNLQSPRSNHNPGTHILALLNNTNNGAPVANQEPSHQLPVVNHNEIARSFPGGSGPIRVPSCKLPKGRRLIGEHAVYDVDVRLQGEIQPQLEVTPITKYGSDPQLVVGRQIAVNKVYICYGLKGGNIRVLNINTALRSLFRGHSQRVTDMAFFAEDVDMLASVSLDGKVFVWKISEGSEGEDQPQITGKIVLALQILGEEDTKHPRVCWHCHKQEILVVSIGKHVLRIDTTKVGRGEVFSAEAPLQCPLDKLIDGVQIVGKHDGEVTDLSMCQWMTTRLVSSSVDGTIKIWQDRKAQPLVVLRPHDGHPVSSATFVTSPERPDHIILITGGPLNREMKIWVSAGEEGWLLPADAESWRCTQTLDLKSSTEPRAEEAFFNQVIALSEAGLLLLANAKRNALYAVHLDYGSSPVGTRMDYLSEFTVTMPILSFIGTNDPPEEPIVKVYCVQTLAIQQYTLDLCLCLPPPIENMGLEKSDSSVSREANLVEGMSEPSGLKPTDLPSVDSVPKPSIIVNRSESANKLSFPSAEATSQAIVPPNGEPKTSGLPSQTSGAGSAYATLPQLPLSPRLSSKLSGYHTPVEAIEPVIPHHELGGKTPSADYSVDRQMDAVGERNLDVSSVEEISRSKDSNVTPDDDVSGMRSPSAFFKHPTHLVTPSEILMGVSSAEASITTEDRRDRDANIQDVNNDPRDTEVEVKEISEARSTQNGEINDHDETENCTSENREKVFCSQVSNLSTEMARDCYPSTEGTFIPGESKAYGQPIKAGDESGVDSRGGPAKLLKGKKQKAKNSQGPGLSSTSSNVANLADSFNEQSQSLSHPMTDLLPQLLAMQETMNQVMASQKEMQRQLSNAATGPIGKESKRLEVALGRMIEKSSKSNADALWARIQEETVKNEKALRDHAQQIVNATTNFMSKELNAMFEKTIKKELAAIGPALARSVVPVIEKTVSSAITESFQRGIGDKAVNQLDKSVNIKLEATVARQIQAQFQTSGKQALQEGLRSSVESSVIPSFEKACKAMFDQIDSAFQKGIAEHTNAAQQRFDSGHSQLAHTLKESITSASSVAQALSRELAETQRNLLALAAAGANSGGSNSLVTQLSGGPLGALLEKVEAPMDPTTELSRLISERKYEESFTSALQRSDVSIVSWLCSQVDLRGLLAMNPLPLSQGVLLSLLQQLACDISKDTSRKLAWMTDVVAAINPSDQMIAVHARPIFEQVYQILHHHRNAPGSDVSAIRLIMHVINSMLMGCK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNLPPNPVIARGRGRGRKPNNVEANRGFAPSLGQKSDPSHSEGNQASGGNGGGGDAQVGPSIEKSSLSAVQMHKSEGDPRGSVRGRRLITDLVYSRPPGMTSKKGVVGTHITVQANYFKVLKRPNWTIYQYRVDFTPDVEATRLRRSFLYEHKGILGGYIFDGTNMFCINQFKAVQDSPYVLELVTKSRAGENIEIKIKAVGSVQSTDAEQFQVLNLILRRAMEGLDLKLVSRYYYDPQAKINLENFRMQLWPGYQTSIRQHENDILLCSEICHKVMRTETLYNILSDAIRDSDDYQSTFKRAVMGMVILTDYNNKTYRIDDVDFQSTPLCKFKTNDGEISYVDYYKKRYNIIIRDLKQPLVMSRPTDKNIRGGNDQAIMIIPELARATGMTDAMRADFRTLRAMSEHTRLNPDRRIERLRMFNKRLKSCKQSVETLKSWNIELDSALVEIPARVLPPEKILFGNQKIFVCDARADWTNEFRTCSMFKNVHINRWYVITPSRNLRETQEFVQMCIRTASSMKMNICNPIYEEIPDDRNGTYSQAIDNAAANDPQIVMVVMRSPNEEKYSCIKKRTCVDRPVPSQVVTLKVIAPRQQKPTGLMSIATKVVIQMNAKLMGAPWQVVIPLHGLMTVGFDVCHSPKNKNKSYGAFVATMDQKESFRYFSTVNEHIKGQELSEQMSVNMACALRSYQEQHRSLPERILFFRDGVGDGQLYQVVNSEVNTLKDRLDEIYKSAGKQEGCRMTFIIVSKRINSRYFTGHRNPVPGTVVDDVITLPERYDFFLVSQAVRIGTVSPTSYNVISDNMGLNADKLQMLSYKMTHMYYNYSGTIRVPAVCHYAHKLAFLVAESINRAPSAGLQNQLYFL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MADNDFEGFGIFEEPEGFRPSTPPPKEVLHTRVIVPNGPEEIKLRLVGSHSLWAHYLWNSGIELANYIDKNPDTVRAKKVLELGAGAGLPSIVSAFDGAKFVVSTDYPDPALIDNLEHNVKQYAEIASKISAVGYLWGSNIKEVMSNAGFKDNEVFDILLLSDLVFNHTEHSKLIKSCKMAIEGNPNAVVYVFFTHHRPHLAKKDMIFFDIAQSEGFQIEKILEEKRTPMFEEDPGAPEIRATVHGYKMTIPIPV", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MADSQLFCVAEERSGHCAVVDGNFLYVWGGYVSIEDNEVYLPNDEIWTYDIDSGLWRMHLMEGELPASMSGSCGACINGKLYIFGGYDDKGYSNRLYFVNLRTRDETYIWEKITDFEGQPPTPRDKLSCWVYKDRLIYFGGYGCRRHSELQDCFDVHDASWEEQIFWGWHNDVHIFDTKTQTWFQPEIKGGVPPQPRAAHTCAVLGNKGYIFGGRVLQTRMNDLHYLNLDTWTWSGRITINGESPKHRSWHTLTPIADDKLFLCGGLSADNIPLSDGWIHNVTTNCWKQLTHLPKTRPRLWHTACLGKENEIMVFGGSKDDLLALDTGHCNDLLIFQTQPYSLLRSCLDCIGKNSIMLESQISLLPPKLLQQVLKKITFWAAANHREEQRVQKEETENKYQWISSN", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKLIQKNIEKNGSGWITMCPEEPEDMWHLYNILQVGDQLKASTVRRVVKVGATGSTSGSRVVMKLRILVENMDFDTKAAQLHIKGRTTEYHPEVKMGSYHTLDLELHRNFTLYKNEWDAFALDRVDAACNPSRNAEIGAVVLDEGLANICLITDYMTILRQRIDQVIPRKRRGDSSAYQKGLDKFYDSVFQSINSEFDFDKLKVVILASPGFVARGLYDYIFSMAVKLDLKQIVKSKNKFVILHSSTGHIHSLNEILKDPAVESKLADTKYVQEIRVLNKFYDVMNEDDRKAWYGPNHVLKAFELGAIGELLISDSLFRSSDIATRKKWVSLVEGVKEINCPVYIFSSLHESGKQLDLLSGIAAILTYPVDEEDISEDEEDEESQNFEHS", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSSRTVLAPGNDRNSDTHGTLGSGRSSDKGPSWSSRSLGARCRNSIASCPEEQPHVGNYRLLRTIGKGNFAKVKLARHILTGREVAIKIIDKTQLNPSSLQKLFREVRIMKGLNHPNIVKLFEVIETEKTLYLVMEYASAGEVFDYLVSHGRMKEKEARAKFRQIVSAVHYCHQKNIVHRDLKAENLLLDAEANIKIADFGFSNEFTLGSKLDTFCGSPPYAAPELFQGKKYDGPEVDIWSLGVILYTLVSGSLPFDGHNLKELRERVLRGKYRVPFYMSTDCESILRRFLVLNPAKRCTLEQIMKDKWINIGYEGEELKPYTEPEEDFGDTKRIEVMVGMGYTREEIKESLTSQKYNEVTATYLLLGRKTEEGGDRGAPGLALARVRAPSDTTNGTSSSKGTSHSKGQRSSSSTYHRQRRHSDFCGPSPAPLHPKRSPTSTGEAELKEERLPGRKASCSTAGSGSRGLPPSSPMVSSAHNPNKAEIPERRKDSTSTPNNLPPSMMTRRNTYVCTERPGAERPSLLPNGKENSSGTPRVPPASPSSHSLAPPSGERSRLARGSTIRSTFHGGQVRDRRAGGGGGGGVQNGPPASPTLAHEAAPLPAGRPRPTTNLFTKLTSKLTRRVADEPERIGGPEVTSCHLPWDQTETAPRLLRFPWSVKLTSSRPPEALMAALRQATAAARCRCRQPQPFLLACLHGGAGGPEPLSHFEVEVCQLPRPGLRGVLFRRVAGTALAFRTLVTRISNDLEL", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTTAAERKYINIRKRLDQLGYRQTLSVDSLPLVEKLFSDLVHTTESLRQCRLSSGKAEKESANLDFVLEPYKLENTRLNKENNELYLELMKLRECSDKHIKDLKTTLKKCSRETADLKFLNNQYVHKVKVLEKESKAKDEKIQQLQEKNLRAVVQTPGGRKRNIAFRRQRMQIDEPAPPSEVSAYPVPQPEDPYIADLLQVADNRIQELQEEVQQLQEKLAQMEKGVLDYSKQIELREREIQRLSLALDGGCSPDVLSLETRNKTNEKLIAHLNVQVDFLQQANKELEKHIQELMETKETVTTEVVNLSNRNEKLCQELTEIDQLAQRLERHKEQVLETADKELGEAKKEIKRNLCEMRNLEEKMSKLQWELDLSHKEKERLNSELLLKSDLETVVHQLEQEKQRLSKKLQSFAVTERELTLEVERMRLEHGIKRRDKSPSRLDTFLKGIEEERDYYKKELEKLQHLIQRRSCAINYSAREKPPVVKCSEKGDCSTDVHLITRERDELQRMLERFEKYMEDIQSNVKLLTAERDKLNVLYKEAKEELSTLRKESTNSTSPNHLVSCVEKEKERALSELRRITAEKEALREKLKNIQERNAVGKSDLEKTIEHLTYINHQLENEKYELQSKMLMMKETVESLENKSKLQAQKLSHVTGDSSHQKTEMTSLRIVSEQLQRSLDDCQHRLSIKRGELESAQEQIKMLEQKLENLSHRMTVQSEETHAMKKTIGVMDKEKDFLQETVDEKTEKIANLQESLLSKEKVIAQLKVTVAEYETSLNQLQETLTTRDREINSLRRQLDASHKELDDVGKSREISFKENRRLQDDLATMARENQEISLELEAAVQEKEEMKSRVHKYITEVSRWESLMAAKEKENKDLLDRFQMLHSRAEDWEVKAQQAEGENSSVRLELLSIDTERRHLRERVDLLEKEIQEHINAHHAYESQISSMAKAMSQLEEELRRHESEKATMLGDVSSLRELCIKLDSGKDVMTQQLNSKSLELERAVAELENVKSESELLKKQLTNERQTIKNLESLLATNRDKEFQSHLTSHEKDTEIQLLKEKLNLSESKLTTQSRETSMLRTKVTQLQTDYDNLKRQMSNEKYERERAIQEMRRLGLPTSPLSSTLKSPVQTPDHINA", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAMSSITSAKQLNAEELLDECDSFNGEFVPGTIPFRANGAAIGYVTPLVLEILIKADNFKFNWVYVPGEYIEINASTFEKRTDILAKVLEHWRHNNTFGIADQWRNELYTVYGKSKKPVLAVERGGFWLFGFLSTGVHCTMYIPATKEHPLRIWVPRRSPTKQTWPNYLDNSVAGGIAHGDSVIGTMIKEFSEEANLDVSSMNLIPCGTVSYIKMEKRHWIQPELQYVFDLPVDDLVIPRINDGEVAGFSLLPLNQVLHELELKSFKPNCALVLLDFLIRHGIITPQHPQYLQTLERIHRPLPVPVGKYERGDSFEDTSKKAETCVPAKPQKATHQLAPCKAWLRDYDTDQKFAVLLLNQPIDIPDDRFRTLWKRASIRVCADGGANQLRNYDSSLKPDYVVGDFDSLTDETKAYYKEMGVNIVFDPCQNTTDFMKCHKIIKEHGIDTIFVLCGMGGRVDHAIGNLNHLFWAASISEKNEVFLLTELNVSTLLQPGINHVDCHDNIGLHCGLLPVGQSVYVKKTSGLEWNIEDRICQFGGLVSSCNVVTKATVTIEVNNFIVWTMETRL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDLSFTTKSVKINGQNHRILLQNENGPCALLALANILILSPDHTRFSNELIRLVNKGSQISLKELIEVLADIALQVTDKPSTDISELLSLLPRLHEGLNINPEFNGSFENTKEMSIFRLFNVDVVHGWVINSFINENIDEKLSHYSYESAQRILTQAADINCGISQDENSDEVLRDAMHLGLFLNESPTQLTAFGLLRLREKLLHNKFSILFRNDHFSTLFKYEDRLYTLVTDFGYKNCKDIVWQSLDSVDGSCDAFFAGNFSAAEVNGQQLSTDIERDFGTGNLLLEEIQQIENDKELAKQLQEQEQERVTKFEAKRKIHSHKKNSEIHAPVKKDKFKRRSSLLNAKASEKEKSECVVM", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAGLKDVVTREYTINLHKRLHGVSFKKRAPRAVKEIKKFAKLHMGTEDVRLAPELNQAIWKRGVKGVEYRLRLRISRKRNEEEDAKNPLFSYVEPVLVASAKGLQTVVVEEDA", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNTPQISLYEPGTILTVGSHHAKIIKYLTSGGFAQVYTAEISPPDPYSNANIACLKRVIVPHKQGLNTLRAEVDAMKLLRNNKHVVSYIDSHAARSVNGIAYEVFVLMEFCERGGLIDFMNTRLQNRLQESEILEIMSQTVQGITAMHALQPPLIHRDIKIENVLISHDGLYKVCDFGSVSGVIRPPRNTQEFNYVQHDILTNTTAQYRSPEMIDLYRGLPIDEKSDIWALGVFLYKICYYTTPFEKSGEAGILHARYQYPSFPQYSDRLKNLIRLMLMEAPSQRPNICQVLEEVSRLQNKPCPIRNFYLLRAMNQNANTQLAGEPSSTTYVPTQKFIPVQSLQSINQPPNMMPVTHVSTTPNLGTFPISINDNNKTEVTAHAGLQVGSHSNLTSPLMKTKSVPLSDEFASLYYKELHPFQKSQTFKSVESFQSPQRKSMPPLSLTPVNNDIFDRVSAINRPNNYVDSETQTIDNMAVPNLKLSPTITSKSLSSTKEIAAPDNINGSKIVRSLSSKLKKVITGESRGNSPIKSRQNTGDSIRSAFGKLRHGFTGNSVNNSRSASFDNNNVNGNGNNTNRRLVSSSTSSFPKFNSDTKRKEESDKNQRLEKRRSMPPSILSDFDQHERNNSRTGSRDYYRSHSPVKKTQASAKTTSKPTLIPDNGNVNINQEKKESIQRRVHNLLKSSDDPVTYKSASGYGKYTDIGTETSNRHSSVRITPITEEKFKKTLKDGVLDIKTKSNGKDKSRPPRPPPKPLHLRTEIQKIRNFSRLQSKKLPIERISSEATETIVDVNVDDLEADFRKRFPSKV", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAKFLKAGKVAVVVRGRYAGKKVVIVKPHDEGSKSHPFGHALVAGIERYPSKVTKKHGAKKVAKRTKIKPFIKVVNYNHLLPTRYTLDVEAFKSVVSTETFEQPSQREEAKKVVKKAFEERHQAGKNQWFFSKLRF", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAKFLKAGKVAVVVRGRYAGKKVVIVKPHDEGSKSHPFGHALVAGIERYPLKVTKKHGAKKVAKRTKIKPFIKVVNYNHLLPTRYTLDVEAFKSVVSTETFEQPSQREEAKKVVKKAFEERHQAGKNQWFFSKLRF", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDFVMKQALGGATKDMGKMLGGEEEKDPDAQKKEEERQEALRQQEEERKAKHARMEAEREKVRQQIRDKYGLKKKEEKEAEEKAALEQPCEGSLTRPKKAIPAGCGDEEEEEEESILDTVLKYLPGPLQDMFKK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTKKDKKAKGPKMSTITTKSGESLKVFEDLHDFETYLKGETEDQEFDHVHCQLKYYPPFVLHDAHDDPEKIKETANSHSKKFVRHLHQHVEKHLLKDIKTAINKPELKFHDKKKQESFDRIVWNYGEETELNAKKFKVSVEVVCKHDGAMVDVDYKTEPLQPLI", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSSVPNSDRKIVTGPAGYILEDVPHFSDDFPDHPTYPNPLQDNAAYSVVKQYFVDEDDTVPQKIVVHPDSPRGTHFRRAGPRQRVYFESDDVLACIVTCGGLCPGLNTVIREIVCGLSYMYGVKRILGIDGGYRGFYARNTIHLDLKTVNDIHRSGGTILGTSRGGHNTTKIVDSIQDRGINQVYIIGGDGSQKGAAAIFEEIRKRKLKVAVAGIPKTIDNDIPIIDRSFGFDTAVEEAQRAINAAHVEATSFENGIGLVKLMGRYSGFIAMHATLASRDVDCCLIPESPFFLEGSGGLFEFIDKRLKESGHMVIVIAEGAGQDLLSESMKESTTLKDASGNKLLQDIGLWISQRIKDHFAKKMTLTLKYIDPTYMIRAVPSNASDNVCCTLLAQSAVHGVMAGYNGFTVGLVNGRHTYIPFNRITEKQNKVVITDRMWARLLSSTNQPSFMKQADKIHSNQLVGEPGTMKW", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MADGWDAEKIFNTTVFGFTYDDLILMPGHIDFGVNDVDLSTRITRNLHVRTPIVSSPMDTVTEHRMAIGCALMGGMGVIHNNMETARQVAEVQKVKRYENGFILDPFVLRPSDSVADVYRIKEKYGYSSVPITDTGMLGGKLLGIVTSRDIDFLTDVHTPLSEVMTSDLVVGHEPVQLAEANELLRESKKGKLPIVNDNFELVALISRNDLKKNREFPLASKDSNKQLLVGAAVSTKPHDIERAKALQEAGADVLVVDSSQGDSIYQVDLVKRLKAAFPELQIIGGNVVTARQAKSLIDAGVDGLRIGMGSGSICTTQVVCAVGRAQATAVYHVCKYAREHGDVPCIADGGIQNSGHVMKALALGANAVMMGSMLAGTEEAPGEYYFHNGVRVKTYRGMGSLDAMRAGTRRTASPPARGLRSPEASPSTAASSGGASRASALSEASPSAKSEASRTSTSTGSAARYFAENQTIRVAQGVSGCVVDKGTVMQLIPYVIQGVKHGMQDIGARTLRDLHAQLVGGELRFDVRSGAAQREGDVHDLHSFERKLYA", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDPHWKRHDSSNIPTQPSPSASPKSNKPSSAQRFGNLPWVAPDVIQSQIEYLQALQMQQSLSESENYLQPNFFPFQSGPFSKSRRENTLLPRLNPLAPIGARQPNPSIPQQFSKPINESGTGTMGPAVGELTSPVMKNRAESIFSPVTESFEAFTQGMQTTPQRAGAGVSTATSHTRRRSSAGTDPFSPVSPSNPNFLTPLKPIDGNQEWQQSPLESPLSMHSLQESLHSVEPESPIFQQAPQIPLSNASQQSYINHSSDGASLPQSSFLNFNSSGKRVSVSAAAQQHKNLFLPYLPQASLPKFIGTGKLLVGTLHINRKNRSDAYVITDVLDEPIFICGSKDRNRTLEGDLVAVELLDVNEIMQTKREKEEKKIRRNLSLSGSSKYSVNSKAKMMSISTPMALGMNRGVLSFERSIEKRKNDYEVTGQSLSFVDDVSLTPDSAPKYAGHVVAVLNRPSGETCSGTLALYRPNSLALKNQSSHRRNSSTSSGETGKGPKIVWFKPSDKRIPLIAISSDQVPPTFFTNNDDFKDKVFLAGIKRWPTTSLHPFGTLYESIGTIGDPKVEYKAILHDFSCHTYDFPESLSHCVKRLPTPIPAEELQKRYNLRDKLTFMIGTRDYALHIDTGSIDGVITLGIHVADVAYYVKQDMPLDDEAANRVSEVQLLQGSVPFLPKKVSEEISLIEGHDCLTISIVVQLDLKSGAVLQCSLGPSVIHPSSFISLESAQANLQTNEALQLVDASAHVLTKLRLKTDKKLDLQSLYCFEFCDGQIPNIQNINMSIFEAFPIACSLQQIEHWVNEKVASHLMSVFPSKVILRKNQRPSDFASLVAVSELTGVNLSASSTPSEIMTEIATTKDKRTKMLLQLTLRRMCNESEYTIGTSNAKDVSHFVFSCPYYTHFCHPTRRYIDICVQRQLREAFDGRPDFSKDYRSLLSITQSCNTLSNFYRNAQEKSLHAYLCQLLHSINLRSGLVKHKAFVLAVDQEYIDIVIYEFGLERRISLDLLPLSNCDFNEQKHELYLSWRTNASFFYASSSLEIDTPCFNDFKKKFLDSNGMCKQICDMMDAQVRDLANAAESQESFYSKARGNDSTSKTAKSSSGNQDISGDGKLHSLRMKEPEVVQTIRPGQEVSVLIFADTSATYSLSLITLQSPLSS", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAAIRDYKTALDFTKSLPRPDGLSVQELMDSKIRGGLTYNDFLILPGLVDFASSEVSLQTKLTRNITLNIPLVSSPMDTVTESEMATFMALLGGIGFIHHNCTPEDQADMVRRVKNYENGFINNPIVISPTTTVGEAKSMKEKYGFAGFPVTTDGKRNAKLVGVITSRDIQFVEDNSLLVQDVMTKNPVTGAQGITLSEGNEILKKIKKGRLLVVDEKGNLVSMLSRTDLMKNQNYPLASKSANTKQLLCGASIGTMDADKERLRLLVKAGLDVVILDSSQGNSIFELNMLKWVKESFPGLEVIAGNVVTREQAANLIAAGADGLRIGMGTGSICITQEVMACGRPQGTAVYNVCEFANQFGVPCMADGGVQNIGHITKALALGSSTVMMGGMLAGTTESPGEYFYQDGKRLKAYRGMGSIDAMQKTGTKGNASTSRYFSESDSVLVAQGVSGAVVDKGSIKKFIPYLYNGLQHSCQDIGCRSLTLLKNNVQRGKVRFEFRTASAQLEGGVHNLHSYEKRLHN", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTKAYTIVIKLGSSSLVDESTKEPKLSIMTLIVETVTNLKRMGHKVIIVSSGGIAVGLDALNIPHKPKQLSEVQAIAAVGQGRLIARWNMLFSQYGEQTAQILLTRNDILRWNQYNNARNTINELLAMGVIPIVNENDTLSISEIEFGDNDTLSAITAALVGADFLFLLTDVDCLYTDNPRTNPDARPIVLVPELSEGLPGVNTSSGSGSEVGTGGMRTKLIAADLASNAGIETIVMKSDRPEYVPKIVDYIQHHFRPPRHIGNGTQQQFLDLQDTELEQLRRYDVPMHTKFLANDNKHKLKNREFWILHGLITKGAIIIDENSYDKLLSKDMASLTPNAVIEVRDNFHELECVDLKIGQRLPNGELDISKPIQSVGCVRSNYTSLELAKIKGLPSEKIHDVLGYSVSEYVAHRENIAFPPQF", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASRAEEMSPTKIEIETAVKIEEEEDDEHDSKERVLQRYFLQEWKLVKSLLDDIVSNGRVVDPTSVHKIRSIMDKYQEQGQLVEPYLESIVSPLMFIIRSKTVDLEAKPDEILEIIKPISIIIYALVTVCGYKAVIKFFPHQVSDLELAVLLLEKCHSTNSVSALRQESTGEMEAKCVTLLWLSILVLVPFDISSVDTSIADDKTFGVDDLAPLVLKILGFCKDYLCSAGPMRRISGLLLSKLLTRPDMGKAFSSFFEWTYEVLSCKEDSVTNHFRLLGVMEALSAIFKTASRKVLLDVLPIVLNDVTVLSKSNAAAKSSLLRKYLIKLTQRIGLVCLPHRSPSWRYVAQTASLSENMSTSSSQRLAPDHTVTAILQPESLDDQEDEDMDVPEILEEIIEMLLSGLRDTDTVVRWSAAKGIGRVTSRLTSVLSDEVLSSVLELFSPGEGDGSWHGGCLALAELARRGLLLPRSFPLVVPVIVKALHYDVRRGPHSVGSHVRDAAAYVCWAFGRAYSHKDMKNVLDQLAPDLLIVGSFDREVNCRRAAAAAFQENVGRQGNYPHGIDIVSIADYFSLSSRVNSYLQVAVSIAQYEGYLYPFVDELLYNKICHWDKSLRELAAEALAPLVKYEPKHFANYVLEKLIPCTLSTDLCMRHGATLAAGEVVLALHQCGYVLSADSQKRMAGIVPSIEKARLYRGKGGEIMRLAVSRFIECISLSHVTLAERTERILLDTLTENLRHPNSQIQNAAVSAVKQLVQSYLVGNDKKSVDLILKHLKHLTDPNVAVRRGSALALGVLPYELLTAKWKDIVLKLCSACKIEVNPEDRDAEARVNAVKGLTSVCETLTQKRASDPGNDDLSLFLLIKTEVMDTLLKALDDYSVDNRGDVGSWVREAAVHGLEKCTYILCKKMETYSEGDYNDDTSSLFDSNLATRLIGGMLKQGVEKMDKLRETAAKVLQRILYHKSVSVPHVPYREKLEEILPNKANLQWAVPAFSFPRFVQLLKLRCYSKEVMSGLVISIGGLQDSLRKASLVALLEYMREGEAKDPKEQQSRESALGDDILWILQEYKKCDRVMVPCLQTIEILFSSKIFLNQESYTFSFYAGVMDSLAIELRASKDFTKLKAGLAILGYIASVSHFISTKAFSQLLSFLGHRYPMIRKAAAEQVYLALLQNGILVTEEKMEKVIEIISESCWEADMETTKTQRLELCELAGLDHEVVFKTRNRLVIKDIAGNKSAADENASYSSLVDSSGF", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTLSRSSADDSTNNANRSYSAVAGTDNKRKRDEDSSDYVGVAESLEMLKKQEIDADHMAASAQQTLISWRSGENSRSLSSSGECSSSNRPESTRLQIFVRMMSGGKTIVIHAEKYDTVEKLHQRIEWKTKIPALEQRVIYKGKQLQRENSLTYYSIEQDASLQLVARMQSTEHPVAWQTIDDIMYTISRMYKGENLQSNINEKIVTFFAMIPVESDESIAKYLNIFSNSSVPAALVMLYASSLERNKSCAKSSVKLFLSNCVALPKNQKNYCLPIVLEFCKLLRKVCPDQKLYVTCRNTLGSMLETFDNPHGVYNDQYETFGVEIFPFFTELTGLLLNELAQNSGPSFCDFQKVSSFWQQLRKVIELKVAFPIPIVLPMQSTALEAEIRHLHRLFGSLLTTMDLCMCRVESSLADKEVGNSETMSSSWSQYLSILKIINSMSNIYQGAKGQLAVMLNKNKVSFSALVVKFAKRGDDHQWIFEYKEATNFEARRHLAMLLFPDVKEDFEEMHEMLIDRSNLLSESFEYIVGASPEALHGGLFMEFKNEEATGPGVLREWFYLVCQEIFNPKNTLFLRSADDFRRFSPNPASKVDPLHPDFFEFTGRVIALALMHKVQVGVLFDRVFFLQLAGLKISLEDIKDTDRIMYNSCKQILEMDPEFFDSNAGLGLTFVLETEELGKRDTIELCPDGKLKAVNSKNRKQYVDLLIERRFATPILEQVKQFSRGFTDMLSHSVPPRSFFKRLYLEDLDGMLRGGENPISIDDWKAHTEYNGFKETDRQIDWFWKILKKMTEEEQRSILFFWTSNKFVPVEGFRGLSSKLYIYRLYEANDRLPLSHTCFYRLCIPRYPTITLMEQRLRLIAQDHVSSSFGKW", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSQQDSQRWLPTDRLIYGVLVKSFLPLQRYPELVYENSNYANVYVGAEVYVFEESVDKKWCRAYQCLRPFPEEFISNMNSANDVLPDVKPKVVIFPRKYVHFEAEKAVSTMPFFKAPSAEDFKPLISKECESRSFCDSLYVSSTDDISTGKPRKTPRPPFPFFRYQKRSFKDEMGPILSLISSHVYSMYSIGEFSIYRKMIKLYYDLDTIRFRLSMNLTTEAEKINLIRAATSLRTKIAKFLSSTYRKNKLIANSTPRNPDPYGFEGIFARDIDTGELLSYEIDKLRTLVSSSMLCGLTNNFPTVPVVESDDESSSNGLFGTVRSSILVNLKDLAWDPSISDPKYQDLSICVYLRTKDEVLTESFTMTKSSNMESALDEIPAMLFKNILETIVHKNKVYLVVVLKETIAITTETAPEISSYNISTEESSSHSPFSPFNSSTENKIDHVKKGLAAGVINISPVFKFYNGLSVANKAQRFNLYLYSSDSSDSQNFNSSKDADLGWGGLINKIIKDSSEGVSVNPRAVSLSVTVKEIIGKQEAEKVLSTSLVPIRSIPTYFYDTMFSQAERIYLNLGRVSLYGLPAADTNIENVTVQISCRNKAVKFCKNKLEERSGDWKFVSVRPNESIGESIRIEGVENMNEDETLRVLVYLNGFLMAKSNIHIKKKNEIIEYRKGTVFQIMSSKSVPLIHLELEASYFGRRYNINPAITNFLVLQTKNVEFDQQLKEHYSVTLKQLNNVSFKDLLKHFDTILAHYLLLLESVNEATDKKGPSSSLPNIVFSEFVKFLNLMLTHQENSRYWFNRLYKKVMSKELECPNVAPILIKHMTTIFDRSHSSWTRTGTAICRTILYIIVLAIGSSHSDEMPNFSHFFRSLHKFLMLADEPIMADQILLIESIPSMLETMTNHCKVEDLVRFAIGLFECCQEKEMNQKMYSRPLSVREEEYLNTKFNCLLKLINKKVLQNYLTNTESVDKLRLQFLSKTLEWLLTPYTPGDDKCFHVESLRLVNSVFITIIEDYKFDMLQRNLIRLLPYLCKSFVHLRRYCKKARLMRPRRVFTMLFPREIPCNYIPVDSIVNDEVVVEVLLELAIIICEITKIASSRFPSYQSFSEIINLCDKDTLFQSNFYSRQITNENVYTITKTVFLFFKQDWFPGMKWLGVSALLGRSSLILLSLCKDYIIENNSPSPSKESEKRVDMRLWAEYVKVILLVSNHKSASLTKLAITPRKAVYLISGDLKKISAYILNECWDALATGHYNITYAKKYGLGALSDCQFELFVHNQFLIREIFIFAFHRHIDATRICCKILWGLGLNFWRIFGSLQPAVNACIPELFSAYQIGKLRLNDYELERFVSCLFFMMHVPDSDTFFPACMDFLRDLLGFLHIVNEIYKIPNQEEFDDDRTARHIEMFEYLLEANRPELFHKMIYDLFIHFIQKKDFVQAALSLELLAGTYAWDSNDTLEAISFPPLPEQSSFERKEYLLKESARNFSRGQKPEKALAVYKDLIKAYDEINYDLNGLAFVHDQIAGIYTRLQSIDRLVPTYFKVSFMGFGFPKSLRNKSFVFEGLPFEHITSMHDRLLRSYHGSNIVHSQEEVDMLLMNPPMGKYIHVASVEPCLSISDNYNSSDKKSSINNKVRMYIENRDLRTFSNSRRLPGAKGVTDLWVEEYTYHTMNTFPTLMNRSEIVKVTKSKLSPLENAIRSLQVKIQELYGLENMCNKTLKDHGDVNDLFTELSTNITGTISAPVNGGISQYKAFLEPSTSKQFSTDDLGRLTLAFDELVAVLGRCLTLHAELLPSKDLKPSHDLLVRLFEENFAEEIERYSRTLSEANRSRNNMITARIISHKNPNKKASFSGRDHHTSGSNHSQFVLEHSDSFGPNSLLFGKYLTRTLSHSSTTSSLDKSGIVSGTSSTFLAGSQPNTNTDSQHKHDYSHSG", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAPVTIEKFVNQEERHLVSNRSATIPFGEYIFKRLLSIDTKSVFGVPGDFNLSLLEYLYSPSVESAGLRWVGTCNELNAAYAADGYSRYSNKIGCLITTYGVGELSALNGIAGSFAENVKVLHIVGVAKSIDSRSSNFSDRNLHHLVPQLHDSNFKGPNHKVYHDMVKDRVACSVAYLEDIETACDQVDNVIRDIYKYSKPGYIFVPADFADMSVTCDNLVNVPRISQQDCIVYPSENQLSDIINKITSWIYSSKTPAILGDVLTDRYGVSNFLNKLICKTGIWNFSTVMGKSVIDESNPTYMGQYNGKEGLKQVYEHFELCDLVLHFGVDINEINNGHYTFTYKPNAKIIQFHPNYIRLVDTRQGNEQMFKGINFAPILKELYKRIDVSKLSLQYDSNVTQYTNETMRLEDPTNGQSSIITQVHLQKTMPKFLNPGDVVVCETGSFQFSVRDFAFPSQLKYISQGFFLSIGMALPAALGVGIAMQDHSNAHINGGNVKEDYKPRLILFEGDGAAQMTIQELSTILKCNIPLEVIIWNNNGYTIERAIMGPTRSYNDVMSWKWTKLFEAFGDFDGKYTNSTLIQCPSKLALKLEELKNSNKRSGIELLEVKLGELDFPEQLKCMVEAAALKRNKK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKSFASELSKPIQKPRLKEILKELQHIGVPSPCNLRLYHELISVIVPTFWNGKINSEIDFLLAKCFSTLLGLKLLCVRLDNFVQEANLQNIHLVTVYLELLEKAFECIDLDDNCKLIWESTVLSDHQKQSLWTEFIFFLGNFKITNSMSAAMLTFKVYDDSKRLKASSMADYIGVLSSKLACIISKPAVKTPEIYSKLFHYLLHSSNLKAFINPLIPLTQKFCVQLQKLFADLTVSDQMLFLNQLLLEHNTKYPTNFSYSTARDDRITGSLATLLRLNFSSTHFLRLIEFYWGVPTNLIIKRVEVVCSSISYKESDKKELEKTVDSLFNIWSNAQFLRSYTLLAQESLTIYLLLFIPKLEAKYLNNLSKSLMFSNAISCRLDSLDDDIRMHGMIMAEVISTYSGVSLSNPLAFDVPIMKTTKAKVLKSLSSLKDEFLPIEILNDESVIAETSIEKEETNVTHLEKPVISKNDDLIRGDDLEPYDFPDIDSEDTDDDPTVSRSKTHSPVYVQDLCKMLKDTESFEKQRVALENASKLIKRKSAFGTELRDHADELLQTLISLQNRFDLMNFDEMQMTAIVELLLTCLDICGPVICTNLFVSDYSMRQKILILSCISLAASKFNDDDNERLFPSQLLPGNLHDQFYSPTIEKISDELERKLVFPVMSECKDYAEGPKFLQTRYISKQSEIESKKPLPKANRLSLKVDKSLFLLLTSGYMLASRKTAFIEPLFLVYYLKTLGILFFETFTSKIEGANRMLREYVDVLNEVCRLRSDTPEVNEGLLFSFLAILEISSGRTLANEFGKHLLFFEAYTKFLFESPDQGEKVKSLSAAVLILFENKLSEFRTLALEKLLDDSAPLVPERFGLAGL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAKKTKNNSKSSTPVNDVPTTAGKKKAKGKKGQEPEPEDDKRAKQQSNRAKVTSTASWTGKLPHTILHETCQKRKWNKVEYDMKKIGDKGFIAIAVLSFTDPKTKETLTARMNDPTYDKASGKGLVIPQETPIEARHMASTIALYRIAYNTNLHMMLPPNHRKTWYALDDFRKDNLKTDEKRINKLFDLDPFKTMVEDRKLKAQREKEQVAQNNQAQKEQVARTILSSHGGISSSGKDRQERKVASHKNSHNPSLVRFPKKVWENSIFVDLDESSRQLIETSLKEKIDWQAKKISHKNETIAENREDLKAKLLTLQFRPKHVEEAMLYKDPLSFLLFNLPEDDLPPFFHKKKGDTKNKVEITNLPLSTRMIVERLTEIGVSSDEALLALQQNDMNENEAAGFLTREILPTLNSNTNEPVSETESIECWNQELESLESIYEGCVMDAKEDSHYTLNLIEKLKIKLKVYRTKNYPASLPGIVVSTFDKNYKLPDYIKKQILTRLLHYLQEGNLIGDMLVYHIYEWLKENISKIIDNPGPLIPDSDSKGAINKRNISNGKRSINNSSSRKFTKTTISEDTLSVLREEYTKRIKSSEYKSMQLVREQLPAWKKQKVIIDIINKNEVVLITGETGSGKSTQVVQFILDFLQKEKGDFGKTKIVCTQPRRISAIGLAERVSDERCVTCGEEVGYVIRGVNKTKASTRIKFMTTGVLVRLLQNARTMLENTIVVIDEVHERSIDTDLIVTLMKNLLHRVRGMKIVLMSATVNVDLFKKFFPGLATCHIEGRTFPITDYFLEDILSDLDFKIKREKALSYDDDSVDERNNDDQYLKPRADSKFFTSGQINYDLLCQVVEYVHKRLKAANDNGSIIVFLPGVGEINKCCNLLANKSNEADFMVLPLHSALTPEDQKRVFKKYHGKRKVVVSTNIAETSITIDDCVATIDTGRAKSMFYNPKDNTTKLIESFISKAEVKQRRGRAGRVREGLSYKLFSKNLYENDMISMPIPEIKRIPLESLYLSVKAMGIKDVKAFLSTALDAPPLPALQKAERILTTIGLVDESDKSLTQLGQFISLMPVMDSKHGKLLIYGILFGCTDISVLLVSILGIGVLPFIGGFENREKIKKLLCKYESRGDLFAVLEIVRDYFKIKDSSIKRKYLRDNLLSYNKINEIKSSTAQYYSILKDVGFLPMDYKVGSISDLNRNERNFDILRAILTGAFYPHIARVQLPDVKYLSTSSGAVEKDPEAKMIKYWIRSEEYQDKLEEYKTKISQETQKVDLEDLPLPATRAFIHPSSVLFSTNSVNLEDAKLLSEVDGPISRQSKIPTVVKYPFVLFTTSQVTNKLYLRDLTPTTTLSLLLFGGAISYDIGGTIHSPGIVVDNWLPIRTWCKNGVLIKELRTQLDEAIRKKLESPDYAKKSQIDNSGADKTLKIVEKIIASEQ", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MASESPDVAVVAPVVENGGAESSNGKEEQLESELSKKLEIAEDGQEENDGEEGSKAETSTKKKKKKNKSKKKKELPQQTDPPSIPVVELFPSGEFPEGEIQEYKDDNLWRTTSEEKRELERFEKPIYNSVRRAAEVHRQVRKYVRSIVKPGMLMTDICETLENTVRKLISENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIIDCAFTVAFNPMFDPLLAASREATYTGIKEAGIDVRLCDIGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDAGHVPLRLPRAKQLLATINKNFSTLAFCRRYLDRIGETKYLMALKNLCDSGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVLSKGDDY", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLGGSSVDGERDTDDDAAGAVAAPPAIDFPAEVSDPKYDESDVPAELQVLKEPLQQPTFPFLVANQLLLVSLLEHLSHVHEPNPLHSKQVFKLLCQTFIKMGLLSSFTCSDEFSSLRLHHNRAITHLMRSAKERVRQDPCQDNSYMQKIRSREIAFEAQTSRYLNEFEELAILGKGGYGRVYKVRNKLDGQHYAIKKILIKSATKTDCMKVLREVKVLAGLQHPNIVGYHTAWIEHVHVVQPQDRVPIQLPSLEVLSEQEGDRDQGGVKDNESSSSIVFAELTPEKEKPFGESEVKNENNNLVSYTANLVVRNSSESESSIELQEDGLTDLSVRPVVRHQLPLGHSSELEGNFTSTDESSEGNLNLLGQTEVRYHLMLHIQMQLCELSLWDWITERNKRSREYVDEAACPYVMASVATKIFQELVEGVFYIHNMGIVHRDLKPRNIFLHGPDQQVKIGDFGLACADIIQNADWTNRNGKGTRTHTSRVGTCLYASPEQLEGSQYDAKSDMYSLGVILLELFQPFGTEMERATVLTGVRTGRIPESLSKRCPVQAKYIQLLTGRNVSQRPSALQLLQSELFQTTGNVNLTLQMKIIEQEKEIEELKKQLSLLSQDRGLKR", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAVTEEEKKRNSTVEISGLRFTYPGIDGHPPPGSKPLIEDFSITLNSSDRCLLVGSNGAGKTTILKILGGKHMVEPHMVRVLGRSAFHDTGLTSSGDLCYLGGEWRRDVAFAGFEVPIQMDISAEKMIFGVAGIDPQRRDELIKVLDIDISWRLHKVSDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARADLLKFLRKECEERGATIIYATHIFDGLEDWPTHIVYVANGKLQLALPMEKVKETSKKSLMRTVESWLRKERDEERKRRKERKANGLPEFETRTEESRVTGDPARMLNNGWAAGRLHSTVAGGEDNFVLSSNRVLR", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGEEYKKTHTIVFHTSEEHLNSSIALAKFITKHHSSISITIISTAPAESSEVAKIINNPSITYRGLTAVALPENLTSNINKNPVELFFEIPRLQNANLREALLDISRKSDIKALIIDFFCNAAFEVSTSMNIPTYFDVSGGAFLLCTFLHHPTLHQTVRGDIADLNDSVEMPGFPLIHSSDLPMSLFYRKTNVYKHFLDTSLNMRKSSGILVNTFVALEFRAKEALSNGLYGPTPPLYLLSHTIAEPHDTKVLVNQHECLSWLDLQPSKSVIFLCFGRRGAFSAQQLKEIAIGLEKSGCRFLWLARISPEMDLNALLPEGFLSRTKGVGFVTNTWVPQKEVLSHDAVGGFVTHCGWSSVLEALSFGVPMIGWPLYAEQRINRVFMVEEIKVALPLDEEDGFVTAMELEKRVRELMESVKGKEVKRRVAELKISTKAAVSKGGSSLASLEKFINSVTR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPNFAGTWKMRSSENFDELLKALGVNAMLRKVAVAAASKPHVEIRQDGDQFYIKTSTTVRTTEINFKVGEGFEEETVDGRKCRSLATWENENKIHCTQTLLEGDGPKTYWTRELANDELILTFGADDVVCTRIYVRE", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEKDQIQPRVLESVDTNSLSLLSSNTSSNMNSNTNNKLSIIASDISTGSVLSRPLTPPVVQDIENNSMLQWQFEKKEFIFDSNSTPSKQAKPLQRNSPYQGNSQSENQNQQLLNVRKRRSQLIGAKPKIPSKLYQSVSKLDLIDDKSFTSLPIAPPCNIETNEDDSGNNEYNNNKKRPRLNPVNELRVHNNKRNRYVSYGPSLDTKNYELTENTSQDIPPLVLVEDYIPYTQSKSTKKMVSISDLKSKLSKRRDNHIPLRVKNSYSEINKETNRNSFEPNSLTLIPHILRNTEENRDESNNPLDFIKEEIEISDISIPNSIENMVVNLVNIPSSNKSYDDLYLSELNVHSQLRKCVICEKALYEISSRLLNSGYYKEIVCEQCTVRYEEAAKIFENCEFESSMDESNLSSGTFSDLENSAEPFHLSTDVPKKINRHIEDNKIDLKKEISKKKDSFSKELIERLQLQLLENDKSIKHHFNKDAMGSKSMNWFLEARRKLKWKWRINGLLPHFLRNQNSDRLNFQP", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNEEETNFVGIADLPNQRHKIVSRNGVAFTLMLCGESGLGKTTFCNTLFSTTIKSHMGPEKVRAKHAEKTVEIEITKAELEEKNFHLRLTVIDTPGFGDFINNSGCWESVVEFIEDQHESYMRQDQQPDRRKIIDMRIHACLYFLRPVRNGVRPMDLEAMKHISKRVNLIPVIAKADMYTRRDLALYKTRISQVLEYHQVNVYKPNMDEGDPVFHRQIQGIINCMPFAIVGSEDDIRTPDGRVVKGREYPWGIVEIENEEHCDFKQLRNILIRSCMLDLIQTTEEKLYEQYRQEQMKVRQYGEPKLRTIDNAKFKEEEENLRKRFTEQVRVEETRFRQWEQRLIAERDSLNKDLEAQHVQIKQIELEIERLKAATSSRKR", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASSSSTLPLHMYIRPLIIEDLKQILNLESQGFPPNERASEEIISFRLINCPELCSGLFIREIEGKEVKKETLIGHIMGTKIPHEYITIESMGKLQVESSNHIGIHSVVIKPEYQKKNLATLLLTDYIQKLSNQEIGNKIVLIAHEPLIPFYERVGFKIIAENTNVAKDKNFAEQKWIDMERELIKEEYDN", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSRGTMPQPGAWPGASCAETPAREAGAAARDGGKVTAGAQPRAATRCPAEHEEDMYRAADEIEKEKELLIHERGISEPRLSVAPEMDIMDYCKKEWRGNTQKATCMKKGYEEVSQKFTSIRRVRGDNYCALRATLFQAMSQLAELPPWLQDLELILLPEKLINKYTWIKQWKLGLKFDGKSEDLVEKIKESLALLRKKWVSLAAMKTAEARQTACDELFTNEEEEYSLYEAVKFLMLNRAIELYDDKEKGKEVPFFSVLLFARDTSNDPEQLLRNHLNQVGHTGGLEQVEMFLLAYAVRHSIRVYRLSKYNTEEFITVYPTDPPKDWPMVTLIAEDDRHYNIPVRVCEETSV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAVKKIAIFGATGQTGLTTLAQAVQAGYEVTVLVRDSSRLPSEGPRPAHVVVGDVLQAADVDKTVAGQDAVIVLLGTRNDLSPTTVMSEGARNIVAAMKAHGVDKVVACTSAFLLWDPTKVPPRLQAVTDDHIRMHKVLRESGLKYVAVMPPHIGDQPLTGAYTVTLDGRGPSRVISKHDLGHFMLRCLTTDEYDGHSTYPSHQYQ", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVVNNPNNWHWVDKNCIGWAKEYFKQKLVGVEAGSVKDKKYAKIKSVSSIEGDCEVNQRKGKVISLFDLKITVLIEGHVDSKDGSALPFEGSINVPEVAFDSEASSYQFDISIFKETSELSEAKPLIRSELLPKLRQIFQQFGKDLLATHGNDIQVPESQVKSNYTRGNQKSSFTEIKDSASKPKKNALPSSTSTSAPVSSTNKVPQNGSGNSTSIYLEPTFNVPSSELYETFLDKQRILAWTRSAQFFNSGPKLETKEKFELFGGNVISELVSCEKDKKLVFHWKLKDWSAPFNSTIEMTFHESQEFHETKLQVKWTGIPVGEEDRVRANFEEYYVRSIKLTFGFGAVL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MESYKVMLNGPAPWGFRLQGGKDFSMPLSISRLTPGGKAAQAGVGVGDWVLYIDGESTGTMTHIEAQNRIRACGDRLCLTLSRAQNHLGKPQKDSLPCSEPPKYNFAPSTALNKTARPFGASSPPNPRPGLVTKPVTYVPLAPACTPQHNGQVSVPDPSPGAAMKTEPGLAPRTPAATPGPTSRPPWAVDPSFAERYAPDKTSTVLSKHSQPATPTPMQNRSSIVQAAQQAPESPGRTPLCYKCNKIIRGRYLVALGHYYHPEEFTCCQCRKVLDEGGFFEEKGSIFCPKCYDTRYAPSCAKCKKKITGEVMHALKMTWHVQCFTCAACKTPIRNRAFYMEEGQPYCERDYEKMFGTKCRGCDFKIDAGDRFLEALGFSWHDTCFVCAICQTNLEGKTFYSKKDKPLCKSHAFSHV", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MQPAIQVWFGEDLPLSPRSPLTPRHGPGLANVCQYDEWIAVRHEATLLPMQEDLSIWLSGLLGIKVKAEKLLEELDNGVLLCQLIDVLQNMVKTCNSEESGNFPMRKVPCKKDAASGSFFARDNTANFLHWCRDIGVDETYLFESEGLVLHKDPRQVYLCLLEIGRIVSRYGVEPPVLVKLEKEIELEETLLNTSGPEDSISIPKSCCRHEELHEAVKHIAEDPPCSCSHRFSIEYLSEGRYRLGDKILFIRMLHGKHVMVRVGGGWDTLQGFLLKYDPCRILQFATLEQKILAFQKGVSNESVPDSPARTPQPPEMNPLSAVNMFQKQNSKPSVPVSIPKSKEKQGRPPGALVPASSLKGGNLGSMSVRSKLPNSPAASSHPKLKSSKGITKKPQAPSNNASSSLASLNPVGKNTSSPALPRTAPCISESPRKCISSPNTPKAKVIPAQNSADLPESTLLPNKCSGKTQPKYLKHNHISSRDNAVSHLAAHSNSSSKCPKLPKANIPVRPKPSFQSSAKMTKTSSKTIATGLGTQSQPSDGAPQAKPVPAQKLKSALNLNQPVSVSSVSPVKATQKSKDKNIVSATKKQPQNKSAFQKTGPSSLKSPGRTPLSIVSLPQSSTKTQTAPKSAQTVAKSQHSTKGPPRSGKTPASIRKPPSSVKDADSGDKKPTAKKKEDDDHYFVMTGSKKPRK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSNLTEEQIAEFKEAFALFDKDNNGSISSSELATVMRSLGLSPSEAEVNDLMNEIDVDGNHQIEFSEFLALMSRQLKSNDSEQELLEAFKVFDKNGDGLISAAELKHVLTSIGEKLTDAEVDDMLREVSDGSGEINIQQFAALLSK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPNLLSRNPFHGHHNDHHHDRENSSNNPPQLIRSSKSFLNFIGRKQSNDSLRSEKSTDSMKSTTTTTNYTTTNLNNNTHSHSNATSISTNNYNNNYETNHHHNISHGLHDYTSPASPKQTHSMAELKRFFRPSVNKKLSMSQLRSKKHSTHSPPPSKSTSTVNLNNHYRAQHPHGFTDHYAHTQSAIPPSTDSILSLSNNINIYHDDCILAQKYGKLGKLLGSGAGGSVKVLVRPTDGATFAVKEFRPRKPNESVKEYAKKCTAEFCIGSTLHHPNVIETVDVFSDSKQNKYYEVMEYCPIDFFAVVMTGKMSRGEINCCLKQLTEGVKYLHSMGLAHRDLKLDNCVMTSQGILKLIDFGSAVVFRYPFEDGVTMAHGIVGSDPYLAPEVITSTKSYDPQCVDIWSIGIIYCCMVLKRFPWKAPRDSDDNFRLYCMPDDIEHDYVESARHHEELLKERKEKRQRFLNHSDCSAINQQQPAHESNLKTVQNQVPNTPASIQGKSDNKPDIVEEETEENKEDDSNNDKESTPDNDKESTIDIKISKNENKSTVVSANPKKVDADADADCDANGDSNGRVDCKANSDCNDKTDCNANNDCSNESDCNAKVDTNVNTAANANPDMVPQNNPQQQQQQQQQQQQQQQQQQQQHHHHQHQNQDKAHSIASDNKSSQQHRGPHHKKIIHGPYRLLRLLPHASRPIMSRILQVDPKKRATLDDIFNDEWFAAIAACTMDSKNKVIRAPGHHHTLVREENAHLETYKV", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLSIFKNLLGTSEEDGTTQEANSKDTKGLKEERKRKKRKNKYKIPPGHTQQDWDALVASGKNLSGVESPISVTAEELAKHCSPDDCWMAIRGKVYNVTAYLPYHPVGPKKILKHSGVDATKPYLKHHDWVNEEELLKTSFVGYLV", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSSKSASKLKREAKKAERLAAKGESVKPSKKNGTKNGKDKEVDGVTKDLSELSTSDPIFERSASGVLTSQPMSRDIKIDSYTLSFHGRLLIENATIELNHGQRYGLLGDNGSGKSTFLESVAARDVEYPEHIDSYLLNAEAEPSDVNAVDYIIQSAKDKVQKLEAEIEELSTADDVDDVLLESKYEELDDMDPSTFEAKAAMILHGLGFTQEMMAKPTKDMSGGWRMRVALSRALFIKPSLLLLDEPTNHLDLEAVVWLENYLAKYDKILVVTSHSQDFLNNVCTNIIDLTSKKQLVYYGGNFDIYMRTKEENETNQMKAYLKQQEEIAHIKKFIASAGTYANLVRQAKSKQKIIDKMEAAGLVEKPEPPRQFSFEFDEVRKLPPPIIAFNDVAFSYDGNLDHALYRDLSFGIDMDSRVAIVGKNGTGKSTLLNLITGLLIPIEGNVSRYSGLKMAKYSQHSADQLPYDKSPLEYIMDTYKPKFPERELQQWRSVLGKFGLSGLHQTSEIRTLSDGLKSRVVFAALALEQPHILLLDEPTNHLDITSIDALAKAINVWTGGVVLVSHDFRLIGQVSKELWEVKDKKVVKLDCSIEEYKKSMAKEVQSRDTTAKVKHLI", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLRNGAQNGNINSESHESFGKAAKGFRIFSSFSSSQKLFQRRSSGSITHSPTALSSTTSLNENDGNHFRPASSLSFSPSSLSRKDSGPGDGLEVNKKNNFYRRSSSTDDFGISHARSRKEIQSLGRPHTRQSFSVSDVSNGSSYPNIRKNSVHVNAPMPSFPEGSTAVLLKHHSGSKSASAISNIAPSHSNSTSSRRPYIHPAFLSQVAVEFRKRLNIGDRVKDGLLYKDAFLGSEAVDVLMHIVRTTDRNLALLLGRALDSQKMFHDVTYSHRLRDSLKEVYQYRRIISPPPGLSSMDSNGSSIENNFLYTKRRANTSDSFDSVLSDSSTTPTISSSVQVNSLAFITSSLSAITKEPEAPETEYNPHGVFTLLTECYSSTCSRNRLCYSISCPRRLEQQARLHLKVQPVLSGGSTSITDKQEEDHRLWSENVPKQVVDQIDVREWKRQEIIFEVIYTERDFVRDLEYIRDFWIKPLSTSNVIPENNRQQFIRCVFHNIMQIHAVNSRLSNALNRTQTLQPVVNTIGDLFLDYVPKFEPFIKYGANQAIAKFEFEREKSTNRNFANYVHEVERLRESRKLELNGYLTKPTTRLARYPLLLSGVLKYTDKDNPDTENIPRVIEMIREFLTKLNYETGKTENRLSLLQLNEQLSCSPADRAKLTLFDPSRLLIFKGVVKLKASSYSNGDTENDIHMFLLDNFLLLCKIKIQMKRRVHKLHLRPLPLELLSISYIEDSPSRGSLPRRPSSALLTNPISITKSNPPPVKAYGLQLVFIGARGFSISLYLNTLIARDQWKQHIEKQQDIIRKRHLVFESRGICCQSWFTGNKLLCAVAYDAGRKLLFGTYKGLYISSRKSNNGSCLEPIFKLQLPNISQLDVIEEHNVLLLLAEKILYELPLDALDSVEQINSKSLRRVTGHVSFVKTGFCMQRILVCAVKSTVLNTTLRIYEADRALKNKKTQSLKKPFGNQATLKIFTEVQMPMEALSVHFLKTKLCVGSFKGFDIISLENAVFQSLLNPADTSFRFLEKREDIRPIAMFRLRGEFLLCYSDFAFFVNTNGWKSRQSWMINWEGQPQGCALCYPYILAFEPDFIEIRNAETAELVQIIMGQNIKLLTDGRGLISEGGEILYSTEPIPFSSGENPIVHSLILPPANAAGPAL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVQRVVDRDYYDILNISVDADGDTIKKSYRRLAILYHPDKNRENPEAAREKFQKLAEAYQVLSDPKLREKYDKLGKVGAVPDAGFEDAFEFFKNLFGGDSFRDYVGELNLLKELCKMINEEPELKAIEDTEESKKQLQREESKEADRLLQERIDVLCKNLLDKLSIWTETDMSDRVTDAFKQKMQFEAELLKDESFGNEMLHAIGSTYVQRANILIQSQSFLGIRGVWGSLCAKGTLLKDTWNTVVSAVDVQSSAAALAKAEEGEEQWSKEKRDEAARELTGKVLSATWKGTRFEVQSVIRTVSDKILYDKAVPLEKRINRANALLMIGQVFLKVAPNEKSDANYFEDLMNDRGK", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MELQEVLHMNEGEGDTSYAKNASYNLALAKVKPFLEQCIRELLRANLPNINKCIKVADLGCASGPNTLLTVRDIVQSIDKVGQEEKNELERPTIQIFLNDLFQNDFNSVFKLLPSFYRKLEKENGRKIGSCLISAMPGSFYGRLFPEESMHFLHSCYSVHWLSQVPSGLVIELGIGANKGSIYSSKGCRPPVQKAYLDQFTKDFTTFLRIHSKELFSRGRMLLTCICKVDEFDEPNPLDLLDMAINDLIVEGLLEEEKLDSFNIPFFTPSAEEVKCIVEEEGSCEILYLETFKAHYDAAFSIDDDYPVRSHEQIKAEYVASLIRSVYEPILASHFGEAIMPDLFHRLAKHAAKVLHMGKGCYNNLIISLAKKPEKSDV", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKTKCICELCSCGRHHCPHLPTKIYDKTEKPCLLSEYTENYPFYHSYLPRESFKPRREYQKGPIPMEGLTTSRRDFGPHKVAPVKVHQYDQFVPSEENMDLLTTYKKDYNPYPVCRVDPIKPRDSKYPCSDKMECLPTYKADYLPWNQPRREPLRLEHKYQPASVRFDNRTTHQDDYPIKGLVKTISCKPLAMPKLCNIPLEDVTNYKMSYVAHPVEKRFVHEAEKFRPCEIPFESLTTQKQSYRGLMGEPAKSLKPLARPPGLDMPFCNTTEFRDKYQAWPMPRMFSKAPITYVPPEDRMDLLTTVQAHYTCPKGAPAQSCRPALQIKKCGRFEGSSTTKDDYKQWSSMRTEPVKPVPQLDLPTEPLDCLTTTRAHYVPHLPINTKSCKPHWSGPRGNVPVESQTTYTISFTPKEMGRCLASYPEPPGYTFEEVDALGHRIYKPVSQAGSQQSSHLSVDDSENPNQRELEVLA", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEGQRWLPLEANPEVTNQFLKQLGLHPNWQFVDVYGMEPELLSMVPRPVCAVLLLFPITEKYEVFRTEEEEKIKSQGQDVTSSVYFMKQTISNACGTIGLIHAIANNKDKMHFESGSTLKKFLEESVSMSPEERAKFLENYDAIRVTHETSAHEGQTEAPSIDEKVDLHFIALVHVDGHLYELDGRKPFPINHGKTSDETLLEDAIEVCKKFMERDPDELRFNAIALSAA", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDQKKDPSNNLTERRVSKVQRPNKKKVRNQVESLSRNLERNKEGQLLQTVSKGHLEADSGHSLGREKENGELGIRSIFYDKDWNPRGTAPSHYRNIPYNPATFKRRTEVQARLGNLENIKIPK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTKETHENHLRTHNGIFPVSLLSTQARQLLNFKLKSPSYYLLNSFKLLVKSEGNNQSTTADVTLTKSPNAYHASSAREEKDLQVSRRDTCFYCSRKIIKCICNEEHVGIESVQLKLILLLCQNLPNVTTNAKKYFSSLADGHNFTLTLYKFSLDNQTFSQLLSRFKSFATLTELLQVHNVMLQVNFSFQARQLNTEIQLRRCHSLEKTWKFLFGDYELPDVLKDMESSNSDWSDTSLKRIAYLCSLVEELKLHSSIMNDKYVCLVSKHNNALEDKFNSEAARQLLQKSLSIVASNLKQAENKTISYEEKLSIAQNSINEIQTQNRDLKLETEKLQDQIKALLERNQSLQEALETVKNDEKNLREMNANYETEMKEARQKLNNKEALISHYDDDFRAKELKISRLSESLREKAGLLEFQSSVSEQRDLLYQEQIQSSIKDMENVFRKNEYLMEELNELKNNLEVESSKVLRLDEEMKCLKDEQLSQFDTVFSLTDERDGLQKDLKNTKGNLDDEIGRSAFLKSQIRDQELTIEKLHDSLETLSQTNNSLQCEISEKNAELNSVNSKLSEGRAHLETANKENEILKQQLELSESKLASLLNSYQSFINKKEHLYSFLQLVEPSFAKSDSSNATESQISESVRKGISIFNLLFIVYKNVCSQAGINPSTKLEDLDEHTLSDELTYITKKFVQKDQEYQTKEIELRNYKITLQSLLEDKLIGVNTDCRSPSCSDFEQLGQESENNTSISGRVSKLVKSFNDSSSISNNTKISITKSPSGEKVSVFKEMSDIALRDMDKNRKLLGENVDVRNIVVQKDESLNIDLQNNAVVPELHFKEGMVYDSLENAYTYLAESKRMLANELQMKQEDLEKVILELEAYKEIFLEEKQIPCEEFMPGKNAKSEKSLRSVFQEQLMRETKRVRKLEKVNSELKLHCFELSERLREREHTLQQTFGDK", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASTSNTFPPSQSNSSNNLPTSRHASIVEMLSTPPLLPHVQVNDTDDKEQPEESTPPTATAAAPGPGCAATPAPLRDEKPQFKLSAVPMTQTPSQCLSCVHAQKWQHIPLSQLIEQNKLIFVPGSISVEEAFNTLIKYHLNSIPVESFPGDMNCFTFDYNDLNSYLLLVLNKITVSNKQLTADCQNGKPVPVGEMVKLTPKNPFYKLPENESLSTVMGILGSGVHRVAITNEEMTKVKGILSQRRLIKYLWDNARSFTSLEPLLNSSLQDLHIGVLNIQSKPTSRQSRVISIQGEEPLIMGLYKMHVERISSIAVIDKQGNLLGNISVTDVKHVTRTSQYPLLHKTCRHFISVILNSRGLETGKDSFPIFHVYPSSSLARTLAKLVATKSHRLWIVQPPESSTSASSTNLTAANTAANAVSATAQSSANGATPMSKSSSSTSLNSHSPLMTAMEDPPSPRSSAIAIPPPSPASSTNTPNLFEKEYRTGKLIGVVSLTDIINLLARKQTGNKEVDPQSARRQRGSIAM", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSAMDVMIHSSSFLLPCDETSTGTRYALVVLNQSLPRFTPLLWEHAKLRLCADGGANRIYDELPLFFPNEDALAIRNRYKPDVIKGDMDSIRRDVLDFYINLGTKVIDESHDQDTTDLDKCILYIRHSTLNQETSGLQILATGALGGRFDHEAGNLNVLYRYPDTRIVLLSDDCLIQLLPKTHRHEIHIQSSLEGPHCGLIPIGTPSAKTTTSGLQWDLSNTEMRFGGLISTSNLVKEEKITVESDSDLLWTISIKKTGLSIQDHTP", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSHIAKSESKTSLLKAAAASGGSRAPRHSSARDPGLRGRRLPGPCPDSPATCGDPSSRRPLCRPVPRDEGARGSRRGLPQAHCRPRETLPPARGRDGEERGLAPALSLRGSLRSRGRGDPAPAGTPEADPFLHQLRPMLSSAFGQDRSLRPEEIEELREAFREFDKDKDGYINCRDLGNCMRTMGYMPTEMELIELSQQINMNLGGHVDFDDFVELMGPKLLAETADMIGVKELRDAFREFDTNGDGEISTSELREAMRKLLGHQVGHRDIEEIIRDVDLNGDGRVDFEEFVRMMSR", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVKALQGAAQNLPADVNQLIDQLERHCLAPDGSLVTKSVYSDLQLAREEMSRERLRYLEAMAIYCEAVAMVEEYQQAISVANHGGIRDVQGLYPQLGLKNSPQVYETLEHRLVVAEAAQKLRLPLISDGGEIHEEEIEKWSILSRSSLDSASTSFTISSTSNSVNYANSSANSVAGGISLSAVDTDVVGGVPNRFLGITPAYLSYVQLQNTISMDMADYQMFLAREIEGRLKEKCDKLADAIVDDTDSSTGNRNSSARLPERVKFIIEEIERDEAALREDLYSADRKFAEYYNVLEQILGVLIKLVKDLKLEHQHKYNEMQKTWLCKRCETMNAKLRVLENVLLLETYTPDSISALHNIRNYLVEATEEASAAYNKAVTRLREYQGVDPHFDTIARQYHDIVKKLENMQWTIHQVEMDLKSHD", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSPAIALAFLPLVVTLLVRYRHHFRLLVRTVLLRGFRDCLSGLRIEERAFSYVLTHALPGDPGHILTTLDHWSSCCEYLSHMGPVKGQILMRLVEEKAPACVLELGTYCGYSTLLIARALPPGSRLLTVERDSRTAAVAEKVIRLAGFDEQMVELIAGSSEEVIPRLRAQHQLNRADLVLLAHRPRYYLRDLQLLEAHALLPHGATVLADHVLFPGAPRFLQYTKSCGRYRCRLHHTSLPDFPAIKDGIAQLTYTGPG", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNSLSEANTKFMFDLFQQFRKSKENNIFYSPISITSALGMVLLGAKDNTAQQIKKVLHFDQVTENTTGKAATYHVDRSGNVHHQFQKLLTEFNKSTDAYELKIANKLFGEKTYLFLQEYLDAIKKFYQTSVESVDFANAPEESRKKINSWVESQTNEKIKNLIPEGNIGSNTTLVLVNAIYFKGQWEKKFNKEDTKEEKFWPNKNTYKSIQMMRQYTSFHFASLEDVQAKVLEIPYKGKDLSMIVLLPNEIDGLQKLEEKLTAEKLMEWTSLQNMRETRVDLHLPRFKVEESYDLKDTLRTMGMVDIFNGDADLSGMTGSRGLVLSGVLHKAFVEVTEEGAEAAAATAVVGFGSSPTSTNEEFHCNHPFLFFIRQNKTNSILFYGRFSSP", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGSTPTDLPGEDVADNRSGVGGGISDVYGEDSATLDQLVTPWVTSVASGYTLMRDPRYNKGLAFTDKERDAHYLTGLLPPVILSQDVQERKVMHNLRQYTVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRKPQGLYISLNEKGKILEVLKNWPQRGIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGIRPSACLPITIDVGTNNEKLLNDEFYIGLKQRRATGQEYAEFLHEFMCAVKQNYGEKVLVQFEDFANHNAFDLLSKYSDSHLVFNDDIQGTASVVLAGLIAAQKVLGKKLADHTFLFLGAGEAGTGIAELIALKISKETGAPITETRKKIWLVDSKGLIVSSRKESLQHFKQPWAHEHKPVKDLIGAVNAIKPTVLIGTSGVGQTFTKEVVEAMATNNEKPLILALSNPTSQAECTAEQAYTWTKGRAIFGSGSPFDPVVYDGKTYLPGQANNCYIFPGLGLGLIMSGAIRVRDDMLLAASEALAAQVTEEHYANGLIYPPFSNIREISANIAACVAAKTYDLGLASNLPRAKDLVKFAESSMYSPVYRNYR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASRKTVNRRQRPQRATSNVFAMFDQAQIQEFKEAFNMIDQNRDGFIDQEDLKDMFASLGKEVTEQFIDSMINEAPGAQPINFTMFLTLFGEKLTGTDPEEVIRNAFQCFDEDNSGKLNEEHLRELLTTMGERYSEEQVDELFRDAPIKGGQFDYVEFTRMLKHGTKDKDEA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEYIKIAKVSNVVLHRRGTATQGTLHLTTHHLIFESPQLSTEFWFPYPLIYGVHKNPGSTLLSKLTSTNQIQLEGTDSQNYKLYQGKDLWSFVNIKVIGKDYAVFSLDFGGDLHLQARKVYDSILNLTVLSNITQLYAFIYISNNLERKLPSPDSWDIYDPIKEFRRQGLDSKDETCPWRLSTVNEHYEFCPTYPSKLFVPRSTSDILLKHASKFRSQKRIPVLTYHHKATDCNILRSSQPLPGLINQRSIQDEKLVWESFNSFCNKDIRRTKHVIVDARPRTNALAQMALGGGTENMDNYNFFLADNNMGVDKSLKLPTVTRLFLGIDNIHIVSNTAAYMTEVICQGGDLNLPLEQNLIRSQKFSNWLKLNTLILKSVDMLLKSIIFNHSNVLVHCSDGWDRTSQVVSLLEICLDPFYRTFEGFMILVEKDWCSFGHRFLERSGHLNSDIRFHDNTMHSNFNDVDTNGDDLDIGVNTQDDYAEDDEGGEDETNLINLSRISKKFNENFKLNKKSLKFVSPVFQQFLDCVYQLLTQNPDLFEFNERFLRRLVYHLYSCQYGTFLSNSEKEKFQQNLPNKTKSVWDYFRSRRKQFINPNFIQRKRSGMNEHDQNLEEEEKVEWISPDLKKVQWWWQLYGRKDSEMNDELRHKRDSVPISVDKKSKEHSNSDGGKGLNLSIFGFDMFNRK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDSKEVSEVPRREVRSFLMARDPSIDRRFRPRPNKKMRLFDNIQESEEESFSEYSDTESEYKYQSSEATEGASCATSAADSSNVETGPQVFLRLRPVKDASKAYIVSEEANVLITSCKVDSTSNNVNRMEKHFGFTSIFDSTVGQRDIYDTCVGPKIMEEECVTIMTYGTSGSGKTYTLLGDDVRAGIIPRALENIFTIYQDTVFRSPKLKLINGSIVFLQDDASLKELQIRKKLLDLCPDISAHHQRLKQVIDGDHMFETKASTDVSVLVWVSFVEIYNELVYDLLAIPPKQDKLGEVPRKNLKIVGNKGHVFIKGLTSVFVTSSEEALRLLRLGQQRSTYASTSVNANSSRSHCVFTVDILKYNRSGITTQSSYKFCDLAGSERVNNTGTSGLRLKEAKNINTSLMVLGRCLDAASTVQKKKNADIIPYRDSKLTMLLQAALLGKEKLAMIVTVTPLDKYYEENLNVLNFASIAKNIIFKEPVIKQHRVSYCGFMEFSKMSTCEGGDYTKELEDENVRLQLEIEQLKYDHVLQMQLLEEKLRRELTATYQEIIQNNKKQYEDECEKKLLIAQRESEFMLSSQRRRYEEQIEDLKDEIEELKNPASDTDISDDPNESKSPIEILDDD", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPSADATRGGGSAGSMGKGTLGAGDTLGHKSVLDKQRAAIEKLRAQNEQLKTELLLENKFSVRPGDPFAQALINRLQDEGDMLARKIVLEMRKTKMLDQQLSEMGSTLTTTRNNMGGIFSAKEQSTAVQKRIKLLENRLEKAYVKYNQSITHNKQLRESINNLRRERIMFESIQSNLERELAKLKRDMADMIQQANGAFEAREKAIGEMNALKAQADKEQQGFEEEWRQLTTIIEEDKKERERARAQELAMRERETQELLKMGTLSSAEKKKRITKGSWNVGYNKAMAQNVAAEKVEMYGQAFKRIQDATGIEDIDQLVNTFLAAEDQNYTLFNYVNEVNQEIEKLEDQINIMRGEINKYRETGRELDMTKSRELTEEEARLAASEAQSQLYEKRTDSALSMTTALKAGINDLFERIGCNTPAVRDLLGEEGVTEANLTAYLGIIEQRTNEILQIYAKRKAQQGTDGLAEALLAQPLTQPGNRIIIEPPSTTQEEEVEGLEPEPVEEDRPLTREHLESKVQRTLPRKLETAIKVRPAGADATGGKRGSPTRR", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDSNNSKKGSSVKSPCQTPRSTEKSNRDFRVDSNSNSNPVSKNEKEKGVNIQVIVRCRPFNSEETRLQTPAVLTCNDRKKEVAVAQNIAGKQIDKTFLFDKVFGPTSQQKDLYHQAVSPIVFEVLDGYNCTIFAYGQTGTGKTYTMEGGARKKNGEIPSDAGVIPRAVKQIFDILEAQSAAEYSLKVSFLELYNEELTDLLAPEETKFADDKSKKPLALMEDGKGGVFVRGLEEEIVSTADEIYKVLEKGSAKRRTAETLLNKQSSRSHSIFSVTIHIKECTPEGEEIVKSGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHIPYRESKLTRLLRDSLGGKTKTCVIATVSPSVHCLEETLSTLDYAHRAKHIKNKPEVNQKMMKSAIMKDLYSEIERLKQEVYAAREKNGIYIPKERYTQEEAEKKAMADKIEQMEVEGEAKDKQIIDLQELYNSEQLVTAGLREKLDKTEKKLYETEQALLDLEEKHRQAVATIKEKEYLISNLLKSEKTLVDRAVELQAELANAASDVSNLFAKIGRKDKIEDSNRSLIQDFQSQLLRQLELLNNSVAGSVSQQEKQLQDMENVMVSFVSAKTKATETLRGSLAQLKEKYNTGIKSLDDIAGNLDKDSQSTLNDLNSEVTKHSCALEDMFKGFTSEAYTLLEGLQGSLHNQEEKLSAFTQQQRDLHSRSMDSAKSVSTVMLDFFKTLDTHANKLTKLAEDAQNVNEQKLSAFTKKFEESIANEEKQMLEKVAELLASSNARKKELVQIAVQDIRQGSSSQTGALQQEMSAMQDSASSIKVQWNSHIVQAESHHLDNISAVEVAKEDMQKMHLKCLENSKTGTQQWKTAQESLVDLEKRNVATADSIIRGAIENNEKLRTQFSSAVSTTLSDVDSSNREIISSIDNSLQLDKDASTDVNSTIVPCSENLKELRTHHDDNVVEIKQNTGKCLGHEYKVDEATSSTPRKREYNIPTVGSIEELKTPSFEELLKAFHDCKSPKQMQNGEAKHVSNGRPPLTAIN", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSCSRVALVTGANRGIGLAIARELCRQFSGDVVLTARDVARGQAAVQQLQAEGLSPRFHQLDIDDLQSIRALRDFLRKEYGGLNVLVNNAAVAFKSDDPMPFDIKAEMTLKTNFFATRNMCNELLPIMKPHGRVVNISSLQCLRAFENCSEDLQERFHSETLTEGDLVDLMKKFVEDTKNEVHEREGWPNSPYGVSKLGVTVLSRILARRLDEKRKADRILVNACCPGPVKTDMDGKDSIRTVEEGAETPVYLALLPPDATEPQGQLVHDKVVQNW", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLKLVGGGGGQDWACSVAGTSLGGEEAAFEVARPGDQGKAGGGSPGWGCAGIPDSAPGAGVLQAGAVGPARGGQGAEEVGESAGGGEERRVRHPQAPALRLLNRKPQGGSGEIKTPENDLQRGRLSRGPRTAPPAPGMGDRSGQQERSVPHSPGAPVGTSAAAVNGLLHNGFHPPPVQPPHVCSRGPVGGSDAAPQRLPLLPELQPQPLLPQHDSPAKKCRLRRRMDSGRKNRPPFPWFGMDIGGTLVKLVYFEPKDITAEEEQEEVENLKSIRKYLTSNTAYGKTGIRDVHLELKNLTMCGRKGNLHFIRFPSCAMHRFIQMGSEKNFSSLHTTLCATGGGAFKFEEDFRMIADLQLHKLDELDCLIQGLLYVDSVGFNGKPECYYFENPTNPELCQKKPYCLDNPYPMLLVNMGSGVSILAVYSKDNYKRVTGTSLGGGTFLGLCCLLTGCETFEEALEMAAKGDSTNVDKLVKDIYGGDYERFGLQGSAVASSFGNMMSKEKRDSISKEDLARATLVTITNNIGSIARMCALNENIDRVVFVGNFLRINMVSMKLLAYAMDFWSKGQLKALFLEHEGYFGAVGALLELFKMTDDK", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNSQGYDESSSSTAATSGPTSGDPRMGKKQRFMNLIRTTKDVYIPNLTSSISQKTMDGIRSTTNSFEGYNDLPMELPHNTTITYFPTYTTTNLVDPDGLSAPRKDFETTVRCAVSYPGNPTSRRNRWLLSLCKQYLRTGTAEADVAPVVPPHLEEDSGDLNDSQSSIESSLSSKSENRYSHMGIQEEDVLNERIQGFLSKKVPNTPVVVDLLPKDKLRGDTASFFGTTDSYGNLLIKAETDFLPSKINITLDTPIEGHADPISETFPANYVSPYGIGLISDIDDTIKHTGVTGDRRSMFRNVFIHDVQSWVIDGVPLWYKTLHDVADVDFFYVSNSPIQTFTLLKQYICANFPPGPIFLKQYSGNFFSTIMTSSANRKIQPIANILKDFPKKKFILVGDSGEHDLEAYTTTALQFPNQILAIYIRCCSNSMSDVPSHDEEVMNEVNNIIELQQRPMQMTKSTVRTRRRPPPPPIPSTQKPSLTEEQTESIRMSRRNKDENNAKRVAPPPLPNRQLPNLDANTYYVPSSQNDYGMYGAFMDKKADEWKRRVMDSIQKLSNQDTTLMFFSDPALSLEDSIRRIREKYSN", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSDGTASARSSSPLDRDPAFRVITVTKETGLGLKILGGINRNEGPLVYIHEVIPGGDCYKDGRLKPGDQLVSINKESMIGVSFEEAKSIITRAKLRSESPWEIAFIRQKSYCGHPGNICCPSPQVSEDCGPQTSTFTLLSSPSETLLPKTSSTPQTQDSTFPSCKAIQTKPEHDKTEHSPITSLDNSPADTSNADIAPAWTDDDSGPQGKISLNPSVRLKAEKLEMALNYLGIQPTKEQREALREQVQADSKGTVSFGDFVQVARSLFCLQLDEVNVGVHEIPSILDSQLLPCDSLEADEVGKLRQERNAALEERNVLKEKLLESEKHRKQLIEELQNVKQEAKAVAEETRALRSRIHLAEAAQRQAHGMEMDYEEVIRLLEAEVSELKAQLADYSDQNKESVQDLRKRVTVLDCQLRKSEMARKAFKASTERLLGFIEAIQEVLLDSSAPLSTLSERRAVLASQTSLPLLARNGRSFPATLLLESKELVRSVRAILDMDCLPYGWEEAYTADGIKYFINHVTQTTSWIHPVMSALNLSCAEESEEDCPRELTDPKS", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPPNSKSKRRKNKSKQHNKKNGNSDPEQSINPTQLVPRMEPELYHTESDYPTSRVIKRAPNGDVIVEPINTDDDKKERTANLTHNKDSMDSASSLAFTLDSHWESLSPEEKKTILRIEKEEVFNVIRNYQDDHSCSCSVCGRRHLAMDQEMERIYNTLYAMDKDKDPETNPIKFHLGIIKELQISKNQQQNDLSSTKGEVVKNFLSSSTVGSLKEEVLHFKQKQLSKQEQAHNETADNTSLLEENLNNIHINKTSSEISANFNSVSDEELQQKYSNFTKTFISSHPKIAEEYVQKMMMYPNIRALTDDLMNSNGQGFLNAIEDFVRDGQIQASKKDDSITEDEASSTDLTDPKEFTTMLHSGKPLTEDEYADLQRNIAERMTNAYDTASKKFKDVSQLEKELFTRFMSGRDKKSFRELIIQSFKNKFDGELGPSVLAATLSSCFSSQSKDTSLDTDSIYEDEDEEDYDDYSEYAEDSEEVSEYEGIEAVEKPEHDEKSNGIRETLHLSYDHDHKRQNHPHHHYHSTSTHSEDELSEEEYISDIELPHDPHKHFHRDDDILDGDEDEPEEEDENEGDDEEDTYDSGLDETDRLEEGRKLIQIAITKLLQSRIMASYHEKQADNNRLKLLQELEEEKRKKREKEEKKQKKREKEKEKKRLQQLAKEEEKRKREEEKERLKKELEEREMRRREAQRKKVEEAKRKKDEERKRRLEEQQRREEMQEKQRKQKEELKRKREEEKKRIREQKRLEQEKLQKEKEEEERQRLIAEDALRKQKLNEEQTSANILSAKPFTENGVGNPVSSQSHPNMTNYQEDNSCSINDEILKMVNSVAASKPVSPTGFNVHDLLLPSTNNQMPAMEQSHLPQPGNQNNHFGTTTIPNALDLATKSSLQTENNYLMNSQTLENTSLLMHNNSSPTKLLPNDFGLSSWGGLTNTMSINPTCKPPVIQTSEMESQAHKSSPQATMPSFGLPNGGTHRKSFTDELNTLTSMLSSSGFADTSLSSSGFPPSQRSVWNDQKSSFSGPSTAGNFNNSSIQSGMLLAPTLGSVESFPNRTSIWDSSTTPMMNKSELSGRNITSTAQDSPAFMASNIWSSNSQYNSPYLTSNVLQSPQISSGVDESHILDSIYNTYLAISPQDSLNPYIAIGTLFQNLVGLNLDYSTFINKLISMQGAYNCEFFTDNNGSITHVRFARQTPAGHSKGLLNQLFSGLNDPTATPFTSRPHTSTRASFPIASSTTQTS", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSIPLPIRTSDGTGNLSSSPPKSNGLPRLSPSPSFVQKHSPRSSDTSGLRRSASHHNARQTFKQRRCKSQYPRDSPERHVEFILVASFHIDRGPIMEHQYPGPISSDEGMLAELMLPDQTHVRSQDWTIFFLPLDTGGEGEEDDLAGENNKRKGKRNRVRSSSGDEGTSADTNNESEVTEEEESSDEEDGGEGPPLMYVLNLVNTKQDNTVKRGAVVKAMAICTRHSFLHIYKPILLLALEDYFKNPYPETLETLYNAVNAMDLSPMPKLNLLERQILQATNSKDMFIEKFEQMVQQRAIEDGENDIDEDNPPSPRRGTAPRYTLPRDTHEFESKIIYNDIPIPVKVPTVIWPEIVGDFSLVKLIQIFSAPHAASPQPFPLHPHLTTSGPLTHPIIVLVNAMLTQKRVVFLGHNRPSGEVAEAVLAACALASGGILRGFTRHAFPYTDLTKIDDLLRVPGFIAGVTNPTFANHPEWWDVLCDLPTGRIKISNHIEPAPVTDGQLYFQQQSPVSASGPNADPTGDNLFMEDVLRSIANRYGENAIRAKWRAYITKFTRVAAAFEETVYGASNIYIIGPNEELSPDSPSGLQSDPGDPTTIRGHGYVWPDEASKQRELMASVSRIEGWRTTRSYYSFIQDIAAMYWPSRPIQKPDLHHHHDRLRTLKLSAYEAGAIYLAFAHAIKDYAGICQLLTVTPESQAGLFYLSMGLLHPDRNVREATADLLERIALHPAGRHFWAQLNRFAKTAYFRIKREKEAAGNSSPIVKSPSDSFGPQQSLVGVAISGVHSQGS", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MARNKLEFPLDAEAYEIICKIGVGVSASVYKAICIPMNSMVVAIKAIDLDQSRADFDSLRRETKTMSLLSHPNILNAYCSFTVDRCLWVVMPFMSCGSLHSIVSSSFPSGLPENCISVFLKETLNAISYLHDQGHLHRDIKAGNILVDSDGSVKLADFGVSASIYEPVTSSSGTTSSSLRLTDIAGTPYWMAPEVVHSHTGYGFKADIWSFGITALELAHGRPPLSHLPPLKSLLMKITKRFHFSDYEINTSGSSKKGNKKFSKAFREMVGLCLEQDPTKRPSAEKLLKHPFFKNCKGLDFVVKNVLHSLSNAEQMFMESQILIKSVGDDDEEEEEEDEEIVKNRRISGWNFREDDLQLSPVFPATESDSSESSPREEDQSKDKKEDDNVTITGYELGLGLSNEEAKNQEGEVVGFDKDLVLEKLKVLKKSLEHQRARVSIIIEALSGDKEEKSREEELLEMVEKLKIELETEKLKTLRADKDSVLG", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MERPAPGEVVMSQAIQPAHATARGELSAGQLLKWIDTTACLAAEKHAGVSCVTASVDDIQFEETARVGQVITIKAKVTRAFSTSMEISIKVMVQDMLTGIEKLVSVAFSTFVAKPVGKEKIHLKPVTLLTEQDHVEHNLAAERRKVRLQHEDTFNNLMKESSKFDDLIFDEEEGAVSTRGTSVQSIELVLPPHANHHGNTFGGQIMAWMETVATISASRLCWAHPFLKSVDMFKFRGPSTVGDRLVFTAIVNNTFQTCVEVGVRVEAFDCQEWAEGRGRHINSAFLIYNAADDKENLITFPRIQPISKDDFRRYRGAIARKRIRLGRKYVISHKEEVPLCIHWDISKQASLSDSNVEALKKLAAKRGWEVTSTVEKIKIYTLEEHDVLSVWVEKHVGSPAHLAYRLLSDFTKRPLWDPHFVSCEVIDWVSEDDQLYHITCPILNDDKPKDLVVLVSRRKPLKDGNTYTVAVKSVILPSVPPSPQYIRSEIICAGFLIHAIDSNSCIVSYFNHMSASILPYFAGNLGGWSKSIEETAASCIQFLENPPDDGFVSTF", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSEQGGLTPTILEEGQTEPESAPENGILKSESLDEEEKLELQRRLAAQNQERRKSKSGAGKGKLTRSLAVCEESSARSGGESHQDQESIHLQLSSFPSLQEEDKSRKDDSEREKEKDKNREKLSERPKIRMLSKDCSQEYTDSTGIDLHGFLINTLKNNSRDRMILLKMEQEMIDFIADSNNHYKKFPQMSSYQRMLVHRVAAYFGLDHNVDQTGKSVIINKTSSTRIPEQRFCEHLKDEKSEESQKRFILKRDNSSIDKEDNQNRMHPFRDDRRSKSIEEREEEYQRVRERIFAHDSVCSQESLFLDNSRLQEDMHICNETYKKRQLFRAHRDSSGRTSGSRQSSSETELRWPDHQRAWSSTDSDSSNRNLKPTMTKTASFGGITVLTRGDSTSSTRSAGKLSKTGSESSSSAGSSGSLSRTHPQSTALTSSVAAGSPGCMAYSENGMGGQVPPSSTSYILLPLESATGIPPGSILLNPHTGQPFVNPDGTPAIYNPPGSQQTLRGTVGGQPQQPPQQQPSPQPQQQVQASQPQMAGPLVTQREELAAQFSQLSMSRQSSGDTPEPPSGTVYPASLLPQTAQPQSYVITSAGQQLSTGGFSDSGPPISQQVLQAPPSPQGFVQQPPPAQMSVYYYPSGQYPTSTSQQYRPLASVQYSAQRSQQIPQTTQQAGYQPVLSGQQGFQGMMGVQQSAHSQGVMSSQQGAPVHGVMVSYPTMSSYQVPMTQGSQAVPQQTYQPPIMLPSQAGQGSLPATGMPVYCNVTPPNPQNNLRLMGPHCPSSTVPVMSASCRTNCGNVSNAGWQVKF", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRRTGPEEEACGVWLDAAALKRRKVQTHLIKPGTKMLTLLPGERKANIYFTQRRAPSTGIHQRSIASFFTLQPGKTNGSDQKSVSSHTESQINKESKKNATQLDHLIPGLAHDCMASPLATSTTADIQEAGLSPQSLQTSGHHRMKTPFSTELSLLQPDTPDCAGDSHTPLAFSFTEDLESSCLLDRKEEKGDSARKWEWLHESKKNYQSMEKHTKLPGDKCCQPLGKTKLERKVSAKENRQAPVLLQTYRESWNGENIESVKQSRSPVSVFSWDNEKNDKDSWSQLFTEDSQGQRVIAHNTRAPFQDVTNNWNWDLGPFPNSPWAQCQEDGPTQNLKPDLLFTQDSEGNQVIRHQF", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKYLAAYLLLNAAGNTPDATKIKAILESVGIEIEDEKVSSVLSALEGKSVDELITEGNEKLAAVPAAGPASAGGAAAASGDAAAEEEKEEEAAEESDDDMGFGLFD", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSTESALSYAALILADSEIEISSEKLLTLTNAANVPVENIWADIFAKALDGQNLKDLLVNFSAGAAAPAGVAGGVAGGEAGEAEAEKEEEEAKEESDDDMGFGLFD", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSNKLCQLCNERRPALVRPKTGQKICKECFYYVFETEIHNVIIENKLFVRGERVGIGASGGKDSTVLAYVMKLLNERYDYGLELYLISVDEGIRGYRDDSLDTVKRNQQQYGLPMKIVSYADLYDGWTMDNVVARIGTKNNCTYCGVFRRQALDRAALSLDIHHLVTGHNADDIAETILMNLLRGDVARLPRSTEITTQSDSSPTKRSKPFKYSYEKEIVLYAHYKKLDYFSTECTYSPEAFRGTARAMIKQLENIRPSSILDIIYSGESMQLASSVQEQLPQQTTCERCGFISSNRICKACMLLEGLNKGITGLGLGSDRKTKKLQSQIPACAE", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAGEYSGSRSSNTQLLAELEALSENLYQKPQVSVGNRRTNSLALPRSSVPSLVTSADEVSTARAEDLTVSKPRARRLSLSPWRSRPKLEVEEEENVTQSNRIVKKPEESSSGSGVKEEKKGIWNWKPIRGLVRIGMQKLSCLLSVEVVAAQNLPASMNGLRLGVCVRKKETKDGAVQTMPCRVSQGSADFEETLFIKCHVYYSPANGKGSPAKFEARPFLFYLFAVDAKELEFGRHVVDLSELIQESVEKMNYEGARVRQWDMNWGLSGKAKGGELALKLGFQIMEKDGGAGIYSKQGEFGMKPSSKPKNFANSFGRKQSKTSFSVPSPKMTSRSEAWTPASGVESVSDFHGMEHLNLDEPEEKPEEKPVQKNDKPEQRAEDDQEEPDFEVVDKGVEFDDDLETEKSDGTIGERSVEMKEQHVNVDDPRHIMRLTELDSIAKQIKALESMMKDESDGGDGETESQRLDEEEQTVTKEFLQLLEDEETEKLKFYQHKMDISELRSGESVDDESENYLSDLGKGIGCVVQTRDGGYLVSMNPFDTVVMRKDTPKLVMQISKQIVVLPEAGPATGFELFHRMAGSGEELESKISSLMAIDELMGKTGEQVAFEGIASAIIQGRNKERANTSAARTVAAVKTMANAMSSGRRERIMTGIWNVEENPLTSAEEVLAVSLQKLEEMVVEGLKIQADMVDDEAPFEVSAAKGQKNPLESTIPLEEWQKEHRTQQKLTVLATVQLRDPTRRYEAVGGTVVVAVQAEEEEEKGLKVGSLHIGGVKKDAAEKRRLTAAQWLVEHGMGKKGKKKSNIKKKEKEEEEEEMLWSLSSRVMADMWLKSIRNPDVKLH", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLCHVTRPDAVVMEIEVDAKANGEDCLNKVCRKLGIIEVDYFGLQFSGSKGENLWLNLRNRISQQMDNLTPCRLRLRVKFFVEPHLILQEQTRHLFFMHVKEDLHRGHLRMCSEQAQELSALLAQAEFGDYNQNTAKYWYTELCGSEPNQTTINSIIAKHKALEGLSQASVEYQALQLVSSLEHYGVEWHWARDAEAQRLAIGVGPEGIAICRDDFSLVNRISYPIIQIATQSGKSVYLTVTKESSDSVVLLFKLISNRAASGLYRAITETHAFYRCDTVTNAVMMQYSRDFKGHLASLFLNENINLGKKYVFDIRRTSKEVYDYARRALYNAGIVDMMSRPGERTPSNRSPSREQEGALDCGGCQQSRLLQEKLQKLREALLCMLCCEEEIDAAFCPCGHMVCCQNCAAQLQSCPVCRSEVEHVQHVYLPTCTSLLNLTIGENSPEPIHRGMAAHTCTTNDYSTSEKIYQN", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSFMDQIPGGGNYPKLPVECLPNFPIQPSLTFRGRNDSHKLKNFISEIMLNMSMISWPNDASRIVYCRRHLLNPAAQWANDFVQEQGILEITFDTFIQGLYQHFYKPPDINKIFNAITQLSEAKLGIERLNQRFRKIWDRMPPDFMTEKAAIMTYTRLLTKETYNIVRMHKPETLKDAMEEAYQTTALTERFFPGFELDADGDTIIGATTHLQEEYDSDYDSEDNLTQNGYVHTVRTRRSYNKPMSNHRNRRNNNPSREECIKNRLCFYCKKEGHRLNECRARKASSNRS", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEARIGRSMEHPSTPAINAPAPVPPPSTRRPRVREVSSRFMSPISSSSSSSSSSSAGDLHQLTSNSPRHHHQHQNQRSTSAQRMRRQLKMQEGDENRPSETARSLDSPFPLQQVDGGKNPKQHIRSKPLKENGHRLDTPTTAMLPPPSRSRLNQQRLLTASAATRLLRSSGISLSSSTDGEEDNNNREIFKSNGPDLLPTIRTQAKAFNTPTASPLSRSLSSDDASMFRDVRASLSLKNGVGLSLPPVAPNSKIQADTKKQKKALGQQADVHSLKLLHNRYLQWRFANANAEVKTQSQKAQAERMFYSLGLKMSELSDSVQRKRIELQHLQRVKAVTEIVESQTPSLEQWAVLEDEFSTSLLETTEALLNASLRLPLDSKIKVETKELAEALVVASKSMEGIVQNIGNLVPKTQEMETLMSELARVSGIEKASVEDCRVALLKTHSSQMEECYLRSQLIQHQKKCHQQECTTSV", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTSKPSTSDGRAHSISHVPGTHMRGTSASHSPRPFRPCADCTCSPGLLSRQGRRASLFLRQLENSRRSSSMLLNELKGAGGGSSAGNGSVYSCDSLCAVNREVNTTDRLLKLRQEMKKHDLCCYIVPSCDEHQSEYVSLRDQRRAFISGFSGSAGVACITRDLLNFNDDHPDGKSILSTDGRYFNQARQELDYNWTLLRQNEDPITWQEWCVREALEMAKGLGNKEGMVLKIGIDPKLITFNDYVSFRKMIDTKYDAKGKVELVPVEENLVDSIWPDFETLPERPCNDLLLLKYEFHGEEFKDKKEKLLKKLNDKASSATTGRNTFIVVALDEICWLLNLRGSDIDYNPVFFSYVAINEDETILFTNNPFNDDISEYFKINGIEVRPYEQIWEHLTKITSQASSAEHEFLIPDSASWQMVRCLNTSTNANGAIAKKMTAQNFAIIHSPIDVLKSIKNDIEIKNAHKAQVKDAVCLVQYFAWLEQQLVGREALIDEYRAAEKLTEIRKTQRNFMGNSFETISSTGSNAAIIHYSPPVENSSMIDPTKIYLCDSGSQFLEGTTDITRTIHLTKPTKEEMDNYTLVLKGGLALERLIFPENTPGFNIDAIARQFLWSRGLDYKHGTGHGIGSFLNVHEGPMGVGFRPHLMNFPLRAGNIISNEPGYYKDGEYGIRIESDMLIKKATEKGNFLKFENMTVVPYCRKLINTKLLNEEEKTQINEYHARVWRTIVHFLQPQSISYKWLKRETSPL", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKIRVELINGNEHRTSSTPQQPQQNPSVSHIFDGETAVKDHIKVLLTHFKIPVDKVSSYALQNPFTLAYVEDSFLTPERLVEAEKSYFILRMKPHAIADRVVDQLTKIEPTSPHIKDTIFNIRYQMKDVEYVEEFIIKGGINQLLAVIIKSRGNTQSYALTALRCFMGYNSGLEEVMSRPQLIDKLYSLVCSVGVLPSVCRQAIELLFCVCNFDGFQLVHRSAKNHAQETSTPAYSNLITLLSSGDMETQLNTLTLFNCLLDNAPNPRKSEKLLSRWQQLGIIKILKSQEHVTHSDFRTQIARFQANSGFGIDGSGRKRTLTRQLSTQELEFQLHQFREQQPLISLLTSELKFLRNAIKSAIENGSYINYRAPTERYDEYSQRKLEMIGDSPTNLQFLKRNDKFTNAFRKSMYVRSPNTSDLFDSSTLEDTYDGNNDTNSCTSISTSSTPIHISQPTTLIVPSTTPNHPPQQSQQTPPLQLQKEKEKEKEKEKEKEKEKEKEQQQQQQQSNKQSTPKPNLSCLLSPITISNTLNNNNNNNNNTNNNIIKSNNNNNNNNCTIKDLSPIVKSEKSNEDEIHEISLNGASSNHEEPIKYKLQPTKSPITPSKRMKPLHWTRILNSQFEGKKTIWNSYLPEVTFEEELFVDLFSLYTERIVSFSGSPVGSGTSISGGGPIKSKPIQKVISVLSQKRSNAIIVMCGKLPSDDILIRAIRNLDSNKLSLDGVSSIISNFPTSEELASIHELHSNEVILDKPERWCLMIDGFPMIKHRLRCWEFMLKIEDSLKSIIESIDTVLLACKELRTSITINCLFSLLLQLGNYLNGGHLYRGQSDGFNLESLSKMIEIKDNSNSGSLLDFAIKTLYQQSPMKGNSNTSIHLELAHVPNASLINFTDVGTSVSKLLQDYSEIVLMSDEIQQTTDKDDPFLDIVPKFMGTILLILKNLQTKFLETEKYLFETIDYFNPTNQTLQQYQQQQYQQYQQQQFQQNIINNNNNNNNNNSNNNNNNISGNTTTTTTTTTTTTTGSIINNNNNNNNNNNNSNNNIINNNNSQSNLQSLLHPQYYLSNSSSSSSSSYKITPPLSSSLSITSQEWNQQKFTCEKFFTLFSTITTAFKKSPSKRLSQKGFGLKISNSDDPMAVIIEALKTGSPNDMVKRAF", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATNYNEKLLLNYVPVYVMLPLGVVNVENVFADPETLETQLKRLKEEAGVDGVMVDVWWGIIESKGPKQYDWTAYKTLFQLIARLGLKIQAIMSFHQCGGNVGDIVTIPIPQWVRDVGDNDPDIYYTNRKGTRDIEYLSIGVDNLPLFAGRTAVQLYSDYMSSFKENMADLIEAGVIVDIEVGLGPAGELRYPSYPQSQGWVFPGIGEFQCYDKYLKKDFKEAAAKAGHPEWDLPEDAGEYNDKPEETGFFKKDGTYVSEKGKFFMTWYSNKLIFHGDQILGEANKIFAGLKVNLAAKVSGIHWLYNHHSHAAELTAGYYNLFKRDGYRPIARMLSKHYGILNFTCLEMKDTDNTAEALSAPQELVQEVLSKAWKEGIEVAGENALETYGAKGYNQILLNARPNGVNPNGKPKLRMYGFTYLRLSDTVFQENNFELFKKLVRKMHADQDYCGDAAKYGHEIVPLKTSNSQLTLEDIADAAQPSGAFKWDSETDLKVDG", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MCERAARLCRAGAHRLLREPPPQGRALGGLLRWVGARMGEPRAPLVPDIPSADPGPGPAASRGGTAVILDIFRRADKNDDGKLSLEEFQLFFADGVLNEKELEGLFHTIDSDNTNHVDTKELCDYFVEHMGDYEDVLASLETLNHSVLKAMGYTKKVYEGGSNVDQFVTRFLLKETANQIQSLLSSVESAVEAIEEQTSQIRQDHCKPSHAVNESRYGGPTPPYIPNHKLVAPEPMKSLPVATGEPKEDGLEGQISRLAELIGRLESKTLSFDLQQRLSDEEGTNMHLQLVRQEMAVCPEQLSEFLDSLRQYLRSTAEERNCFHVAAVRMADGLTFVIYEFWETEEEWKRHLQSPVCKAFRHVKVDTLSQPEALSQISVPAAWCTSGRD", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGLFSRKRDHTPAVPKEKLIPCDKIFLDPPAKYGNAPLLEPISEDQNEKYRAVLRHFQDDDLKLPENLNDLDNGTHANDRPLSDWEKFWLSRECFLRYLRANKWNTANAIKGLTKTLVWRREIGLTHGKEDKDPLTADKVAVENETGKQVILGFDNAKRPLYYMKNGRQNTESSFRQVQELVYMMETATTVAPQGVEKITVLVDFKSYKEPGIITDKAPPISIARMCLNVMQDHYPERLAKCVLINIPWFAWAFLKMMYPFLDPATKAKAIFDEPFENHIEPSQLDALYNGLLDFKYKHEVYWPDMVKKVDDLRLKRFDRFLKFGGIVGLSEYDTKGQHDELKYPVDMVI", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLTNNEIYQEVQKFAKGYDLNQDGVLTSQEIYYSLLKKMNGNSYEASKATGVLCSTIDINKDGKFSYHEIAKYCADNAKKLIEQNADIAALADVEAFLLRFDKDKDRKLNKTEFVEYFKGGTDTPYSDRDYVLKIIDLDKDGCVSANELQEWFKKKRIDYASRPHC", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "METTVSAKNSLENENFLKEKSETVFLDEAAITKPPASKKKRKNRKKKKNNGPSEQFVGNNDLEEQRSGSIDSKDKEKPLDEKVKELENANKTLSDLVRRIQIQRDEAEQKAEIYNRDALNTKQEHLDIKKRLEKSDETVCKLKEENENLQDMLRNVGNELVESRDEIKELIEKQKVQKESVKSHESELSSVMSSEILPKASSDSAGSFEPPVISNISKELINKEYARNVLLQFLENHEHRDKILPILSTALDLEEVHQHLILKNLN", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSQKACYVCGKIGHLAEDCDSERLCYNCNKPGHVQTDCTMPRTVEFKQCYNCGETGHVRSECTVQRCFNCNQTGHISRECPEPKKTSRFSKVSCYKCGGPNHMAKDCMKEDGISGLKCYTCGQAGHMSRDCQNDRLCYNCNETGHISKDCPKA", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSYQGKKSIPHITSDRLLIKGGRIINDDQSLYADVYLEDGLIKQIGENLIVPGGVKTIEANGRMVIPGGIDVNTYLQKPSQGMTAADDFFQGTRAALVGGTTMIIDHVVPEPGSSLLTSFEKWHEAADTKSCCDYSLHVDITSWYDGVREELEVLVQDKGVNSFQVYMAYKDVYQMSDSQLYEAFTFLKGLGAVILVHAENGDLIAQEQKRILEMGITGPEGHALSRPEELEAEAVFRAITIAGRINCPVYITKVMSKSAADIIALARKKGPLVFGEPIAASLGTDGTHYWSKNWAKAAAFVTSPPLSPDPTTPDYLTSLLACGDLQVTGSGHCPYSTAQKAVGKDNFTLIPEGVNGIEERMTVVWDKAVATGKMDENQFVAVTSTNAAKIFNLYPRKGRIAVGSDADVVIWDPDKLKTITAKSHKSAVEYNIFEGMECHGSPLVVISQGKIVFEDGNINVNKGMGRFIPRKAFPEHLYQRVKIRNKVFGLQGVSRGMYDGPVYEVPATPKYATPAPSAKSSPSKHQPPPIRNLHQSNFSLSGAQIDDNNPRRTGHRIVAPPGGRSNITSLG", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGNRGMEDLIPLVNRLQDAFSAIGQNADLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRGSGIVTRRPLVLQLVNATTEYAEFLHCKGKKFTDFEEVRLEIEAETDRVTGTNKGISPVPINLRVYSPHVLNLTLVDLPGMTKVPVGDQPPDIEFQIRDMLMQFVTKENCLILAVSPANSDLANSDALKVAKEVDPQGQRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQKDIDGKKDITAALAAERKFFLSHPSYRHLADRMGTPYLQKVLNQQLTNHIRDTLPGLRNKLQSQLLSIEKEVEEYKNFRPDDPARKTKALLQMVQQFAVDFEKRIEGSGDQIDTYELSGGARINRIFHERFPFELVKMEFDEKELRREISYAIKNIHGIRTGLFTPDMAFETIVKKQVKKIREPCLKCVDMVISELISTVRQCTKKLQQYPRLREEMERIVTTHIREREGRTKEQVMLLIDIELAYMNTNHEDFIGFANAQQRSNQMNKKKTSGNQDEILVIRKGWLTINNIGIMKGGSKEYWFVLTAENLSWYKDDEEKEKKYMLSVDNLKLRDVEKGFMSSKHIFALFNTEQRNVYKDYRQLELACETQEEVDSWKASFLRAGVYPERVGDKEKASETEENGSDSFMHSMDPQLERQVETIRNLVDSYMAIVNKTVRDLMPKTIMHLMINNTKEFIFSELLANLYSCGDQNTLMEESAEQAQRRDEMLRMYHALKEALSIIGDINTTTVSTPMPPPVDDSWLQVQSVPAGRRSPTSSPTPQRRAPAVPPARPGSRGPAPGPPPAGSALGGAPPVPSRPGASPDPFGPPPQVPSRPNRAPPGVPSRSGQASPSRPESPRPPFDL", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEVSGGDTCRPRHPQGLREGPEPKVAAAAAAFRGSANRCWNLSVDTSNRLSDVFNSMMLTGSAPFYDCYKSQNEDNVDLRQTCTPLSSSTEYASSIDSSLFYAPWSTYGDDIKQPPSSQISVKNRIQTERNDYGSETDLYGLVSNILEEQDKSQPYFAEGTCSSNLKSVWPMNTSRFVDHHDLLTEPKRPVDTSISQQAFYSGESVSAVEKQYLHNSSLTPQQKIDELYHGYTGLDLEEQWLYLSRSDHSNCYNSQANDTVKATFQEYPFVKNCFTPQTGLSDIMKESGIDTYAYGREKICTKGLETPLQHKRAEIFLSQFNRYNENADYCRYPEYAHPNKAKLNKCSNFSVQDGKKLANGTPETPTVEADAYTKLFQVKPANQKKMEETIPDQQNFAFPKTTPHLTEKQFAKEAAFTADFGLKSEYGLKPHTACPTNNDFANVSEKQQFAKPDPLNSEYFKSVNLFSNSATSSGGISLNRPTWMNVQTKNNLPIPYRNQGNLMKLNSHLSAASKGSNHSSDFPQLSSTNLTSNSNLFQKYCQENPSAFSSFDFSYNGAERIQSVNHMEGLTKTGEDNLFESVTEKKIKQPNGFCDSYSASQYGIIENVNKHNFQAKPQSGHYDPEDIPKHFDGLPQNTYQDLLESQGHFNSHRQGSGDNNINSRVNRTQASCFSNNYMMGDLRHNQGFQQLGSNGFPLRSTHPFGHSVVPLLDSYDLFSYDDLSHLYPYFNDMMYGDNSFSGFVPTFGFQRPIKTRSGPASELHIRLEECYEQWRALEKERKKTELALAKNYPGKKVSSTNNTPIPRLTSNPSRVDRLIVDELREQARVVTLLGKMERLRSSPLHANISTALDRHLESIHIVQSRRKDEIVNASNRQRQGVPRCQDDRDVFALATAIKEMCVATRKARTTLWCALQMTLPKTASTAGQADMEKAFQDLVNCEEKVHESINSSNPMNQRGETSKH", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVATFNPAVGSHVWVEDPDEAWLDGEVVEINGDQIKVLCASGKQVVVKDSNIYPKDVEAPASGVEDMTRLAYLHEPGVLQNLQSRYDINEIYTYTGSILIAVNPFRRLPHLYSSHMMTQYKGASLGELSPHPFAVADAAYRQMVNEGVSQSILVSGESGAGKTESTKLLMRYLAFMGGRGAATEGRTVEQKVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDQSGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPEEDAKKFKLGDPKIYHYLNQSKCIQLDAMNDAEEYHATKKAMDVVGISSEEQDAIFRVVASILHLGNIEFAKGTEIDSSIPRDEKSWFHLKTAAELLMCNEKSLEDSLCKRIMATRDETITKTLDPEAALLSRDALAKVMYSRLFDWLVEKINTSIGQDPDSKYLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEINWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTYKNHKRFTKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVIAEHQALLNASTCSFVANLFPPVSDDSKQSKFSSIGTRFKQQLVSLLEILNTTEPHYIRCIKPNNLLKPGIFENQNVLQQLRCGGVMEAIRISCAGYPTRKHFDEFLNRFGIIAPQVLDKNSNEPAACKKLLDKAGLEGYQIGKSKVFLRAGQMADLDTRRTEILGRSASIIQRKVRSYLAQKTFIQLRISATQIQAVCRGYLARSIYEGMRREAAALKIQRDLRKFLARKAYTELFSATILIQAGMRGMVSRKELCLRRQTKAATIIQTRCRVYLARLHYRKLKKAAITTQCAWRGKVARKELKNLKMAARETGALQEAKNKLEKQVEELTWRLQLEKRMRTDLEEAKKQENAKYESSLEEIQNKFKETEALLIKEREAAKTVSEVLPIIKEVPVVDQELMEKLTNENEKLKGMVSSLEIKIDETAKELHETARISQDRLKQALAAESKVAKLKTAMQRLEEKISDMETEKQIMLQQTILNTPVKSVAGHPPTATIKNLENGHRTNLENQFNEVEVNGNAGKSAAERQLENVDTLIDCVKENIGFSNGKPIAAFTIYKCLLHWKCFESEKTSAFDRLIEMIGSAIENEDDNGHLAYWLTNTSALLFLLQKSLKPAGAGATASKKPPITTSLFGRMALSFRSSPNLAAAAEAAALAVIRPVEAKYPALLFKQQLAAYVEKIFGMIRDNLKKELSALISMCIQAPRISKGGIQRSARSLGKDSPAIHWQSIIDGLNSLLAILKDNYVPLVLIQKIHTQTFSFVNVQLFNSLLLRKECCTFSNGEFVKSGLAELELWCGQVNEYAGPSWDELKHIRQAVGFLVIHQKYRVSYDDIVHDLCPILSVQQLYRICTLYWDDCYNTRSVSQEVISSMRALMTEESNDADSNSFLLDDNSSIPFSIDEISNSMHEKDFASVKPAKELLENPEFVFLH", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNPNCARCGKIVYPTEKVNCLDKFWHKACFHCETCKMTLNMKNYKGYEKKPYCNAHYPKQSFTMVADTPENLRLKQQSELQSQVRYKEEFEKNKGKGFSVVADTPELQRIKKTQDQISNIKYHEEFEKSRMGPSGGEGIEPERREAQDSSSYRRPTEQQQPQPHHIPTSAPVYQQPQQQQVTPSYGGYKEPAAPVSIQRSAPGGGGKRYRAVYDYSAADEDEVSFQDGDTIVNVQQIDDGWMYGTVERTGDTGMLPANYVEAI", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGLYQAKNDEGSDPKSSSKIDDLIENEAEIIRLIKEDGKLLIDNGDGRDIHNIIQEDKLLSVEFNEVLKRFHGEEKSDIPRKEFDEDEDDGYDSNEHHQKTIEVMNTLNHVINKEVIPPENFSHVVGEIYRSSFPRQENFSFLHERLKLKSILVLIPEEYPQENLNFLKLTGIKLYQVGMSGNKEPFVNIPSHLLTKALEIVLNPANQPILIHCNRGKHRTGCLIGCIRKLQNWSLTMIFDEYRRFAFPKARALDQQFIEMYDDDEIKRIASKNNWLPLQW", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSFVRVNRCGPRVGVRKTPKVKKKKTSVKQEWDNTVTDLTVHRATPEDLVRRHEIHKSKNRALVHWELQEKALKRKWRKQKPETLNLEKRRLSIMKEILSDQYQMQDVLEKSDHLIAAAKELFPRRRTGFPNVTVAPDSSQGPIVVNQDPITQSIFNESVIEPQALNDVDGEEEGTVNSQSGESENENELDNSLNSQSNTNTDRFLQQLTEENFELISKLWTDIQQKIATQSQITPPGTPSSALSSGEQRAALNATNAVKRLQTRLQPEESTETLDSSYVVGHVLNSRKQKQLLNKVKRKPNLHALSKPKKNISSGSTTSADLPNRTNSNLDVLKHMIHEVEHEMEEYERWTGREVKGLQSSQGLTGFTLSLVSSLCRLVRYLKESEIQLRKEVETRQQLEQVLGDHRELIDALTAEILRLREENAATQARLQQYMVTTDEQLISLTHAIKNCPVINNRQEIQASESGATGRRVMDSPERPVVNANVSVPLMFREEVAEFPQEELPVKLSQVPDPPDNMNLAKNFPAHIFEPAVLLTPPRQKSNLKFSPLQDVLRRTVQTRPAPRLPPTVEIIEKEQNWEEKTLPIDTDIQNSSEENRLFTQRWRVSHMGEDLENKTQAPFVNLSQPLCNSHSNTQQSRSPTFSEELPVLGDGQQLRTNESLIQRKDIMTRIADLTLQNSAIKAHMNNIIEPRGEQGDGLRELNKQESASDMTSTFPVAQSLTPGSMEERIAELNRQSMEARGKLLQLIEQQKLVGLNLSPPMSPVQLPLRAWTEGAKRTIEVSIPGAEAPESSKCSTVSPVSGINTRRSSGATGNSCSPLNATSGSGRFTPLNPRAKIEKQNEEGWFALSTHVS", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEAERPQEEEDGEQGPPQDEEGWPPPNSTTRPWRSAPPSPPPPGTRHTALGPRSASLLSLQTELLLDLVAEAQSRRLEEQRATFYTPQNPSSLAPAPLRPLEDREQLYSTILSHQCQRMEAQRSEPPLPPGGQELLELLLRVQGGGRMEEQRSRPPTHTC", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTATAKSCALDFLDFVNASPTPYHAVQNLAEHYMSHGFQYLSEKSDWQSKIEPGNSYFVTRNKSSIIAFSIGKKWKPGNGFSIIATHTDSPTLRLKPKSQKSAYGYLQVGVEKYGGGIWHTWFDRDLSLAGRVMVEEEDGRVIQYNVHIDRPLLRIPTLAIHLDPSANSSFSFNMETEFVPLIGLENELAKEETSDNGDKYHHPVLLSLLANEISKSLETTIDPSKIVDFELILGDAEKARLGGIHEEFVFSPRLDNLGMTFCASQALTKSLENNSLDNESCVRVVPSFDHEEIGSVSAQGAESTFLPAVLQRICELGKESSLFSISMVKSFLVSADMAHAMHPNYSSRYENSNTPFLNKGTVIKVNANQRYTTNSAGIVLLKKVAQLADVPIQSFVVRNDSPCGSTIGPKLAAMTGMRTLDLGNPMLSMHSCREMCGSKDFEYAVVLFSSFFQNFANLEEKIIIDE", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDLKPNIRRKEKRNLLKGEAALEKKGSIDRKTKNKAYPSTTHDPHQNDDSNIPGLGSGLLERIKDIVQRPTDTQLKGQDSNHKKASLTETKTEKAKVKPKAKKKNSKEKISKSSKQDEHKTDVHKESVSKLSKNLESRNNRDENSAKREKNNSHQVEADTNNATEMVSSNAKKSVYPLYYDSATVKKGLKSGTLFKGTLRILENHRSAFACMEDIPDFYVDGPIARNRAFHNDVVIVEPVMNNDSPTEKSNFLQNGVEKVKIKDHDDELGGAMEHLERLEIKSVASFKGDSRTRARVVAIEKRAEISKIVGILRAPGWSLKNVEYVSKKSSYAIFIPKDKRLPFITIHKNDLSDLSGENWIENILKHHDQLFSVEITRWSIYSRYPMGVLGEKLGNITDVEAYTNALLLENGISSSPFSDEVLNCLPPDDWIISHEEIKKRRDLRNELIITIDPETARDLDDAVSCRALDNGTYEVGVHIADVTHFVKPDSALDKEAASRATTVYLVQKAIPMLPPLLCERLCSLNPNVERLAFSVFWKLDSNGKEIGKRWFGKTVIKTCARLAYSEAQGVIEGKSWDDAVGKPIGGTHTPKDVETSILTLCEISRKLRKDRFAKGAVEINSTELKFQLDEYGMPNKCEVYEQTDANHLIEEFMLLANRSVAEHISKNFSNNSLLRRHASPKEKQINEFCHFLKSMNFDFDASSSAAFNASMVRLRSTFNEELVELFENMAVRSLNRAEYFCTGDFGEKTDWHHYALSFNHYTHFTSPIRRYPDIIVHRLLERSLKNTSPGIDKKNCSLVAAHCNEKKEKSTTVQEDSQQLFLSVYIAEYCKKHDKKSMPVQAFATRISGNSIDVYISEYGISNRVDLSSDDRIKSFIVAPDDSSVKITLFDDSQKTIALTDRFQVYLYSDYSRTFFSIRCSLVSLN", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSASVGKKPEHVNTPPGDNQQKSSKENQKSNKDKQSSNQPPGQIPHSTNSGTRKSSGSGLKTANLKHPARPSTESSTSSSHHRRPAQEMNGTSTATATGGGGTSGATTTASSGAPAASSGGSSARYSSSGRSHPTSGSSSSHARSTGQSGMSSRSAARRNDQDVHVGKYKLLKTIGKGNFAKVKLAKHVITGHEVAIKIIDKTALNPSSLQKLFREVKIMKQLDHPNIVKLYQVMETEQTLYLVLEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYLHSKNIIHRDLKAENLLLDQDMNIKIADFGFSNTFSLGNKLDTFCGSPPYAAPELFSGKKYDGPEVDVWSLGVILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKKFLVINPQRRSSLDNIMKDRWMNVGYEDDELKPFIEPPKDQIDEQRIEKLIQIFQLGFNKAAILESVEKEKFEDIHATYLLLGERKSDMDASEITMAQSLLSHSSINVSSSLGQHPAGVITREHVTSSSASGSSASPSRYSRSSATATGASITAGSALASAANAQKHQQSSAAPSSGSSSSRRSSQNDAAATAAGGTVVMSGTRHGGVQMRAQPTSRQATISLLQPPSYKPSSNTTQIAQIPPLFNRNSTATSSAAQPSTGITGTRKIADPKGRIPLNSTAVQGHRTATGAVAANNGGIPSHRDHAQQQQYMNQLTSSTMMSKLINKTPAAGGTAATSSSSSSSATSTAPLQKSGSQISHAPTEPVIREDDDENNSENQNGNVPLIGGVGPQTSPAVQVPTEDATSSSDKEQQQQKASSETPKESKPSMIHQSPSMPPSQMMTAMESLKLSESGQTGGPTVATGGPPQRATSQQMSRSATTNSANMGASSGGAAAAASATNQLSGAPSSTGASSQQYHPKAPSSSSSSSTNPPHQHQLTHNASFSVTPSSYQIPTSTAVNVTSTGMPTSSSSSAFPRNTRNRQTFHGKTEKDKGGDDSSDEIGETPGNVSIGATGPSANNAEATIWSKLSKLTRRDHNRESMTQPVSGRAGTIGASQGQQTAAALAAIREQSGPIAPGAGQVAPSLPIHEGDVKPRSLRFTWSMKTTSSLAPDDMMREIRKVLDANGCDYEQRERYMILCVHGDPNTDSLVQWEMEVCKLPRLSLNGVRFKRISGTSIGFKNIASKIAQELNL", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSVSMRDLDPAFQGAGQKAGIEIWRIENFIPTPIPKSSIGKFFTGDSYIVLKTTALKTGALRHDIHYWLGKDTSQDEAGTAAVKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKHVVAEEHITRLFVCRGKHVVHVKEVPFARSSLNHDDIYILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGTCEVATVEDGKLMADADSGEFWGFFGGFAPLPRKTANDEDKTYNSDITRLFCVEKGQANPVEGDTLKREMLDTNKCYILDCGIEVFVWMGRTTSLDDRKIASKAAEEMIRSSERPKSQMIRIIEGFETVPFRSKFESWTQETNTTVSEDGRGRVAALLQRQGVNVRGLMKAAPPKEEPQVFIDCTGNLQVWRVNGQAKTLLQAADHSKFYSGDCYVFQYSYPGEEKEEVLIGTWFGKQSVEEERGSAVSMASKMVESMKFVPAQARIYEGKEPIQFFVIMQSFIVFKGGISSGYKKYIAEKEVDDDTYNENGVALFRIQGSGPENMQAIQVDPVAASLNSSYYYILHNDSSVFTWAGNLSTATDQELAERQLDLIKPNQQSRAQKEGSESEQFWELLGGKAEYSSQKLTKEPERDPHLFSCTFTKEVLKVTEIYNFTQDDLMTEDIFIIDCHSEIFVWVGQEVVPKNKLLALTIGEKFIEKDSLLEKLSPEAPIYVIMEGGEPSFFTRFFTSWDSSKSAMHGNSFQRKLKIVKNGGTPVADKPKRRTPASYGGRASVPDKSQQRSRSMSFSPDRVRVRGRSPAFNALAATFESQNARNLSTPPPVVRKLYPRSVTPDSSKFAPAPKSSAIASRSALFEKIPPQEPSIPKPVKASPKTPESPAPESNSKEQEEKKENDKEEGSMSSRIESLTIQEDAKEGVEDEEDLPAHPYDRLKTTSTDPVSDIDVTRREAYLSSEEFKEKFGMTKEAFYKLPKWKQNKFKMAVQLF", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAQGLEVALTDLQSSRNNVRHHTEEITVDHLLVRRGQAFNLTLYFRNRSFQPGLDNIIFVVETGPLPDLALGTRAVFSLARHHSPSPWIAWLETNGATSTEVSLCAPPTAAVGRYLLKIHIDSFQGSVTAYQLGEFILLFNPWCPEDAVYLDSEPQRQEYVMNDYGFIYQGSKNWIRPCPWNYGQFEDKIIDICLKLLDKSLHFQTDPATDCALRGSPVYVSRVVCAMINSNDDNGVLNGNWSENYTDGANPAEWTGSVAILKQWNATGCQPVRYGQCWVFAAVMCTVMRCLGIPTRVITNFDSGHDTDGNLIIDEYYDNTGRILGNKKKDTIWNFHVWNECWMARKDLPPAYGGWQVLDATPQEMSNGVYCCGPASVRAIKEGEVDLNYDTPFVFSMVNADCMSWLVQGGKEQKLHQDTSSVGNFISTKSIQSDERDDITENYKYEEGSLQERQVFLKALQKLKARSFHGSQRGAELQPSRPTSLSQDSPRSLHTPSLRPSDVVQVSLKFKLLDPPNMGQDICFVLLALNMSSQFKDLKVNLSAQSLLHDGSPLSPFWQDTAFITLSPKEAKTYPCKISYSQYSQYLSTDKLIRISALGEEKSSPEKILVNKIITLSYPSITINVLGAAVVNQPLSIQVIFSNPLSEQVEDCVLTVEGSGLFKKQQKVFLGVLKPQHQASIILETVPFKSGQRQIQANMRSNKFKDIKGYRNVYVDFAL", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEFVMKQALGGATKDMGKMLGGDEEKDPDAAKKEEERQEALRQAEEERKAKYAKMEAEREVMRQGIRDKYGIKKKEEREAEAQAAMEANSEGSLTRPKKAIPPGCGDEPEEEDESILDTVIKYLPGPLQDMFKK", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEFVMKQALGGATKDMGKMLGGDEEKDPDAAKKEEERQEALRQAEEERKAKYAKMEAEREVMRQGIRDKYGIKKKEEREAEAQAAMEANSEGSLTRPKKAIPPGCGDEPEEEDESILDTVIKYLPGPLQDMFKK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAESEAETPGTPGEFESKYFEFHGVRLPPFCRGKMEDIADFPVRPSDVWIVTYPKSGTSLLQEVVYLVSQGADPDEIGLMNIDEQLPVLEYPQPGLDIIKELTSPRLIKSHLPYRFLPSDLHNGDSKVIYMARNPKDLVVSYYQFHRSLRTMSYRGTFQEFCRRFMNDKLGYGSWFEHVQEFWEHRMDANVLFLKYEDMHRDLVTMVEQLARFLGVSCDKAQLESLIEHCHQLVDQCCNAEALPVGRGRVGLWKDIFTVSMNEKFDLVYKQKMGKCDLTFDFYL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRRFLLLYATQQGQAKAIAEEICEQAVVHGFSADLHCISESDKYDLKTETAPLVVVVSTTGTGDPPDTARKFVKEIQNQTLPVDFFAHLRYGLLGLGDSEYTYFCNGGKIIDKRLQELGARHFYDTGHADDCVGLELVVEPWIAGLWPALRKHFRSSRGQEEISGALPVASPASSRTDLVKSELLHIESQVELLRFDDSGRKDSEVLKQNAVNSNQSNVVIEDFESSLTRSVPPLSQASLNIPGLPPEYLQVHLQESLGQEESQVSVTSADPVFQVPISKAVQLTTNDAIKTTLLVELDISNTDFSYQPGDAFSVICPNSDSEVQSLLQRLQLEDKREHCVLLKIKADTKKKGATLPQHIPAGCSLQFIFTWCLEIRAIPKKAFLRALVDYTSDSAEKRRLQELCSKQGAADYSRFVRDACACLLDLLLAFPSCQPPLSLLLEHLPKLQPRPYSCASSSLFHPGKLHFVFNIVEFLSTATTEVLRKGVCTGWLALLVASVLQPNIHASHEDSGKALAPKISISPRTTNSFHLPDDPSIPIIMVGPGTGIAPFIGFLQHREKLQEQHPDGNFGAMWLFFGCRHKDRDYLFRKELRHFLKHGILTHLKVSFSRDAPVGEEEAPAKYVQDNIQLHGQQVARILLQENGHIYVCGDAKNMAKDVHDALVQIISKEVGVEKLEAMKTLATLKEEKRYLQDIWS", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRRFLLLYATQQGQAKAIAEEICEQAVVHGFSADLHCISESDKVSVIQNTPTFAMGGR", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAECGRGAAGGALPTSPSPALGAKGALKAGAGEGGGGGGGGRLGHGRARYDSGGVSNGDCSLGVSGDEARTSPGRGPLGVALARTPSPAAGPVPRDSKPGGLPRRSSIIKDGTKQKRERKKTVSFSSMPTEKKISSASDCINSMVEGSELKKVRSNSRIYHRYFLLDADMQSLRWEPSKKDSEKAKIDIKSIKEVRTGKNTDIFRSNGISEQISEDCAFSVIYGENYESLDLVANSADVANIWVTGLRYLISYGKHTLDMLESSQDNMRTSWISQMFSEIDVDGLGHITLCHAVQCIRNLNPGLKTSKIELKFKELHKSKDKAGTEITKEEFIEVFHELCTRPEIYFLLVQFSSNKEFLDTKDLMMFLEAEQGVAHINEEISLEIIHKYEPSKEGQEKGWLSIDGFTNYLMSPDCYIFDPEHKKVCQDMKQPLSHYFINSSHNTYLIEDQFRGPSDITGYIRALKMGCRSVELDVWDGPDNEPVIYTGHTMTSQIVFRSVIDIINKYAFFASEYPLILCLENHCSIKQQKVMVQHMKKILGDKLYTTSPNMEESYLPSPDVLKGKILIKAKKLSSNCSGVEGDVTDEDEGAEMSQRMGKENVEQPNHVPVKRFQLCKELSELVSICKSVQFKEFQVSFQVQKYWEVCSFNEVLASKYANENPGDFVNYNKRFLARVFPSPMRIDSSNMNPQDFWKCGCQIVAMNFQTPGLMMDLNVGWFRQNGNCGYVLRPAIMREEVSFFSANTKDSVPGVSPQLLHIKIISGQNFPKPKGSGAKGDVVDPYVYVEIHGIPADCAEQRTKTVNQNGDAPIFDESFEFQINLPELAMVRFVVLDDDYIGDEFIGQYTIPFECLQTGYRHVPLQSLTGEVLAHASLFVHVAITNRRGGGKPHKRGLSVRKGKKSREYASLRTLWIKTVDEVFKNAQPPIRDATDLRENMQNAVVSFKELCGLSSVANLMQCMLAVSPRFLGPDNNPLVVLNLSEPYPTMELQAIVPEVLKKIVTTYDMMMQSLKALIENADAVYEKIVHCQKAAMEFHEHLHSIGTKEGLKERKLQKAVESFTWNITILKGQADLLKYAKNETLENLKQIHFAAVSCGLNKPGTENSEAQKPRRSLEAIPEKASDENGD", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTIKDESESCGSRAVVASPSQENPRHYRMKLDVYSEVLQRLQESNYEEATLPDFEDQLWLHFNRLPARYALDVKVERAEDVLTHQRLLKLAADPATRPVFEVRSVQVSPRISADSDPAVEEDAQSSHQPSGPGVLAPPTFGSSPNFEAITQGSKIVEDVDSVVNATLSTRPMHEITFSTIDKPKLLSQLTSLLGELGLNIQEAHAFSTVDGFSLDVFVVDGWSQEETDGLRDALSKEILKLKDQPGSKQKSISFFEHDKSSNELIPACIEIPTDGTDEWEIDVTQLKIEKKVASGSYGDLHRGTYCSQEVAIKFLKPDRVNNEMLREFSQEVFIMRKVRHKNVVQFLGACTRSPTLCIVTEFMARGSIYDFLHKQKCAFKLQTLLKVALDVAKGMSYLHQNNIIHRDLKTANLLMDEHGLVKVADFGVARVQIESGVMTAETGTYRWMAPEVIEHKPYNHKADVFSYAIVLWELLTGDIPYAFLTPLQAAVGVVQKGLRPKIPKKTHPKVKGLLERCWHQDPEQRPLFEEIIEMLQQIMKEVNVVV", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAATSEKQNTTKPPPSPSPLRNSKFCQPNMRILISGGAGFIGSHLVDKLMENEKNEVVVADNYFTGSKENLKKWIGHPRFELIRHDVTEPLLIEVDRIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEALTVQKPGTQTRSFCYVSDMVDGLIRLMEGNDTGPINIGNPGEFTMVELAETVKELINPSIEIKMVENTPDDPRQRKPDISKAKEVLGWEPKVKLREGLPLMEEDFRLRLNVPRN", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLRESDGEMSLGTTNSPISSGTESCSSFSRLSFDAPPSTIPEEESFLSLKPHRSSDFAYAEIRRRKKQGLTFRDFRLMRRIGAGDIGTVYLCRLAGDEEESRSSYFAMKVVDKEALALKKKMHRAEMEKTILKMLDHPFLPTLYAEFEASHFSCIVMEYCSGGDLHSLRHRQPHRRFSLSSARFYAAEVLVALEYLHMLGIIYRDLKPENILVRSDGHIMLSDFDLSLCSDSIAAVESSSSSPENQQLRSPRRFTRLARLFQRVLRSKKVQTLEPTRLFVAEPVTARSGSFVGTHEYVAPEVASGGSHGNAVDWWAFGVFLYEMIYGKTPFVAPTNDVILRNIVKRQLSFPTDSPATMFELHARNLISGLLNKDPTKRLGSRRGAAEVKVHPFFKGLNFALIRTLTPPEIPSSVVKKPMKSATFSGRSSNKPAAFDYF", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVAFTVDQMRSLMDKVTNVRNMSVIAHVDHGKSTLTDSLVQRAGIISAAKAGEARFTDTRKDEQERGITIKSTAISLYSEMSDEDVKEIKQKTDGNSFLINLIDSPGHVDFSSEVTAALRVTDGALVVVDTIEGVCVQTETVLRQALGERIKPVVVINKVDRALLELQVSKEDLYQTFARTVESVNVIVSTYADEVLGDVQVYPARGTVAFGSGLHGWAFTIRQFATRYAKKFGVDKAKMMDRLWGDSFFNPKTKKWTNKDTDAEGKPLERAFNMFILDPIFRLFTAIMNFKKDEIPVLLEKLEIVLKGDEKDLEGKALLKVVMRKFLPAADALLEMIVLHLPSPVTAQAYRAEQLYEGPADDANCIAIKNCDPKADLMLYVSKMVPTSDKGRFYAFGRVFAGTVKSGQKVRIQGPNYVPGKKDDLFIKAIQRVVLMMGRFVEPIDDCPAGNIIGLVGIDQFLLKTGTLTTSETAHNMKVMKFSVSPVVQVAVEVKNANDLPKLVEGLKRLSKSDPCVLTYMSESGEHIVAGTGELHLEICLQDLEHDHAGVPLKISPPVVAYRETVESESSQTALSKSPNKHNRIYLKAEPIDEEVSLAIENGIINPRDDFKARARIMADDYGWDVTDARKIWCFGPDGNGPNLVIDQTKAVQYLHEIKDSVVAAFQWATKEGPIFGEEMRSVRVNILDVTLHADAIHRGGGQIIPTMRRATYAGFLLADPKIQEPVFLVEIQCPEQAVGGIYSVLNKKRGQVVSEEQRPGTPLFTVKAYLPVNESFGFTGELRQATGGQAFPQMVFDHWSTLGSDPLDPTSKAGEIVLAARKRHGMKEEVPGWQEYYDKL", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKFGKTFANHRIPEWSSQYVGYKSLKKMIKEITRLQEDIYRAHNKNSYDEGRPPTKMRDSSNSAQNYLDSPKIQKLLASFFFAVDRDIEKVDTFYNSQYAEYKKRFERLLSSNQFNEIKSTLVVDANKEDAVAQTLLTKDTREMNMLLKGTSQASRLSYHKDDLIEIQSILAELRKQFRNLKWYAELNKRAFGKILKKLDKKVGTNQQMSTMKTRILPLQFANDSLITKDLSLLKTIWEQVTFRINSYERVMRSTSPNANANDNTEFFKIICVFIEEDDSKGLIRELTNLYSELSLIPTRIMISVLNKAALSKSLACIDAILKVIPSLNDSEDINRRNFFHHHIIAIGKLIRKQEILSRKKKSQPSKYTNSEGEIVTDLRTLHTTLSAPAESDSITEEEKSSACTLSYILEELPIHLRPCLFQHDNYKRTPLHYSCQYGLSEVTKLIIKLMKEWNIWNEIPIDDVSAFGDAESLTPLHLCVLGAHPKTTEVLLQSLDPNVKLKSSSLLHLATEWNNYPLLHVLLSSKRFDINYQDNELHETPLYLACRLNFFEAAVCLLYNGADLEIREKLFGWTAIFVAAAEGFTDIVKLLIANNANFDIEDEGGWTPMEHAVLRGHLHIADMVQIRDELVTHPHSQLNSGSEEKEPLNEISAGELNERNENGNGGNKGSLGKLAGPIKSYGHRFLDNNESLILITLGSNDTRNKSPSISLSSEALAKVIGLETDCALSLVISCNDSIDKSSVILDLPLDDNVDAVDFKVPFKVDYSHTLYFDIVPTYGTRSLETHNRIDCQKNNNNYVMARGVSMLNKSYSSVGVNRSILNGSVTVPIIANHTLEILGTLKFEYIIITPFEHPQLPLERTETYWKSLVSTRVIGHRGLGKNNPNKSLQIGENTVESFIMAASLGASYVEFDVQLTKDNVPVVYHDFLVAETGVDIPMHELTLEQFLDLNNADKEHIQRGAGHSPHHVNGADTALQKYRGRSVDDSDVSTLRRAWDLHDNDPNGKSNNAHWSDNRMRLTKTFKKNNFKGNARGHSIASSFVTLKELFKKIPANVGFNIECKFPMLDEAEEEELGQIMMEMNHWVDTVLKVVFDNANGRDIIFSSFHPDICIMLSLKQPVIPILFLTEGGSEQMADLRASSLQNGIRFAKKWNLLGIVSAAAPILKAPRLVQVVKSNGLVCVTYGVDNNDPENASIQIEAGVDAVIVDSVLAIRRGLTKKNEK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIPADIIASIAGLVNEKDIEKITSEYQDNIWEFVESLVTHVLASNHVGYSDYNTSSFISEELARQKLSCGLNIPNAVSKSLWALHLAHEHVEKLKDLSDIPPIGYRVILEFYLVWQQLLIDPEETIRTEIEPIMEKLRVLIAEENDAFTSEDRCQIQLEMAAVRFQFYEYDKADNLIKSASEECQLNMDLSGMMGKRTRFQQRDIAQLVLIHKDPSTTGTPLPPDSDIPQSLDMNDDTLLEQVAITEQGARVDGRTLNACQLSCLLWIARHESATHRHDVLVHERCSPVLDTVIAARRYWSIQAAALLARAELERGRVRQVDRSCTQSELVVKLQQGVDDPVLIKDRLLRTSYILASGLTPFWQSSVLLAGILNSLGCTSEALLILEKLEMWDGVIDCYKQLGQMDKAETLIRRLIEQKPNDSMLHVYLGDITRNLEYFTKAIELSDDRNARAHRSLGHLLLMDKKFEEAYKHLRRSLELQPIQLGTWFNAGYCAWKLENFKESTQCYHRCVSLQPDHFEAWNNLSAAYIRHGQKPKAWKLLQEALKYNYEHPNVWENYMLLSVDVGEFSQAIQAYHRLLDMNKRGADDEVLELIAQTLLRREAEISMDESEDKAQNEAENRKEKEEMIKLLARISANHQTLSPKTLRVYALLKKPSVLSSETRTEFEKYVRLLEKSLAAANGKLTWPKEEKLALEVVETAVRLAEDRLELAKFIASDTSVKEASAKVRLSLRGILTRLDKDSGSRVSGDETEKLQEIVEVAKSLLDSVAI", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGKSHGYRSRTRYMFQRDFRKHGAVHLSTYLKVYKVGDIVDIKANGSIQKGMPHKFYQGKTGVVYNVTKSSVGVIINKMVGNRYLEKRLNLRVEHIKHSKCRQEFLERVKANAAKRAEAKAQGVAVQLKRQPAQPRESRIVSTEGNVPQTLAPVPYETFI", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNQIEPGVQYNYVYDEDEYMIQEEEWDRDLLLDPAWEKQQRKTFTAWCNSHLRKAGTQIENIEEDFRNGLKLMLLLEVISGERLPKPDRGKMRFHKIANVNKALDYIASKGVKLVSIGAEEIVDGNVKMTLGMIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPYRNVNIQNFHTSWKDGLGLCALIHRHRPDLIDYSKLNKDDPIGNINLAMEIAEKHLDIPKMLDAEDIVNTPKPDERAIMTYVSCFYHAFAGAEQAETAANRICKVLAVNQENERLMEEYERLASELLEWIRRTIPWLENRTPEKTMQAMQKKLEDFRDYRRKHKPPKVQEKCQLEINFNTLQTKLRISNRPAFMPSEGKMVSDIAGAWQRLEQAEKGYEEWLLNEIRRLERLEHLAEKFRQKASTHETWAYGKEQILLQKDYESASLTEVRALLRKHEAFESDLAAHQDRVEQIAAIAQELNELDYHDAVNVNDRCQKICDQWDRLGTLTQKRREALERTEKLLETIDQLHLEFAKRAAPFNNWMEGAMEDLQDMFIVHSIEEIQSLITAHEQFKATLPEADGERQSILAIQNEVEKVIQSYSIRISSSNPYSTVTMDELRNKWDKVKQLVPVRDQSLQEELARQHANERLRRQFAAQANAIGPWIQNKMEEIARSSIQITGALEDQMNQLKQYEHNIINYKNNIDKLEGDHQLIQEALVFDNKHTNYTMEHIRVGWELLLTTIARTINEVETQILTRDAKGITQEQMNEFRASFNHFDRRKNGLMDHEDFRACLISMGYDLGEAEFARIMTLVDPNGQGTVTFQSFIDFMTRETADTDTAEQVIASFRILASDKPYILAEELRRELPPDQAQYCIKRMPPYSGPGSVPGALDYTAFSSALYGESDL", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MQQDIVNDHQEEAQGWKWEQIKEIIESGELARLKRSRQMTDKYHEHKKRTAGLDMNQYVLQKLGWSLDEPQLENAAAKAFSSSTLYAVRANDFPYNFEPGVVHLVLWSKVALPVHSPDKAVREAARARMNAFLQAQPLLRPLLSSGHVAWFVNYPELQSVARIFHAHVLLFFPRERYSAEQVKTTVDDILSHGFEPLA", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MATLACRVQFLDDTDPFNSTNFPEPSRPPLFTFREDLALGTQLAGVHRLLRAPHKLDDCTLQLSHNGAYLDLEATLAEQRDELEGFQDDTGRGKKNSIILRTQLSVRVHACIEKLYNSSGRDLRRALFSLKQIFQDDKDLVHEFVIAEGLTCLIKVGAEADQNYQNYILRALGQIMLYVDGMNGVINHSETIQWLYTLVGSKFRLVVKTALKLLLVFVEYSESNAPLLIQAVSAVDTKRGVKPWSNIMEILEEKDGVDTELLVYAMTLVNKTLAGLPDQDTFYDVVDCLEELGIAAVSQRHLNKKGTDLDLLEQFNIYEVALRHEDGDETAEPPPSGHRDRRRASMCSGGTVGEQQGLDRRRSRRHSIQNIKSPLSAPTSPCSPSVPAFKPSQVRDLCEKDEEEEEEEEQPITEPNSEEEREDDAQCQGKDSKASSASGQSSPGKDAAPESSALHTTSSPTSQGRWLSASTAARSPVLGGTSGPEASRPAARLLPPSPGLATRPSTAPKVSPTIDKLPYVPHSPFHLFSYDFEDSPLLTKDKGGDSQTENRYSNFSSNSFQSSRPSPGPSGSPSYASSFSSPQDTRSSPSGLLTSSFRQHQESLAAERERRRQEREERLQRIEREERNKFNREYLDKREEQRQARGERYKYLEQLAAETQEKEPRSQSVSRGRADLSLDLSLPAAPAPPSPSSQSPSADSQEALPVPSSPPTLQCPQVSGKDHEPELEAEAGQGADEASQDIASAHRGAESQEEPVLELEPEERASLSEKERQNEEVNERDNCSASSISSSSSTLEREEKEDKLSEDRATGLWSTSLQDVGVNGQCGDILTSKRFMLDMLYAHNRKSTEDEEKDDGEPGRSAQEVEAVASLATRISTLQANSQAPEESIKRVDIGCLDNRGSVKAFAEKFNSGEVGRGAISPDVESQDKVPDTPPAQLKTESDYIWDQLMANPRELRIQDMDFTDLGEEDDIDVLDVDLGHREAPGPPPPPPPTFLGLPPPPPPPLLDSVPPPPVPGNLLASPVFNTPQGLGWSQVPRGQPAFTKKKKTIRLFWNEVRPFEWPSKNNRRCREFLWSKLEPIKVDTSRLEHLFESKSKELSVTKKTAADGKRQEIIVLDSKRSNAINIGLTVLPPPRTIKIAILNFDEYALNKEGIEKILTMIPTEEEKQKIQEAQLANPEVPLGSAEQFLLTLSSISELSARLHLWAFKMDYETTEKEVAEPLLDLKEGIDQLENNKTLGFILSTLLAIGNFLNGTNAKAFELSYLEKVPEVKDTVHKQSLLHHVCTMVVENFPDSSDLYSEIGAITRSAKVDFDQLQDNLCQMERRCKASWDHLKAIAKHEMKPVLKQRMSEFLKDCAERIIILKIVHRRIINRFHSFLLFMGHPPYAIREVNINKFCRIISEFALEYRTTRERVLQQKQKRANHRERNKTRGKMITDSGKFSGSSPAAPSQPQGLSYAEDAAEHENMKAVLKTSSPALEDATPVLGVRTRSRASRGSTSSWTMGTEESPSVTDDAADEIMDRIVKSATQVPSQRVVPRERKRSRANRKSLRRTLKSGLTPEEARALGLVGTSELQL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDPVRPLFRGPTPVHPSQCVRMPGCWPQAPRPLEPAWGRAGPAGRGLVFRKPEDSSPPLQPVQKDSVGLVSMFRGMGLDTAFRPPSKREVPPLGRGVLGRGLSANMVRKDREEPRSSLPDPSVLAAGDSKLAEASVGWSRMLGRGSSEVSLLPLGRAASSIGRGMDKPPSAFGLTARDPPRLPQPPALSPTSLHSADPPPVLTMERKEKELLVKQGSKGTPQSLGLNLIKIQCHNEAVYQYHVTFSPSVECKSMRFGMLKDHQSVTGNVTAFDGSILYLPVKLQQVVELKSQRKTDDAEISIKIQLTKILEPCSDLCIPFYNVVFRRVMKLLDMKLVGRNFYDPTSAMVLQQHRLQIWPGYAASIRRTDGGLFLLADVSHKVIRNDSVLDVMHAIYQQNKEHFQDECSKLLVGSIVITRYNNRTYRIDDVDWNKTPKDSFVMSDGKEITFLEYYSKNYGITVKEDDQPLLIHRPSERQNNHGMLLKGEILLLPELSFMTGIPEKMKKDFRAMKDLTQQINLSPKQHHGALECLLQRISQNETASNELTRWGLSLHKDVHKIEGRLLPMERINLRNTSFVTSEDLNWVKEVTRDASILTIPMHFWALFYPKRAMDQARELVNMLEKIAGPIGMRISPPAWVELKDDRIETYIRTIQSLLGVEGKIQMVVCIIMGTRDDLYGAIKKLCCVQSPVPSQVINVRTIGQPTRLRSVAQKILLQMNCKLGGELWGVDIPLKQLMVIGMDVYHDPSRGMRSVVGFVASINLTLTKWYSRVVFQMPHQEIVDSLKLCLVGSLKKYYEVNHCLPEKIVVYRDGVSDGQLKTVANYEIPQLQKCFEAFDNYHPKMVVFVVQKKISTNLYLAAPDHFVTPSPGTVVDHTITSCEWVDFYLLAHHVRQGCGIPTHYICVLNTANLSPDHMQRLTFKLCHMYWNWPGTIRVPAPCKYAHKLAFLSGQILHHEPAIQLCGNLFFL", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTGIMDSVEMPKLPENQKTFAGIPEAVFLEEIDTFMSQPENENCEKVLQRLDEQHGKYRFMACNLEARRRKLKSQIPDLERSLEMVNVLRKEDEERETQFLLSDQVFIKTLVPPTKTVYLWLGASVMLEYPLDEAEALLNQNITSAVGNLKSVEHDQDFLRDQITTTEVNMARVYNWGVKKRQAATKTTATTPS", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAQADIALIGLAVMGQNLILNMNDHGFVVCAFNRTVSKVDDFLAKEAKGTKVIGAKSLKDMVSKLKKPRRVILLVKAGQAVDDFIEKLVPLLDTGDIIIDGGNSEYRDTTRRCQDLKAKGILFVGSGVSGGEEGARYGPSLMPGGNKEAWPHIKTIFQAIAAKVGTGEPCCDWVGDEGAGHFVKMVHNGIEYGDMQLICEAYHLMKDVLGMRHEEMAQAFEDWNKTELDSFLIEITANILKFQDTDGKELLPKIRDSAGQKGTGKWTAISALEYGMPVTLIGEAVFARCLSSLKEERVQASRKLKGPKMVQLEGSKQAFLEDVRKALYASKIISYAQGFMLLRQAATEFGWTLNYGGIALMWRGGCIIRSVFLGKIKDAFERNPELQNLLLDDFFKSAVDDCQDSWRRVISTGVQAGIPMPCFTTALSFYDGYRHEMLPANLIQAQRDYFGAHTYELLSKPGEFIHTNWTGHGGSVSSSSYNA", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSKRYLQKATKGKLLIIIFIVTLWGKVVSSANHHKAHHVKTGTCEVVALHRCCNKNKIEERSQTVKCSCFPGQVAGTTRAAPSCVDASIVEQKWWCHMQPCLEGEECKVLPDRKGWSCSSGNKVKTTRVTH", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDALKSAGRALIRSPSLAKQSWGGGGRHRKLPENWTDTRETLLEGMLFSLKYLGMTLVEQPKGEELSAAAIKRIVATAKASGKKLQKVTLKVSPRGIILTDNLTNQLIENVSIYRISYCTADKMHDKVFAYIAQSQHNQSLECHAFLCTKRKMAQAVTLTVAQAFKVAFEFWQVSKEEKEKRDKASQEGGDVLGARQDCTPSLKSLVATGNLLDLEETAKAPLSTVSANTTNMDEVPRPQALSGSSVVWELDDGLDEAFSRLAQSRTNPQVLDTGLTAQDMHYAQCLSPVDWDKPDSSGTEQDDLFSF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSGFNGTSTSSNSILSERQKDELHKSILDYFKTNNLHESFATLMREANQEGFVPDPRAKYAGLLEKKWTSVIRLQKKIMEMESRISQLQEELSAAPSAKRSASLNDWLPAASSARHTMQGHRLPVTKVSFHPVFSQIASASEDTTVKLWDWETGDFERTLKGHTKAVQDVDFDSKGNYVLSCSSDLSIKVWDANNDYKNIKTLQGHDHSVSSVRFLPGDDYIVSASRDKTIKIWEFSTGFCTKTLQGHAEWVRSAIPSDDAKWLVSCSTDQTARVWDVSSGETKVELRGHEHVVEVAIFAPVASYAAIRQLASLDPNASKDASASMAGQFVATGSRDKTIRIWDSISGQCLKTLTGHDNWVRGLAFSPNGKSLLSVSDDKTMRLWDLQSGRCTRTIEAHQHFATGIAWGKAKIEAPIPPAQDGEEAGRKQPEARTVNVVATSSVDLTIKIWTP", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MADKGCEAAQSKDSSAPGSGEPRPKTEKELERERQKAAKLEKYHAKLAAKKAKEEARKPKLDKKAKIASPVAEYVEKTTPGEKKVLQDLDSPALKSYNPKAVESAWYDWWVKSGFFEPEFGPDGKPKKEGVFVITSPPPNVTGALHIGHALTIAIQDSLARWNRMLGKTVLFLGGFDHAGLSTQSVVEKKLWYTQKKTRHDYPRDKFVDIVWEWKEEYHNRIKNQMSRLGGSFDWTREAFTMDENLSRAVVETFVRLHEENIIYRANRLVNWCTALQTTLSNLEVENVDVPGRTLLKVPGYDEPVEVGVLTSIAYAVEGSDERIVIATTRPETLLGDTAVAVHPQDPRYKHLHGKFVKHPFCNRSIPIICDDIIVDMEFGTGAVKITPAHDPNDYEVGKRHNLEFINIFTDDGLLNENCGEFAGMKRFTARVKVVERLKELGLFVGTKENPMVIPLCGKTSDIIEPVMKPQWWVNQKEMAAAAAEVVKSGEIEIAPDMSRREFIRWMENIQDWCISRQLWWGHRIPAYFVNLADEPSQDRSEGRYWVTGRTLEQAEEKAKAAFPGKSFTLEQDEDVLDTWFSSGLWPFSTLGWPKDTSDYENFYPTTLMETGWDILFFWIARMVMLGLKLTGKIPFKRVFCHALVRDAQGRKMSKSLGNVVDPIDVIEGISLQALHDKLLVGNLDSREVEKAKKGQRLSYPKGIPQCGTDALRFTLCSLTTGGRDLNLDILRVEGYRKFCNKLYNATKFALGRLGSNFVPNKTADLTGNESLVEKWIFHRLNIAAAAMNKNMEEMNFLQATSAVHQFWLYELCDVYIENSKYLLSDGTEVQQESAKQTLYTVLDNALRLMHPFMPYVTEEMWQRLPRRPGDKTQTIVKAAFPVERVDYSNEIAAKYYESIITVVHSTRSMMAENGIKSDAVVYIHPDEEHSKLITSESASIQSLIKKCKTLSIVDNTFDSDKCVKNEVLEGSTIFLERNN", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKMPNTLHSVSISTDFPSPNVESEAADVNEYSSTSKFETLGNQSSTNLGFSNSLQDKWDCWKRDLWSIWWSLSRKATRFYRWLSRSLKWRPVTYETVYPQTYETQMSEPREVTTIVKDLNNGDSFVLNVTEPVDPEFLRANIPPVHRKHLPPRLSLVASPGTIPTRGVVVLEDWINPLLSERCKLLLQSELCNQDSYDCPGYICVYRFEQKNNPSVVLGDSTLIQISRVSDLQRHLREYPKNCAFSRSVLEIFPDPGKTSKPCQVSFKVERLVHKELNEYLSWMQPFTCDSCGSLHENWLQIDSKQWDQIRGVILRWVEYSRVIYA", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MENRPGSFQYVPVQLQGGAPWGFTLKGGLEHCEPLTVSKIEDGGKAALSQKMRTGDELVNINGTPLYGSRQEALILIKGSFRILKLIVRRRNAPVSRPHSWHVAKLLEGCPEAATTMHFPSEAFSLSWHSGCNTSDVCVQWCPLSRHCSTEKSSSIGSMESLEQPGQATYESHLLPIDQNMYPNQRDSAYSSFSASSNASDCALSLRPEEPASTDCIMQGPGPTKAPSGRPNVAETSGGSRRTNGGHLTPSSQMSSRPQEGYQSGPAKAVRGPPQPPVRRDSLQASRAQLLNGEQRRASEPVVPLPQKEKLSLEPVLPARNPNRFCCLSGHDQVTSEGHQNCEFSQPPESSQQGSEHLLMQASTKAVGSPKACDRASSVDSNPLNEASAELAKASFGRPPHLIGPTGHRHSAPEQLLASHLQHVHLDTRGSKGMELPPVQDGHQWTLSPLHSSHKGKKSPCPPTGGTHDQSSKERKTRQVDDRSLVLGHQSQSSPPHGEADGHPSEKGFLDPNRTSRAASELANQQPSASGSLVQQATDCSSTTKAASGTEAGEEGDSEPKECSRMGGRRSGGTRGRSIQNRRKSERFATNLRNEIQRRKAQLQKSKGPLSQLCDTKEPVEETQEPPESPPLTASNTSLLSSCKKPPSPRDKLFNKSMMLRARSSECLSQAPESHESRTGLEGRISPGQRPGQSSLGLNTWWKAPDPSSSDPEKAHAHCGVRGGHWRWSPEHNSQPLVAAAMEGPSNPGDNKELKASTAQAGEDAILLPFADRRKFFEESSKSLSTSHLPGLTTHSNKTFTQRPKPIDQNFQPMSSSCRELRRHPMDQSYHSADQPYHATDQSYHSMSPLQSETPTYSECFASKGLENSMCCKPLHCGDFDYHRTCSYSCSVQGALVHDPCIYCSGEICPALLKRNMMPNCYNCRCHHHQCIRCSVCYHNPQHSALEDSSLAPGNTWKPRKLTVQEFPGDKWNPITGNRKTSQSGREMAHSKTSFSWATPFHPCLENPALDLSSYRAISSLDLLGDFKHALKKSEETSVYEEGSSLASMPHPLRSRAFSESHISLAPQSTRAWGQHRRELFSKGDETQSDLLGARKKAFPPPRPPPPNWEKYRLFRAAQQQKQQQQQQKQQEEEEEEEEEEEEEEEEEEEEAEEEEEELPPQYFSSETSGSCALNPEEVLEQPQPLSFGHLEGSRQGSQSVPAEQESFALHSSDFLPPIRGHLGSQPEQAQPPCYYGIGGLWRTSGQEATESAKQEFQHFSPPSGAPGIPTSYSAYYNISVAKAELLNKLKDQPEMAEIGLGEEEVDHELAQKKIQLIESISRKLSVLREAQRGLLEDINANSALGEEVEANLKAVCKSNEFEKYHLFVGDLDKVVNLLLSLSGRLARVENALNSIDSEANQEKLVLIEKKQQLTGQLADAKELKEHVDRREKLVFGMVSRYLPQDQLQDYQHFVKMKSALIIEQRELEEKIKLGEEQLKCLRESLLLGPSNF", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEQRNNVFQAKYNEYKQILEELQTKIIELGHDKDEHTIVIKTLKDAEPTRKCYRMIGGALVESDVQTSLPILETKKENIEGTISKMKETLIQTAKEFEKWKKDNKIQVVKN", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGKKEKVNALEFSVNKGIFTKTRLKSLYSDFTSLFIKNPEGFLANVNTWREAIETSAWSGKLNSRIILVFDETFESAFSSPALGRPLSLGAVAAYWIQQGVWLRKQDFLNDCKKGNLVREDGFSIFSILRWSFQKLGFQNQASSILSNRSPSGQYVIRKNIEKLACLVHNEAMRRCSSYTSAIYTWDFFQDTFGSLYWEEGKLNKDEMECLLNWMCYQKHVLIFDSKIIKFLPNSQIDAQLASIDKSIDGSVADLIQARASIAQRSEFLNEELEQLSQVLNQAVKKGEKTIAITYLRRKKILSKDLERKVSSRLQLDTIISNIDNAVDNKILLIAMSSGSEALDAILAQMGGTEKVEDVLENVNDTLARSEEIDATIQTYNPQNIDLEDEAVEKEWQDLVAEEQKVEDIVSTLGNVSLKTPSDTFTLTNTDSDKKTSKPEKIQAELVEQ", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDDFTGLLARDFGLKPQGKSAPMASQSNSSAADFNTFASSYSFASAAGKKSDSLPVFDDLGRDGDDLLFRDVFSGPPPKYGSSGDSRSPSAPAFDYDVMFKEPKSKSASSMPVYDKPVYDDEDVFESIPELKIPSTSSQSARFENVFSSISSSPTKHRKQNSSPFDDLMGNNLGKKESDREEKGSSIFDDLIPGFGRTSSPPAKRTTSETTSQSQKPPYRTAETSSNVKEDPFVVLEESTSTLREPSTGGFTDPLEEIGKFNSRKTDHSSVHGGVFVDTDPLDSLGKSGPDMNSRGKSHLRPPGNISGSQSPVESSGLYHSKNVSFDDVVEPQNTSTPPPTNSDGSFESSDDVWLTVSEIPLFTQPTSAPPPTRPPPPRPTRPIKKKVNEPSIPTSAYHSHVPSSGRASVNSPTASQMDELDDFSIGRNQTAANGYPDPSSGEDSDVFSTAAASAAAMKDAMDKAEAKFRHAKERREKESLKASRSREGDHTENYDSRERELREKQVRLDRERAEREAEMEKTQAREREEREREQKRIERERERLLARQAVERATREARERAATEAHAKVQRAAVGKVTDARERAERAAVQRAHAEARERAAAGAREKAEKAAAEARERANAEVREKEAKVRAERAAVERAAAEARGRAAAQAKAKQQQENNNDLDSFFNSVSRPSSVPRQRTNPPDPFQDSWNKGGSFESSRPSSRVPSGPTENLRKASSATNIVDDLSSIFGAPASQSGGFQDVDGETEERRRARLERHQRTQERAAKALAEKNERDLQVQREQAEKDRIGGTLDVEIRRWGAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITGASVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDMLKEAWNKFNSEELF", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSCLVLPLVSVGNIPQLSIDWLLNSQANEWEYLEALDSKYLVEFVGPLDRPEDGSDSLYKDADMKYSSALEVFYNKKRGLFAIQQRTPLVSVNYLNNFIVEIILPFLSKYNISEICIWDSLYAMEDENGVIVRPQEVYSLGEFYFDDEAELLSNLHLNDQESMVNNWLHFTPTSFQDKISVDQPIFKILFQILNASQRPKALRSIKYCSCLANEGDNSLDSQQFLQWIISQKVIKNAPPIVKFVRPISWQGAYGMADARDKFVDLYN", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGSSVEQNILVTGGAGFIGTHTVVQLLKDGFKVSIIDNFDNSVIEAVDRVRELVGPDLSKKLDFNLGDLRNKGDIEKLFSKQRFDAVIHFAGLKAVGESVENPRRYFDNNLVGTINLYETMAKYNCKMMVFSSSATVYGQPEKIPCMEDFELKAMNPYGRTKLFLEEIARDIQKAEPEWRIILLRYFNPVGAHESGSIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTEDGSAVRDYIHVMDLADGHIAALRKLFADPKIGCTAYNLGTGQGTSVLEMVAAFEKASGKKIPIKLCPRRSGDATAVYASTEKAEKELGWKAKYGVDEMCRDQWKWANNNPWGYQNKL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEVDSILGSLSITDDFDQLVDVTSLFDELCSKLKPEAIVKDPRFDLFEGTHSLEVNNSKLDSSLIELTAEEIEFDVNVAYDPPLASVAAIADRLLRCVISWLNDYQTLPTTVLSCRYTESLLSSLVKGTTAGSSWCTGNILYDKVLGSCILGVCYLTKFVQKLLSAGIVFEEEDLNFNNMGFNTFDNLPGQDVVINSLTESLQILEAYSDDSLHLTMLKHILKIIICLVHLEDHLTDYSTKTSHLDELIENANSVNGIFPQLQLSPPKGAFSTYIQKHRSNQFPPRKITKLPTDYSGFITLANDVKTILLVDKAESALETYQFAKFFNKLEQRHVIARILFPLFFIRDDRTVLGKFSYTQFYLLHVKEFSAQTPSEFESSIGNELIQESSNMLLEWYQNCSQNTCRYRQGFNRQLILWDSLQAQFESVNSQVYCSWTYFMKLSSMIEFSLKGFDLDIYKPFEAYSMFWYVYYLSHHLETFLKDSQNDIESNINAIHSMNKKLKKLKAGEKKDQLRLKYRFAMDNEMEQLQATKQFLNYLLKEINITKSLCLIEVFQFAILKSFGLIDNKNSTPSKFSNERLIHNLRFKPFNSIGVPELPEYEVFQQTLKDFVIEEKGAAFDIKLERATNFIETEVRNVVSSIDEIMQGIKGGDNNGVLVTGTRLVQELSLEYYCKLKHTSKALSVNSKVIVNTLKKNIKNKDSHEYKVELVHTTEGWNYFPIQTLRIKQDRYK", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MQHEPEDQTFQLQALEICEPDSSFDPQKPPESGEEYLMHMFYERKRCPAVVTKRSSKIRNNTGNTTLEMLDNPELPPFKCLLPTPEWRDEQVKSFQAARSQVLVLRKELANNNYDQSGEPPLTSDQEKWKEFCRNQQPLLSTLLHLTQNDLELLLEMLSKWLQDPNTTVDLLHDVWLARWLYATLVCLHLPLEPHVFSTLRYIARTCIHLRNQLKEDEVQRAAPYNLLLTLTVQVFAQNDFKDYI", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAMPRATSGPAYPERFYAAASYVGLDGSDSSAKNVISKFPDDTALLLYALYQQATVGPCNTPKPSAWRPVEQSKWKSWQGLGTMPSIEAMRLFVKILEEDDPGWYSRASNDIPDPVVDVQINRAKDEPVVENGSTFSETKTISTENGRLAETQDKDVVSEDSNTVSVYNQWTAPQTSGQRPKARYEHGAAVIQDKMYIYGGNHNGRYLGDLHVLDLKSWTWSRVETKVATESQETSTPTLLAPCAGHSLIAWDNKLLSIGGHTKDPSESMQVKVFDPHTITWSMLKTYGKPPVSRGGQSVTMVGKTLVIFGGQDAKRSLLNDLHILDLDTMTWDEIDAVGVSPSPRSDHAAAVHAERFLLIFGGGSHATCFDDLHVLDLQTMEWSRPAQQGDAPTPRAGHAGVTIGENWFIVGGGDNKSGASESVVLNMSTLAWSVVASVQGRVPLASEGLSLVVSSYNGEDVLVAFGGYNGRYNNEINLLKPSHKSTLQTKTLEAPLPGSLSAVNNATTRDIESEVEVSQEGRVREIVMDNVNPGSKVEGNSERIIATIKSEKEELEASLNKERMQTLQLRQELGEAELRNTDLYKELQSVRGQLAAEQSRCFKLEVDVAELRQKLQTLETLQKELELLQRQKAASEQAAMNAKRQGSGGVWGWLAGSPQEKDDDSP", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVMKASVDDDDSGWELSMPEKMEKSNTNWVDITQDFEEACRELKLGELLHDKLFGLFEAMSAIEMMDPKMDAGMIGNQVNRKVLNFEQAIKDGTIKIKDLTLPELIGIMDTCFCCLITWLEGHSLAQTVFTCLYIHNPDFIEDPAMKAFALGILKICDIAREKVNKAAVFEEEDFQSMTYGFKMANSVTDLRVTGMLKDVEDDMQRRVKSTRSRQGEERDPEVELEHQQCLAVFSRVKFTRVLLTVLIAFTKKETSAVAEAQKLMVQAADLLSAIHNSLHHGIQAQNDTTKGDHPIMMGFEPLVNQRLLPPTFPRYAKIIKREEMVNYFARLIDRIKTVCEVVNLTNLHCILDFFCEFSEQSPCVLSRSLLQTTFLVDNKKVFGTHLMQDMVKDALRSFVSPPVLSPKCYLYNNHQAKDCIDSFVTHCVRPFCSLIQIHGHNRARQRDKLGHILEEFATLQDEAEKVDAALHTMLLKQEPQRQHLACLGTWVLYHNLRIMIQYLLSGFELELYSMHEYYYIYWYLSEFLYAWLMSTLSRADGSQMAEERIMEEQQKGRSSKKTKKKKKVRPLSREITMSQAYQNMCAGMFKTMVAFDMDGKVRKPKFELDSEQVRYEHRFAPFNSVMTPPPVHYLQFKEMSDLNKYSPPPQSPELYVAASKHFQQAKMILENIPNPDHEVNRILKVAKPNFVVMKLLAGGHKKESKVPPEFDFSAHKYFPVVKLV", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSHKEPSAAETSTVVHSEEDKAFCIGTGAVISEEREKEVLKNLQNSLTGKTAEENLNDEANHTSSDKSKSEDYQPSNVNVWALRKEKMIPKKHSHVKQEKRFSKSLQLQDPNVWPSPEIAEKQVEDRKLSDDSQKPLAPKANGKEKWVTITPNFTHTPISNRKSSRSRNDGSRRNGNGRRRGNYSSHGSNKRQTNYSREKDASRSIDSSNPSAEYRDDINNTFGSQTVSSANGKEVPQTSEDSSSQAPHHSSSSGHAPSQQGGNKHSYKKSDSQQSFHHKGRNTRKGQRHNNGFYRNIANNIQGPFPNYPVVVNGNGVNPYLCDVQAFLTSQLEYYFSIENLCKDMFLRKHMDDEGYVPLAFLASFNRIKSFSTDLNLLHAACKASDIIDVAIDLQSPMSIKVRRKETWSPWILPSESRLKFEMAKYPQINSSSSMSPLASSISNLTISPPFIPSSVDSIIKRDVQTEVEDKLTV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSAFCLGLAGRASAPAEPDSACCMELPAGAGDAVRSPATAAALVSFPGGPGELELALEEELALLAAGERSSEPGEHPQAEPESPVEGHGPPLPPPPTQDPELLSVIRQKEKDLVLAARLGKALLERNQDMSRQYEQMHKELTDKLEHLEQEKHELRRRFENREGEWEGRVSELETDVKQLQDELERQQLHLREADREKTRAVQELSEQNQRLLDQLSRASEVERQLSMQVHALKEDFREKNSSTNQHIIRLESLQAEIKMLSDRKRELEHRLSATLEENDLLQGTVEELQDRVLILERQGHDKDLQLHQSQLELQEVRLSYRQLQGKVEELTEERSLQSSAATSTSLLSEIEQSMEAEELEQEREQLRLQLWEAYCQVRYLCSHLRGNDSADSAVSTDSSMDESSETSSAKDVPAGSLRTALNDLKRLIQSIVDGVEPTVTLLSVEMTALKEERDRLRVTSEDKEPKEQLQKAIRDRDEAIAKKNAVELELAKCKMDMMSLNSQLLDAIQQKLNLSQQLEAWQDDMHRVIDRQLMDTHLKEQSRPAAAAFPRGHGVGRGQEPSTADGKRLFSFFRKI", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSKGPGPGGSAASSAPPAATAQVLQAQPEKPQHYTYLKEFRTEQCPLFVQHKCTQHRPYTCFHWHFVNQRRRRSIRRRDGTFNYSPDVYCTKYDEATGLCPEGDECPFLHRTTGDTERRYHLRYYKTGICIHETDSKGNCTKNGLHCAFAHGPHDLRSPVYDIRELQAMEALQNGQTTVEGSIEGQSAGAASHAMIEKILSEEPRWQETAYVLGNYKTEPCKKPPRLCRQGYACPYYHNSKDRRRSPRKHKYRSSPCPNVKHGDEWGDPGKCENGDACQYCHTRTEQQFHPEIYKSTKCNDMQQAGSCPRGPFCAFAHIEPPPLSDDVQPSSAVSSPTQPGPVLYMPSAAGDSVPVSPSSPHAPDLSALLCRNSGLGSPSHLCSSPPGPSRKASNLEGLVFPGESSLAPGSYKKAPGFEREDQVGAEYLKNFKCQAKLKPHSLEPRSQEQPLLQPKQDVLGILPVGSPLTSSISSSITSSLAATPPSPAGTNSTPGMNANALPFYPTSDTVESVIESALDDLDLNEFGVAALEKTFDNSAVPHPSSVTIGGSLLQSSAPVNIPGSLGSSASFHSASPSPPVSLSSHFLQQPQGHLSQSENTFLGTSASHGSLGLNGMNSSIWEHFASGSFSPGTSPAFLSGPGAAELARLRQELDEANGTIKQWEESWKQAKQACDAWKKEAEEAGERASAAGAECELAREQRDALELRVKKLQEELERLHTVPEAQTLPAAPDLEALSLSTLYSIQKQLRVHLEQVDKAVFHMQSVKCLKCQEQTRAVLPCQHAVLCELCAEGSECPVCQPSRAHALQS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPSETLWEIAKAEVEKRRCHGSDGDGMEIGEKSVFFIGSKNGGKTTIILRCLDRDEPAKPTLALEYTYGRKTKGHNTPKDIAHFWELGGGTSLLDLISIPITVDTLRTFSIVLVLDLSKPNDLWPTMENLLQATKSHVDKVTMKLGKANSKASSEMRQRMWSVMQKDHPDRELIDPFPIPLVIIGSKYDIFQDFDPEKRKVICKTLRFVAHYYGASLMFTSKSEALLLKMRGVINQLAFGIDKSKSMCVDQNKPLFITAGLDSLCQIGSPPVPDSDIGKLQAHSPMELWKKVYEKLFPPKSTSTLKAIQDPARDPQYAESEVDEMRVQKDQELEQYKRSSSKTWKQIELDS", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSWTCPRCQQPVYFAEKVSSLGKNWHRFCLKCERCHSILSPGGHAEHNGRPYCHKPCYGALFGPRGVNIGGVGCYLYNLPTPPPASRISLSPSNFSPPRPRTGLSRAKKRPPYLKTFTGETSLCPGCGDPVFFAEKVMSLGKIGTTLPEVPTCRKTLTAGSHAEHDGMPYCHIPCYGYLFGPKGGQSDPRHWTYENVWTTSLVVRHLDPSPIPSDLHPLANKALGDRALSFRCEHWRCGLLHL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MCDDEVAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGVVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSYELPDGQVITVGNERFRCPEALFQPSFLGMESAGIHETSYNSIMKCDIDIRKDLYANTVLSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNEITLFIKSSSANAERRINPQWTVSQLKTKLVPIVGTPEQYQKLTYEPASSTVPGHVFTSEEENLDLGEFKLQPLGTIVVEDTRPPHLRLDFDDLSQVDKYVMPREQYENRTDSVYAWKKRNQLGRFNPDFEASKASRQESLKRELVDLQKNLNSRCCAAGERYGTIRYIGLVPEINNDNLWVGVEFDEPVGKNDGTVSGKRYFNAKNKHGSFLRSSEVEVGDFPPEDILEGL", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLSAMDVMIHSSSFLLPCDETCGTRYALVVLNQNLPRFTPLLWEHAKLRLCADGGANRIYDELPLFFPHEDPFVIRNRYKPDVIKGDMDSIRRDVLDFYVYWGTKVIDESHDQDTTDLDKCISYIRHSTLNQESSRLQILATGALGGRFDHEAGNLNVLYRYPDTRIVLLSDDCLIQLLPKTHRHEIHIHSSLQGPHCGLIPIGTPSANTTTSGLKWDLSNTEMRFGGLISTSNLVKEEIITVESDSDLLWTISIKKTGLPVQDHKP", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAALTDLSFMYRWFKNCNLVGNLSEKYVFITGCDSGFGNLLAKQLVDRGMQVLAACFTEEGSQKLQRDTSYRLQTTLLDVTKSESIKAAAQWVRDKVGEQGLWALVNNAGVGLPSGPNEWLTKDDFVKVINVNLVGLIEVTLHMLPMVKRARGRVVNMSSSGGRVAVIGGGYCVSKFGVEAFSDSIRRELYYFGVKVCIIEPGNYRTAILGKENLESRMRKLWERLPQETRDSYGEDYFRIYTDKLKNIMQVAEPRVRDVINSMEHAIVSRSPRIRYNPGLDAKLLYIPLAKLPTPVTDFILSRYLPRPADSV", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSAAEAGGVFHRARGRTLAAFPAEKESEWKGPFYFILGADPQFGLIKAWSTGDCDNGGDEWEQEIRLTEQAVQAINKLNPKPKFFVLCGDLIHAMPGKPWRTEQTEDLKRVLRAVDRAIPLVLVSGNHDIGNTPTAETVEEFCRTWGDDYFSFWVGGVLFLVLNSQFYENPSKCPSLKQAQDQWLDEQLSIARQRHCQHAIVFQHIPLFLESIDEDDDYYFNLSKSTRKKLADKFIHAGVKVVFSGHYHRNAGGTYQNLDMVVSSAIGCQLGRDPHGLRVVVVTAEKIVHRYYSLDELSEKGIEDDLMDLIKKK", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEVPELGPGLVERLEQLATCPLCGGPFEDPVLLACEHSFCRSCLARCWGSPAAPGSEEATPSCPCCGQPCPRRSLRSNVRLAVEVRISRGLREKLAEPGARTGRRRGGRIPTMGCLDPQGEDMRKTWRRFDVPVPKSSNSEEDLPEDYPVVKNMLHRLTADLTLDPRTAHRDLLISSDYRGVSLAPPGTPVPLDSPERFDRLRAVLGAQGFASGRHCWEVETAEGACFRDSLAKDEDAGESCYAVGAAGESVTRKGLIKLCPSEAIWAVEGRGGRLWALTAPEPTLLGGARPPPQRIRVDLDWERGRVAFYDGRSLDLLFAFQAPGPLGERVFPLLCTCDPRAPLRIVPGEA", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTVKTGIAIGLNKGKKVTSMTPAPKISYKKGAASNRTKFVRSLVREIAGLSPYERRLIDLIRNSGEKRARKVAKKRLGSFTRAKAKVEEMNNIIAASRRH", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAMEAAAERSAGAGAAATAAPESGGGGAGERRSRFRRICVYCGSAKGRKASYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPFLSFIDMAVSEGFIAEDARRIIISAPTARELVLKLEEYVPEYEVGLVWDDQMPHSFAPDLETRITSS", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKSSYGSSSNDLHAFVNEIKGEIQLSNINLDPYSFVSPSAYDTAWLSMIEEDINVDDNELKPMFQGCLDWIMCNQNAREGFWMNSTSYTTVADGRDEDGEKDMCILTSTLACVVALQKWNIGCFHLHKGTRYIERNTEMIIGKYINEEGSYPRWFAIKFTGILELAQKLGLHFVFSSRCIEMIKGMFYQRQEIIQREKLVHDCNYKPLLAYLEVLPSKLYVTNQEDIIVKSLDSMDGSLFQSPSATASAFMLTRNTKCLAYLQNLVQKCPNGVPQKYPLNEDLIKLSMVNLIESTGLGEFFGIEIEHVLEQVYSRYEEKDFERMPMSYLADQLHKDSLAFRMLRMHGRDVSPRSFCWFLNDQETRNHLERNIDSFLLVILSVYRATDLMFPGEHDLQEAREYTRNLLEKRRSIKEKMIMHELSTPWIARLKHLDHRMWIEDKNSNVLSMEKASFLRLHSSYSDKLTHLAARNFEFQQAKYCRELEELTMWVKKWGLSDIGFGREKTTYCYFATVTSLPYEYAIKFGKLAAKTAILITIADDFFDEKGSFNDLEGLTKAVLRWEGEELKSYGNIIFRALDDIVRETANTCRTHHKTDIIVHLRNIWGETFESWLREAEWSKKGHTSSMDEYIRNGMISIAAHTIALSISCLMEPCFPHNKLKPGNYDSITTLLMIIPRLLNDLQSYQKEQEQGKMNSVLLHMKNHPGLEIEDSIAHIEKIIDSKRKEFLEHVLVDGLSDLPKPCKEIHMSCCKVFEMFFNKKNRYDSNTEMLHDIKKALYDPINVYELSEMEPMPLMAHGDEYMILPLLLNSLPNILEFKRKDGYGAMKTSMCFGRSYRVNKRVMASQLDDQHKPLKIVASQRKPVPMMQSIFAPCFY", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDDKKKKRSPKPCLAQPAQAPGTLRRVPVPTSHSGSLALGLPHLPSPKQRAKFKRVGKEKCRPVLAGGGSGSAGTPLQHSFLTEVTDVYEMEGGLLNLLNDFHSGRLQAFGKECSFEQLEHVREMQEKLARLHFSLDVCGEEEDDEEEEDGVTEGLPEEQKKTMADRNLDQLLSNLEDLSNSIQKLHLAENAEPEEQSAA", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEVQCGGAGFIPESSRSSHELGNQDQGIPQLRIVLLGKTGAGKSSTGNSILGEKVFNSGICAKSITKVCEKRVSTWDGKELVVVDTPGIFDTEVPDADTQREITRYVALTSPGPHALLLVVPLGRYTVEEHKATQKILDMFGKQARRFMILLLTRKDDLEDTDIHEYLEKAPKFFQEVMHEFQNRYCLFNNRASGAEKEEQKMQLLTLVQSMFLSSRMK", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MALLAEHLLKPLPADKQIETGPFLEAVSHLPPFFDCLGSPVFTPIKADISGNITKIKAVYDTNPAKFRTLQNILEVEKEMYGAEWPKVGATLALMWLKRGLRFIQVFLQSICDGERDENHPNLIRVNATKAYEMALKKYHGWIVQKIFQAALYAAPYKSDFLKALSKGQNVTEEECLEKIRLFLVNYTATIDVIYEMYTQMNAELNYKV", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLQQVPENINFPAEEEKILEFWTEFNCFQECLKQSKHKPKFTFYDGPPFATGLPHYGHILAGTIKDIVTRYAHQSGFHVDRRFGWDCHGLPVEYEIDKTLGIRGPEDVAKMGITEYNNQCRAIVMRYSAEWKSTVSRLGRWIDFDNDYKTLYPQFMESVWWVFKQLYDKGLVYRGVKVMPFSTACNTPLSNFESHQNYKDVQDPSVFVTFPLEEDETVSLVAWTTTPWTLPSNLAVCVNPEMQYVKIKDVARGRLLILMEARLSALYKLESDYEILERFPGAYLKGKKYRPLFDYFLKCKENGAFTVLVDNYVKEEEGTGVVHQAPYFGAEDYRVCMDFNIIRKDSLPVCPVDASGCFTTEVTDFAGQYVKDADKSIIRTLKEQGRLLVATTFTHSYPFCWRSDTPLIYKAVPSWFVRVENMVDQLLRNNDLCYWVPELVREKRFGNWLKDARDWTISRNRYWGTPIPLWVSDDFEEVVCIGSVAELEELSGAKISDLHRESVDHLTIPSRCGKGSLHRISEVFDCWFESGSMPYAQVHYPFENKREFEDAFPADFIAEGIDQTRGWFYTLLVLATALFGQPPFKNVIVNGLVLASDGQKMSKRKKNYPDPVSIIQKYGADALRLYLINSPVVRAENLRFKEEGVRDVLKDVLLPWYNAYRFLIQNVLRLQKEEEIEFLYNENTVRESPNITDRWILSFMQSLIGFFETEMAAYRLYTVVPRLVKFVDILTNWYVRMNRRRLKGENGMEDCVMALETLFSVLLSLCRLMAPYTPFLTELMYQNLKVLIDPVSVQDKDTLSIHYLMLPRVREELIDKKTESAVSQMQSVIELGRVIRDRKTIPIKYPLKEIVVIHQDPEALKDIKSLEKYIIEELNVRKVTLSTDKNKYGIRLRAEPDHMVLGKRLKGAFKAVMTSIKQLSSEELEQFQKTGTIVVEGHELHDEDIRLMYTFDQATGGTAQFEAHSDAQALVLLDVTPDQSMVDEGMAREVINRIQKLRKKCNLVPTDEITVYYKAKSEGTYLNSVIESHTEFIFTTIKAPLKPYPVSPSDKVLIQEKTQLKGSELEITLTRGSSLPGPACAYVNLNICANGSEQGGVLLLENPKGDNRLDLLKLKSVVTSIFGVKNTELAVFHDETEIQNQTDLLSLSGKTLCVTAGSAPSLINSSSTLLCQYINLQLLNAKPQECLMGTVGTLLLENPLGQNGLTHQGLLYEAAKVFGLRSRKLKLFLNETQTQEITEDIPVKTLNMKTVYVSVLPTTADF", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAKRFSAMKDFARCKKPRLDVSVTRGSARPSPPRNNFDGILWDDDDDVILMATQLAEAEIEAEERKKKGGTEVDIGNSEVTFSEFAPTFQGSTSTQQMFPPPPPPQKKPTSLDMDAIFADDDDFDFLAVTLMDSEPQKMPEPKTSTSRITTSSISVQQKTTTTTTINATQSRQQEHQLKFLMDRIEALKRENAQLEKNLGDSKERNEIKSGEVSLLRDELKHLRQQLQASKMEKLALADETNRDCNKKVAEAAKQIAAKDIELKIKNAEFSKLKTQQKAHERSMNSSMSILQAAPDPLEKRLSLRLNRLNIHRSVPGLKTDNGSVFEYSENEDQTKKRRNHFELELKQLLLHYARLQAKPESVDNLLPRILSSVGKVFTEFASYAQSLDFPHNCMLYPYNPHNLEEEVHRISLTHQSCLYDNEKAVPLRRFIATLALICRREERISRGLTEWKENDLGLLDMAIEAITKLGFSYEVGQHFGLLEALTSLLNSLLQENALLQHNEELLFDLLKQLVFTRPSPWVFAELSSCFLSCLRHPQLMDKMCVNSPKDCFVSDRVRSVYRFGPDSCLLQVYAGLLELCFFSETPLRQDYFQLLLKIGGNHVRFAFECFKNPPDFILEMLPYFADDGDEDSSDGTLMKTGTSLSFNSTGAVQGSVSNGSTSASVSNPNQNSNSSTTQRGKGCECYVKLCLSAVTIVFQVMHQWMLHSRKAGTEEVGEISRIAVHLLSLVFHEYYLTCLFRDSEETTKHYLSLICNWWSEHANLLGFQSIHLRLLNQLVKAHFMLKPLHLEAKPNNPVNDLSEWKRIVKNADDQRAVKSAVTVDPSKLLNTDFFSALKREENTFE", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSLRFSGGSRHVGIQSGSLRPPSGGAGFAGSSVAGGSVAGSGFSWALGGTLGSAPGGSHATGALGNVSGVCFIGSEGGLLSGNEKVTMQNLNNRLASYLDNVKALEEANSELERKIKTWHEKYGPGSCRGLDRDYSKYHLTIEDLKSKIISSTAANANIILQIDNARLAADDFRLKYENELTLHQNVEADINGLRRVLDELTLCRTDQELQYESLSEEMTYLKKNHEEEMKVLQCAAGGNVNVEMNAAPGVDLTVLLNNMRAEYEALAEQNRRDAEAWFQEKSATLQQQISNDLGAATSARTELTELKRSLQTLEIELQSLSATKHSLECSLAETEGNYCSQLAQIQAQISALEEQLHQVRTETEGQKLEHEQLLDIKAHLEKEIETYCRLIDGDENSCSVSKGFESGTSGNSPKDVSKTTLVKTVVEEIDQRGKVLSSRIHSIEEKMSKMSNGKAEQRVPF", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDRASELLFYVNGRKVIEKNVDPETMLLPYLRKKLRLTGTKYGCGGGGCGACTVMISRYNPITKRIRHHPANACLIPICSLYGAAVTTVEGIGSTHTRIHPVQERIAKCHGTQCGFCTPGMVMSIYTLLRNHPEPTLDQLTDALGGNLCRCTGYRPIIDACKTFCKTSGCCQSKENGVCCLDQGINGLPEFEEGSKTSPKLFAEEEFLPLDPTQELIFPPELMIMAEKQSQRTRVFGSERMMWFSPVTLKELLEFKFKYPQAPVIMGNTSVGPEVKFKGVFHPVIISPDRIEELSVVNHAYNGLTLGAGLSLAQVKDILADVVQKLPEEKTQMYHALLKHLGTLAGSQIRNMASLGGHIISRHPDSDLNPILAVGNCTLNLLSKEGKRQIPLNEQFLSKCPNADLKPQEILVSVNIPYSRKWEFVSAFRQAQRQENALAIVNSGMRVFFGEGDGIIRELCISYGGVGPATICAKNSCQKLIGRHWNEQMLDIACRLILNEVSLLGSAPGGKVEFKRTLIISFLFKFYLEVSQILKKMDPVHYPSLADKYESALEDLHSKHHCSTLKYQNIGPKQHPEDPIGHPIMHLSGVKHATGEAIYCDDMPLVDQELFLTFVTSSRAHAKIVSIDLSEALSMPGVVDIMTAEHLSDVNSFCFFTEAEKFLATDKVFCVGQLVCAVLADSEVQAKRAAKRVKIVYQDLEPLILTIEESIQHNSSFKPERKLEYGNVDEAFKVVDQILEGEIHMGGQEHFYMETQSMLVVPKGEDQEMDVYVSTQFPKYIQDIVASTLKLPANKVMCHVRRVGGAFGGKVLKTGIIAAVTAFAANKHGRAVRCVLERGEDMLITGGRHPYLGKYKAGFMNDGRILALDMEHYSNAGASLDESLFVIEMGLLKMDNAYKFPNLRCRGWACRTNLPSNTAFRGFGFPQAALITESCITEVAAKCGLSPEKVRIINMYKEIDQTPYKQEINAKNLIQCWRECMAMSSYSLRKVAVEKFNAENYWKKKGLAMVPLKFPVGLGSRAAGQAAALVHIYLDGSVLVTHGGIEMGQGVHTKMIQVVSRELRMPMSNVHLRGTSTETVPNANISGGSVVADLNGLAVKDACQTLLKRLEPIISKNPKGTWKDWAQTAFDESINLSAVGYFRGYESDMNWEKGEGQPFEYFVYGAACSEVEIDCLTGDHKNIRTDIVMDVGCSINPAIDIGQIEGAFIQGMGLYTIEELNYSPQGILHTRGPDQYKIPAICDMPTELHIALLPPSQNSNTLYSSKGLGESGVFLGCSVFFAIHDAVSAARQERGLHGPLTLNSPLTPEKIRMACEDKFTKMIPRDEPGSYVPWNVPI", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MWGACKVKVHDSLATISITLRRYLRLGATMAKSKFEYVRDFEADDTCLAHCWVVVRLDGRNFHRFAEKHNFAKPNDSRALQLMTKCAQTVMEELEDIVIAYGQSDEYSFVFKRKTNWFKRRASKFMTHVASQFASSYVFYWRDYFEDQPLLYPPGFDGRVVVYPSNQTLKDYLSWRQADCHINNLYNTVFWALIQQSGLTPVQAQGRLQGTLAADKNEILFSEFNINYNNELPMYRKGTVLIWQKVDEVMTKEIKLPTEMEGKKMAVTRTRTKPVPLHCDIIGDAFWKEHPEILDEDS", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTTAVERKYINIRKRLDQLGYRQTLTVECLPLVEKLFSDLVHTTESLRQSKLSAVKAEKESANFDFVLEPYKLENARLSRENNELYLELMKLREHSDQHVKELKTSLKKCARETADLKFLNNQYAHKLKLLEKESKAKNERIQQLQEKNLHAVVQTPGGKKRSIAFRRQRMQIDEPVPPSEVSSYPVPQPDDPYIADLLQVADNRIQELQQEVHQLQEKLAMMESGVRDYSKQIELREREIERLSVALDGGRSPDVLSLESRNKTNEKLIAHLNIQVDFLQQANKDLEKRIRELMETKETVTSEVVNLSNKNEKLCQELTEIDQLAQQLERHKEEVLETADKELGEAKKEIKRKLSEMQDLEETMAKLQLELNLCQKEKERLSDELLVKSDLETVVHQLEQEKQRLSKKVESFAVTERQLTLEVERMRLEHGIKRRDRSPSRLDTFLKGIEEERDYYKKELERLQHIIQRRSCSTSYSAREKSSIFRTPEKGDYNSEIHQITRERDELQRMLERFEKYMEDIQSNVKLLTAERDKLSVLYNEAQEELSALRKESTQTTAPHNIVSLMEKEKELALSDLRRIMAEKEALREKLEHIEEVSLFGKSELEKTIEHLTCVNHQLESEKYELKSKVLIMKETIESLENKLKVQAQKFSHVAGDSSHQKTEVNSLRIVNEQLQRSVDDYQHRLSIKRGELESAQAQIKILEEKIDELNLKMTSQDEEAHVMKKTIGVIDKEKDFLQETVDEKTEKIANLQENLANKEKAVAQMKIMISECESSVNQLKETLVNRDREINSLRRQLDAAHKELDEVGRSREIAFKENRRLQDDLATMARENQEISLELEAAVQEKEEMKSRVHKYITEVSRWESLMAAKEKENQDLLDRFQMLHNRAEDWEVKAHQAEGESSSVRLELLSIDTERRHLRERVELLEKEIQEHINAHHAYESQISSMAKAMSRLEEELRHQEDEKATVLNDLSSLRELCIKLDSGKDIMTQQLNSKNLEFERVVVELENVKSESDLLKKQLSNERHTVKNLESLLATNRDKEFHSHLTSHEKDTEIQLLKEKLTLSESKLTSQSRENTMLRAKVAQLQTDYDALKRQISTERYERERAIQEMRRHGLATPPLSSTLRSPSHSPEHRNV", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MWGILLKSSNKSCSRLWKPILTQYYSMTSTATDSPLKYYDIGLNLTDPMFHGIYNGKQYHPADYVKLLERAAQRHVKNALVTGSSIAESQSAIELVSSVKDLSPLKLYHTIGVHPCCVNEFADASQGDKASASIDNPSMDEAYNESLYAKVISNPSFAQGKLKELYDLMNQQAKPHDTSFRSIGEIGLDYDRFHYSSKEMQKVFFEEQLKISCLNDKLSSYPLFLHMRSACDDFVQILERFIAGFTDERDTFQLQKLGASSSSGFYKFHPDRKLVVHSFTGSAIDLQKLLNLSPNIFIGVNGCSLRTEENLAVVKQIPTERLLLETDAPWCEIKRTHASFQYLAKYQEVRDFEYPAFKSVKKNKLADKLNAEELYMVKGRNEPCNMEQVAIVVSEVKDVDLATLIDTTWKTTCKIFGE", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTFTFSTSSRKNGRPPLKSVSTEDNIHLLRKRRQQQLSSNSTDNSLHPNSGQTPRASDSQDDDIRSASTTNLDRLRQEREENSLEMDCTQSRLSHRANMLVDVLPSFEMYNALHRHIPQGNVDPDRHDFPPSYQEVRTQRMTILPSNDNSVERSQLTAVPGSENACNNATAHSLTNLHPLQTQHLTINSTRSGGQSLHSSSDTNISQIPFEDDLNDSDNIFIDKLYTLPKLSTPIEIDIRITKTASIPHERPEEQSILKEYTSGDIIHGYCLIENRSSQPLKFEMFYVTLEAYISVIDRQKGKRTLKRFLRMVDLSASWSYTNITPSTGINIVPGERDFDDAIIGLSNSRELKPNTKYKKFFMFKLPTQLLDVTCKQEQFSHCLLPPSFGIDKYKNNCKYSGIKVNSVLGCGHLGTKGSPILTLDMADDNLSINYTIDAKIVGKDKRTSKLNIMKEKEYNLRVMPFPFAGVTNQQNEKTCLRQLKNLESLIEDRFEALNKIFKKLELNEAISNVDIHDTDISGTLDGNEDLDSDEILRRKLDQLHINNRIDDTASQSPSYDSKNMAPKENLVETELRYKFKNKNKSNSSLFSHFLSSSETGSSSTGPHVYNSGLIVLSVKKPQSTLPYWSPSLLRKTNKFEAKSEQEKENWQRLMGMLPEGVKTPLTKLDVHLTCIQSNNSAGHKPPEISSVTTEFVVITAKSDNSIPIKFCTELLMNENRLNKLKTKFLTYQKKVHEYRKKFEENHAKLNELYNRNRDHFTPKELLFTNFISDQINNDIDSLAGLKVNIIDLHDIFKKQIHTFEEENEDIISKKGSSNPPSASSSNNNFLQATFSNGASTATKFTQQIVHEWEKVKPLQYKRDVTVNLKLNPNIKETLVPNLETCLCCRFYCVRVNIKFDNHLGSMKVDIPVDVKKLQI", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDFNMKKLASDAGIFFTRAVQFTEEKFGQAEKTELDAHFENLLARADSTKNWTEKILRQTEVLLQPNPSARVEEFLYEKLDRKVPSRVTNGELLAQYMADAASELGPTTPYGKTLIKVAEAEKQLGAAERDFIHTASISFLTPLRNFLEGDWKTISKERRLLQNRRLDLDACKARLKKAKAAEAKATTVPDFQETRPRNYILSASASALWNDEVDKAEQELRVAQTEFDRQAEVTRLLLEGISSTHVNHLRCLHEFVKSQTTYYAQCYRHMLDLQKQLGRFPGTFVGTTEPASPPLSSTSPTTAAATMPVVPSVASLAPPGEASLCLEEVAPPASGTRKARVLYDYEAADSSELALLADELITVYSLPGMDPDWLIGERGNKKGKVPVTYLELLS", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTTIQPFEPVDLFKTNNVNLDILTENFPLEFYFEYMIIWPDLFFKSSEMTVDPTFKHNISGYMMAKTEGKTTEWHTHITAVTVAPRFRRISLASKLCNTLETMTDVMPHEVNFIDLFVKCNNQLAIKLYEKLGYSVYRRVVGYYNSAEDGYPDTLKKVDDNKDAFDMRKAMARDRNRSVRPDGRSHKCYPHDVRF", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGRFKGENRSQARWIMGGVSKGRGSGKSRKPRQAAFGQTGARVCPSSPQQDAVPRFRWPGDAECASSTHTPTMSGCKLPMGLCPDMCPAAERARRERERRLHRLEVEPGGRGNAPRADPKRTVKEYSRPAAGKPRPPPSLLRPPPVLLATVRYLAGEVAGRGDVSCAEVASFVADRLRAVRLDLSLQGVDDADAATVLEAALATLLAVVARVRPEETRGAADPVLLQTQVQEGFGSLRRCYARGKGPYPRQAAFQGLFLLYNLGSVEALQEVLQLPAALRACPPLQAALAVDAAFREDNHARLFRLLRTLPYLQSCAVQEHIGYARRKALARLSRALSTPKGQTLPLDFIEHFLALDGLQEARDLCQAHGLTLDKDRVVFLRGQYSEEGLPPPGAYHILVGNKLQGHTLEDVVMAEEGDIHRPGSAA", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGSELKSTSPLAVKYDLSQKIMQHLDRHLIFPLLEFLSLRQTHDPKELLQAKYDLLKDTNMTDYVANLWTNLHGGHTDEDMANAFAEKRRSVLQELSELEEEVQGILGVLENPDLIAALRQDKGQNLQHLQEHYNITPERIAVLYKFAQFQYNCGNYGGASDLLYHFRAFSKDPELNASATWGKFASEILTVDWDGAMEELGKLREMVDSKSFKDSAVQLRNRTWLLHWSLFPLFNHANGCDTLCDLFFYTPYLNTIQTSCPWLLRYLTVAVVTNQNNANQKPRNPRQSYQRRMRDLVRIISQENYEYSDPVTSFISALYTEVDFEKAQHCLRECEEVLKTDFFLVSLCDHFLEGARKLLAEAYCRIHSVISVDVLANKLEMDSAQLIQLVENRNNPSVAAASNVAADQSTEDESIESTSTNVVADDLITEAETATEAEEPEPEVQFGFKAKLDGESIIIEHPTYSAFQQIIDRTKSLSFESQNLEQSLAKSISELKHATV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTSKVGEYEDVPEDESRLTEENVSVPEEEVEDEDEEEDDDDDHIYINEETESGREKVLVSHAPQERIVPPLNFCPVERYLYRSGQPSPVNFPFLLNLKLKTIIWLSNEEPQDTLLEFCDTHRINLQFAAINPDAGEDDNPWDGLTEHSIINVLQTIVTQENYPLLVCCGMGRHRTGTVIGCLRRIMGWNLASVSEEYRRFTGSRGGRILVELLIEAFDTNLVKIDKNKAPSWLLTALE", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPERELWPAGTGSEPVTRVGSCDSMMSSTSTRSGSSDSSYDFLSTEEKECLLFLEETIGSLDTEADSGLSTDESEPATTPRGFRALPITQPTPRGGPEETITQQGRTPRTVTESSSSHPPEPQGLGLRSGSYSLPRNIHIARSQNFRKSTTQASSHNPGEPGRLAPEPEKEQVSQSSQPRQAPASPQEAALDLDVVLIPPPEAFRDTQPEQCREASLPEGPGQQGHTPQLHTPSSSQEREQTPSEAMSQKAKETVSTRYTQPQPPPAGLPQNARAEDAPLSSGEDPNSRLAPLTTPKPRKLPPNIVLKSSRSSFHSDPQHWLSRHTEAAPGDSGLISCSLQEQRKARKEALEKLGLPQDQDEPGLHLSKPTSSIRPKETRAQHLSPAPGLAQPAAPAQASAAIPAAGKALAQAPAPAPGPAQGPLPMKSPAPGNVAASKSMPISIPKAPRANSALTPPKPESGLTLQESNTPGLRQMNFKSNTLERSGVGLSSYLSTEKDASPKTSTSLGKGSFLDKISPSVLRNSRPRPASLGTGKDFAGIQVGKLADLEQEQSSKRLSYQGQSRDKLPRPPCVSVKISPKGVPNEHRREALKKLGLLKE", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDKNIKREIEIKRAKLLLLKNKENCTDAVSSSNKEGPKQISEDQLSNFLCKILKPTNLTPQTYSLESKSSNLVSDCELKISSVYQSYSSTFNVSNHVPSISKTVNIRETSKHLKHSTKAPKCSLPTSALEIDHLNNFLHSSAKILDRALCDQSNQLFTDYTVKKKSKKNKSQLEENGLNHLFTFQDEKITLNSVVNSISYSSFFEELLITSYAKPKEALRTRGLAIVWNQRWKNSPESVLKARSEITVCKPSPFHPQLIAGGAYNGQVFLWDLRQGQYPVSFTTIISGGHLEPVTDITYINNPPSNNIVTCSTDGLVHIWEPDMFSRPSETICLSSQVDSSSQCIPATCLSFIPENNMEFLVGAEDGKLQRGYRSDYSETKAVQPSNVSYEGHNVFISGIDVMTSNSQNVFLEKNKDFALTSSFDWTVRLWQCSPSRNQHELVPSNDLDEQVIINSCKTFTHKAMVFDVKWCVSEPCCFASVDALGNLNLWDLQKDVEAPVTSDIPDGKPLNKIAWQPEKRNLACGGLNGNVHIYKHLSPNLAN", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASPRVFPLSCVVQQYAWGKVGSKSEVACLLASSDPLAQISEDKPYAELWMGTHPRGDAKILDNRISQKTLGQWIAENPDCLGSKVKNTFNGKLPFLFKVLSVDTALSIQAHPNKELAEKLHLQAPEHYPDANHKPEMAIALTSFQGLCGFRPVEEIVTFMKKVPEFQLLIGDDATAQLKESVGGDTEAMASALRNCFSHLMKSEKKVVVEQLNLLVKRISQQVFDGNNMEDIYGKLLLQLHQQHPGDIGCFAIYFLNLLTLKPGEAMFLDANVPHAYLKGDCVECMACSDNTVRAGLTPKFIDVPTLCEMLNYTPSPSNNRLFAPAQSQDDPYLSIYDPPVPDFTVMKMEVPSSVTEYKVSTLDSASILLMVQGTVTAIIPSAHAEIPLYRGGVLFIAANESVLLKITVPKDLLIFRACCLL", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAALTTLFKYIDENQDRYIKKLAKWVAIQSVSAWPEKRGEIRRMMEVAAADVKQLGGSVELVDIGKQKLPDGSEIPLPPILLGRLGSDPQKKTVCIYGHLDVQPAALEDGWDSEPFTLVERDGKLYGRGSTDDKGPVAGWINALEAYQKTGQEIPVNVRFCLEGMEESGSEGLDELIFARKDTFFKDVDYVCISDNYWLGKKKPCITYGLRGICYFFIEVECSNKDLHSGVYGGSVHEAMTDLILLMGSLVDKRGNILIPGINEAVAAVTEEEHKLYDDIDFDIEEFAKDVGAQILLHSHKKDILMHRWRYPSLSLHGIEGAFSGSGAKTVIPRKVVGKFSIRLVPNMTPEVVGEQVTSYLTKKFAELRSPNEFKVYMGHGGKPWVSDFSHPHYLAGRRAMKTVFGVEPDLTREGGSIPVTLTFQEATGKNVMLLPVGSADDGAHSQNEKLNRYNYIEGTKMLAAYLYEVSQLKD", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSSNLLPTLNSGGKVKDGSTKEDRPYKIFFRDLFLVKENEMAAKETEKFMNRNMKVYQKTTFSSRMKSHSYLSQLAFYPKRSGRSFEKFGPGPAPIPRLIEGSDTKRTVHEFINDQRDRFLLEYALSTKRNTIKKFEKDIAMRERQLKKAEKKLQDDALAFEEFLRENDQRSVDALKMAAQETINKLQMTAELKKASMEVQAVKSEIAKTEFLLREYMKYGFFLLQMSPKHWQIQQALKRAQASKSKANIILPKILAKLSLHSSNKEGILEESGRTAVLSEDASQGRDSQGKPSRSLTRTPEKKKSNLAESFGSEDSLEFLLDDEMDVDLEPALYFKEPEELLQVLRELEEQNLTLFQYSQDVDENLEEVNKREKVIQDKTNSNIEFLLEQEKMLKANCVREEEKAAELQLKSKLFSFGEFNSDAQEILIDSLSKKITQVYKVCIGDAEDDGLNPIQKLVKVESRLVELCDLIESIPKENVEAIERMKQKEWRQKFRDEKMKEKQRHQQERLKAALEKAVAQPKKKLGRRLVFHSKPPSGNKQQLPLVNETKTKSQEEEYFFT", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSSRTNVVGIDFGNSKTVIAVARNRAIDVIVNEVSNRSTPSLVSYGERSRFLGEAAKSAEASNFRNTVGSLKRLAGRTYDDPEIKDIESNFISAKLTEVDGFVGAKVQYLNEETAFSNIQLIAAYFTKIKAIAEAELIGSVSDVVISIPAWFTDIQRRALLEAANIAGLNPLRLMNDNAAAALTYGITKTDLPEPESPRRVAIVDFGHSNYSVSIVEFSRGQFHIKSTVCDRNLGSRNMDKALIDYFAAEFKEKYKIDVLSNPKATFRLATAVERLKKVLSANANAPLNVEMIMNDIDASSFIKRSDFEELIKPLLERLTPPIEKALELAGIKKEDLYSIEMVGGCTRVPIVKEVIANYFGKGLSFTLNQDEAVARGCALSCAILSPVFRVREFHVHDVTTYPITFSWEPIPENPEEDSSLEVFSEGNPIPSTKILTFYRKAPFKLLAAYSKEAQLPGSIKQNIAQYLINDVVPNKDGDLSIVKIKVRLDLHGILVVEQAYIVEEQEVEEPVETSPEEEAEKKTDEPVKMRKVKKLVKVADLSVSVQEDRLPTEVLEKYREAEHQMIATDKLVAETVDRKNALEEYIYDTRAKLDDIYAPFTNEEESSKFKEMLTKAEDWLYEEGEDTTKAVYTAKLEDLMRVGGPIRQRYLDAEEAKRQKVQAEREAAKAATKSEAEKQKPSGKFEEGTGGRAPPPPPAEEVAPENEEVETMEIDEQKE", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRSSTAAVQRPAAGDPEPRRPAGWAARRSLPRTARRGGRGGAVAYPSAGPPPRGPGAPPRGPRSPPCASDCFGSNGHGASRPGSRRLLGVCGPPRPFVVVLLALAPAATPARACPPGVRASPPRSGVSSSARPAPGCPRPACEPVYGPLTMSLKPQPQPPAPATGRKPGGGLLSSPGAAPASAAVTSASVVPAPAAPVASSSAAAGGGRPGLGRGRNSSKGLPQPTISFDGIYANVRMVHILTSVVGSKCEVQVKNGGIYEGVFKTYSPKCDLVLDAAHEKSTESSSGPKREEIMESVLFKCSDFVVVQFKDTDSSYARRDAFTDSALSAKVNGEHKEKDLEPWDAGELTASEELELENDVSNGWDPNDMFRYNEENYGVVSTYDSSLSSYTVPLERDNSEEFLKREARANQLAEEIESSAQYKARVALENDDRSEEEKYTAVQRNCSDREGHGPNTRDNKYIPPGQRNREVLSWGSGRQSSPRMGQPGPGSMPSRAASHTSDFNPNAGSDQRVVNGGVPWPSPCPSHSSRPPSRYQSGPNSLPPRAATHTRPPSRPPSRPSRPPSHPSAHGSPAPVSTMPKRMSSEGPPRMSPKAQRHPRNHRVSAGRGSMSSGLEFVSHNPPSEAAAPPVARTSPAGGTWSSVVSGVPRLSPKTHRPRSPRQSSIGNSPSGPVLASPQAGIIPAEAVSMPVPAASPTPASPASNRALTPSIEAKDSRLQDQRQNSPAGSKENVKASETSPSFSKADNKGMSPVVSEHRKQIDDLKKFKNDFRLQPSSTSESMDQLLSKNREGEKSRDLIKDKTEASAKDSFIDSSSSSSNCTSGSSKTNSPSISPSMLSNAEHKRGPEVTSQGVQTSSPACKQEKDDREEKKDTTEQVRKSTLNPNAKEFNPRSFSQPKPSTTPTSPRPQAQPSPSMVGHQQPAPVYTQPVCFAPNMMYPVPVSPGVQPLYPIPMTPMPVNQAKTYRAGKVPNMPQQRQDQHHQSTMMHPASAAGPPIVATPPAYSTQYVAYSPQQFPNQPLVQHVPHYQSQHPHVYSPVIQGNARMMAPPAHAQPGLVSSSAAQFGAHEQTHAMYACPKLPYNKETSPSFYFAISTGSLAQQYAHPNAALHPHTPHPQPSATPTGQQQSQHGGSHPAPSPVQHHQHQAAQALHLASPQQQSAIYHAGLAPTPPSMTPASNTQSPQSSFPAAQQTVFTIHPSHVQPAYTTPPHMAHVPQAHVQSGMVPSHPTAHAPMMLMTTQPPGPKAALAQSALQPIPVSTTAHFPYMTHPSVQAHHQQQL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAGLGLGSAVPVWLAEDDLGCIICQGLLDWPATLPCGHSFCRHCLEALWGARDARRWACPTCRQGAAQQPHLRKNTLLQDLADKYRRAAREIQAGSDPAHCPCPGSSSLSSAAARPRRRPELQRVAVEKSITEVAQELTELVEHLVDIVRSLQNQRPLSESGPDNELSILGKAFSSGVDLSMASPKLVTSDTAAGKIRDILHDLEEIQEKLQESVTWKEAPEAQMQGELLEAPSSSSCPLPDQSHPALRRASRFAQWAIHPTFNLKSLSCSLEVSKDSRTVTVSHRPQPYRWSCERFSTSQVLCSQALSSGKHYWEVDTRNCSHWAVGVASWEMSRDQVLGRTMDSCCVEWKGTSQLSAWHMVKETVLGSDRPGVVGIWLNLEEGKLAFYSVDNQEKLLYECTISASSPLYPAFWLYGLHPGNYLIIKQVKV", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPTNSIKLLAPDVHRGLAELVAKRLGLQLTSSKLKRDPTGEVSFSIGESVRDQDIFIITQIGSGVVNDRVLELLIMINASKTASARRITAIIPNFPYARQDRKDKSRAPITAKLMADMLTTAGCDHVITMDLHASQIQGFFDVPVDNLYAEPSVVRYIKENVNYMDSIIISPDAGGAKRAATLADRLDLNFALIHKERARANEVSRMVLVGDVTDKICIIVDDMADTCGTLAKAAEILLENRAKSVIAIVTHGVLSGRAIENINNSKLDRVVCTNTVPFEEKIKKCPKLAVIDISSVLAESIRRLHNGESISYLFKNYPL", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDPPSPSRTSQTQPTATSPLTSYRWHTGGGGEKAAGGFRWGRFAGWGRALSHQEPMVSTQPAPRSIFRRVLSAPPKESRTSRLRLSKALWGRHKNPPPEPDPEPEQEAPELEPEPELEPPTPQIPEAPTPNVPVWDIGGFTLLDGKLVLLGGEEEGPRRPRVGSASSEGSIHVAMGNFRDPDRMPGKTEPETAGPNQVHNVRGLLKRLKEKKKARLEPRDGPPSALGSRESLATLSELDLGAERDVRIWPLHPSLLGEPHCFQVTWTGGSRCFSCRSAAERDRWIEDLRRQFQPTQDNVEREETWLSVWVHEAKGLPRAAAGAPGVRAELWLDGALLARTAPRAGPGQLFWAERFHFEALPPARRLSLRLRGLGPGSAVLGRVALALEELDAPRAPAAGLERWFPLLGAPAGAALRARIRARRLRVLPSERYKELAEFLTFHYARLCGALEPALPAQAKEELAAAMVRVLRATGRAQALVTDLGTAELARCGGREALLFRENTLATKAIDEYMKLVAQDYLQETLGQVVRRLCASTEDCEVDPSKCPASELPEHQARLRNSCEEVFETIIHSYDWFPAELGIVFSSWREACKERGSEVLGPRLVCASLFLRLLCPAILAPSLFGLAPDHPAPGPARTLTLIAKVIQNLANRAPFGEKEAYMGFMNSFLEEHGPAMQCFLDQVAMVDVDAAPSGYQGSGDLALQLAVLHAQLCTIFAELDQTTRDTLEPLPTILRAIEEGQPVLVSVPMRLPLPPAQVHSSLSAGEKPGFLAPRDLPKHTPLISKSQSLRSVRRSESWARPRPDEERPLRRPRPVQRTQSVPVRRPARRRQSAGPWPRPKGSLSMGPAPRARPWTRDSASLPRKPSVPWQRQMDQPQDRNQALGTHRPVNKLAELQCEVAALREEQKVLSRLVESLSTQIRALTEQQEQLRGQLQDLDSRLRAGSSEFDSEHNLTSNEGHSLKNLEHRLNEMERTQAQLRDAVQSLQLSPRTRGSWSQPQPLKAPCLNGDTT", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEKLLQWSIANSQGDKEAMARAGQPDPKLLQQLFGGGGPDDPTLMKESMAVIMNPEVDLETKLVAFDNFEMLIENLDNANNIENLKLWEPLLDVLVQTKDEELRAAALSIIGTAVQNNLDSQNNFMKYDNGLRSLIEIASDKTKPLDVRTKAFYALSNLIRNHKDISEKFFKLNGLDCIAPVLSDNTAKPKLKMRAIALLTAYLSSVKIDENIISVLRKDGVIESTIECLSDESNLNIIDRVLSFLSHLISSGIKFNEQELHKLNEGYKHIEPLKDRLNEDDYLAVKYVL", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSVAPPAPSPPPFDPTKPSTPISFPIKTLQDLKSRSYFDSFHYPFNRSSVPLRRNIGALSDRPRLLVCHDMKGGYVDDKWVQGCGNNAGYAIWDWYLMDVFVYFSHSLVTLPPPCWTNTAHRHGVKVLGTFITEWDEGKATCKELLATKESAQMYAERLAELAAALGFDGWLINIENVIDEVQIPNLMVFVSHLTKVMHSSVPGGLVIWYDSVTIDGHLAWQDQLTENNKPFFDICDGIFMNYTWKENYPKASAEIAGDRKYDVYMGIDVFGRGTYGGGQWTANVALDLLKSSNVSAAIFAPGWVYETEQPPDFYTAQNKWWSLVEKSWGIVQTYPQVLPFYSDFNQGLGSHTSLGGRKLSEAPWYNISCQSLQPFLEFNEGRNSETIQVTVDGREASYNGGGNVSFRGKLKRNAHFTARLFKPQLQLSAAPISIFFSVKSDKRSELSILLHFSSPSQEKKSMLMVPNESINRFGDMFLPCLLTSKQTTSGWTVHETNLVLDGHTLTEISAFCSRPDDLTEETNTLEYFALLGHISIKSQQKAKVYPLASSWVIEAHHVKFVPGDSGSKTLSCKLEWRLKHPEEDSVFPKYNVYAENLSSSEYRPRKVMEEPRSEKVFLGTAHVDAYYVSEMVVGSDVKGVRFVVQTCGEDGSWQELDASPNLVVEVERVSSKLCCCGLI", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNFSSIFKSISNFQFPYTIEETAITETALWQCFDGTRKADSLPVTVFKAKRSPENESLILNAVHKSKILKIPGLCTVLETFDSDPQSTFIVTERVVPFPWDNLGSLSQNKFGVELGISQLLATLGFLKNFVLGTLSKDSVFINIKGEWVLFGLELCSSKEGLSAFEFASRARSYYNIIGSQLPCEDPNTIDSMGLGLLIKSLMAPSCLPKDWIVNVNMISDGKITIENFRKRLENTETWRSNPLINFYQELRELHIKDPQGKLVVMSNLENLYLESREIFRNLTPGMIENFIIPELCEIIKLLMTQSISSAASPIGMNFNASHKLVPFLAIVLDLTSETNTFPVGFNDLITQSFKLPDRQVRFLLLIYLPKLIGPLSKSEISSRIYPHFIQGLTDSDATLRLQTLKTIPCIVSCLTERQLNNELLRFLAKTQVDSDVEIRTWTVIIISKISTILSTSVGNRSNILATAFTKSLKDPQVKPRLAALYGLEKSIELFDVNTIANKILTVIAPGLLDKSPIVRGRAKILFEEYLEKLEKEAQLIQTNDSTADSEDVKDIDFENYGCDEEDMNKEDNLLAAQFLNNLRLNSPSATTPSNITESEIDSAQDGSGWDDLSDTDGFITNGTTESFDETTNPVTTASTPKLFGKPIKINKSWNDELNDDGWIQDESGPSKVPQKHTRPQNSTLAKSIAPSSRLSIKKKKTTILAPRNIASNSTVTTKSSLSNKTARSKPISSIRGSVTKKGNVDGWDDDGDSDSWDTNW", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MMDPCSVGVQLRTTNECHKTYYTRHTGFKTLQELSSNDMLLLQLRTGMTLSGNNTICFHHVKIYIDRFEDLQKSCCDPFNIHKKLAKKNLHVIDLDDATFLSAKFGRQLVPGWKLCPKCTQIINGSVDVDTEDRQKRKPESDGRTAKALRSLQFTNPGRQTEFAPETGKREKRRLTKNATAGSDRQVIPAKSKVYDSQGLLIFSGMDLCDCLDEDCLGCFYACPACGSTKCGAECRCDRKWLYEQIEIEGGEIIHNKHAG", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDNYEKVRVVGRGAFGVCWLCRGKNDASHQKVIIKLINTHGMTEKEENSIQSEVNLLKKVQHPLIIGYIDSFIMDNQLGIVMQYAEGGTLERLINDQRAIKDSNMREYFPEKTVLDYFTQILIALNHMHQKNIVHRDLKPQNILMNRRKTVLKLSDFGISKELGTKSAASTVIGTPNYLSPEICESRPYNQKSDMWSLGCVLYELLQLERAFDGENLPAIVMKITRSKQNPLGDHVSNDVKMLVENLLKTHTDKRPDVSQLLSDPLVLPYLISIHCDLGRIEPPPTDKRKPSASLSSRLRTYPTQSTLRPYSLSSNAPTTHLTQLTPMPSHIDSGFFSSGRTSNQRTQSRSQVHSKY", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MADPEELQVSSPPPPPPSSPSSSDASAASSPGGPVSLGWPVPSRSSGPTVDQLEEVELQIGDAAFSLTKLLEATSAVSAQVEELAFKCTENARFLKTWRDLLKEGYDSLKPDD", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MQLKAKEELLRNMELGLIPDQEIRQLIRVELEKRLQWGYKETHEEQLSQLLDLVHSLKGMKMATEMENLDLKLYEAPMEFLKIQHGSNMKQSAGYYTDESTTLDEAEIAMLDLYMERAQIKDGQSVLDLGCGLGAVALFGANKFKKCQFTGVTSSVEQKDYIEGKCKELKLTNVKVLLADITTYETEERFDRIFAVELIEHMKNYQLLLKKISEWMKDDGLLFVEHVCHKTLAYHYEPVDAEDWYTNYIFPAGTLTLSSASMLLYFQDDVSVVNQWTLSGKHYSRSHEEWLKNMDKNIVEFKEIMRSITKTEKEAIKLLNFWRIFCMCGAELFGYKNGEEWMLTHLLFKKK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTSFRLALIQLQISSIKSDNVTRACSFIREAATQGAKIVSLPECFNSPYGAKYFPEYAEKIPGESTQKLSEVAKECSIYLIGGSIPEEDAGKLYNTCAVFGPDGTLLAKYRKIHLFDIDVPGKITFQESKTLSPGDSFSTFDTPYCRVGLGICYDMRFAELAQIYAQRGCQLLVYPGAFNLTTGPAHWELLQRSRAVDNQVYVATASPARDDKASYVAWGHSTVVNPWGEVLAKAGTEEAIVYSDIDLKKLAEIRQQIPVFRQKRSDLYAVEMKKP", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAISKNLPILKNHFRKHWQERVKVHFDQAGKKVSRRNARATRAAKIAPRPLDLLRPVVRAPTVKYNRKVRAGRGFTLAEVKAAGLTAAYARTIGIAVDHRRQNRNQEIFDANVQRLKEYQSKIIVFPRNGKAPEAEQVLSAAATFPIAQPATDVEARAVQDNGESAFRTLRLARSEKKFRGIREKRAREKAEAEAEKKK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTVSTSKTPKKNIKYTLTHTLQKWKETLKKITHETLSSIDDSSGSDEKIEALFTVSQPAVVASKGIDRDSGASMSQVGGGVNSTLEMKLTDESEESSSANNTTTTASHTLSNSKKSTQNFENYNVVEERIKLAQKSKAPFCNAEKIWKRRRQLWTQPTEQSESANNDGVTRREIFQAIPQEYYARVYKKLVVDDKPLREPLNLEDALQVINAGWTETRKWANAAKGMP", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPSADEPSSTRTNGTSSRSDQLAYYKKQYEQLESELADFQASSRELEAELEKEIEASEKRERQLKEKVDNLRYEVEEWKSKYKQSKSEASTAQNALQKEITSLRDANLTLQLKLRDTEVANDDYERQARHTTSSLEDMESKYNQALEREVLLDMEYKQGEQERESLRIENQRLRDELNDLKIETEIVQERLRNNNRRRRPAPLGRSPSTPHTPEIFDRSPGESTVSSPLFSTPPTKLSLTLASATATPPSPPMSETSSSMRKSLTAASGFPLQKASASESFGTRSLYGNRPQRFQAHSRATSYAFSNGRSTPSATTTRPSLPKANNTTANRPSGIPKSGSLHQIRGLIGKMQKLEERVQSAKSKLPPPSETASRASSRAGSMLDASPGAATIAMRRDTRKRLSGSSFSSSVRDGDGAPSYVTSSRPSYGTRTQGDSRPSSRTSFSSSLSHSTHPSVTPSNRPESRQSRTKTPLGHYSTNPTTESRRPRSSLSNPAGQSTPINGMTYIDEDEDLAEQFNMRATISSTRPTRLPSFSNPAFSTPTGLKKRSTSGMSGIPAPRTLRRGNTMGPPKTKPKPVAGDLGETF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASFPETDFQICLLCKEMCGSPAPLSSNSSASSSSSQTSTSSAGGGGPGAAARRLHVLPCLHAFCRPCLEAHRLPAPGGAGPAEALKLRCPVCDQKVVLAEAAGMDALPSSAFLLSNLLDAVVATAEEPPPKNGRAGGGPGGAGGHSNHRHHAHHPAQRAAAPAPQPPPGPAASPGSLLMRRPHGCSSCDEGNAASSRCLDCQEHLCDNCVRAHQRVRLTKDHYIERGPPGPAAASAAQQLGLGPPFAGAPFSILSVFPERLGFCQHHDDEVLHLYCDTCSVPICRECTLGRHGGHSFAYLQDALQDSRALTIQLLADAQQGRQALQLSIEQAQTVAEQVEMKAKVVQSEVKAVTARHKKALEDRECELLWKVEKIRQVKAKSLFLQVEKLRQSLSKLESTISAVQQVLEEGRALDILLARDRMLAQVQELKTIRGLLQPQEDDRIMFTPPDQALYLALKSIGFVSSGAFAPLTKATGDGIKRALQGKVASFTVMGYDHDGEPRHSGGDLMSVVVLGPDGNLFGAEVSDQQNGTYIVSYRPQLEGEHLVSVTLYNQHIENSPFKVVVKSGRSYVGIGLPGLSFGSEGDGEGKLCRPWGVSVDKEGFIIVADRSNNRIQVFKPCGSFHHKFGTLGSRPGQFDRPAGVACDASRRIIVADKDNHRIQIFTFEGQFLLKFGEKGTKNGQFNYPWDVAVNSEGKILVSDTRNHRIQLFGPDGVFLNKYGFEGSLWKHFDSPRGVAFNNEGHLVVTDFNNHRLLVIHPDCQSARFLGSEGSGNGQFLRPQGVAVDQEGRIIVADSRNHRVQMFEANGSFLCKFGAQGSGFGQMDRPSGIAVTPDGLIVVVDFGNNRILIF", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSFFGFNTTLPKENMFPNEGQLEEDGIDFEETYDDLGNQLNEAGDELNDETFGVSAGSIGRDFDFSGTTAQASAQLEDEQYQINQQNIFAKPVKPASSELPQVSRLNGASQFPSREPASTAINKLSDLQPMASIWENIVPEKPAIIPPEVASLQDRLGAQPSEKVFSLQELEEQLLNSMTAPKPPSQPAIPIVPSEMAAQVTRENISSLDPAISAASIGNVTFGQPNIPSTTTDFAGLAAPNMVHPSQAIPNPVMQPSLVPQMPYPQNGMYNPSVAPPASLVNLFQQEQLIQNQNLDEKRQKLERDHMLMAQCAGLMTRSDKSFIARIQISQLMSEDPESDDFYYRVYSIIRGRKPSEEEASHFIQTYLGPSNNRRRGRRSENPMQKLQQQLQRLVSSAKERPKATQLSLEGALGKIAVNTVRTPRQLLNVKRPTEPASSNSSLNNFSGFSTKKDVLHAIEKVYDLLLDFEQALRKASTLETTDQEQIDTWKTTLSEKLESIWKALYINESLEASSKTRPPFISIISHPKGMRLLPRLFPHLSKEQQISILKVVVYNFDSLDIVLRGTFDVNGELPLDVVSEMSSFTQFIIPPLLTIVNELDLETINNLFSQLLNRTNAVYLIQTKIGLSFLTLFISRAEILKQSGTVNQNEKEEWENTFNVMFNRVKGHFSTVFPPPNARAYADESYPWEFLAACATAASSEQHFTLVSETRDRVLDNIITSKRAPSEIAVVRISNVNLFLNAMGLDARQLSA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MQFPMGPACIFLRKGIAEKQRERPLGQDEIEELREAFLEFDKDRDGFISCKDLGNLMRTMGYMPTEMELIELGQQIRMNLGGRVDFDDFVELMTPKLLAETAGMIGVQEMRDAFKEFDTNGDGEITLVELQQAMQRLLGERLTPREISEVVREADVNGDGTVDFEEFVKMMSR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAFSLRENPRLLNCLDSDIPALSTCSNADAFCRMNTMLGNSLDLSGVCTTPTAKCKRDPFNDRPDSDLSAVRSRMLFPSGGQDSSRGLPDVNNWGLGLQSLSLSDWERPWSSHDTDPSVKTNTASLQGILGTPSQLTNKLSNYSDSSIGATDFLEKFPGMARLNSQSFLDSHSISPVDSETSGFSSGSDHLSDLLSSLRISPSVPFLMSSMQRDPLKLALDSRMDHSSSPLTPPPSASPSGSLSHRWPGASIWPSWDLMKTPESPFSIEREAWLHRQAASINEATFTWSGQLPPRHYQNPVYSCKVFLGGVPWDITEAGLINTFKCYGPLSVEWPGKDGKHPRCPPKGNMPKGYVYLVFESDKSVRALLQDCTEDLLHPEGYSEYYFKMSSRRMRCKDAQVIPWVISDSNYVSCPSQRLDPRNTVFVGALHGMLNAEALASIMNDLFGGVVYAGIDTDKHKYPIGSGRVTFNNQRSYLKAVSAAFVEIKTPKFTKKVQIDPYLEDAICQSCSREPGPFFCRDKTCFKYYCRSCWHRQHSMDILSNHRPLMRNQKKRDAN", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEPIDDILFEVTDAFKTQKEDLLELVTLIDIYGEQVNQEGSYEEKTRFIETLNTLLEDNPSTTGEIGWDLPKGLLKFLSKDNVDVNGRLGTNMIVQGVMKCFYAISIQGEPKKCLITGLELLSSLCSKDFSKSDQQNKEDFVDKKANTLPPEGVIENSSNRKDFPSYGESKSSNEFFLKLKSYILFEFIGASLKRISTLFPSKYLGAAVSTIEKFVYSHADTFEDALFLLRRVYTFCRNYIPPDPPKDIQLNEDFTREMFDKVVEEESELQVRLLRRLCTFGISTPIKTVTTNADVKYYCALNQQKFELSAYYTEYLELFCRYYQMAFSLDVDIEGEFQNVIKECRIIYKSVPQEISAVNDEAKLVLERMVYKLAYTFEVQKAAKEKNVGLDYNGVILFSGIHYLETNQHLVKEMNITDAIYLYLRFTTPSLYSKVYYNVAVESVSRYWLWYAITTEPLEDVKKELKNLSVFVTKTLLHVLLQKNCIQVNQQLRMITFTLLTRLLCLIPEKVAFEFILDVLKTSPLPLAKTSVLCVFKDLSRRRISTKDNDSETDLIVEKLSKLKVNDSNKAQQSNIRHYIQLDSSKMKAVHDCCLQTIQDSFTADAKKSDILLLLTYLNIFIVLKKTWDEDLLKIVCSKIDSNLKSVEPDKLPKYKEIVDKNESLNDYFTGIK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQKSVRVGDYFDNDDNGLWSWYLTNLRLGDFEELIGNQLKYTLLKRFLNSHFYGDNNISARPNKKILLVSIPENVHEDISILEIFLKDYFHLEKLEHIQISKLTHSHCYNHENHYLLTDNLNNFQDPTFLEFASTSWQVQKNSKALNNNNRNSIPPPTISSSKASNGKLESNVSDDQWSNINTQTSTATRTNTNTRTLTSPDTVDINVTSVNSQSNNNDTPQDNENEVDEEDATSSIVLNFSHSRTVDSKPNRLPKIFPSYTNEDYTPSHSEIMSIDSFAGEDVSSTYPGQDLSLTTARREDESGQDEVEDHYSRVSHDLGDESIDQASYSMESSVSYTSYSSSSNSSSAHYSLSSSSRGNPKRENIDHTNATYVSELSSITSSIDNLTTSTTPEEEDNLIHHNYDAQGYGSGEDDGEEVYDDEDLSSSDYSVLSILPSISICDSLGYFRLVLQSILIQDPDTKEIFTAIRQSNNKPTMASVTDDWLLYDSNFSMNNLQILTLQDLLDIKRSFPKILFYTMVIVTNSGKQVEEEFKNPNYDNREGISKEQPLDSELSLTNDPQQYFPTAYNNGYNDYIDDEDDEDDGDDASLSEQSGPQMYIPTRMESNVTTAHRSIRTVNSIGEWAFNRHNSVTKIDKSNSNELDNSKTGESTVLSSEPHPMTQLSNSNTTSSNFSHSLKTKNSHKPNSKGNNESNSKNELKKIKSSINAMSAVERSKSLPLPTLLKSLSGIDNPTHATNKDRKRWKFQMNRFKNHKNSGSAGTDKSQRCAIM", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAKPEDKSTDIPDRLVDINQRKTYKRMRFFGKGGFAKCYEIIDVETDDVFAGKIVSKKLMIKHNQKEKTAQEITIHRSLNHPNIVKFHNYFEDSQNIYIVLELCKKRSMMELHKRRKSITEFECRYYIYQIIQGVKYLHDNRIIHRDLKLGNLFLNDLLHVKIGDFGLATRIEYEGERKKTLCGTPNYIAPEILTKKGHSFEVDIWSIGCVMYTLLVGQPPFETKTLKDTYSKIKKCEYRVPSYLRKPAADMVIAMLQPNPESRPAIGQLLNFEFLKGSKVPMFLPSSCLTMAPRIGSNDTIEDSMHRKPLMEMNGIRPDDTRLESTFLKANLHDAITASAQVCRHSEDYRSDIESLYQQLTNLINGKPRILQGNLGDENTDPAAQPLFWISKWVDYSDKYGFGYQLCDEGIGVMFNDTTKLILLPNQINVHFIDKDGKETYMTTTDYCKSLDKKMKLLSYFKRYMIEHLVKAGANNVNIESDQISRMPHLHSWFRTTCAVVMHLTNGSVQLNFSDHMKLILCPRMSAITYMDQEKNFRTYRFSTIVENGVSKDLYQKIRYAQEKLRKMLEKMFT", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAAANPWDPASAPNGAGLVLGHFIASGMVNQEMLNMSKKTVSCFVNFTRLQQITNIQAEIYQKNLEIELLKLEKDTADVVHPFFLAQKCHTLQSMNNHLEAVLKEKRSLRQRLLKPMCQENLPIEAVYHRYMVHLLELAVTFIERLETHLETIRNIPHLAANLKKMNQALAKMDILVTETEELAENILKWRKQQNEVSSCIPKILAEESYLYKHDIIMPPLPFTSKVHVQTINAK", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPLGLFSSGKAQVLCDEKIPGGKKKEPKQLSENKCKGVTLKLDHTRVTIEKQIAEGGFAIVYVASDRKNNKFALKRQFTKDNEKQLEACCREHSFLKQCIGHKNIVEFVDSYTNCLGNGIWECMLLTEYHQKNVLQLMNERISQNQYLTNDEILSIFTDLCEAVSFIHNRPQPIIHRDLKVENVLISSHKPPHYVLCDFGSATTQILSVEKYGVEYVKSEVERNTTMCYRSPEMIDFYSGLEIGLKSDIWALGVLLYRLCFFCVPFEESPLAIQSVNYQFPSVPNIPDEIKVLIYMLLDIDVNRRPSIYQTSVLAFEANHRKPLSEEIQNKKCTDAVPSLKSCIQLMRDGSNPRNKRDSSPRNPEAPPIQSSSKMASLSQQVPSISNISMPSGSGTVETSVAPRLRPKATTVVPNVPSISPVPPVGLPHLRLPSKGSTDETDGSQVRKVPIDFHHRQSFSGEEQLKPAAEADSAGPLSCPLIKPTDLGFTDLDKPALPRDRAQTDGKRRLPHESDIIFQQQHRRNVSDTSQISRSAFKPYSSQQTTSKTSSQVVRSVEDMSQRQNGGSGEWNPFLVAPFSNNSISRKDGQESAFMMDDSHFGMVFDEIRRKEIPAELDSETSSIDSRDPFGAAPFDQLTVSTSSSAQPVSLPPATDEDDERQLLSETDEEEKYEIDEKEEIQTKKDETINEEDSEIDEQRMNDRRRYSYENIDGVGDDASSDSRGKTDRDDSEEEEDDDSRRGGDTSHDEDSQNTVGSEDGEGGSRPLLEDDGLEDDDDHELISSFSSSSTNYPPLFIGTSTPHTQNPITNPFLRDELTPKMIITAPLPTAKNNLDDDWDLGDRWTDRRDTVFERPASEHQNVFAPATLPRQATPLVCRFKPDLPTAAPVSIIPSMSNTSFPEAVRDSDTVPCEPIIGTLISVGAPTDPPPPPLPKKPTEASPTQETTATIPVALGKKEKLLKKEKKKEKKDGKKDKLKLEEYREKGSSEPETDGSEAEIWTNDGATTFSNKKKKKSTFGLRSSHPSIVANDLQFSSPMPPVVKKSSKDKKSSLTGKNASFVNTSFQPEDHDDPTDL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSKGPGPGGSAASSAPPAATAQVLQAQPEKPQHYTYLKEFRTEQCPLFVQHKCTQHRPYTCFHWHFVNQRRRRSIRRRDGTFNYSPDVYCTKYDEATGLCPEGDECPFLHRTTGDTERRYHLRYYKTGICIHETDSKGNCTKNGLHCAFAHGPHDLRSPVYDIRELQAMEALQNGQTTVEGSIEGQSAGAASHAMIEKILSEEPRWQETAYVLGNYKTEPCKKPPRLCRQGYACPYYHNSKDRRRSPRKHKYRSSPCPNVKHGDEWGDPGKCENGDACQYCHTRTEQQFHPEIYKSTKCNDMQQSGSCPRGPFCAFAHVEQPPLSDDLQPSSAVSSPTQPGPVLYMPSAAGDSVPVSPSSPHAPDLSALLCRNSSLGSPSNLCGSPPGSIRKPPNLEGIVFPGESGLAPGSYKKAPGFEREDQVGAEYLKNFKCQAKLKPHSLEPRSQEQPLLQPKQDMLGILPAGSPLTSSISSSITSSLAATPPSPVGTSSVPGMNANALPFYPTSDTVESVIESALDDLDLNEFGVAALEKTFDNSTVPHPGSITIGGSLLQSSAPVNIPGSLGSSASFHSASPSPPVSLSSHFLQQPQGHLSQSENTFLGTSASHGSLGLNGMNSSIWEHFASGSFSPGTSPAFLSGPGAAELARLRQELDEANSTIKQWEESWKQAKQACDAWKKEAEEAGERASAAGAECELAREQRDALEVQVKKLQEELERLHAGPEPQALPAFSDLEALSLSTLYSLQKQLRAHLEQVDKAVFHMQSVKCLKCQEQKRAVLPCQHAALCELCAEGSECPICQPGRAHTLQS", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDDLRGFLRQAGQEFLNAAGEAAMGAAKDVVGSVINEIFIKKEADTKRVLPSIKNMRVLGEKSSSLGPYSEVQDYETILNSCLASGSLFEDPLFPASNESLQFSRRPDRHIEWLRPHEIAENPQFFVEGYSRFDVQQGELGDCWLLAATANLTQESNLFFRVIPAEQSFEENYAGIFHFRFWQYGKWVDVIIDDRLPTYNGELMYMHSTEKNEFWSALLEKAYAKLHGSYEALKGGSTCEAMEDFTGGVSEWYDLKEAPGNLFTILQKAAERNSMMGCSIEPDPNVTEAETPQGLIRGHAYSITKVCLIDIVTPNRQGKIPMIRMRNPWGNEAEWNGPWSDSSPEWRYIPEEQKAEIGLTFDRDGEFWMSFQDFLNHFDRVEICNLSPDSLTEDQQNSGKRKWEMSMYEGEWTPGVTAGGCRNFLDTFWHNPQYIITLVDPDEEDEEGQCTVIVALMQKNRRSKRNMGMECLTIGFAIYSLNDRELENRPQGLNFFRYKSSVGRSPHFINTREVCARFKLPPGHYLIVPSTFDPNEEGEFIIRVFSETQNNMEENDDHVGYGGKADTITPGFPTPKPIDPQKEGLRRLFDSIAGKDMEVDWMELKRILDHSMRDDLPKPVVFNRFSNNMAFETQAAGPGDDGAGACGLLSLICGPFLKGTPFEEQLGMNDQSNKRLIGDNPADGGPVTANAIVDETHGFSKDVCRSMVAMLDADKSGKLGFEEFETLLSEIAKWKAIFKVYDVENTGRVSGFQLREALNSAGYHLNNRVLNVLGHRYGSRDGKIAFDDFIMCAVKIKTYIDIFKERDTEKNETATFTLEEWIERTIYS", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MITSRNNDIGNLIEQFRQRDTPQKERKAILARIEEILQTTKNVESLCVKWTYLLDNLCWPSLTKHDRNDMKTLAGKVIRLVGVLLFDTESYPEFLIYLGTLYQSVTKKSEETRADIVFSVYFIVGVISQKTENRLIATDTENVEKSLDWIIKVLPNSSISVYNHCLKGFVLVANTFPNVYAAMFESTLRAILTNLPDFNSHEKNFELLIDTVMRFSDQLNEKPHLAEEMVRIIRPDIKKNGLGNMRELKKRMKLTMALVKMAKSQKMLEETNQMISEMSIELEENGGKWSSASLITIVCDVFNELLILGKDDVKLQKGVEESLCNVLKDLNLSNQSTMEKQAFFNSLAKIVKQLPAESQVKTRVHQIVFNTETGLFTPKNRDNRMFGHNMIYKDLINLVSVLLTPTSLNHLQATYTDLRKIMIDSMSRLKQSEDTPYSDNIRWNESILLLFFSSLQSISCAKSSLIVMMGIRPSIFEFFSSELPLTEYWLASNHPEVYHLFITIFVGHLKAHDFYIVQSDYIVRGDSIGQSIGQTKRDYARKQVVALQKIINNFGDKLWKKTRLMISSWLHSLIATACEHQIGSDSFSQREWVRLRNTVIHQSVLTWNNECVNQALTILSTATKWSELTSDIHRDIADKTKKAKWKEATTIWESGDCNTYIRQSMSTVYQMSQERQQKTITSTSFGAEEFIIITNFLLKQATPTTFKKGQNSWMDEVLETFTQGCRTLEKPDSYVPETFIEKWDWIINQTANFCIVNKMKTPLGKPMQTFAAFENEIKRLAKEVIVRKNSDKKLNKSSTEDPNQSPPLKYSVQWLRVHLLLKLIVVLEKLMNSAIHGGSSVFNLTEIPVSSRQFFTVNAASCEVWLNRVYYPALLVAYFNGYYGLVIRFGSNALSHFARQKDGDNDKKIVNGVCTASLMSLSMAVLGEPMEIVGLRRKVREEFGTDMGQSLMEALGEMANARYETALVALEAVLVTDAATNETLKMIIQLAMVDILNRIRLPQATDYYKVVLFGEESNDSTITEDFRSVELLTKFEKLNNTVNEKRQVVDWSARERFQFVESAFSQTMRRTELLDIQKDFSAMGALALSADSSCKLYSDISSTSLIIANLVNKMTGVSQWKNKLTDTEIFDRNEEGNDGDKLAICRKLMHWGRHTKSNRGQSCAAHSEIIRLSRKTSNCELAFFHINSAIRGEKLAAWQRLEVERQRLKLVKTQNLDVRIREMNEVFGSLAEVFTTSCQLKSDFQMVDGMIKEKMISEGYNEDIAKREEHMSRASIQLADFFQSLPELENVLAPNLFPTIIWSELQRRSDSLSAGYHGIVGSLFHLASEMCPSLAKAHLKMARWAYEIAKIKNFPAENLSFYKFGKDETENEELLKSLEATSLVNLEKLVRAAISDDLRANNILAPNSHFMHIWKMVRDHRTKFLSIAVTSYFQFIQNMSGDCDNLPYSKKEETTLATLRILELLVKHGDVLIDVINDGLNKTNVHIWKEILPQLFARLSHPSEHIRKTLVDLISKICTAAPHAVVFQVVSGAASSSTDGEELEEQQNDDRNRVRACCEKLETNMSQSYPNLVKDVRQFVAELERINLLNEEKWSVVMGTMEHEMEKRLSLIRTENAKTESALHLTASVKNDIIVKRTQLLTRQIFDVLDELYQQTVIEPPKSKNEEEFVTAFAEVLTNAFQESRISRTTSPEKSWIPFKNLIANFVHRNSKKGMQTFETEDISPYLASLSNSCVPMPGQESVEFDRVVSISRVARQVTILPTKTRPKKLGFVGSDGKQVAFLFKGREDLHLDERVMQFLRLCNVMLQPGKGKHRQSVAAYQAHHYAVIPLGPRSGLIKWVEGATPMFHIYRKWQMKEKALKQATKKNGETVPEIERPSNMYHNMIRLAFADHKIDSSITSDRSKWPAEILEEVFESLTAKTPTDLISRELWMRANDATTWWSVTKRYSRSLAVMSMVGSVLGLGDRHLDNLLVDLKWGHVVHIDYNICFDKGKNLRIPETVPFRLTRNMRHALGPSEMYGTFRESCVHVLSTLRSGHQVLTMLLDAFVFDPLVDWTSHEHTATSGVSLALQLAVYGSNWKTKAKERLTDAMELLNLRMSEVQTLWLANRDDLLHWMKQVTECLLIENSMLGANAIYAQQRVKAGTELREAVTRHHALAKELRPLIRVIGKEREEFADYLKFYKQALFDPLLKGHSALRNELDIDTCVYNFNIVMQNIDNVFGALVNLSFTPIETITSRTSQQEFKPPPGLENVWVVKQDQQENSQAREVVRRVERRLNGWLDGSAGPDRKLSPREEADILIAEATSTPNLSQMYEGWTAWV", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKTNREQEIYVERSFKPNNSTIQNLMDIERFILPHTSTSGVARLKMRVISWVGLQFYNY", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDNVQEHDPDTQEHNNETQNHKQEDHSNSYQTRTIPFIEPLSREYQKRIILCQTVNGPCPIIALSNALILKSNVDRPFELPKKRYITPDELTEYLVEFAKAYGLCKNQQSLQDKLTSMHFGQQLNPCLYDIEKFEYGHEIFCTFGVRLVHGWILSDDMGLSDEDLSYLRKLEYYEKVADTFAERRSLLEMQEPLTEQQQDFLNNSTCVDKVMENRYTMQFLTNAGLKKILELVGPGEIVVVFRSSHFSTMYSNPDSFAQFTLVTDSGYARTGEDVVWETFDSQTVETGNGELCAANFIPAVYVLNQRKEEKKKRAKDDEQYAKRLAKEEEERGKKETPKKASNTPRRNKSNTQKSRKQSENCLIS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGMSATMGDSASIPGVFFADFGPAPPEITPEGYHEVELNKTKWVLPQWYNSLKPLGEGAYGVVCTAEYEPTGDRVAIKKFFRPFQSTIHAKRTYRELKLLRTLQHDNVLEMIDVFTPDPDASSLNNVYFVSVLMGSDLQNIMKIQRLTDEQIQLLIYQVLRGLKYIHSAGIIHRDLKPSNIAVNERCEVKVFLSFSQLSFLILSFFKILDFGLARAQDAEMTGYVATRWYRAPEIMLNWMHYTQTVDVWSVGCILAELVSGRPLFPGDDHIDQLTKIMSVVGTPKEEFWSKIQSEEARNYIKNRSPIIRQDFVTLFPMASPYALELLEMMLILDPDRRISVSSALRHDYLREYSVPNDEPVAMDTVINSIVTIDPAEERATTLSDWRELIWNEIRLFQNSARRLSFVSCTDTEEEPMKI", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASFKLATDLPEWKKLEETYKSVGEKFSVRDAFAKDPKRFEEFSWIYKNYDDSKILFDFSKNLVNKEILDQLVTLAKEAGVEKLRDAMFAGDHINTTEDRAVYHVALRNRALRKMPVDGKDTAQEVDDVLKHMKEFSDSIRDGSWTGYTGKSITDVVNIGIGGSDLGPVMVTEALKAYSKPGLNVHFISNIDGTHTAETLKNLNPETTLFLIASKTFTTAETITNATSAKNWFLATAKDSKHIAKHFAALSTNEKEVVAFGIDAKNMFGFESWVGGRYSVWSAIGLSVAIYIGFENFNDFLKGAEAMDQHFLTTPLENNIPVIGGLLSVWYNNFFGAQTHLVVPFDQYLHRFPAYLQQLSMESNGKSVTRANVFTNYQTGTILFGEPATNAQHSFFQLVHQGTKLIPADFILAAQSHNPIEKNLHQRMLASNFFAQSEALMVGKDEAKVKAEGATGGLVPHKEFSGNRPTTSILAQKITPATLGSLIAYYEHLTFTEGAIWNINSFDQWGVELGKVLAKVIGKELDDKKAVATHDASTNGLINQFKEWEE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNQSDPQDKKNFPMEYSLTKHLFFDRLLLVLPIESNLKTYADVEADSVFNSCRSIILNIAITKDLNPIIENTLGLIDLIVQDEEITSDNITDDIAHSILVLLRLLSDVFEYYWDQNNDFKKIRNDNYKPGFSSHRPNFHTSRPKHTRINPALATMLLCKISKLKFNTRTLKVLQNMSHHLSGSATISKSSILPDSQEFLQKRNYPAYTEKIDLTIDYIQRFISASNHVEFTKCVKTKVVAPLLISHTSTELGVVNHLDLFGCEYLTDKNLLAYLDILQHLSSYMKRTIFHSLLLYYASKAFLFWIMARPKEYVKIYNNLISSDYNSPSSSSDNGGSNNSDKTSISQLVSLLFDDVYSTFSVSSLLTNVNNDHHYHLHHSSSSSKTTNTNSPNSISKTSIKQSSVNASGNVSPSQFSTGNDASPTSPMASLSSPLNTNILGYPLSPITSTLGQANTSTSTTAATTKTDADTPSTMNTNNNNNNNNSANLNNIPQRIFSLDDISSFNSSRKSLNLDDSNSLFLWDTSQHSNASMTNTNMHAGVNNSQSQNDQSSLNYMENIMELYSNYTGSELSSHTAILRFLVVLTLLDSEVYDEMNSNSYRKISEPIMNINPKDSNTSSWGSASKNPSIRHLTHGLKKLTLQQGRKRNVKFLTYLIRNLNGGQFVSDVSLIDSIRSILFLMTMTSSISQIDSNIASVIFSKRFYNLLGQNLEVGTNWNSATANTFISHCVERNPLTHRRLQLEFFASGLQLDSDLFLRHLQLEKELNHIDLPKISLYTEGFRVFFHLVSTKKLHEDIAEKTSSVLKRLFCIIADILLKATPYFDDNVTKIIASILDGHILDQFDAARTLSNDDHVSFDAATSVYTEPTEIIHNSSDASLVSSLSQSPLSINSGSNITNTRTWDIQSILPTLSNRSSASDLSLSNILTNPLEAQQNNNANLLAHRLSGVPTTKRYASPNDSERSRQSPYSSPPQLQQSDLPSPLSVLSSSAGFSSNHSITATPTILKNIKSPKPNKTKKIADDKQLKQPSYSRVILSDNDEARKIMMNIFSIFKRMTNWFIRPDANTEFPKTFTDIIKPLFVSILDSNQRLQVTARAFIEIPLSYIATFEDIDNDLDPRVLNDHYLLCTYAVTLFASSLFDLKLENAKREMLLDIIVKFQRVRSYLSNLAEKHNLVQAIITTERLTLPLLVGAVGSGIFISLYCSRGNTPRLIKISCCEFLRSLRFYQKYVGALDQYSIYNIDFIDAMAQDNFTASGSVALQRRLRNNILTYIKGSDSILLDSMDVIYKKWFYFSCSKSVTQEELVDFRSLAGILASMSGILSDMQELEKSKSAPDNEGDSLSFESRNPAYEVHKSLKLELTKKMNFFISKQCQWLNNPNLLTRENSRDILSIELHPLSFNLLFNNLGLKIDELMSIDLSKSHEDSSFVLLEQIIIIIRTILKRDDDEKIMLLFSTDLLDAVDKLIEIVEKISIKSSKYYKGIIQMSKMFRAFEHSEKNLGISNHFHLKNKWLKLVIGWFKLSINKDYDFENLSRPLREMDLQKRDEDFLYIDTSIESAKALAYLTHNVPLEIPPSSSKEDWNRSSTVSFGNHFTILLKGLEKSADLNQFPVSLRHKISILNENVIIALTNLSNANVNVSLKFTLPMGYSPNKDIRIAFLRVFIDIVTNYPVNPEKHEMDKMLAIDDFLKYIIKNPILAFFGSLACSPADVDLYAGGFLNAFDTRNASHILVTELLKQEIKRAARSDDILRRNSCATRALSLYTRSRGNKYLIKTLRPVLQGIVDNKESFEIDKMKPGSENSEKMLDLFEKYMTRLIDAITSSIDDFPIELVDICKTIYNAASVNFPEYAYIAVGSFVFLRFIGPALVSPDSENIIIVTHAHDRKPFITLAKVIQSLANGRENIFKKDILVSKEEFLKTCSDKIFNFLSELCKIPTNNFTVNVREDPTPISFDYSFLHKFFYLNEFTIRKEIINESKLPGEFSFLKNTVMLNDKILGVLGQPSMEIKNEIPPFVVENREKYPSLYEFMSRYAFKKVDMKEEEEDNAPFVHEAMTLDGIQIIVVTFTNCEYNNFVMDSLVYKVLQIYARMWCSKHYVVIDCTTFYGGKANFQKLTTLFFSLIPEQASSNCMGCYYFNVNKSFMDQWASSYTVENPYLVTTIPRCFINSNTDQSLIKSLGLSGRSLEVLKDVRVTLHDITLYDKEKKKFCPVSLKIGNKYFQVLHEIPQLYKVTVSNRTFSIKFNNVYKISNLISVDVSNTTGVSSEFTLSLDNEEKLVFCSPKYLEIVKMFYYAQLKMEEDFGTDFSNDISFSTSSSAVNASYCNVKEVGEIISHLSLVILVGLFNEDDLVKNISYNLLVATQEAFNLDFGTRLHKSPETYVPDDTTTFLALIFKAFSESSTELTPYIWKYMLDGLENDVIPQEHIPTVVCSLSYWVPNLYEHVYLANDEEGPEAISRIIYSLIRLTVKEPNFTTAYLQQIWFLLALDGRLTNVIVEEIVSHALDRDSENRDWMKAVSILTSFPTTEIACQVIEKLINMIKSFLPSLAVEASAHSWSELTILSKISVSIFFESPLLSQMYLPEILFAVSLLIDVGPSEIRVSLYELLMNVCHSLTNNESLPERNRKNLDIVCATFARQKLNFISGFSQEKGRVLPNFAASSFSSKFGTLDLFTKNIMLLMEYGSISEGAQWEAKYKKYLMDAIFGHRSFFSARAMMILGIMSKSHTSLFLCKELLVETMKVFAEPVVDDEQMFIIIAHVFTYSKIVEGLDPSSELMKELFWLATICVESPHPLLFEGGLLFMVNCLKRLYTVHLQLGFDGKSLAKKLMESRNFAATLLAKLESYNGCIWNEDNFPHIILGFIANGLSIPVVKGAALDCLQALFKNTYYERKSNPKSSDYLCYLFLLHLVLSPEQLSTLLLEVGFEDELVPLNNTLKVPLTLINWLSSDSDKSNIVLYQGALLFSCVMSDEPCKFRFALLMRYLLKVNPICVFRFYTLTRKEFRRLSTLEQSSEAVAVSFELIGMLVTHSEFNYLEEFNDEMVELLKKRGLSVVKPLDIFDQEHIEKLKGEGEHQVAIYERKRLATMILARMSCS", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPPKEAPKKWKAPKGPKPTHRKNKNKLELGRAIKYARQKENAIEYLPDGEMRFTTDKHEANWVKLRSVTQESALDEFLSTAALADKDFTADRHSNVKIIRMDSGNDSATSQGFSMTNEQRGNLNAKQRALAKDLIVPRRPEWNEGMSKFQLDRQEKEAFLEWRRKLAHLQESNEDLLLTPFERNIEVWKQLWRVVERSDLVVQIVDARNPLLFRSVDLERYVKESDDRKANLLLVNKADLLTKKQRIAWAKYFISKNISFTFYSALRANQLLEKQKEMGEDYREQDFEEADKEGFDADEKVMEKVKILSIDQLEELFLSKAPNEPLLPPLPGQPPLINIGLVGYPNVGKSSTINSLVGAKKVSVSSTPGKTKHFQTIKLSDSVMLCDCPGLVFPNFAYNKGELVCNGVLPIDQLRDYIGPAGLVAERIPKYYIEAIYGIHIQTKSRDEGGNGDIPTAQELLVAYARARGYMTQGYGSADEPRASRYILKDYVNGKLLYVNPPPHLEDDTPYTREECEEFNKDLYVFDRLPDTRKEQVQNAAKAKGIDIVDLARDLNQLTFSAHTGGDTQKEAKSVTHGGKQAALYNAAEDLDRDFFKMNNVEGRLSTPFHKVQNSSAGKRHNKKNKSKNAKSKVFSIENN", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MYTPIPANTPAPTAPTSSMTSNSSSASNANTTSSSGINPRNRASGTPSNERARPASGISSFLNTFGIRQNSQTASSSAAPDQRLFGTTPSNSHMSVAMESIDTAPQQQEPRLHHPIQMPLSAQFHVHRNYQLPISISLTAPTTTDHQQSSAHNFEGNNVGNVQESLNQRQPNGTNNTTTSIISMAPAATTRNIVGGADGSTIVNNSQEMYKNLRHLIYAANQPNGTEILHLDLPATSAEESNNMFNVDEVTLKQRKDKHGLFSIRLTPFIDSSSTTNQGLFFEPIIRKAGPGSQLVIGRYTERVRDAISKIPEQYHPVVFKSKVVSRTHGCFKVDSQGNWYIKDVKSSSGTFLNHQRLSPASSLSKDTPLRDGDILQLGMDFRGGTEEIYRCVRMRIELNRSWKLKANSFNKEALQRLQNLQKLTTGIEEEDCSICLCKIKPCQAIFISPCAHSWHFRCVRRLVMLSYPQFVCPNCRSSCDLEASFESSDEEDESDVESEGDQLVDQLSVLMETSKDVDSHP", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDPPQLLFYVNGQKVVENNVDPEMMLLPYLRKNLRLTGTKYGCGGGGCGACTVMISRYNPSTKSIRHHPVNACLTPICSLYGTAVTTVEGIGNTRTRLHPVQERIAKCHSTQCGFCTPGMVMSMYALLRNHPEPSLDQLTDALGGNLCRCTGYRPIIDACKTFCRASGCCESKENGVCCLDQGINGSAEFQEGDETSPELFSEKEFQPLDPTQELIFPPELMRIAEKQPPKTRVFYSNRMTWISPVTLEELVEAKFKYPGAPIVMGYTSVGPEVKFKGVFHPIIISPDRIEELSIINQTGDGLTLGAGLSLDQVKDILTDVVQKLPEETTQTYRALLKHLRTLAGSQIRNMASLGGHIVSRHLDSDLNPLLAVGNCTLNLLSKDGKRQIPLSEQFLRKCPDSDLKPQEVLVSVNIPCSRKWEFVSAFRQAQRQQNALAIVNSGMRVLFREGGGVIKELSILYGGVGPTTIGAKNSCQKLIGRPWNEEMLDTACRLVLDEVTLAGSAPGGKVEFKRTLIISFLFKFYLEVLQGLKREDPGHYPSLTNNYESALEDLHSKHHWRTLTHQNVDSMQLPQDPIGRPIMHLSGIKHATGEAIYCDDMPAVDRELFLTFVTSSRAHAKIVSIDLSEALSLPGVVDIITADHLQDATTFGTETLLATDKVHCVGQLVCAVIADSETRAKQAAKHVKVVYRDLEPLILTIEEAIQHKSFFESERKLECGNVDEAFKIADQILEGEIHIGGQEHFYMETQSMLVVPKGEDGEIDIYVSTQFPKHIQDIVAATLKLSVNKVMCHVRRVGGAFGGKVGKTSIMAAITAFAASKHGRAVRCTLERGEDMLITGGRHPYLGKYKVGFMRDGRIVALDVEHYCNGGSSLDESLWVIEMGLLKMDNAYKFPNLRCRGWACRTNLPSHTALRGFGFPQAGLVTEACVTEVAIRCGLSPEQVRTINMYKQIDNTHYKQEFSAKTLFECWRECMAKCSYSERKTAVGKFNAENSWKKRGMAVIPLKFPVGVGSVAMGQAAALVHIYLDGSALVSHGGIEMGQGVHTKMIQVVSRELKMPMSSVHLRGTSTETVPNTNASGGSVVADLNGLAVKDACQTLLKRLEPIISKNPQGTWKDWAQTAFDQSVSLSAVGYFRGYESNINWEKGEGHPFEYFVYGAACSEVEIDCLTGDHKNIRTDIVMDVGHSINPALDIGQVEGAFIQGMGLYTIEELSYSPQGILYSRGPNQYKIPAICDIPTEMHISFLPPSEHSNTLYSSKGLGESGVFLGCSVFFAIHDAVRAARQERGISGPWKLTSPLTPEKIRMACEDKFTKMIPRDEPGSYVPWNIPV", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAELGLNEHHQNEVINYMRFARSKRGLRLKTVDSCFQDLKDSRLVEETFTIDEVSEVLNGLQAVVHSEVESELINTAYTNVLLLRQLFSQAEKWYLKLQTDISELENRELLEQVAEFEKAEFVSSSKKPIIDITKPKLVPINEGGTTELLNKEILRLQQENEKLKSRLKTIEIQAVNALDEKSKLERVLQDLQLDQENQQDLLKAQDLDDLENTVATLRSEFQKTLNDKTENQKSLEENLAAAKHDLLRVQEQLSMAEKELEKKFQQTAAYRNMKEILTKKNDQIKDLRKRLAKYESED", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MALASNPRRRLHEVRVQKYVVIAVTWRGAQPMSQRARPSRVVRAAAWGMFARCHPGAAAGWHRCTDLVTRSSARPAYTVASQSFSQGLVEDAPLQNPNTLTYNRVYSKVGNTRILQAEPAVLNFGGYELGKVYSQVLRIRNVRASGTRFHIIPPSTPFFKATCPAKKGLLAPGMTEEVAVEFCPTQYRYYYDCVRVHCEEENLLIPLHAYPVANEALFPTRVDFGRVALGQEVVRSHTLECKVPVDFEYEIVEVKPNPAFRVEPARGVVPGRGRVTVDMWFCPLALTTEEAVIETEVAGVYVPDTMLLSAAEVGLRTKDVRGAIEARKAAAAEQQAALEKGALFRLQLALAEEAARKVALGTAPHSGQQLLTAEQPELEAGGAVHQPSAPVGSSSSGGGGGSDPAFKPEHKRTRVLDKFMRAVWRVVTHQRLQRRLERIKEVLAHLGYDKQRLAEEAANPVLLVSESDRPGTAPTKYLRPEMVRVRPLPLYRDVLFQVHHATDLSHYTDFDELAPFTSKVRERLVPSGLVPPLSDYPTMPDACKNMPYITLEIGNRYGDDRVYGAPDPSYSPYGSLDVDYAVQPRQYDVYDSARHEAVASGGVRSLRGGPGLSDSWLVRQICPAVPTDEQLAKCGGTGIVNTIPN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEKEAIYKLIRESPPGEVNQVVHDIRDIGLSDEEAIHEQLKLYHEDYNSSVSISDDEKVIISADNRLEGNRYYDQVLQKSFTINYETMEAENVEDYTEAIKIPDEIVKQIKKVASDHYLSDVTFGIIKKSDEVESFTIVLVSSKYNPKNYWNGSWRCICNYNVSEKKLEGRSHIRVHYYEDGNVWLDASRPISATVEETSKLYEVLAQVENGIQQSFNVELSSLNDKKFKELRRQLPVTRQKINWENVSGIRMRNT", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAVSKVYARSVYDSRGNPTVEVELTTEKGVFRSIVPSGASTGVHEALEMRDGDKSKWMGKGVLHAVKNVNDVIAPAFVKANIDVKDQKAVDDFLISLDGTANKSKLGANAILGVSLAASRAAAAEKNVPLYKHLADLSKSKTSPYVLPVPFLNVLNGGSHAGGALALQEFMIAPTGAKTFAEALRIGSEVYHNLKSLTKKRYGASAGNVGDEGGVAPNIQTAEEALDLIVDAIKAAGHDGKIKIGLDCASSEFFKDGKYDLDFKNPNSDKSKWLTGPQLADLYHSLMKRYPIVSIEDPFAEDDWEAWSHFFKTAGIQIVADDLTVTNPKRIATAIEKKAADALLLKVNQIGTLSESIKAAQDSFAAGWGVMVSHRSGETEDTFIADLVVGLRTGQIKTGAPARSERLAKLNQLLRIEEELGDNAVFAGENFHHGDKL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MQNEYVDMNSSSEDSDGDSILEEGRLRPSFRGQQKERDMLGIFGEEDEDGFHNSGIGSARLRRKNISFVEKSEQVKANKQVTADDLLEAHSIPQLKNKNDEVSQAKNIPKMKFNTTGFGAKMLEKMGYKQGQGLGANAEGIAEPVQSKLRPERVGLGAVRERTEKQRKEAIARGEISDSEDEKHTVKQKPLREKKKKPLKSSEEISKDMGSYNLPRFLASLIDASLNDTKEIEFVTSNKEELGLEGRDMSTSGINQLSRLARVECEHHASAWQQLQARRAYVKMELKRVTTEFDEKSVEISRLEKLLGKVMEVKSRSMEFTVPEAEIDVIEKRLQPLNNLIETLPVEFSEASMHFELDSVAVSILAFVLSEPIKNWDVWKHPYFMLESFLSWKNSLYSKDFRPKREESSTFMDIDVEFDDELEGQSLTHYESFMMFVWKKKIGEELKKWIIQDSLKALQLLEAWDPVVPEKVKDSLIQDDILPRLKDAVSKWNPKLKLKKNDSLHHCIFPWLPYLEKHADSLLQSVLVQFSLILSPWKIKNGSIDDFSVWRSAFANDALDRLLEKVILPKLEKLMDEELVIDPSNQDLEIFFIILSWKGSFKAMVFGQLFADHFFPKWLETLYQWLTEAPNFDEASEWYTWWKSVFPKDLLSNAYIQQGFSKGLDMMNECLENKSITAPLPFAKDSTKGVNLQFSKEKHEFTAESDDTTSYDEPLVSFRELVEEFCAENSLLFVPLRRSHLSTGSALFRISTQASKARGITVYLRNDIIWKKSPGASEDTPYDPIGFNEILLMFNNN", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDNFKIYSTVITTAFLQVPHLYTTNRLWKPIEAPFLVEFLQKRISSKELKNTKAICHIDPSWVNLNASFIRDDMISIKATTDDMDLDAICRISLPLPMNTNDLTAELEKMKRILLDLSEKFNLELIITKEPAYFTPEQTGESKELCIYVHALGFRSNLMECEPQLLAFVDLIKKNGMTLPPQHYIIEPMELNSYSVLPLYMGVDMENFKHISRAFKTSIYAPSLITLSRDLKANPQIFFSGAVHSLSLLARKTLRESISVNSKSFFYRRLTNITPGKLLFIRKYYQQKVNQLILKYQSLIRVTNEYIEFQSISTNLLEMVIKNFTIQVLHEIVEVQISLNENCAMSPELIIDSFFGHTGNQIVVITPKEDSFNQLIVVGNQSSTDEASDTSILHYLSDFIMGSNQVINPNLRQIKAIFEIHPDFEDFISGKKNGKLTRIMELSACLIQLEMEEEDDNLYLNLVSDSFPDFKESFKNVINEFPAEESFFIPEVCHRPIIGTGGSLIQATMRKHNVFIQFSNSFNLPQNKISMIRYDNVIIRCPRKNKANICLAKNDLKQIVQEYDSLQSKTLIRFSSGQYRHILHVNGQKNIIGQIEKNENVYIMIPLKEPLDGTSQLSIQGNDENASRAANELVNSAFGYEYEFKIDQEIDPNKEYEFYNLIVVPFLQIMNIIVTFEKDLITFTFEKDTNENTLTKAIELLSNYLETQKTKIIFKKIIKKFVLGSASSKSNTSNSNTNGNFRSMNNAKSRTTIDNTSQSGASPQRHKMPVITTVGGAQAIKGYIPNTYYNGYGYGYGYTYEYDYNYANSNKAQTNNRHKYQNGRK", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVDASGRAAAEGWRKMEAPPDGAADLVPLDRYDAARAKIAANLQWICAKAYGRDNIPEDLRDPFYVDQYEQEHIKPPVIKLLLSSELYCRVCSLILKGDQVAALQGHQSVIQALSRKGIYVMESDDTPVTESDLSRAPIKMSAHMAMVDALMMAYTVEMISIEKVVASVKRFSTFSASKELPYDLEDAMVFWINKVNLKMREITEKEVKLKQQLLESPAHQKVRYRREHLSARQSPYFPLLEDLMRDGSDGAALLAVIHYYCPEQMKLDDICLKEVTSMADSLYNIRLLREFSNEYLNKCFYLTLEDMLYAPLVLKPNVMVFIAELFWWFENVKPDFVQPRDVQELKDAKTVLHQKSSRPPVPISNATKRSFLGSPAAGTLAELQPPVQLPAEGCHRHYLHPEEPEYLGKGTAAFSPSHPLLPLRQKQQKSIQGEDIPDQRHRSNSLTRVDGQPRGAAIAWPEKKTRPASQPTPFALHHAASCEVDPSSGDSISLARSISKDSLASNIVNLTPQNQPHPTATKSHGKSLLSNVSIEDEEEELVAIVRADVVPQQADPEFPRASPRALGLTANARSPQGQLDTSESKPDSFFLEPLMPAVLKPAKEKQVITKEDERGEGRPRSIVSRRPSEGPQPLVRRKMTGSRDLNRTFTPIPCSEFPMGIDPTETGPLSVETAGEVCGGPLALGGFDPFPQGPSTDGFFLHVGRADEDTEGRLYVSCSKSPNSHDSEPWTLLRQDSDSDVVDIEEAEHDFMGEAHPVVFSRYIGEEESAKLQEDMKVKEHEDKDDASGRSSPCLSTASQMSSVSMASGSVKMTSFAERKLQRLNSCETKSSTSSSQKTTPDASESCPAPLTTWRQKREQSPSQHGKDPASLLASELVQLHMQLEEKRRAIEAQKKKMEALSARQRLKLGKAAFLHVVKKGKAEAAPPLRPEHFAKEYSQHNGEDCGDAVSKTEDFLVKEEQREELLHEPQDVDKESLAFAQQHKAKDPVALHELERNKVISAALLEDTVGEVVDVNECDLSIEKLNETISTLQQAILKISQQQEQLLMKSPTVPVPGSKNNSQDHKVKAPVHFVEPLSPTGVAGHRKAPRLGQGRNSRSGRPAELKVPKDRPQGSSRSKTPTPSVETLPHLRPFPASSHPRTPTDPGLDSALEPSGDPHGKCLFDSYRLHDESNQRTLTLSSSKDANILSEQMSLKEVLDASVKEVGSSSSDVSGKESVPVEEPLRSRASLIEVDLSDLKAPDEDGELVSLDGSADLVSEGDQKPGVGFFFKDEQKAEDELAKKRAAFLLKQQRKAEEARVRKQQLEAEVELKRDEARRKAEEDRVRKEEEKARRELIKQEYLRRKQQQILEEQGLGKPKSKPKKPRPKSVHREESCSDSGTKCSSTPDNLSRTQSGSSLSLASAATTEPESVHSGGTPSQRVESMEALPILSRNPSRSTDRDWETASAASSLASVAEYTGPKLFKEPSSKSNKPIIHNAISHCCLAGKVNEPHKNSILEELEKCDANHYIILFRDAGCQFRALYCYYPDTEEIYKLTGTGPKNITKKMIDKLYKYSSDRKQFNLIPAKTMSVSVDALTIHNHLWQPKRPAVPKKAQTRK", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MALETEAKNSNATATGDATATATKASGKAKENNNTAGGKKNLNPNSNQQNSNQNLVNGNGTAADGPAAKKKGKKNRNKSPTEPTTEAVLSNGHAEKPTVVDAVEDNADTNANVEKPQEGGAPDAEADGDDIDLDALQDIGITVNISSPGADLLCVQLSSMELVQEIHQLLMDREETCHRTCFSLQLDNVTLDNFAELKSINNLEQGSTIKVVEEPYTMREARIHVRHVRDLLKNLDPADAYNGIDCTSLTYLNTITQGDLLDKKRTRPDSVDCTPPEYVTPGVSDPPILPLHPNVKNAKGPQALKVLTTSAWNPPPGPRKLHGDLMYLYVVTMEDKRFHISACSKGFFINQSTDDTFNPKPDNPSHLSHSLIDLLSHISPSFRRAFQTIQKRRTMRHAFERVATPYQVYQWAAPILEHTVDAIRAEDAFSSKLGYEEHIPGQTRDWNEELQTTRELPRKTLPERLLRERAIFKVHGDFVTAATRGAMAVIDGNVLAINPGEDTKMQMFIWNNIFFSMGFDVRDHYKELGGDAAAFVAPRYDLHGVRVYNAVDIEGLYTLGTVVVDYRGYRVTAQSIIPGILEREQEQSVVYGSIDFGKTVLSHPKYLELLRQAGKHLKILPHVVLNERDEPVELCSSVECKGIIGNDGRHYILDLLRTFPPDVNFLKLQDVQLSKELVDMGFPIEHRHKLCCLRQELLEAFIEDRHVNFIRIAAARLQQLTTIKQSEKSEANPVPALEGAEAASKVNGAEKPDDKEKKNEEEEKKERSTSGEARAAAIVNAIREAQSNVATSNEVQAAEVVKRACAAVGSLKEKEFDFRFNPDVFSPGIRHADGEEGTSLAKQKVLVQEAAEFLVLKQIPAFIKEHMSHSSSPIDGQSLTESLHSHGINVRYLGKVIKILSQMPRMDYLHRIAVLELIVRATKHIYYTYMQNTEPLHLSAAISHFLNCLLTNGPVNPAVSSEEAHKKRGNGGKHNKHKSSKGGKGQQQQQTTGNQNGSSSGSSNSSSASDWTLMTPRSLWQQIRKEAKVYWDWELDCDSIETAVSKYGILRISLMRAFCLKVGIQVLLREYNFESKHKPTFGDDDIVNVFPIVKHISPRATDAYNFYTTGQAKIQQGLFKEGYELISGALNLLNNVFGALHQENGSCLRMLARLSYLLGDAQDALAIQQRAVIMSERVNGMDHPSTILEYTHLSLYSFANGHVGMSLKLLYRARYLMVLICGEDHPEVALIDSNISLILHALGEYELSLRFIEHALKLNLKYFGDKDMHVALSYHLMARTQSCMGDFRSALNNEKETYSFYKSQLGENHEKTRDSAECLRLLTQQAVLLQRKMNDIYSSGKLTSDLPPIHITPPSMGSVLDMLNTINGILFVKISRKDIVKVRSEIEKHFKTDSTENEVNDAINSIVAAANNNGEAEDAVSKDIKEQPEAGKQLTNGDKAAATEATSS", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSMKMKGIYKGFKCISQIFAVEKERDEIEIGFPTDVKHVAHIGWEGSSGSAPGWMSEFKVGAELLSPRPSSFSNARPSTSFFTSSSSTDFDQGSSQRGISDTLRDIPPVTPINLPKNNKKKSSRRKKSSSSSSSPKSSRSSVLSKSSYKSTVSRLI", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDQDYEELRAKFTKIGLNETTVKDTLKNKKLSSSLNKVIEETNVGSSGCDRTIGNLLFTLANASLKQKDPKSNAHEAFIASKIVSGDLKTNLQVNAAITYCKDKDTIDESEFDKETGVGVVLTPEQIEQLVGDYVAENKSKILEQRYQLLNPSASALRQHALLKWAPQLEVKQTLDRKFLELLGPKTEQDAAAGKKKGAKAKNSKQKTVDSGKAKEQKIVSEQSKKYNMFEEGFLAKLHKPGGNTQLIPERMKEHLQATGGGVVTRFPPEPNGYLHIGHSKAIAVNFGFARYHNGVCYLRFDDTNPEAEEERYFESIKDLVAWLGFQPYKITYSSDYFDKLYELAEELIKRDKAYVCHCTDAEIKKARGGEERGPRYACVHRDRPIEESLLEFRNMRDGKYQPKEAILRMKQDLSDGNPQMWDLIAYRVLNSPHPRTGDKWKIYPTYDFTHCLVDSFENISHSLCTTEFILSRVSYEWLCNALEVYCPAQREYGRLNVVGTLMSKRKIMKLVKEGYVHGWNDPRLYTLVALRRRGVPPGAILEFVSEVGVTTAVSNIEVARFENCVRKFLENSVPRLMFLPDPIKVTLENLDDSYREQIEIPFNPKDPSMGSRSAFLTKHIYIDRSDFREEASSDFFRLTLGQPVGLFRASHPVVAKRVVKNDEGEPIEIIAEYDASSSKKPKTFIQWVSRDKESNSPVLIAETRLFNNLFKCDNPAALKEQELAAQLNPESEVVLKNSIIEPGIYDLIKSAPWPKTDSSAGVDKAENPESVRFQAMRVGYFCLDEDTKKPNHLVLNRIVSLREDSAKNKN", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSTEYSADSSKSFMIAMQSMIDTSQTFNLDRSKISLPDFDDELKKVQKDEQNQRTELTVLSQDRNDWDDIFEEFKDISFAQLQSIIDSYKTKNAVAVYKKIGKLINEAETTLSSNVLLETVLQMVYKHQKQELEKELLDFLGTGNIDLVSLLLQHRRMIVATPIETTILLIKNAVNSTPEFLTQQDIRNQVLKSAEDAKNRKLNPATKIIKYPHVFRKYEAGSTTAMAFAGQKFTLPVGTTRMSYNTHEEIIIPAADQASNKNYLYTKLLKISDLDHFCKTVFPYETLNQIQSLVYPVAYKTNENMLICAPTGAGKTDIALLTIINTIKQFSVVNGENEIDIQYDDFKVIYVAPLKALAAEIVDKFSKKLAPFNIQVRELTGDMQLTKAEILATQVIVTTPEKWDVVTRKANGDNDLVSKVKLLIIDEVHLLHEDRGSVIETLVARTLRQVESSQSMIRIIGLSATLPNFMDVADFLGVNRQIGMFYFDQSFRPKPLEQQLLGCRGKAGSRQSKENIDKVAYDKLSEMIQRGYQVMVFVHSRKETVKSARNFIKLAESNHEVDLFAPDPIEKDKYSRSLVKNRDKDMKEIFQFGFGIHHAGMARSDRNLTEKMFKDGAIKVLCCTATLAWGVNLPADCVIIKGTQVYDSKKGGFIDLGISDVIQIFGRGGRPGFGSANGTGILCTSNDRLDHYVSLITQQHPIESRFGSKLVDNLNAEISLGSVTNVDEAIEWLGYTYMFVRMRKNPFTYGIDWEEIANDPQLYERRRKMIVVAARRLHALQMIVFDEVSMHFIAKDLGRVSSDFYLLNESVEIFNQMCDPRATEADVLSMISMSSEFDGIKFREEESKELKRLSDESVECQIGSQLDTPQGKANVLLQAYISQTRIFDSALSSDSNYVAQNSVRICRALFLIGVNRRWGKFSNVMLNICKSIEKRLWAFDHPLCQFDLPENIIRRIRDTKPSMEHLLELEADELGELVHNKKAGSRLYKILSRFPKINIEAEIFPITTNVMRIHIALGPDFVWDSRIHGDAQFFWVFVEESDKSQILHFEKFILNRRQLNNQHEMDFMIPLSDPLPPQVVVKVVSDTWIGCESTHAISFQHLIRPFNETLQTKLLKLRPLPTSALQNPLIESIYPFKYFNPMQTMTFYTLYNTNENAFVGSPTGSGKTIVAELAIWHAFKTFPGKKIVYIAPMKALVRERVDDWRKKITPVTGDKVVELTGDSLPDPKDVHDATIVITTPEKFDGISRNWQTRKFVQDVSLIIMDEIHLLASDRGPILEMIVSRMNYISSQTKQPVRLLGMSTAVSNAYDMAGWLGVKDHGLYNFPSSVRPVPLKMYIDGFPDNLAFCPLMKTMNKPVFMAIKQHSPDKPALIFVASRRQTRLTALDLIHLCGMEDNPRRFLNIDDEEELQYYLSQVTDDTLKLSLQFGIGLHHAGLVQKDRSISHQLFQKNKIQILIATSTLAWGVNLPAHLVIIKGTQFFDAKIEGYRDMDLTDILQMMGRAGRPAYDTTGTAIVYTKESKKMFYKHFLNVGFPVESSLHKVLDDHLGAEITSGSITNKQEALDFLSWTFLFRRAHHNPTYYGIEDDTSTAGVSEHLSSLIDSTLENLRESQCVLLHGDDIVATPFLSISSYYYISHLTIRQLLKQIHDHATFQEVLRWLSLAVEYNELPVRGGEIIMNEEMSQQSRYSVESTFTDEFELPMWDPHVKTFLLLQAHLSRVDLPIADYIQDTVSVLDQSLRILQAYIDVASELGYFHTVLTMIKMMQCIKQGYWYEDDPVSVLPGLQLRRIKDYTFSEQGFIEMTPQQKKKKLLTLEEIGRFGYKKLLNVFDQLTFGMTESEDTKKRFVSVCQRLPVLEGMKFEEQENNEVLTFYSKHLSSKHNNGFEVYCDKFPKIQKELWFLIGHKGDELLMIKRCQPKQMNKEVIIHCDLFIPEEIRGEELQFSLINDALGLRYDMVHKLIS", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSDTKSEIEGFIAIPTTSGEQQQQQPQQQQNEQQVVGTKDIKAPDQVGKKQRPRLIQEKSTQETNPLILEHATLEWVPQHMDKLLNQYQECRKMPAAEWLHLLTYLVALECGFVEEETFAQKRHLIQPVPSFSSFHAQNVRILSEQPARYEVCFNDTVYIMRLRTLLDKHAPEETSLVAALQCRLMAVSLGDQLMITLSPAPPSKEPGYSVSLSIGRYVLNIQAKNKPIYHRFRKLDELSYQLKQHLFQPMRSQQLMQMEMKLQPSLLGLPDELYFEIFRYLDKSQLNVVARVNRHLHFYSKEVERKRLKGGRS", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVFPAKRFCLVPSMEGVRWAFSCGTWLPSRAEWLLAVRSIQPEEKERIGQFVFARDAKAAMAGRLMIRKLVAEKLNIPWNHIRLQRTAKGKPVLAKDSSNPYPNFNFNISHQGDYAVLAAEPELQVGIDIMKTSFPGRGSIPEFFHIMKRKFTNKEWETIRSFKDEWTQLDMFYRNWALKESFIKAIGVGLGFELQRLEFDLSPLNLDIGQVYKETRLFLDGEEEKEWAFEESKIDEHHFVAVALRKPDGSRHQDVPSQDDSKPTQRQFTILNFNDLMSSAVPMTPEDPSFWDCFCFTEEIPIRNGTKS", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDVDKELGMESVKAGASGKPEMRLGTQEETSEGDANESSLLVLSSNVPLLALEFLEIAQAKEKAFLPMVSHTFHMRTEESDASQEGDDLPKSSANTSHPKQDDSPKSSEETIQPKEGDIPKAPEETIQSKKEDLPKSSEKAIQPKESNIPKSSAKPIQPKLGNIPKASVKPSQPKEGDIPKAPEETIQSKKEDLPKSSEEAIQPKEGDIPKSSAKPIQPKLGNIAKTSVKPSQPKESDIPKSPEETIQPKEGDIPKSSAKPIQPKLGNIPKASVKPSQPKEGDISKSPEEAIQPKEGDLPKSLEEAIQPKEGDIPKSPEEAIQPKEGDIPKSLEEAIQPKEGDIPKSPEETIQPKKGDIPKSPEEAIQPKEGDIPKSPKQAIQPKEGDIPKSLEEAIPPKEIDIPKSPEETIQPKEDDSPKSLEEATPSKEGDILKPEEETMEFPEGDKVKVILSKEDFEASLKEAGERLVAVDFSATWCGPCRTIRPFFHALSVKHEDVVFLEVDADNCEEVVRECAIMCVPTFQFYKKEEKVDELCGALKEKLEAVIAELK", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGERAGSPGTDQERKAGKHHYSYLSDFETPQSSGRSSLVSSSPASVRRKNPKRQTSDGQVHHQAPRKPSPKGLPNRKGVRVGFRSQSLNREPLRKDTDLVTKRILSARLLKINELQNEVSELQVKLAELLKENKSLKRLQYRQEKALNKFEDAENEISQLIFRHNNEITALKERLRKSQEKERATEKRVKDTESELFRTKFSLQKLKEISEARHLPERDDLAKKLVSAELKLDDTERRIKELSKNLELSTNSFQRQLLAERKRAYEAHDENKVLQKEVQRLYHKLKEKERELDIKNIYSNRLPKSSPNKEKELALRKNAACQSDFADLCTKGVQTMEDFKPEEYPLTPETIMCYENKWEEPGHLTLDLQSQKQDRHGEAGILNPIMEREEKFVTDEELHVVKQEVEKLEDEWEREELDKKQKEKASLLEREEKPEWETGRYQLGMYPIQNMDKLQGEEEERLKREMLLAKLNEIDRELQDSRNLKYPVLPLLPDFESKLHSPERSPKTYRFSESSERLFNGHHLQDISFSTPKGEGQNSGNVRSPASPNEFAFGSYVPSFAKTSERSNPFSQKSSFLDFQRNSMEKLSKDGVDLITRKEKKANLMEQLFGASGSSTISSKSSDPNSVASSKGDIDPLNFLPGNKGSRDQEHDEDEGFFLSEGRSFNPNRHRLKHADDKPAVKAADSVEDEIEEVALR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSTKVLDPAFQGAGQKPGTEIWRIENFEAVPVPKSEHGKFYMGDTYIVLQTTQNKGGAYLFDIHFWIGKDTSQDEAGTAAVKTVELDAVLGGRAVQHREIQGHESDKFLSYFKPCIIPLEGGVASGFKTVEEEVFETRLYTCKGKRAIRLKQVPFARSSLNHDDVFILDTEEKIYQFNGANSNIQERAKALEVVQYLKDKYHEGTCDVAIVDDGKLDTESDSGAFWVLFGGFAPIGRKVANDDDIVPESTPPKLYCITDGKMEPIDGDLSKSMLENTKCYLLDCGAEIYIWVGRVTQVDERKAASQSAEEFLASENRPKATHVTRVIQGYESHSFKSNFDSWPSGSATPGNEEGRGKVAALLKQQGVGLKGIAKSAPVNEDIPPLLESGGKLEVWYVNGKVKTPLPKEDIGKLYSGDCYLVLYTYHSGERKDEYFLSCWFGKKSIPEDQDTAIRLANTMSNSLKGRPVQGRIYEGKEPPQFVALFQPMVVLKGGLSSGYKSSMGESESTDETYTPESIALVQVSGTGVHNNKAVQVETVATSLNSYECFLLQSGTSMFLWHGNQSTHEQLELATKVAEFLKPGITLKHAKEGTESSTFWFALGGKQNFTSKKASSETIRDPHLFSFAFNRGKFQVEEIYNFAQDDLLTEDIYFLDTHAEVFVWVGQCVEPKEKQTVFEIGQKYIDLAGSLEGLHPKVPIYKINEGNEPCFFTTYFSWDATKAIVQGNSFQKKASLLFGTHHVVEDKSNGGNQGLRQRAEALAALNSAFNSSSNRPAYSSQDRLNESHDGPRQRAEALAALSSAFNSSSSSTKSPPPPRPVGTSQASQRAAAVAALSQVLVAENKKSPDTSPTRRSTSSNPADDIPLTEAKDEEEASEVAGLEAKEEEEVSPAADETEAKQETEEQGDSEIQPSGATFTYEQLRAKSENPVTGIDFKRREAYLSEEEFQSVFGIEKEAFNNLPRWKQDLLKKKFDLF", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKETFQVGIIGFGDMGRLYAEYISKAGWRVNVCDRPENYESIQATYGNGGYTVLKDGFQVSRTSDYILYSVEAEHIDKVVALYGPATKVGAIVGGQTSCKAPEMNAFEKYLPEDVDIISCHSMHGPKVNPKSQPLVIIRHRASDEHFEIVNEILSCFKSSVVYLSAKEHDRITADTQAVTHAAFLTMGLAWHANNQYPWEINRWCGGIENIKMNLSMRIYSSKWHVYAGLAILNPEAQRQIQQYASSVTELFKLAISGKAKEYEDRIRNAGKFVFGENMDRNSSGLLLSDELLDQYSISNIPKDESKRNSHLSILAIVDSWSKLGIHPQNHMICSTPLFRLWVGVSEYVFRHPGLLDSCIYTATKHNDFSPDDLEFVVAVRSWSECVAAKDFTTYKKRFLETQEYFRPRFEEATRVGNAMISKLLENLQKM", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSRLSKDIDSAFQGVGTKSGLEIWCVYNKQLISIPKSSFGKFHSGNAYLVLRTFLRKIESPQYDIHYWLGIDANEVDSILASDKALDLDAALGCCTVQYREVQGQETEKFLSYFKPCIIPVEGKYSPKTGIAGETYQVTLLRCKGDHVVRVKEVPFLRSSLNHDDVFILDTASKVFLFAGCNSSTQEKAKAMEVVEYIKDNKHDGRCEVATIEDGKFSGDSDAGEFWSFFGGYAPIPKLSSSTTQEQTQTPCAELFWIDTKGNLHPTGTSSLDKDMLEKNKCYMLDCHSEVFVWMGRNTSLTERKTSISSSEEFLRKEGRSTTTSLVLLTEGLENARFRSFFNKWPQTVESSLYNEGREKVAALFKQKGYDVEELPDEEDDPLYTNCRDNLKVWRVDGDDVSLLSIPDQTKLFTGDCYLVQYKYTYKERTEHLLYVWIGCESIQQDRADAITNASAIVGTTKGESVLCHIYQGNEPSRFFPMFQSLVVFKGGLSRRYKVLLAEKEKIGEEYNENKASLFRVVGTSPRNMQAIQVNLVATSLNSSYSYILQYGASAFTWIGKLSSDSDHEVLDRMLYFLDTSCQPIYIREGNETDTFWNLLGGKSEYPKEKEMRKQIEEPHLFTCSCSSDVLKVKEIYNFVQDDLTTEDVFLLDCQSEVYVWIGSNSNIKSKEEALTLGLKFLEMDILEEGLTMRTPVYVVTEGHEPPFFTRFFEWVPEKANMHGNSFERKLASLKGKKTSTKRSSGSQYRSQSKDNASRDLQSRSVSSNGSERGVSPCSSEKLLSLSSAEDMTNSSNSTPVVKKLFSESLLVDPNDGVARQESSSKSDISKQKPRVGINSDLSSLESLAYSYEQLRVDSQKPVTDIDATRREAYLTEKEFEERFGMAKSEFYALPKWKQNKLKISLHLF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSTFSASDFNSERYSSSRPSYPSDFYKMIDEYHDGERKLLVDVGCGPGTATLQMAQELKPFEQIIGSDLSATMIKTAEVIKEGSPDTYKNVSFKISSSDDFKFLGADSVDKQKIDMITAVECAHWFDFEKFQRSAYANLRKDGTIAIWGYADPIFPDYPEFDDLMIEVPYGKQGLGPYWEQPGRSRLRNMLKDSHLDPELFHDIQVSYFCAEDVRDKVKLHQHTKKPLLIRKQVTLVEFADYVRTWSAYHQWKQDPKNKDKEDVADWFIKESLRRRPELSTNTKIEVVWNTFYKLGKRV", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNHPDYKLNLRSPGTPRGVSSVVGPSAVGASPGDKKSKNKSMRGKKKSIFETYMSKEDVSEGLKRGTLIQGVLRINPKKFHEAFIPSPDGDRDIFIDGVVARNRALNGDLVVVKLLPEDQWKAVKPESNDKEIEATYEADIPEEGCGHHPLQQSRKGWSGPDVIIEAQFDDSDSEDRHGNTSGLVDGVKKLSISTPDRGKEDSSTPVMKDENTPIPQDTRGLSEKSLQKSAKVVYILEKKHSRAATGILKLLADKNSDLFKKYALFSPSDHRVPRIYVPLKDCPQDFMTRPKDFANTLFICRIIDWKEDCNFALGQLAKSLGQAGEIEPETEGILTEYGVDFSDFSSEVLECLPQSLPWTIPPDEVGKRRDLRKDCIFTIDPSTARDLDDALACRRLTDGTFEVGVHIADVSYFVPEGSSLDKVAAERATSVYLVQKVVPMLPRLLCEELCSLNPMTDKLTFSVIWKLTPEGKILEEWFGRTIIRSCTKLSYDHAQSMIENPTEKIPEEELPPISPEHSVEEVHQAVLNLHSIAKQLRRQRFVDGALRLDQLKLAFTLDHETGLPQGCHIYEYRDSNKLVEEFMLLANMAVAHKIFRTFPEQALLRRHPPPQTKMLSDLVEFCDQMGLPMDVSSAGALNKSLTKTFGDDKYSLARKEVLTNMYSRPMQMALYFCSGMLQDQEQFRHYALNVPLYTHFTSPIRRFADVIVHRLLAAALGYSEQPDVEPDTLQKQADHCNDRRMASKRVQELSIGLFFAVLVKESGPLESEAMVMGVLNQAFDVLVLRFGVQKRIYCNALALRSYSFQKVGKKPELTLVWEPDDLEEEPTQQVITIFSLVDVVLQAEATALKYSAILKRPGLEKASDEEPED", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEVELNYVVNHLEKLGPASFCDTPYSFSLSDSNAELGALSLKVDEILKTNYNLINPEDVTDSDNNEFALKDLTWLQNCCNEISQSSSTELDASVLFEAVIMSLKATEDQCAIQEDLLNLVGLDHIDLISDIVANSSNLIEEYMNQNDTSIAAQLSDGYTSEAGSSATHGQGLLDSLKSRPRRFSRSRDNRGPLFTGQQVFEVEKYPHVYGDKRLGNTISVIGKKFALPAGSEREDYQKYEEIIVPHAQRAPQMQGEKLLEISSMDILCRKTFLSYQTLNRIQSLVYPIAYKTNENMLICAPTGAGKTDVALLAMLQTISNYVESMNLMDESEPLDVHRDDFKIVYIAPMKALAAEVVEKMGKRLAWLGLKTRELTGDMQLTKTEIAETQILVTTPEKWDVVTRKSVGDTQLAEKVRLVIIDEVHMLHDERGAVIESLVARTQRLVETSQQMIRIVGLSATLPNYLDVADFLGVNRYKGLFYFSSAFRPCPIEQHFIGAKGSPKIVNSNIDEACFDKVLKLIQEGHQVMIFVHSRKETINSAKKLREQFFHEGEADLLDNSQHEKYSLAQRDVSKSKNKELKELFKYSMGIHNAGMLRSDRHLTERLFSMGILKILCCTATLAWGVNLPAYAVLIKGTQLYDPQKGSFVDLGVLDVLQIFGRAGRPQFESSAVAYIITTHDKLSHYISVVTQQSPIESRFTDRLVDNLNAEVSLGTVTNIDEAVSWLGYTYLYIRMRRNPLVYGIAYDELVEDPLLGSKRRELVSVAAGRLADNQMIVYNKKNGYLIPKDLGRIASNYYINYQTVSTLNNLLKSKMSEADIIALLSQCSEFSQIKSRENEHRELESLMENSSPCQLRDSISNTSGKVNVILQSYISRAHVEDFTLTSDTNYVAQNAGRITRALFEIAMSRTWASAFTILSLNKSIDRRQWSFEHPLLQFDLPHDLAVKVENQCGSLSLEELSDMSTGELGDLIHNRKMGPTVKKFISKLPLLNINVDLLPLTKNVLRLVLNITPNFNWDMRYHGNSQMFWIFVEDSNGLEILHHEQLLLNKRNVSTSHLLSFTIPVSNPLPSQLYIIAVSDKWLGAETVTPVSLSNVVFHDDSNPITELLDLQPLPITALHDPVLEGICAKRFSFFNAVQTQFFHTIYHTDTNIFVGAPTGSGKTMAAELATWRALHNYPKSKVVYIAPMKALVKERVKDWGHRLVEPMGISMIELTGDTNPDVKAVTNANIIITTPEKWDGITRSWKSRKYVQDVSLIILDEIHLLGSDRGPVLEMIVSRMNYVASQTNKKVRVLGLSTAVANANDLANWLNIRDGLFNFRHSVRPVPLEIYIDGFPGRAYCPRMMSMNKPAFQAIKTHSPTQPVLIFVSSRRQTRLTAKDLIAFCGLEDNPRRFLYMDEEELEMIVSEVEDKSLKLALPFGIALHHAGLTENDRKISEELFVNNKVQILIATSTLAWGVNTPAHLVIVKGTEYYDAKIGGYKDMDLTDVLQMLGRAGRPQFDNSGVARIFVQDIKKSFYKHFLHSGFPVESYLHKVLDNHLNAEIATGTIDCIQGAMDFLTCTYFYRRVHQNPVYYGADGDDQKSIDTYLSKLVVTAFNELEKSACIYRVNEETYAPTTLGRIVSYYYLFHTTIRNFVQKITENAEFDLALQLLAEASEFDDLAIRHNEDLINIEINKSLKYSAACLNLPMVDAHVKAFILTQAHMARLKLPVDDYVTDTSTVLDQVIRIIQSYIDVSAELGYSHVCLQYISLMQCLKQACYPSEIYRASLPGLNASSEKEARDYLNKFAGNKTDELYQMLCNDPNVFDIESLVNSLISYPKMNIEVSQSSSDKLLLYLRRLNQPLNPDFYIFAPLFPKPQSEGFFVLIIDSETQELFAIRRASFAGRRNDDSIRLSLRISMDIPPTCRNRNVKVMVVCDGYPLIYEHKIVLMI", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEPEPVEDCVQSTLAALYPPFEATAPTLLGQVFQVVERTYREDALRYTLDFLVPAKHLLAKVQQEACAQYSGFLFFHEGWPLCLHEQVVVQLAALPWQLLRPGDFYLQVVPSAAQAPRLALKCLAPGGGRVQEVPVPNEACAYLFTPEWLQGINKDRPTGRLSTCLLSAPSGIQRLPWAELICPRFVHKEGLMVGHQPSTLPPELPSGPPGLPSPPLPEEALGTRSPGDGHNAPVEGPEGEYVELLEVTLPVRGSPTDAEGSPGLSRVRTVPTRKGAGGKGRHRRHRAWMHQKGLGPRGQDGARPPGEGSSTGASPESPPGAEAVPEAAVLEVSEPPAEAVGEASGSCPLRPGELRGGGGGGQGAEGPPGTPRRTGKGNRRKKRAAGRGALSRGGDSAPLSPGDKEDASHQEALGNLPSPSEHKLPECHLVKEEYEGSGKPESEPKELKTAGEKEPQLSEACGPTEEGAGERELEGPGLLCMAGHTGPEGPLSDTPTPPLETVQEGKGDNIPEEALAVSVSDHPDVAWDLMASGFLILTGGVDQSGRALLTITPPCPPEEPPPSRDTLNTTLHYLHSLLRPDLQTLGLSVLLDLRQAPPLPPALIPALSQLQDSGDPPLVQRLLILIHDDLPTELCGFQGAEVLSENDLKRVAKPEELQWELGGHRDPSPSHWVEIHQEVVRLCRLCQGVLGSVRQAIEELEGAAEPEEEEAVGMPKPLQKVLADPRLTALQRDGGAILMRLRSTPSSKLEGQGPATLYQEVDEAIHQLVRLSNLHVQQQEQRQCLRRLQQVLQWLSGPGEEQLASFAMPGDTLSALQETELRFRAFSAEVQERLAQAREALALEENATSQKVLDIFEQRLEQVESGLHRALRLQRFFQQAHEWVDEGFARLAGAGPGREAVLAALALRRAPEPSAGTFQEMRALALDLGSPAALREWGRCQARCQELERRIQQHVGEEASPRGYRRRRADGASSGGAQWGPRSPSPSLSSLLLPSSPGPRPAPSHCSLAPCGEDYEEEGPELAPEAEGRPPRAVLIRGLEVTSTEVVDRTCSPREHVLLGRARGPDGPWGVGTPRMERKRSISAQQRLVSELIACEQDYVATLSEPVPPPGPELTPELRGTWAAALSARERLRSFHRTHFLRELQGCATHPLRIGACFLRHGDQFSLYAQYVKHRHKLENGLAALSPLSKGSMEAGPYLPRALQQPLEQLTRYGRLLEELLREAGPELSSECRALGAAVQLLREQEARGRDLLAVEAVRGCEIDLKEQGQLLHRDPFTVICGRKKCLRHVFLFEHLLLFSKLKGPEGGSEMFVYKQAFKTADMGLTENIGDSGLCFELWFRRRRAREAYTLQATSPEIKLKWTSSIAQLLWRQAAHNKELRVQQMVSMGIGNKPFLDIKALGERTLSALLTGRAARTRASVAVSSFEHAGPSLPGLSPGACSLPARVEEEAWDLDVKQISLAPETLDSSGDVSPGPRNSPSLQPPHPGSSTPTLASRGILGLSRQSHARALSDPTTPL", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKRSEKPEGYRQMRPKTFPASNYTVSSRQMLQEIRESLRNLSKPSDAAKAEHNMSKMSTEDPRQVRNPPKFGTHHKALQEIRNSLLPFANETNSSRSTSEVNPQMLQDLQAAGFDEDMVIQALQKTNNRSIEAAIEFISKMSYQDPRREQMAAAAARPINASMKPGNVQQSVNRKQSWKGSKESLVPQRHGPPLGESVAYHSESPNSQTDVGRPLSGSGISAFVQAHPSNGQRVNPPPPPQVRSVTPPPPPRGQTPPPRGTTPPPPSWEPNSQTKRYSGNMEYVISRISPVPPGAWQEGYPPPPLNTSPMNPPNQGQRGISSVPVGRQPIIMQSSSKFNFPSGRPGMQNGTGQTDFMIHQNVVPAGTVNRQPPPPYPLTAANGQSPSALQTGGSAAPSSYTNGSIPQSMMVPNRNSHNMELYNISVPGLQTNWPQSSSAPAQSSPSSGHEIPTWQPNIPVRSNSFNNPLGNRASHSANSQPSATTVTAITPAPIQQPVKSMRVLKPELQTALAPTHPSWIPQPIQTVQPSPFPEGTASNVTVMPPVAEAPNYQGPPPPYPKHLLHQNPSVPPYESISKPSKEDQPSLPKEDESEKSYENVDSGDKEKKQITTSPITVRKNKKDEERRESRIQSYSPQAFKFFMEQHVENVLKSHQQRLHRKKQLENEMMRVGLSQDAQDQMRKMLCQKESNYIRLKRAKMDKSMFVKIKTLGIGAFGEVCLARKVDTKALYATKTLRKKDVLLRNQVAHVKAERDILAEADNEWVVRLYYSFQDKDNLYFVMDYIPGGDMMSLLIRMGIFPESLARFYIAELTCAVESVHKMGFIHRDIKPDNILIDRDGHIKLTDFGLCTGFRWTHDSKYYQSGDHPRQDSMDFSNEWGDPSSCRCGDRLKPLERRAARQHQRCLAHSLVGTPNYIAPEVLLRTGYTQLCDWWSVGVILFEMLVGQPPFLAQTPLETQMKVINWQTSLHIPPQAKLSPEASDLIIKLCRGPEDRLGKNGADEIKAHPFFKTIDFSSDLRQQSASYIPKITHPTDTSNFDPVDPDKLWSDDNEEENVNDTLNGWYKNGKHPEHAFYEFTFRRFFDDNGYPYNYPKPIEYEYINSQGSEQQSDEDDQNTGSEIKNRDLVYV", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAQETNQTPGPMLCSTGCGFYGNPRTNGMCSVCYKEHLQRQQNSGRMSPMGTASGSNSPTSDSASVQRADATLNNCEGAAGSTSEKSRNVPVAALPVTQQMTEMSISREDKITSPKTEVSEPVVTQPSPSVSQPSSSQSEEKAPELPKPKKNRCFMCRKKVGLTGFDCRCGNLFCGLHRYSDKHNCPYDYKAEAAAKIRKENPVVVAEKIQRI", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDFSRRSFHRSLSSSLQAPVVSTVGMQRLGTTPSVYGGAGGRGIRISNSRHTVNYGSDLTGGGDLFVGNEKMAMQNLNDRLASYLEKVRTLEQSNSKLEVQIKQWYETNAPRAGRDYSAYYRQIEELRSQIKDAQLQNARCVLQIDNAKLAAEDFRLKYETERGIRLTVEADLQGLNKVFDDLTLHKTDLEIQIEELNKDLALLKKEHQEEVDGLHKHLGNTVNVEVDAAPGLNLGVIMNEMRQKYEVMAQKNLQEAKEQFERQTAVLQQQVTVNTEELKGTEVQLTELRRTSQSLEIELQSHLSMKESLEHTLEETKARYSSQLANLQSLLSSLEAQLMQIRSNMERQNNEYHILLDIKTRLEQEIATYRRLLEGEDVKTTEYQLSTLEERDIKKTRKIKTVVQEVVDGKVVSSEVKEVEENI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVMKATVDDDASGWELGVPEKMEKSSTSWVDITQDFEDACRELKLGELLHDKLFGLFEAMSAIEMMDPKMDAGMIGNQVNRKVLNFEQAIKDGTIKIKDLSLPELIGIMDTCFCCLITWLEGHSLAQTVFTCLYIHNPDFIEDPAMKAFALGILKICDIAREKVNKAAVFEEEDFQSMTYGFKMANSVTDLRVTGMLKDVEDDLQRRVKSTRSRQGEERDPEVELEHQQCLAAFSRVKFTRVLLTVLIAFTKKETSAVAEAQKLMVQAADLLSAIHTSLHHGIQAQNGTTKGDHPIMMGFEPLVNQRLLPPTFPRYAKIIKREEMVNYFSRLIDRIKTVCEVVNLPNLHCILDFFCEFSEQSPCVLSRSLLQTTFLVDNKKVFGTHLMQDMVKDALRSFVSPPVLSPKCCLYNNHQAKDCIDSFVTHCVRPFCSLVQIHGHNRARQRDKLGHILEEFATLQDEAEKVDAALHTMLLKQEPQRQHLACLGTWVLYHNLRIMIQYLLSGFELELYSMHEYYYIYWYLSEFLYAWLMSTLSRADGSQMAEERIMEEQQKGRSSKKTKKKKKVRPLSREITMSQAYQNMCAGMFKTMVAFDMDGKVRKPKFELDSEQVRYEHRFAPFNSVMTPPPVHYLQFKEMSDLNKYSPPPQSPELYVAASKHFQQAKMILENIPNPDREVSRILKVAKPNFVVMKLLAGGHKKESKVPPEFDFSVHKYFPVVKLV", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLRLYPGPMVTEAEGKGGPEMASLSSSVVPVSFISTLRESVLDPGVGGEGASDKQRSKLSLSHSMIPAAKIHTELCLPAFFSPAGTQRRFQQPQHHLTLSIIHTAAR", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASADWGYDSKNGPDQWSKLYPIANGNNQSPIDIKTSEAKHDSSLKPVSVSYNPATAKEIVNVGHSFHVVFDDSSNQSVLKGGPLADSYRLTQFHFHWGNSNDHGSEHTVDGAKYSGELHLVHWNSAKYSSAAEAISKADGLAIIGVLMKVGPANPNLQKVLDALSSVKTKGKRAPFTNFDPSSLLPSSLDYWTYFGSLTHPPLHESVTWVICKESISLSPEQLAQLRGLLSSAEGEPAVPVLSNHRPPQPLKGRTVRASF", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDAARNGALGSVESLPDRKVYMDYNATTPLEPEVIQAVTEAMKEAWGNPSSSYVSGRKAKDIINAARASLAKMIGGKPQDIIFTSGGTESNNLVIHSMVRCFHEQQTLKGNMVDQHSPEEGTRPHFITCTVEHDSIRLPLEHLVENQMAEVTFVPVSKVNGQAEVEDILAAVRPTTCLVTIMLANNETGVIMPVSEISRRIKALNQIRAASGLPRVLVHTDAAQALGKRRVDVEDLGVDFLTIVGHKFYGPRIGALYVRGVGKLTPLYPMLFGGGQERNFRPGTENTPMIAGLGKAADLVSENCETYEAHMRDIRDYLEERLEAEFGKRIHLNSRFPGVERLPNTCNFSIQGSQLQGYTVLAQCRTLLASVGASCHSNHEDRPSPVLLSCGIPVDVARNAVRLSVGRGTTRADVDLIVQDLKQAVAQLEGRL", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASMVLADGMPTVKDDSTRSRGSDVDSFTSTDNVTQINVEAAISENKNEEKPIQDNSEQEFNPHVSIIQRQLNGYVGFASLPNQWHRRCVRQGFNFNVLVLGESGSGKSTLVNTLLNRDVYPPTQKSLTGDFGVNPEPTVMINSSAVEIVENGISLQLNVIDTPGFGDFIDNTDCWQPVLTDIEGRYDQYLELEKHNPRSTIQDPRVHACIFFIQPTGHAISAMELRVMLALHEKVNIIPIIAKADTLTDDELNFTKEMILRDIQYHNIRIFFPPTYETDDPESVAENADIMSRIPFAIIASNTFVVNNEGKRVRGRRYPWGVVEVDNEEHSDFPKLREMLIRTHLEELKEQTNKLYEAYRTERLLSSGISQDHSVFREVNPSAKLEEERALHEEKLMKMEAEMKTIFSQKVQEKEDRLKQSENELRTRHREMKAALEKQKADLIDHKNRLMQAKAAAENEKSKRKFFK", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLEVQSSNHGCERQAPTTSPASSAGHAVEVRPGLYLGGAAAVAGPDYLREAGITAVLTVDSEPAFPAGAGFEGLQSLFVPALDKPETDLLSHLDRCVAFIGQARSEGRAVLVHCHAGVSRSVAVVTAFIMKTEQLTFEKAYENLQTIKPEAKMNEGFEWQLKLYEAMGHEVHTSSAVYKQYRLQKVTEKYPELRNLPRELFAVDPTTVSQGLKDDILYKCRKCRRSLFRRSSILDHSEGSGPVAFAHKRTGLSSVLTTGNQAQCTSYFIEPVQWMESALLGVMDGQLLCPKCSAKLGSFNWYGEQCSCGRWITPAFQIHKNRVDEVKTLPALGSQTKKP", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MHPPPPAAAMDFSQNSLFGYMEDLQELTIIERPVRRSLKTPEEIERLTVDEDLSDIERAVYLLSAGQDVQGTSVIANLPFLMRQNPTETLRRVLPKVREALHVAGVEMQLTAAMSFLTILQDESVSIHAYTHSFLQVILLHLEHRDTGVSNAWLETLLSVIEVLPKETLRHEILNPLVSKAQLSQTVQSRLVSCKILGKLTNKFDAHTIKREILPLVKSLCQDVEYEVRSCMCRQLENIAQGIGTELTKSVVLPELIELSRDEGSSVRLAAFETLVNLLDIFDTDDRSQTILPLVKSFCEKSFKADESILISLSFHLGKLCHGLYGIFTPDQHLRFLEFYKKLCTLGLQQENGHNENQIPPQILEQEKKYISVRKNCAYNFPAMIVFVDPKNFHMELYSTFFCLCHDPEVPVRYTIAICFYEVSKLLNSGVYLIHKELITLLQDESLEVLDALIDHLPEILELMSTGGESSVQENKLSSLPDLIPALTAAEQRAAASLKWRTHEKLLQKYACLPHVISSDQIYYRFLQRMFTIMMTNNVLPVQKAASRTLCIFLRYNRKQEQRHEVIQKLIEQLGQGKSYWNRLRFLDTCEFIIEIFSKSFFCKYFFLPAIELTHDPVANVRMKLCYLLPKVKSTLKIPADKHLLQQLEMCVRKLLCQEKDKDVLAIVKRTVLELDRMEMSMDAFQKKFYEKDLLDQEKEREELLLLEMEQLEKEKQQNDGRPMSDKMFEKKRRDTKTPTQSLPKNIPISVPGPSSVTPSTSKEIKKSKLIRSQSFNNQAFHAKYGNLEKCASKSSTTGYTTSVSGLGKTSVLSLADDSFRTRNASSVPSSFSPNTPLPSTSRGTGNSVDPKSSGSKDTQPRKATLKSRKSNP", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKPKKLQQGSDSAHTSDTESTKTCEKTAKKLPKTQKKLQKSKKTAKKRRDEEFRIFFPPPRVNPPIKTPFRLHNTNCEHRDWISDNLCLPKYASYQTGWRISHKSMKSLVLMDKRRAEWLKMHNLYRKSEIRKAIWTIERKGAKKLDEMPGNWRISREKRNSLDLQEFPIKIEQFPVKKREKTAKNWRKIAVLVCFKRKTTTKNRYLRRPKTKNQRKIEFRRPKTSKNRKLRLKIRPRVRFIDRKLIRRRECLVDPQNLISWIRRQEKAENLRIFQEKQKRLQEQQEDAEWEQIEAQRANSDDVIEDKSLKMEVLDIVKHKNRNWIVVEKKGCEIQGMEYLLVLESEFNEQQTVRYDRQNVDHKFRKMRDEKKEIVEFVSSLPFYKPKPPKINYPTNAGEYEEQEIELERRRLEEEERDQNDKKLEIEDRNHFKKWQKRRNLIKIYRNSIRREIWRRRRGRNSTENSDSESSSEASEPPDDVITKEEPTDFSEENLVKKEEICDDFEHKIEEDVKPDVYKLNINKMISPPSPPPKKGILLKKDTKKRGEKRVKTVQFKLTKRQKLAKLWKPPTWQIRQILRAAADAKGYKIRSGRSRYNEKIRRLNHFNGQKLGFKSAPTRIDTFEKGIDVREQPIPFVEEFVLDDHALLTFASFDDLKAYEEAYSLRQQDVIDEFWRHQCLKNIESFEKDDVERAEMRHEIEKLETEMRCQKMNENAEIDQENIESFETAGRQIENIIKNTGDCAFETLEEYFSISADFEKNEELRAEEEQLEIEMEHWERELEEMIDVIKREFSIENLMMRMLKNRHLLTMRLVVSGTNQSSIDRENLLRKTKKLLEELKNLRIAAQNRLKIDFDRNERMLYRLRNAKQKAAKRARKLVKNWKKSAQNKSGVLKINGNHVINHDVVVKKWKVELKIEGNGESPRKVVRKAKETSGYWDFRWNFTKFAWKSDVLKRKQRFGKHSARRAIAFGVKIEEIHSETEFEKLLSEYVEYEESDIQNQVSIDRKIDIITKIKTITLNDVRAKAIEMQKQIVEKAVDLMIKSRLDEAAREHQEWLQSDECKRENQLRQRQQNFFDLTSSSPATSSFVTTQVVVPRLTHLEERLIELGVEHEVVQHTQRLQSEFENYHHLQQQHNHQNFQQQQQGNHDFVTPKAPQDKQKRKYTKRKALLNTAVASSSDQNGMKSPGSSAMENAAAAAQAAQAQAQATIPTPTVNLPDVVAIAAAAATAQPSAAAAKRPASETPPNGLPKVPRHDEQQQQQNNAHSIVMGAREGFLAMNPSLAGHVFPASSASTSGAPGAHSATTSGGAGLIGISAATQAQLQAQQAAQAAAAAAAAAAAQATQSLYINTSVAPGAQAASAQGGGGGQVVAAQQSNQAATAEAIRLLQGLPPFLTAGSGSAGIPYFSALSQQLNQLGAAAPGAPGTLNGLQFPANAALGPQLAGAALLAAVPGAQQQIKRPGRWSGMHVKIATDIQNYKQSQEKKLPTDIQSTSSSSAAPASAPAPRAGAGAGATSSSAASSSTSTPSSSSHHKKSSPPHHQKSAAPSAPPRDVTSAHAPPPPASSAPIVGAPRQGATPQAAPATTPATTSQHQQSIQFSQFPPPQLSGGAAYAGNPQLMAAAINEATRRVAATPKPPVVRPPSAATQQQPVSVTSQASQQQQQFQQIQQQRAAAIAAAAAATSQQAPPAQASQATSAAQQIATSMGLQPAQVTDLVNQHAQQYLLLQQQQQQQQREQQQQQQLQAQQVQQQLIAHLLGGGHQAQQAAPAVSVAQQQQQQVAAAAAAQQQHNAQLQNIMILTALQQQMERGAAAGAAASLPYQLQLAQAQAQAQAQQAPPTSQPSQAATPQQQQQLDLIRQMEAVAQVQQAHAQAQAQAQAQAQQMQQQQIQQMLMAGQGGPNGQDLIRLLQAAQQQSQAQQQQQQQQAVVAAAQQQQQQQQHNQQLAAAQAAAAAAAAGRPTQNQYEALLQQQRLLAAQQQAAAGASAQQQAAAAAAQAQAQQFQQQLLGLQPNLLLAQVQQAQQAQAQAQAQAQQKPPQMPNGR", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSDRKAVIKNADMSEDMQQDAVDCATQAMEKYNIEKDIAAYIKKEFDKKYNPTWHCIVGRNFGSYVTHETKHFIYFYLGQVAILLFKSG", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MATVEPETTPTTNPPPAEEEKTESNQEVANPEHYIKHPLQNRWALWFFKNDKSKTWQANLRLISKFDTVEDFWALYNHIQLSSNLMPGCDYSLFKDGIEPMWEDEKNKRGGRWLITLNKQQRRSDLDRFWLETLLCLIGESFDDYSDDVCGAVVNVRAKGDKIAIWTTECENRDAVTHIGRVYKERLGLPPKIVIGYQSHADTATKSGSTTKNRFVV", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSLDFGSVALPVQNEDEEYDEEDYEREKELQQLLTDLPHDMLDDDLSSPELQYSDCSEDGTDGQPHHPEQLEMSWNEQMLPKSQSVNGYNEIQSLYAGEKCGNVWEENRSKTEDRHPVYHPEEGGDEGGSGYSPPSKCEQTDLYHLPENFRPYTNGQKQEFNNQATNVIKFSDPQWNHFQGPSCQGLEPYNKVTYKPYQSSAQNNGSPAQEITGSDTFEGLQQQFLGANENSAENMQIIQLQVLNKAKERQLENLIEKLNESERQIRYLNHQLVIIKDEKDGLTLSLRESQKLFQNGKEREIQLEAQIKALETQIQALKVNEEQMIKKSRTTEMALESLKQQLVDLHHSESLQRAREQHESIVMGLTKKYEEQVLSLQKNLDATVTALKEQEDICSRLKDHVKQLERNQEAIKLEKTEIINKLTRSLEESQKQCAHLLQSGSVQEVAQLQFQLQQAQKAHAMSANMNKALQEELTELKDEISLYESAAKLGIHPSDSEGELNIELTESYVDLGIKKVNWKKSKVTSIVQEEDPNEELSKDEFILKLKAEVQRLLGSNSMKRHLVSQLQNDLKDCHKKIEDLHQVKKDEKSIEVETKTDTSEKPKNQLWPESSTSDVVRDDILLLKNEIQVLQQQNQELKETEGKLRNTNQDLCNQMRQMVQDFDHDKQEAVDRCERTYQQHHEAMKTQIRESLLAKHALEKQQLFEAYERTHLQLRSELDKLNKEVTAVQECYLEVCREKDNLELTLRKTTEKEQQTQEKIKEKLIQQLEKEWQSKLDQTIKAMKKKTLDCGSQTDQVTTSDVISKKEMAIMIEEQKCTIQQNLEQEKDIAIKGAMKKLEIELELKHCENITKQVEIAVQNAHQRWLGELPELAEYQALVKAEQKKWEEQHEVSVNKRISFAVSEAKEKWKSELENMRKNILPGKELEEKIHSLQKELELKNEEVPVVIRAELAKARSEWNKEKQEEIHRIQEQNEQDYRQFLDDHRNKINEVLAAAKEDFMKQKTELLLQKETELQTCLDQSRREWTMQEAKRIQLEIYQYEEDILTVLGVLLSDTQKEHISDSEDKQLLEIMSTCSSKWMSVQYFEKLKGCIQKAFQDTLPLLVENADPEWKKRNMAELSKDSASQGTGQGDPGPAAGHHAQPLALQATEAEADKKKVLEIKDLCCGHCFQELEKAKQECQDLKGKLEKCCRHLQHLERKHKAVVEKIGEENNKVVEELIEENNDMKNKLEELQTLCKTPPRSLSAGAIENACLPCSGGALEELRGQYIKAVKKIKCDMLRYIQESKERAAEMVKAEVLRERQETARKMRKYYLICLQQILQDDGKEGAEKKIMNAASKLATMAKLLETPISSKSQSKTTQSALPLTSEMLIAVKKSKRNDVNQKIPCCIESKSNSVNTITRTLCEQAPKRRAACNLQRLLENSEHQSIKHVGSKETHLEFQFGDGSCKHLNSLPRNVSPEFVPCEGEGGFGLHKKKDLLSDNGSESLPHSAAYPFLGTLGNKPSPRCTPGPSESGCMHITFRDSNERLGLKVYKCNPLMESENAASEKSQGLDVQEPPVKDGGDLSDCLGWPSSSATLSFDSREASFVHGRPQGTLEIPSESVKSKQFSPSGYLSDTEESNMICQTMKCQRYQTPYLSEETTYLEPGKISVNCGHPSRHKADRLKSDFKKLSSTLPSSVCQQPSRKLIVPLSSQQDSGFDSPFVNLD", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MATSADSPSSPLGAEDLLSDSSEPPGLNQVSSEVTSQLYASLRLSRQAEATARAQLYLPSTSPPHEGLDGFAQELSRSLSVGLEKNLKKKDGSKHIFEMESVRGQLQTMLQTSRDTAYRDPLIPGAGSERREEDSFDSDSTATLLNTRPLQDLSPSSSAQALEELFPRYTSLRPGPPLNPPDFQGLRDALDSEHTRRKHCERHIQSLQTRVLELQQQLAVAVAADRKKDTMIEQLDKTLARVVEGWNRHEAERTEVLRGLQEEHQAAELTRSKQQETVTRLEQSLSEAMEALNREQESARLQQRERETLEEERQALTLRLEAEQQRCCVLQEERDAARAGQLSEHRELETLRAALEEERQTWAQQEHQLKEHYQALQEESQAQLEREKEKSQREAQAAWETQHQLALVQSEVRRLEGELDTARRERDALQLEMSLVQARYESQRIQLESELAVQLEQRVTERLAQAQESSLRQAASLREHHRKQLQDLSGQHQQELASQLAQFKVEMAEREERQQQVAEDYELRLAREQARVCELQSGNQQLEEQRVELVERLQAMLQAHWDEANQLLSTTLPPPNPPAPPAGPSSPGPQEPEKEERRVWTMPPMAVALKPVLQQSREARDELPGAPPVLCSSSSDLSLLLGPSFQSQHSFQPLEPKPDLTSSTAGAFSALGAFHPDHRAERPFPEEDPGPDGEGLLKQGLPPAQLEGLKNFLHQLLETVPQNNENPSVDLLPPKSGPLTVPSWEEAPQVPRIPPPVHKTKVPLAMASSLFRVPEPPSSHSQGSGPSSGSPERGGDGLTFPRQLMEVSQLLRLYQARGWGALPAEDLLLYLKRLEHSGTDGRGDNVPRRNTDSRLGEIPRKEIPSQAVPRRLATAPKTEKPPARKKSGHPAPSSMRSRGGVWR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKIKIMSKTHVKPTKPVLGKKQFHLTTFDLPYLAFYYNQKFLLYKFQNLLDLEEPTFQNEVVENLKDGLGLVLEDFYQLAGKLAKDDEGVFRVEYDAEDSEINGVEFSVAHAADVTVDDLTAEDGTAKFKELVPYNGILNLEGLSRPLLAVQVTKLKDGLAMGLAFNHAVLDGTSTWHFMSSWAEICRGAQSISTQPFLDRSKARDTRVKLDLTAPKDPNETSNGEDAANPTVEPPQLVEKIFRFSDFAVHTIKSRANSVIPSDSSKPFSTFQSLTSHIWRHVTLARGLKPEDITIFTVFADCRRRVDPPMPEEYFGNLIQAIFTGTAAGLLAAHGPEFGASVIQKAIAAHDASVIDARNDEWEKSPKIFQFKDAGVNCVAVGSSPRFRVYEVDFGFGKPETVRSGSNNRFNGMMYLYQGKAGGISIDVEITLEASVMEKLVKSKEFLLSEEEEEDDGKKLTNGNGHVNGNGNGYVNGNGNGFV", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAVVKTPSRGLKNAKEPFNNASPHLLKNLVEEPKKRKEVPNHLLESKVYAKLVNNKVIQARPGIIHFGGYQVEKQHQQILHLVNVSNEDTRVHILPPQTKYFEINYVRKEHHLVPGLSLTVTVTFSPDEWRYYYDCIRVHCKGDDTLLVPIHAYPVMNSLDFPSFINLSNVLLGESKTYVIPLQCSCPVDFEFYITLIQSHQAFAIEPTSGIIPANGKMTVTIKFTPFQYGTAQIKMQLWISQFNSQPYECVFTGTCYPNMALPLEEFERLNTLSKKVNVPPEKAMMHINFHRPPAKPKPQKVKEIEYQNLRFPVDLSNPFAVATVLNQEPGKLKIKELREVLDQGTEISKTRQMKEALFEQKVRQDIHEEMENHLKWQVHLGKDPMSFKLKKELTEEWQKACAKYKLDRGDPILDEEFQRLKTEVSHKRVVRNQEEKIKEFHPTFDPLINNTWLSRSRAQKRFQQVARKVMIQGRLFNMLSAVREMDKESILRKIGQAKQSIAQEANFFKFFLRRISQDDYTSRFSVSPKEVLPFAFPDCSPPQDSNELAPDGLGLVPIKSSEVQIKQSYSFFNLQVPQLYKIKRYQPFSVHKSSTSYRPQKLARALKQGAEDEVTTITALPKQDSTTQLSGKTSVLSMKPPEALAMSLDYDPLYVFNPNPGLFAVMHPLTYAETLIDYHLCSHPKYKFTKESRHGSSIPVTQKQFLHHTDIIPGIMHWKSFQSLVLSSLPDPSKMETTKSCDSFNSFMLPIDVPAILDALPEEDRLETVERELCEQNVEVMLTPEMIKVEFPMLNYKDIRKEKEVKDQAQPAEKAGEKLLEEMRNLRGKALNTYLILE", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MADNLPTEFDVVIIGTGLPESILAAACSRSGQRVLHIDSRSYYGGNWASFSFSGLLSWLKEYQQNNDIGEESTVVWQDLIHETEEAITLRKKDETIQHTEAFCYASQDMEDNVEEIGALQKNPSLGVSNTFTEVLDSALPEESQLSYFNSDEMPAKHTQKSDTEISLEVTDVEESVEKEKYCGDKTCMHTVSDKDGDKDESKSTVEDKADEPIRNRITYSQIVKEGRRFNIDLVSKLLYSQGLLIDLLIKSDVSRYVEFKNVTRILAFREGKVEQVPCSRADVFNSKELTMVEKRMLMKFLTFCLEYEQHPDEYQAFRQCSFSEYLKTKKLTPNLQHFVLHSIAMTSESSCTTIDGLNATKNFLQCLGRFGNTPFLFPLYGQGEIPQGFCRMCAVFGGIYCLRHKVQCFVVDKESGRCKAIIDHFGQRINAKYFIVEDSYLSEETCSNVQYKQISRAVLITDQSILKTDLDQQTSILIVPPAEPGACAVRVTELCSSTMTCMKDTYLVHLTCSSSKTAREDLESVVKKLFTPYTETEINEEELTKPRLLWALYFNMRDSSGISRSSYNGLPSNVYVCSGPDCGLGNEHAVKQAETLFQEIFPTEEFCPPPPNPEDIIFDGDDKQPEAPGTNNVVMAKLESSEESKNLESPEKHLQN", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MFPASTFHPCPHPYPQATKAGDGWRFGARGCRPAPPSFLPGYRQLMAAEYVDSHQRAQLMALLSRMGPRSVSSRDAAVQVNPRRDASVQCSLGRRTLQPAGCRASPDARSGSCQPRGHAGAGRSPRSWQTVAPFSSVTFCGLSSSLEVAGGRQTPTKGEGSPASSGTREPEPREVAARKAVPQPRSEEGDVQAAGQAGWEQQPPPEDRNSVAAMQSEPGSEEPCPAAEMAQDPGDSDAPRDQASPQSTEQDKERLRFQFLEQKYGYYHCKDCKIRWESAYVWCVQGTSKVYFKQFCRVCEKSYNPYRVEDITCQSCKRTRCACPVRLRHVDPKRPHRQDLCGRCKDKRLSCDSTFSFKYII", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEPFLRRRLAFLSFFWDKIWPAGGEPDHGTPGSLDPNTDPVPTLPAEPCSPFPQLFLALYDFTARCGGELSVRRGDRLCALEEGGGYIFARRLSGQPSAGLVPITHVAKASPETLSDQPWYFSGVSRTQAQQLLLSPPNEPGAFLIRPSESSLGGYSLSVRAQAKVCHYRVSMAADGSLYLQKGRLFPGLEELLTYYKANWKLIQNPLLQPCMPQKAPRQDVWERPHSEFALGRKLGEGYFGEVWEGLWLGSLPVAIKVIKSANMKLTDLAKEIQTLKGLRHERLIRLHAVCSGGEPVYIVTELMRKGNLQAFLGTPEGRALRLPPLLGFACQVAEGMSYLEEQRVVHRDLAARNVLVDDGLACKVADFGLARLLKDDIYSPSSSSKIPVKWTAPEAANYRVFSQKSDVWSFGVLLHEVFTYGQCPYEGMTNHETLQQIMRGYRLPRPAACPAEVYVLMLECWRSSPEERPSFATLREKLHAIHRCHP", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFSVFEEITRIVVKEMDAGGDMIAVRSLVDADRFRCFHLVGEKRTFFGCRHYTTGLTLMDILDTDGDKWLDELDSGLQGQKAEFQILDNVDSTGELIVRLPKEITISGSFQGFHHQKIKISENRISQQYLATLENRKLKRELPFSFRSINTRENLYLVTETLETVKEETLKSDRQYKFWSQISQGHLSYKHKGQREVTIPPNRVLSYRVKQLVFPNKETMSAGLDIHFRGKTKSFPEGKSLGSEDSRNMKEKLEDMESVLKDLTEEKRKDVLNSLAKCLGKEDIRQDLEQRVSEVLISGELHMEDPDKPLLSSLFNAAGVLVEARAKAILDFLDALLELSEEQQFVAEALEKGTLPLLKDQVKSVMEQNWDELASSPPDMDYDPEARILCALYVVVSILLELAEGPTSVSS", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDLLKTPSSTVGLSETFARLKSQGKVALIPYITAGDPDLSTTAKALKVLDSCGSDIIELGVPYSDPLADGPAIQAAARRSLLKGTNFNSIISMLKEVIPQLSCPIALFTYYNPILRRGVENYMTVIKNAGVHGLLVPDVPLEETETLRNEARKHQIELVLLTTPTTPKERMNAIVEASEGFIYLVSSVGVTGTRESVNEKVQSLLQQIKEATSKPVAVGFGISKPEHVKQVAEWGADGVIVGSAMVKILGESESPEQGLKELEFFTKSLKSALVS", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSTEKILTPESQLKKTKAQQKTAEQIAAERAARKAANKEKRAIILERNAAYQKEYETAERNIIQAKRDAKAAGSYYVEAQHKLVFVVRIKGINKIPPKPRKVLQLLRLTRINSGTFVKVTKATLELLKLIEPYVAYGYPSYSTIRQLVYKRGFGKINKQRVPLSDNAIIEANLGKYGILSIDDLIHEIITVGPHFKQANNFLWPFKLSNPSGGWGVPRKFKHFIQGGSFGNREEFINKLVKAMN", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MADPSEHVGLGGPRSPARPEPPPTRFHQVHGANIRMDPSGTRATRVESFAHGVCFSREPLAPGQVFLVEIEEKELGWCGHLRLGLTALDPASLAAVPEFSLPDLVSLGHSWVFAITRHHNRVPREGQPEAEAAVPSGPQALLVEPYLRIEQFRIPRDRLVGRSRPGLYSHLLDQLYEQNVLPPTARRSRLGVLFCPREDGTADMHIIINGEDMGPSARGLPAAQPLYAVVDVFASTKSVRLVQLEYGLPSLQTLCRLVIHKRVVHRLAIDVLHLPKGLKDFCKYE", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSDAGTEEFDEEQGSLGEYEGDRNEAGERHGQGKAVLPRGDTYQGAYENGKRCGQGTYKFKNGARYTGEWYMNLKHGQGVLYYPDGSKYEGSWVDDQRQGHGVYTYPNGDTYDGEWLHHQRHGQGTYTHQETGSQYRGTWVVGNMESTGELIQLNHRYHGNFVNNNPSGPGKYVFDIGCEQHGEYFQLEPDKGEAEEDETLISTTLKWKPKAVTGLSV", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAAPAPGLISVFSSPQELGASLAQLVAQRAASCLEGNRGRFALGLSGGSLVSMLARDLPAATAPAGPASFARWTLGFCDERLVPFDHAESTYGLYRTHLLSKLPIPDSQVLTIDPALPVEDAAEDYARKLRQAFQGDTVPVFDLLILGVGPDGHTCSLFPGHPLLQEREKIVAPIGDSPKPPPQRVTLTLPVLNAAQSVIFVATGEGKAAVLKRILEDQESALPAAMVQPRTGALCWFLDEAAARLLSVPFEKHSTL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MENEFTYEDYQRTAEWLRSHTKHRPQVAVICGSGLGGLTAKLTQPQAFDYNEIPNFPQSTVQGHAGRLVFGFLNGRSCVMMQGRFHMYEGYSLSKVTFPVRVFHLLGVDTLVVTNAAGGLNPKFEVGDIMLIRDHINLPGFCGQNPLRGPNDERFGVRFPAMSDAYDRDMRQKAFNAWKQMGEQRELQEGTYIMSAGPTFETVAESCLLRMLGADAVGMSTVPEVIVARHCGLRVFGFSLITNKVVMDYNNLEKASHQEVLEAGKAAAQKLEQFVSILMESIPPRERAN", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEVTGVSAPTVTVFISSSLNTFRSEKRYSRSLTIAEFKCKLELLVGSPASCMELELYGVDDKFYSKLDQEDALLGSYPVDDGCRIHVIDHSGARLGEYEDVSRVEKYTISQEAYDQRQDTVRSFLKRSKLGRYNEEERAQQEAEAAQRLAEEKAQASSIPVGSRCEVRAAGQSPRRGTVMYVGLTDFKPGYWIGVRYDEPLGKNDGSVNGKRYFECQAKYGAFVKPAVVTVGDFPEEDYGLDEI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLEAVTNPKSSLATFSKQLNGLLQAKVVVCKSVNPYFNLALENYLYENSTAKHCLLLYTNSPSVIIGRNQNPWVEANVKLCRDNFVNIIRRKSGGGTVFHDFGNLNYSVLMNREEFSHTENASIMIQALRNLGVHARLNQRHDIVLAQSQRKISGSAYKISRNRCYHHGTMLLNSDLEGVREYLRSPSTGILSKGVSSTRSPVSNTKLLKAEFIKQVISCFLLHKSHSTTTKPLSKPRASSKRLYDIEPKSVITLEQNDLLGVPSILKAVNELQSWEWTFGQTPSFKQHLESTELSVSMDISVVHGRLEKVIFSTPNATLEHELSSIPWTGLCYESGFANTFLISGIHSKEAISILKWISDSI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEYMSTGSDNKEEIDLLIKHLNVSDVIDIMENLYASEEPAVYEPSLMTMCQDSNQNDERSKSLLLSGQEVPWLSSVRYGTVEDLLAFANHISNTAKHFYGQRPQESGILLNMVITPQNGRYQIDSDVLLIPWKLTYRNIGSDFIPRGAFGKVYLAQDIKTKKRMACKLIPVDQFKPSDVEIQACFRHENIAELYGAVLWGETVHLFMEAGEGGSVLEKLESCGPMREFEIIWVTKHVLKGLDFLHSKKVIHHDIKPSNIVFMSTKAVLVDFGLSVQMTEDVYFPKDLRGTEIYMSPEVILCRGHSTKADIYSLGATLIHMQTGTPPWVKRYPRSAYPSYLYIIHKQAPPLEDIADDCSPGMRELIEASLERNPNHRPRAADLLKHEALNPPREDQPRCQSLDSALLERKRLLSRKELELPENIADSSCTGSTEESEMLKRQRSLYIDLGALAGYFNLVRGPPTLEYG", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNVHQGSDGDWSLQPELSCLGDEALAATQEKEGSSLVSSGLHSVTYPLAARSEDLALDYASQPASLPHPHIMPLPEDNKGSCFQSGSKRSHEPFIVPERFGNSGLGFGGGAHSQAPEKVTLLVDGTRFVVNPQIFTAHPDTMLGRMFGPGREYNFTRPNEKGEYVIAEGISATVFRTVLDYYKTGIINCPDGISIPDLRDTCDYLCINFDFNTIRCQDLSALLHELSNDGAHKQFDHYLEELILPIMVGCAKKGERECHIVVLTDEDSVDWDEDHPPPMGEEYSQILYSSKLYRFFKYIENRDVAKTVLKERGLKNIRIGIEGYPTCKEKIKRRPGGRSEVIYNYVQRPFIQMSWEKEEGKSRHVDFQCVRSKSLTNLVAAGEDVLEDQEIIMHHPPQVDELDRLNAPLSQMAPNDFQD", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSENKKFRPKKVGNTEQVSEKQHTDTALNISQDNKTSSSSAKRGAKGRRGTKGKSGPANSSQTSNIEGSNALELEFDRDSQLSVLQELFPSWTIDDLSFALEEADRDLELTILHITEGHASKWGEVKKKPIPKTKSKPTSHAPVSDNVSSTFRNATRKSKKPSASKDTSRGVRKSKAGAPSDPSSVHAPSSLEKPAGTGDLPSSEISTKAPASTTVSSSVDPGTINEDSSMKDHTTSNEQASVLTSANTAASTNTNGTAGGTSASAKSTSAADQAVASSKPIKKAWASVAKSKKKVTPAPAPAPESEPSKPSIAPSQPSKTNVSAAYEKPAELSSSSVPFPHKSQDSATPANVETTPSTATSAPKKSTAPFAINAVKPAPGLSNISSASLPKPSFAKQAAVGSQSSTTSMTTARLLSDRFPVVMPVANTAAIPEKVQVRFGSLTLGGEDKKSTKSSSDNIAQSGPRSSYFPKKTVSPKPEAKKEASKVAESTKIPKKQHTSAYESRAPQSKVPENLKESHVNETPYRGLHDVNLPASGNASSVSAIPPQVSAQTTIPAASTSATSGPVVGKQSAGYGGIYSPATHGISPQPYAGASLIPPSTGAAFNNETASSNAGETTALPYSSRFMNPVENRSANSPFDNFQHIDHSTELGYASNTSQQYQQTSHPAYTTMSPIEYGQAAPAAHYYNERNQYSQYDNVAGSASNTPDVHSVMHNKVASSNATSLPAAGTATPSPVVSQQQPQPYAFPPMYPIPYVSYGYGTMPYNNNKFGQPQQGYMSQSGFNDFPPIFGGHSNVYNRQQPGNVSGMSGTQTSNPINNATANTSGMTEKAAGHRDSVIGNGGVTGANSMSSTLGGLSSMSGMGRAAPGMFMGNVGSFENLSANAASPYGLPPQQTPLTNAATQQTTSFQSNANKVNNANSQPAGFPFNSGASTNAGSNGLGGYNAYNQSFINRPGGWYGNA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPAPQDPRNLPIRQQMEALIRRKQAEITQGLESIDTVKFHADTWTRGNDGGGGTSMVIQDGTTFEKGGVNVSVVYGQLSPAAVSAMKADHKNLRLPEDPKTGLPVTDGVKFFACGLSMVIHPVNPHAPTTHLNYRYFETWNQDGTPQTWWFGGGADLTPSYLYEEDGQLFHQLHKDALDKHDTALYPRFKKWCDEYFYITHRKETRGIGGIFFDDYDERDPQEILKMVEDCFDAFLPSYLTIVKRRKDMPYTKEEQQWQAIRRGRYVEFNLIYDRGTQFGLRTPGSRVESILMSLPEHASWLYNHHPAPGSREAKLLEVTTKPREWVK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAGMSQRPPSMYWCVGPEESAVCPERAMETLNGAGDTGGKPSTRGGDPAARSRRTEGIRAAYRRGDRGGARDLLEEACDQCASQLEKGQLLSIPAAYGDLEMVRYLLSKRLVELPTEPTDDNPAVVAAYFGHTAVVQELLESLPGPCSPQRLLNWMLALACQRGHLGVVKLLVLTHGADPESYAVRKNEFPVIVRLPLYAAIKSGNEDIAIFLLRHGAYFCSYILLDSPDPSKHLLRKYFIEASPLPSSYPGKTALRVKWSHLRLPWVDLDWLIDISCQITELDLSANCLATLPSVIPWGLINLRKLNLSDNHLGELPGVQSSDEIICSRLLEIDISSNKLSHLPPGFLHLSKLQKLTASKNCLEKLFEEENATNWIGLRKLQELDISDNKLTELPALFLHSFKSLNSLNVSRNNLKVFPDPWACPLKCCKASRNALECLPDKMAVFWKNHLKDVDFSENALKEVPLGLFQLDALMFLRLQGNQLAALPPQEKWTCRQLKTLDLSRNQLGKNEDGLKTKRIAFFTTRGRQRSGTEAASVLEFPAFLSESLEVLCLNDNHLDTVPPSVCLLKSLSELYLGNNPGLRELPPELGQLGNLWQLDTEDLTISNVPAEIQKEGPKAMLSYLRAQLRKAEKCKLMKMIIVGPPRQGKSTLLEILQTGRAPQVVHGEATIRTTKWELQRPAGSRAKVESVEFNVWDIGGPASMATVNQCFFTDKALYVVVWNLALGEEAVANLQFWLLNIEAKAPNAVVLVVGTHLDLIEAKFRVERIATLRAYVLALCRSPSGSRATGFPDITFKHLHEISCKSLEGQEGLRQLIFHVTCSMKDVGSTIGCQRLAGRLIPRSYLSLQEAVLAEQQRRSRDDDVQYLTDRQLEQLVEQTPDNDIKDYEDLQSAISFLIETGTLLHFPDTSHGLRNLYFLDPIWLSECLQRIFNIKGSRSVAKNGVIRAEDLRMLLVGTGFTQQTEEQYFQFLAKFEIALPVANDSYLLPHLLPSKPGLDTHGMRHPTANTIQRVFKMSFVPVGFWQRFIARMLISLAEMDLQLFENKKNTKSRNRKVTIYSFTGNQRNRCSTFRVKRNQTIYWQEGLLVTFDGGYLSVESSDVNWKKKKSGGMKIVCQSEVRDFSAMAFITDHVNSLIDQWFPALTATESDGTPLMEQYVPCPVCETAWAQHTDPSEKSEDVQYFDMEDCVLTAIERDFISCPRHPDLPVPLQELVPELFMTDFPARLFLENSKLEHSEDEGSVLGQGGSGTVIYRARYQGQPVAVKRFHIKKFKNFANVPADTMLRHLRATDAMKNFSEFRQEASMLHALQHPCIVALIGISIHPLCFALELAPLSSLNTVLSENARDSSFIPLGHMLTQKIAYQIASGLAYLHKKNIIFCDLKSDNILVWSLDVKEHINIKLSDYGISRQSFHEGALGVEGTPGYQAPEIRPRIVYDEKVDMFSYGMVLYELLSGQRPALGHHQLQIAKKLSKGIRPVLGQPEEVQFRRLQALMMECWDTKPEKRPLALSVVSQMKDPTFATFMYELCCGKQTAFFSSQGQEYTVVFWDGKEESRNYTVVNTEKGLMEVQRMCCPGMKVSCQLQVQRSLWTATEDQKIYIYTLKGMCPLNTPQQALDTPAVVTCFLAVPVIKKNSYLVLAGLADGLVAVFPVVRGTPKDSCSYLCSHTANRSKFSIADEDARQNPYPVKAMEVVNSGSEVWYSNGPGLLVIDCASLEICRRLEPYMAPSMVTSVVCSSEGRGEEVVWCLDDKANSLVMYHSTTYQLCARYFCGVPSPLRDMFPVRPLDTEPPAASHTANPKVPEGDSIADVSIMYSEELGTQILIHQESLTDYCSMSSYSSSPPRQAARSPSSLPSSPASSSSVPFSTDCEDSDMLHTPGAASDRSEHDLTPMDGETFSQHLQAVKILAVRDLIWVPRRGGDVIVIGLEKDSGAQRGRVIAVLKARELTPHGVLVDAAVVAKDTVVCTFENENTEWCLAVWRGWGAREFDIFYQSYEELGRLEACTRKRR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKCVTFLLLLPETLKKLKRASKHPGRLSVCYNILTLSLKKRMAAELYPVSDHATLQKSGAVMLSLPEKKRNVEPVSQTTASIATTPTTEQNINNNNVEIPSWHSAHPTLRERNALMFNNEQMADVHFIVGPPGESQRVPAHKYVLAVGSSVFCAMFYGDLAEGDSDIHIPDVEPAAFLILLKYMYSDEIELAPDTVLATLYAAKKYLVSALARACVGFLETSLEARNACVLLSQSRLFEEPELTQRCWEVIDAQAELALRSEGFSEIDLPTLESILHRETLNVKESVVFQAVLGWADAECRRQGLSPTSQNQRSVLGKALHLVRLPSMTLQEFADGAAQVDILTLEETHSIFLWYTAATKPSLGFPVNAREGLTAQRCHRFQSSAYRSNQWRYRGRCDSIQFAVDKRVFIAGLGLYGSSGGKAEYSVRIELKRQGVLLAQNLTKFVSDGSSSTFPVWFEHPVQVEQDAFYTVSAVLDGSELSYFGQEGMTEVQCGKVTFQFQCSSDSTNGTGVQGGQIPELIFYA", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSGSTIVSSDKSGRTFKHEEELPKLPLPKLCDTLQRLKESLEPLYYADGYYQHPLDPEQIEKLSSIIRDFEENPVSEKLQSKLQSYHDTRDCYLDELHLDINNQTSTREIQDDVLPRNPFLVLADDALPNITQADRSAVLVHSAARFISALKQDLLPPDINATNGKPLSMAPFLNLFGTTRSPVFQRGEVENFDLNKPYTASDLEDPDYSSDEDDNDEPTQKDFDDRKRKHEEDIFTGNGITIKRHPDSKHILIISRGQYYTLEVLDSTNKIIYTAAELTTIFNHIIKDSSGIEKSTALGSLTSHSFRNWKYARKRLQKRYPNELHRIDSALFVLVLDESQEETTNDGDDTADISQMFNRTITERDKKCTSANCKRVFYGTSIINSKGHQVGSCVSRWYDKLQLVVTADAKATVIWDSFTCDGSVVLRFTSEIYTESVLRLARDVNAGDPQFSLWPNVTQMDPETKKLMTATISADGGGPSEIDPKLVVNKIDWSFSNILNTHVHLSETKLADLISKYDIVRASIPLGRRSAQRLGVKPDSMVQVALQIAHYALYGRMVFGLEPVSTRGFKNSRSSFINIQSQALLELCQLFISSSIDGTDKLDKFIQTCETHNNMVKHAKSGVGYEKHFNALKYLFKFHDHFGIHLSGDESSAAKDLFENPLVLPFSQPELIVANCGNAATTTFGITPAVPHGFGIGYIIKDDQVDLTVTSQFRQGDRLMFMLSWVLGEIRSYWRMSRGTSHNKTGVKISPVVDKLYEMDNAVNNPPKRNGHTVNGSRKTSSSSQVNLNRYGGFFDLEGHIDSRNISKTPSMKNLQKTFNGLTMSADNDHSSSAVSVPTEKEKLNTGHEILQIQPREVASNGLEADDETDIEIVAGNADGTSSSASSATSLNSKKRNVINSRFDIDFDRSRVGRKVATLDQ", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEGQSRSGSAKSGTRTGLGPLPGTHGALQTGTPSKKVNSSFQLPAKNTGPTPSEPRLALAPVGPRAAVSPPSERPRLALSSPRPILAPLSTAGEQKRPPPHRSSKPAPTSVGQLVVSAAAGPKPPPVASVSILAPKSLGQLVISASAMPRPTPAPLGPILSPTSRDQKQLSPTSVGPKPALATSGLSLALASQEQPPQSPSSPSPVPSPVLSPSQESHLAPATVTSTPASERQLPARQKDTAVRRPIPPADGCLHTPVQAAGLATSPPRAQTSSDPRLSPSFRARPEAPRHSPEDPVLPPPPQTLPLDVSSGLPESGTRSPGLLSPTFRPGIPSNQTVPPPLPKPPRSPSRSPSRSPNRSPCVPPAPEVALPRPVTQGAGPGKCPSPNLQTQESPVATATSPTSSWSAQPTCKSDPGFRITVVTWNVGTAMPPDDVTSLLHLGGGHDSDGADMIAIGLQEVNSMINKRLKDALFTDQWSELFMDALGPFNFVLVSTVRMQGVILLLFAKYYHLPFLRDVQTDCTRTGLGGYWGNKGGVSVRLAAFGHMLCFLNCHLPAHMDKAEQRKDNFQTILSLQQFQGPGAHGILDHDLVFWFGDLNFRIESYDLHFVKFAIDSNQLHQLWEKDQLNMAKNTWPILKGFQEGPLNFAPTFKFDVGTNKYDTSAKKRKPAWTDRILWKVKAPSGGPSPSGRESHRLQVTQHSYRSHMEYTVSDHKPVAARFLLQFAFRDDVPLVRLEVADEWARPEQAVVRYRVETVFARSSWDWIGLYRVGFRHCKDYVAYVWAKHEEVDGNIYQVTFSEESLPKGHGDFILGYYSHHHSILIGVTEPFQISLPTSESASSSTDSSGTSSEGEDDSTLELLAPKSRSPSPGKSKRHRSRSPGLARFPSLALRPSSRERRGGSRSPSPQSRQLPRVAPDRGHSSGSRGSSEEGPSGPPGPWAFPPAVPRSLGLLPALRLETVDPGGGGSWGPDQEAPDPNSLSPSPQGRLGLEDGGLGP", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSRGPEEVNRLTESTYRNVMEQFNPGLRNLINLGKNYEKAVNAMILAGKAYYDGVAKIGEIATGSPVSTELGHVLIEISSTHKKLNESLDENFKKFHKEIIHELEKKIELDVKYMNATLKRYQTEHKNKLESLEKSQAELKKIRRKSQGSRNALKYEHKEIEYVETVTSRQSEIQKFIADGCKEALLEEKRRFCFLVDKHCGFANHIHYYHLQSAELLNSKLPRWQETCVDAIKVPEKIMNMIEEIKTPASTPVSGTPQASPMIERSNVVRKDYDTLSKCSPKMPPAPSGRAYTSPLIDMFNNPATAAPNSQRVNNSTGTSEDPSLQRSVSVATGLNMMKKQKVKTIFPHTAGSNKTLLSFAQGDVITLLIPEEKDGWLYGEHDVSKARGWFPSSYTKLLEENETEAVTVPTPSPTPVRSISTVNLSENSSVVIPPPDYLECLSMGAAADRRADSARTTSTFKAPASKPETAAPNDANGTAKPPFLSGENPFATVKLRPTVTNDRSAPIIR", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGKGCKVVVCGLLSVGKTAILEQLLYGNHTIGMEDCETMEDVYMASVETDRGVKEQLHLYDTRGLQEGVELPKHYFSFADGFVLVYSVNNLESFQRVELLKKEIDKFKDKKEVAIVVLGNKIDLSEQRQVDAEVAQQWAKSEKVRLWEVTVTDRKTLIEPFTLLASKLSQPQSKSSFPLPGRKNKGNSNSEN", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAQKVEAQGGKGANLWDDGSTHDAVTKIQLAAGIDGIQYVQFDYVKNGQPEQAPLRGTKGRVLPADPFVINHPDEHLVSVEGWYSPEGIIQGIKFISNKKTSDVIGSDEGTHFTLQVKDKKIIGFHGSAGGNLNSLGAYFAPLTTTTPLTPAKQLTAFGSDDGTVWDDGAYVGVKKVYVGQAQDGISAVKFVYDKSPEEVTGEEHGKSTLLGFEEFVLDYPSEYITAVDGTYDKIFGSDGSVITMLRFKTNKQTSPPFGLEAGTVFELKEEGHKIVGFHGRADVLLHKIGVHVRPLSN", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEGGSELLFYVNGRKVTEKNVDPETMLLPYLRKKLRLTGTKYGCGGGGCGACTVMISRYNPITKKIRHYPANACLTPICSLYGAAVTTVEGIGSTKTRIHPVQERIAKCHGTQCGFCTPGMVMSLYTLLRNHPEPTLTQLNDALGGNLCRCTGYRPIINACKTFCKTSGCCQSKENGVCCLDQGMNGLPEFEEGNETSLKLFSEEEFLPLDPTQELIFPPELMTMAEKKTQKTRIFGSDRMTWISPVTLKELLEAKVKYPQAPVVMGNTSVGPDMKFKGIFHPVIISPDRIEELSVVNYTDNGLTLGAAVSLAEVKDILANVTRKLPEEKTQMYHALLKHLETLAGPQIRNMASLGGHIVSRHPDSDLNPLLAVGNCTLNLLSKEGRRQIPLNEQFLRKCPSADLKPEEILISVNIPYSRKWEFVSAFRQAQRQQNALAIVNSGMRVCFGKGDGIIRELSIAYGGVGPTTILANNSCQKLIGRPWNEEMLDAACRLILDEVSLPGSAPGGRVEFKRTLIVSFLFKFYLEVSQILKGMDLVHYPSLASKYESALEDLHSRHYWSTLKYQNADLKQLSQDPIGHPIMHLSGIKHATGEAIYCDDMPVVDRELFLTFVTSSRAHAKIVSIDVSAALSLPGVVDILTGEHLPGINTTFGFLTDADQLLSTDEVSCVGQLVCAVIADSEVQARRAAQQVKIVYQDLEPVILTIEEAIQNKSFFEPERKLEYGNVDEAFKMVDQILEGEIHMGGQEHFYMETQSMLVVPKGEDREIDVYVSAQFPKYIQDITASVLKVSANKVMCHVKRVGGAFGGKVTKTGVLAAITAFAANKHGRPVRCILERGEDILITGGRHPYLGKYKAGFMNDGRILALDMEHYNNAGAFLDESLFVIEMGLLKLENAYKFPNLRCRGWACRTNLPSNTALRGFGFPQAGLITEACITEVAAKCGLPPEKVRMINMYKEIDQTPYKQEINTKNLTQCWKECMATSSYTLRKAAVEKFNSENYWKKKGLAMVPLKYPIGLGSVAAGQAAALVHIYLDGSVLVTHGGIEMGQGVHTKMIQVVSRELRMPLSSIHLRGTSTETIPNTNPSGGSVVADLNGLAVKDACQTLLKRLKPIISKNPKGTWKDWAQAAFNESISLSATGYFRGYESNINWETGEGHPFEYFVYGAACSEVEIDCLTGAHKNIRTDIVMDVGYSINPALDVGQIEGAFIQGMGLYTIEELNYSPQGVLYTRGPNQYKIPAICDIPMELHISFLPPSENSNTLYSSKGLGESGIFLGCSVFFAIHDAIRAARQERGLPGPLRLNSPLTPEKIRMACEDKFTKMIPRDEPGSYVPWSVPI", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASEVIALCHSFEQELAKSLNVLPPVSASKPDAHDAHLNHHRLSQRIAESVSYYAGRLPAYASVPRILVFGDKLFRAEQYQLALQACYKHIRGLELHSSRENLPRMDAQARLSSHVQACFGCAACEAALLLASDGSVKHPDTLQWLVSCLAQLRAAMSLALPDERLYWLVLNGTVHVYGIAKAMITAGFAEQALPALVFCIKALEGHVAFAAPKYLPWRTQLYTWAVYGLADCGAVEQARALLADGLKRLEMLVALQKLDPVPAAPAVQAAFAAARGALVGLQLRVEMAAGAAVTPVLAQLSAGAAGAGAVGAAGPTARAGLAALVEALHVPHRRVVRTEAVSGGPLKELFDAAMAVAAPLIGDLKKATEAAAAADAAAAAAAVAADAASAEAETAGGADAAAPADTGAQQQASAAAAAADEAAVAASAALGAAQEALPCALHKGLLCAAYNLEQWKQFEELASLATSRSDVVYADAVPTGTGSTTTTAAAAADVDQTAAQLGTAASILTALRQLSTAPGVESLRTLAAMLQQALSRGITVSGTAGAAPPPPPRRVSMTGMLAGGSASPPNGSSGAGGAAATLTATAAGITQTAGSPSHNGGAAGATASAGGGAAGAPPRPQQSSLAPWQQLRDLIADASLALYGSARPLIDGVFCADDKEAGLVAELLAACHSAWAAVELDDGELRVAAALKLALLLEEEGRLLAAREVLMQAKSVVEQSRVELMVANRRAPDEHLRWVTASRSQPSDDTAALVQGMTASEQELACLQVDVLALLARVELGLGVSEQQGRATARRTAVMEEQAKRTAQSSIFGQRNAAELARDEARLVAAGATPPNPQMKERELLTACAKNPYERAVVLMQMTSFQGADAGRKTQLLQEAGDLLVKAQAAEDGLFAAQQPDLRARRDVPPQPKLLQRSPTSVTLVAHPPGPGLKQPPGARKPPSRYVAYCKSFGAGVGLSINKTATEYPGSGVSVPLGQPVTIQVALPCTLPQLRAPFLEEQVARLKSARLLVLGMEVAALLPDEPLMQEGALRTYGLLAPLLALRAPRSHLLHKALAACHAVLASLTNLVQDSLYRQEHQRSLAARVAAAVTYQLLRLSDEAGEVGAAAHFGRLQLELLKAYDPRFALAGRPALLPGAELQEEASQLHDVLLQHPKLSEWAPEPLVERQKDASDLVARVLPVLGTPAPMDTWSQALGFETAAEHPRWVELVVRMVEAAVRKGNPGNATVVAEQLTWWVRARLRRPPPPPLDAYEAEAAEAAAAGGTAPPWPAKWKLEEAAAALDAATVAASFEPPPVPSTEGMSPEEASAALARHAAVAAAAEATARQRLAAVLLLQKRMPALLARKRLIEKMRENRKRWSPWMARLNLVLGLQAAADARRYAANAPARARAAAAAAEAAAAAADAPGPETSGVAGSRPGTAAVNMPPPVPDPSAAGPPPLTPPEGITPPLQAMMHFARAAHLAARGGAWVEVGNAARHAWNLARATLSADPALTAPLPPVRWERGDAPQPAVAPESILVPAGAGDAGGKKGSKKGGKDDKKKDDKAPAKTPSSPKGGARSSARSKKGFPVEEAPPPPRTYPVVVGARPNMQRAARSLADAVLELVSALRDGLQVYTWVAPVNPRHRFDGPPPRRAALAASASTAGAGLGEEERPVTARVTDSEFSYGSDLQADIWFKEGPLDLAWVSRFLGLAAVVLSRGERWHALVEAGRQWARLSEGAFNERIMPWLLQAAPKAGVDPAPFQSAMDALIRDKNQALDQLDKVRTLVRERLGDTPLMAQSMGHKVRKRKTRAAILAAGPGSGAGGGGGSGLPDSDRASLVSAAYTYRTASTYKTKASQPDFLRIPGEYEKVIEVLKRRNEKGAMLLALHELGDVHAHFGNWGGAATSWNDTLDTLLGPYQALRNWRGRLDGMSPAGTLQAYGLHGLLLGCVLAGKLARYVHHDHLHLRLEAHRLTARLAFCAFSAHLGHPQRRAGFATYTPRELWAAGTDAWLVWSDPYRCPVVDLAGALEGAAAALLDAGLALEALPVLALWEHVTRHVLRNLHGTVLCRLLRVRALVALGLLAEAVEVTGGLMGAAALPDPTLDSDYVLKDTSGAVVEPVPAPPYDNSKLPGEPGNKAALTHIADTPLAPAVEKLYGSWLVAHLALARAQVLMLAGSVPNQWRGVDWRTGERTAAPKPASPAKGAAKGAAAAAPEPPGAGLPEPVEPVMLERAHVLLRKALAMASGEDQPPSEEPSSAGKPAAAGKPAPARAPSPGRAKSPTGKGKGKGGAGDGAGSAAAAPPEVPGPPPPPPPSASQRAEVNVRALLLMSELEQLRWMPSRGLAHALEAARFLGEHADHVNTPQQTDNDELERYTLAPALWFLARGAAVRCAAALGHAAHVHELVAAATSAHAPSEPGSAKVPSGLPELLHCTGMAHVAALTLAAEGRTSDALAALAAVAARYRSLCVYDGRLAAVLLDAAALRDRLGLREDAAELTAQGLAVAEGYCLELGLGEALEAPELTNVYLDGTALYAHALGAAAVHASRRQQHAEAERCAARAVLLLRSHTRALPATHAAALLLLGRTCRMVALCGDGVPVDGQPTLASGAPPASTATLTSAGAGAPGDPAATAVAAGTARAAAGGFNASAGALARTATAGRGGGSAGSSGGGVAATAAKLSAARSALCASITLAAVDGGHLRSLMRGALLELGSIFIAGLDARSAAACLRAGHAAAAKADLVALSSHTLAPVAAAQLPDWALAHVRGQEALFGKKSSNGAISGAAGAAGSARPVATSSSGARPPGTPPGGKPGAGGGSGDGLSDADAARMVFCLLGGLLKGLEALPVGGGARARGEAQVAALHAALRAACAKYGTDACFAEPPLPPSPPDAVPPPPEGSVIVQWHCQDGCWQEARSWRAEGSSGAPDGPLSDSALLALQPVPAYASLLFVVAAPSHDGSPGPHCGEVTFAVKDVRELQRRAKALRARVEAPKAATDILGYAAPSQVELGELLRAAERLLSAVPRNSEDGSSSAGFSAADSGLGGFGSSELMEGEVRPELDVAFLCKLEALLALEAGLDVNDKTLGSWLVQTLPVMM", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKVEIDSFSGAKIYPGRGTLFVRGDSKIFRFQNSKSASLFKQRKNPRRIAWTVLFRKHHKKGITEEVAKKRSRKTVKAQRPITGASLDLIKERRSLKPEVRKANREEKLKANKEKKKAEKAARKAEKAKSAGTQSSKFSKQQAKGAFQKVAATSR", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNFIRNNRRALIQRMGLTVTKQICDDLFALNVLNNQEANVIYCEPLEQEAARKIIHMTMQKGSAACNLFLKSLENWDYFVYQDLTGQNLSYQVTEEDLNVLAQNLKDLYNSPAFLNFYPLGEDIDIIFNLEKTFTEPIMWKKDHRHHRVEQLTLGSLLEALKSPCLIEGESGKGKSTLLQRIAMLWASGGCRALKGFRLVFFIHLRSARGGLFETLYDQLLNIPDFISKPTFKALLLKLHKEVLFLLDGYNEFHPQNCPEIEALIKENHRFKNMVIVTTTTECLRHIRHVGALTAEVGDMTEDSAKDLIEAVLVPDQVERLWAQIQESRCLRNLMKTPLFVVITCAIQMGRQEFQAHTQTMLFQTFYDLLIQKNSHRYRGGASGDFARSLDYCGDLALEGVFAHKFDFEPEHGSSMNEDVLVTIGLLCKYTAQRLKPTYKFFHKSFQEYTAGRRLSSLLTSKEPEEVSKGNSYLNKMVSISDITSLYGNLLLYTCGSSTEATRAVMRHLAMVYQHGSLQGLSVTKRPLWRQESIQSLRNTTEQDVLKAINVNSFVECGINLFSESMSKSDLSQEFEAFFQGKSLYINSENIPDYLFDFFEYLPNCASALDFVKLDFYERATESQDKAEENVPGVHTEGPSETYIPPRAVSLFFNWKQEFKTLEVTLRDINKLNKQDIKYLGKIFSSATNLRLHIKRCAAMAGRLSSVLRTCKNMHTLMVEASPLTTDDEQYITSVTGLQNLSIHRLHTQQLPGGLIDSLGNLKNLERLILDDIRMNEEDAKNLAEGLRSLKKMRLLHLTHLSDIGEGMDYIVKSLSEESCDLQEMKLVACCLTANSVKVLAQNLHNLIKLSILDISENYLEKDGNEALQELIGRLGVLGELTTLMLPWCWDVHTSLPKLLKQLEGTPGLAKLGLKNWRLRDEEIKSLGEFLEMNPLRDLQQLDLAGHCVSSDGWLYFMNVFENLKQLVFFDFSTEEFLPDAALVRKLSQVLSKLTLLQEVKLTGWEFDDYDISAIKGTFKLVTA", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVIMSEDASVPAPSAAQPRPLRVGFYDIERTLGKGNFAVVKLARHRVTKTQVAIKIIDKTRLDPSNLEKIYREVQIMKLLNHPHIIKLYQVMETKDMLYIVTEFAKNGEMFDHLTSNGHLSESEARKKFWQILSAVEYCHSHHIVHRDLKTENLLLDANMNIKLADFGFGNFYKSGEPLSTWCGSPPYAAPEVFEGKEYEGPHLDIWSLGVVLYVLVCGSLPFDGPNLPTLRQRVLEGRFRIPYFMSEDCETLIRRMLVVDPTKRITISQIKQHKWMQADPSLRQQQSLSFSMQNYNSNLGDYNEQVLGIMQTLGIDRQRTVESLQNSSYNHFAAIYYLLLERLKEYRSSQLSSRPATGRQQRPRSSEISNAEMPQDSLTSETLRSSLLYQQPQSLIQPSLQAEMDCDMNNPLQPVFFPVDPNFNGLFRNRSISPSSLLETTISEEVRQEKELEDEIKAYDHPIRIPSNTSRRHTLAEVTTHFYQHAPPCIVISSSASPTEGTSSDSCLTSSSNDSSVALSSCLAGQVMTGSPATARMTSAFLASQSDAPVLQVQGCMGGASLLPVSFQEGRRASDTSLTQGLKAFRQQLRKNARAKGFLGLNKIKGFARQVCQSSSSRAARSAMSPFQHAQPNTCIYSSSGSSREGRNLLEEVLQQQRMLQLQHHQLLQPACPQTSQTSATNGLPPSDSAGTCKASNSLLLSELQRENSFELAFGGNSQLLQPHFFGVSVSPVSSAAHLLDTHLYISSNVSPVGTTFSQQQSFSAQSPSYDAVTLQHGDCEMEDLTSNQLGKFVLVK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAEQEPTAEQLAQIAAENEEDEHSVNYKPPAQKSIQEIQELDKDDESLRKYKEALLGRVAVSADPNVPNVIVTRLTLVCSTAPGPLELDLTGDLESFKKQSFVLKEGVEYRIKISFRVNREIVSGMKYIQHTYRKGVKIDKTDYMVGSYGPRAEEYEFLTPMEEAPKGMLARGSYNIKSRFTDDDKTDHLSWEWNLTIKKEWKD", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPQTSAWQLNDTTARPPPPPPPPGSEAGGSDGASMNGANTLPRVSKRVSAAGKTSNIPRFKRPHLPHSTRPLSAVLTSSSSPVVHRKISPSSSAPSTSSAHRRFSHLPQQHFHHHIHHNQTAVISEETLTTPRASTLNLNQTSVFPTAISQGSMPNSGTNTAEASMTSSVCAMETEGTNGDELAESRDMVSEMQRRCRIGPSGYPHLLKAKRLRFYRNGDQYFKGIQYALQSDRVKSMQPLMEDLMKTVICDSTALPHGIRHIFTIDGAQRITSVDQFEDGGGYVCSSTDAFKPVDYSRAAEPSWRLTLANRYNRHLETKKLALSVVEPCHENTDFVFPRIIKVIRNGVKPRRISRHLLNKKTARSFDQVLRDLTFVVKLDSGAIRKLFTLSGRPVLSLQDFFRDDDVFVAYGGNEKMAADDLLVASEEHKSVGSGTSSNMRRTSRRSTMPNRNESLRHDRSGSVIPDQDQQRLPPLLDEKFQLVRLIGDGNTAVVYEVIDKTNNDDRKAMKVIARENVIGKEHLIEMELAILQKIDHTFIVQLYDHWFVDDSYYLSLELIEMGDLFEHLRIVRRVPERDAVRMMTCLGQALEYIHELGIVHRDVKLENLLIVKDEFGELGVKLADFGLAAEMPKDFGVLSTICGTPTYVAPEVLNKTGYGCKVDIWAAGVILYAILVGFPPFQSSDGSEQDLFSAIMSGEFSFPSPSWDDVSWSVRHLIMCLIHTDPFHRYSAGELLNDEWMVNLGDVDPEYEEWAHRFVQSKMHVEEEQETPYEYYTSRRTSMDELSESAAVEFSYSCES", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAFVPVIPESYSHVLAEFESLDPLLSALRLDSSRLKCTSIAVSRKWLALGSSGGGLHLIQKEGWKHRLFLSHREGAISQVACCLHDDDYVAVATSQGLVVVWELNQERRGKPEQMYVSSEHKGRRVTALCWDTAILRVFVGDHAGKVSAIKLNTSKQAKAAAAFVMFPVQTITTVDSCVVQLDYLDGRLLISSLTRSFLCDTEREKFWKIGNKERDGEYGACFFPGRCSGGQQPLIYCARPGSRMWEVNFDGEVISTHQFKKLLSLPPLPVITLRSEPQYDHTAGSSQSLSFPKLLHLSEHCVLTWTERGIYIFIPQNVQVLLWSEVKDIQDVAVCRNELFCLHLNGKVSHLSLISVERCVERLLRRGLWNLAARTCCLFQNSVIASRARKTLTADKLEHLKSQLDHGTYNDLISQLEELILKFEPLDSACSSRRSSISSHESFSILDSGIYRIISSRRGSQSDEDSCSLHSQTLSEDERFKEFTSQQEEDLPDQCCGSHGNEDNVSHAPVMFETDKNETFLPFGIPLPFRSPSPLVSLQAVKESVSSFVRKTTEKIGTLHTSPDLKVRPELRGDEQSCEEDVSSDTCPKEEDTEEEKEVTSPPPEEDRFQELKVATAEAMTKLQDPLVLFESESLRMVLQEWLSHLEKTFAMKDFSGVSDTDNSSMKLNQDVLLVNESKKGILDEDNEKEKRDSLGNEESVDKTACECVRSPRESLDDLFQICSPCAIASGLRNDLAELTTLCLELNVLNSKIKSTSGHVDHTLQQYSPEILACQFLKKYFFLLNLKRAKESIKLSYSNSPSVWDTFIEGLKEMASSNPVYMEMEKGDLPTRLKLLDDEVPFDSPLLVVYATRLYEKFGESALRSLIKFFPSILPSDIIQLCHHHPAEFLAYLDSLVKSRPEDQRSSFLESLLQPESLRLDWLLLAVSLDAPPSTSTMDDEGYPRPHSHLLSWGYSQLILHLIKLPADFITKEKMTDICRSCGFWPGYLILCLELERRREAFTNIVYLNDMSLMEGDNGWIPETVEEWKLLLHLIQSKSTRPAPQESLNGSLSDGPSPINVENVALLLAKAMGPDRAWSLLQECGLALELSEKFTRTCDILRIAEKRQRALIQSMLEKCDRFLWSQQA", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGGCVGAQHDSSGSLNENSEGTGVALGRNQPLKKEKPKWKSDYPMTDGQLRSKRDEFWDTAPAFEGRKEIWDALKAAAHAFESNDHELAQAIIDGANITLPHGALTECYDELGNRYQLPVYCLAPPINMIEEKSDIETLDIPEPPPNSGYECQLRLRLSTGKDLKLVVRSTDTVFHMKRRLHAAEGVEPGSQRWFFSGRPLTDKMKFEELKIPKDYVVQVIVSQPVQNPTPVEN", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEPLKSLFLKSPLGSWNGSGSGGGGGGGGGRPEGSPKAAGYANPVWTALFDYEPSGQDELALRKGDRVEVLSRDAAISGDEGWWAGQVGGQVGIFPSNYVSRGGGPPPCEVASFQELRLEEVIGIGGFGKVYRGSWRGELVAVKAARQDPDEDISVTAESVRQEARLFAMLAHPNIIALKAVCLEEPNLCLVMEYAAGGPLSRALAGRRVPPHVLVNWAVQIARGMHYLHCEALVPVIHRDLKSNNILLLQPIESDDMEHKTLKITDFGLAREWHKTTQMSAAGTYAWMAPEVIKASTFSKGSDVWSFGVLLWELLTGEVPYRGIDCLAVAYGVAVNKLTLPIPSTCPEPFAQLMADCWAQDPHRRPDFASILQQLEALEAQVLREMPRDSFHSMQEGWKREIQGLFDELRAKEKELLSREEELTRAAREQRSQAEQLRRREHLLAQWELEVFERELTLLLQQVDRERPHVRRRRGTFKRSKLRARDGGERISMPLDFKHRITVQASPGLDRRRNVFEVGPGDSPTFPRFRAIQLEPAEPGQAWGRQSPRRLEDSSNGERRACWAWGPSSPKPGEAQNGRRRSRMDEATWYLDSDDSSPLGSPSTPPALNGNPPRPSLEPEEPKRPVPAERGSSSGTPKLIQRALLRGTALLASLGLGRDLQPPGGPGRERGESPTTPPTPTPAPCPTEPPPSPLICFSLKTPDSPPTPAPLLLDLGIPVGQRSAKSPRREEEPRGGTVSPPPGTSRSAPGTPGTPRSPPLGLISRPRPSPLRSRIDPWSFVSAGPRPSPLPSPQPAPRRAPWTLFPDSDPFWDSPPANPFQGGPQDCRAQTKDMGAQAPWVPEAGP", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPNDPSDNQLKTYKETYPKPQVITTSNGAPIYSKTAVLTAGRRGPMLMQDVVYMDEMAHFDRERIPERVVHAKGAGAHGYFEVTHDITKYCKADMFNKVGKQTPLLVRFSTVAGESGSADTVRDPRGFSLKFYTEEGNWDLVGNNTPIFFIRDAIHFPNFIHALKRNPQTHMRDPNALFDFWMNRPESIHQVMFLYSDRGIPDGFRFMNGYGAHTFKMVNKEGNPIYCKFHFKPAQGSKNLDPTDAGKLASSDPDYAIRDLFNAIESRNFPEWKMFIQVMTFEQAEKWEFNPFDVTKVWPHGDYPLIEVGKMVLNRNVKNYFAEVEQAAFCPAHIVPGIEFSPDKMLQGRIFSYTDTHYHRLGPNYIQLPVNCPYRSRAHTTQRDGAMAYESQGDAPNYFPNSFRGYRTRDDVKESTFQTTGDVDRYETGDDHNYEQPRQFWEKVLKEEERDRLVGNLASDLGGCLEEIQNGMVKEFTKVHPDFGNALRHQLCQKKH", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMVKIQDSVYLVTGGGSGLGEATAKLLLTEGARVTIFSRNEYKNEFPHDQVLSVKGDVRSESDVKRALEATIQKFGKLDGVMHCAGVFQNGDELFNMDTQQPGDYTVLTDIVTTNLLGTFNVNRLAIPYFLTNQPDEEGQKGIIINCSSTSGHSPMSSAVAYSTSKAAIIGLSYALAKQLSTLGIRVMDIAPALCDTPMFRRAVGFNQDIANFRNLFPARLIQPIEYANAVKHIIETPMLNGSSYQLDGALRP", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDSASSMRNRSPLGVPEFSYSSQSVNNIRGIKSRNRSSIGHSITDPQVASRINAFYLSNVQSSIPFETDAIGPAYGIGDMIAPEMNDTQSLSASVTNMKKENFYSRPNVSSSSILLTIKRATSSQETKRDRPLPNIRNSAPSATRSHSTPCVAPGYLRTSNEAADVVFPHEEAHFSNHNPKPNNGSPLQKQVVADLPFPLPVSDEEQLDWIRANEDLVHSQDIDEALEWAEYVLRFTQSHLPYLQTYESENLHEINYLESMCENALYKIREFSELENAKAMYFDAYVYETGAFDVESDIQRAWDLYSSSANLGYTRSLYRLGVLLEDQGNLEEAVEYFEKGVSENDSACCWRLSLLILEGMLDGVGEYAHRHASGLELLERSADTADADVPSGLYSHALVNLHEHPGLVDLGSENIRVPIDEATALKSFAKAAFLGHSSAQLRMGAVYEFGKYGCPVVPRYSLFYYSAAAKRGETEADLAVAKWYLNGSDGIPVDEDLAFMHAERASMAGNANAQFLMGYLFDTRGNTEQATYWYNEAAKAGHSEAIERLALLENQIQEPEPENITSSQYPNQDVIKEIPVTASETSPPHAPAVSSTPVTSAPPVSQTKVTKVSVPKKTSKKFLIKHNKCIIS", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDAKARNCLLQHREALEKDIKTSYIMDHMISDGFLTISEEEKVRNEPTQQQRAAMLIKMILKKDNDSYVSFYNALLHEGYKDLAALLHDGIPVVSSSSGKDSVSGITSYVRTVLCEGGVPQRPVVFVTRKKLVNAIQQKLSKLKGEPGWVTIHGMAGCGKSVLAAEAVRDHSLLEGCFPGGVHWVSVGKQDKSGLLMKLQNLCTRLDQDESFSQRLPLNIEEAKDRLRILMLRKHPRSLLILDDVWDSWVLKAFDSQCQILLTTRDKSVTDSVMGPKYVVPVESSLGKEKGLEILSLFVNMKKADLPEQAHSIIKECKGSPLVVSLIGALLRDFPNRWEYYLKQLQNKQFKRIRKSSSYDYEALDEAMSISVEMLREDIKDYYTDLSILQKDVKVPTKVLCILWDMETEEVEDILQEFVNKSLLFCDRNGKSFRYYLHDLQVDFLTEKNCSQLQDLHKKIITQFQRYHQPHTLSPDQEDCMYWYNFLAYHMASAKMHKELCALMFSLDWIKAKTELVGPAHLIHEFVEYRHILDEKDCAVSENFQEFLSLNGHLLGRQPFPNIVQLGLCEPETSEVYQQAKLQAKQEVDNGMLYLEWINKKNITNLSRLVVRPHTDAVYHACFSEDGQRIASCGADKTLQVFKAETGEKLLEIKAHEDEVLCCAFSTDDRFIATCSVDKKVKIWNSMTGELVHTYDEHSEQVNCCHFTNSSHHLLLATGSSDCFLKLWDLNQKECRNTMFGHTNSVNHCRFSPDDKLLASCSADGTLKLWDATSANERKSINVKQFFLNLEDPQEDMEVIVKCCSWSADGARIMVAAKNKIFLFDIHTSGLLGEIHTGHHSTIQYCDFSPQNHLAVVALSQYCVELWNTDSRSKVADCRGHLSWVHGVMFSPDGSSFLTSSDDQTIRLWETKKVCKNSAVMLKQEVDVVFQENEVMVLAVDHIRRLQLINGRTGQIDYLTEAQVSCCCLSPHLQYIAFGDENGAIEILELVNNRIFQSRFQHKKTVWHIQFTADEKTLISSSDDAEIQVWNWQLDKCIFLRGHQETVKDFRLLKNSRLLSWSFDGTVKVWNIITGNKEKDFVCHQGTVLSCDISHDATKFSSTSADKTAKIWSFDLLLPLHELRGHNGCVRCSAFSVDSTLLATGDDNGEIRIWNVSNGELLHLCAPLSEEGAATHGGWVTDLCFSPDGKMLISAGGYIKWWNVVTGESSQTFYTNGTNLKKIHVSPDFKTYVTVDNLGILYILQTLE", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDLCLKGSQINLATRQKTKPKYTSRSLTTLHNPCPHFRPRSANFLQQRSRSSPFLGRPQSADPKFGRRLSNYFVEKELRNGGKRQVSSNDLLKSLLEEPIKRSWLCRSTCNSSESDYSLHKRTPDSSEEGEQFLVNMPVGEKVKSYSSYSGNQGLSNGALLQRTAKPDLPGRVSFSKPNMHADLDSSDCDNDKQEVRPSISAPGPLTLPSFLSKVEQADPVGQKKSVHFGSTAAEGEVLAETYEYPKCPSENCTCSTRSSSTTSTNEASASDVKCACDAPSCRFMESSKQVEPTSPTPTLPKAPSSELDVIREYKQAVEGVQVVKNHLGTDTLNNIEILPNYLDKYASPTKEKQNNLSETKNMATNSSAVNNGSVVYRPVGNPRNFGAENNFLPAVQDDRRSFANGSSDGVINNYLKVASTPPFVGKKKENVKPASADPIARSSKSKVTKSTINPAPLGKMKKAISVGSLREERKLSEYNLDKVDSWMSMQDQKQYDGKHKPGLEDLDEAQDNDTASQLSLKSNEDSRDSTYDEIVSVIKEIEEDKKRDNFSEGIPSELNLNLDSRCETADTVTVSEGKVPESGDKYKDILAYLNNVESSCDKTLMETRRSIPDSNRSEVEFVVEPDVTDEVPKLSELLMLPNHQLARRVIALSLRANELANAIHMSKEHVFQLRGEKQKSLRAEKSTAAAKLRDQKKHYEEVVTRHQGFIEQLLKDKGSLCEKVAALTRRLESQNQAWEHRLETELARTKETTMAGEKIRRERWVRENTKKIKELTVKGLEAEINKMNCDHQREVTELKRTHQMQLLDALEEARTKHEQIETSIRESCAQDREAIIEKERTAIRERFERQLEEEQRTQAEQRQKLTEEFAAERDRLQSELRQRENEHQARRQEALREQEQELEQAKFEMQERMAKQEEKYQNRVNTIEQQYQADFELWKTEHENKTKLAQAEKENAIRQHYRAERDRQLDELVVRMEADALQHKEEHELKMNRLKEKYEKDLVLAESVEKSLREKYAETRGKLAEADAQVRNSQAEVKQLQLELSHSKKMCGDIIMERDRLRDNLNADIQSELGVLNERHKQEMDQLQKRVHQTIQRQEETIEILKGDNDALRQQCLKLNAVIRQQRKDYCVK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSYDKKADVTSLDEDLRQLRESKFSPEAIQNIKIWVYKSVLKEIAPPGDLLECLKDGTVLCKLANILYEADTGEANHISWKSSKMPFVQMDQISQFLSFSRKYGVPEDELFQTIDLFEKKDPAIVFQTLKSLSRYANKKHTDRFPVLGPQLSTKKPRPPVKSKPKHLQDGTGWSTFEYGYMKGASQATEGVVLGQRRDIV", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDSDTCTVPDHFLPRKLSKQNLDRFIPNRSAMDFDFANYALTQGRKRNVDEITSASRKAYMTQLAVVMNQNRTRILAFRNKPKALLSSNHSDSPHQNPKSVKPRRYIPQNSERVLDAPGLMDDFYLNLLDWGSANVLAIALGDTVYLWDASSGSTSELVTIDEDKGPVTSINWTQDGLDLAVGLDNSEVQLWDFVSNRQVRTLIGGHESRVGSLAWNNHILTTGGMDGKIVNNDVRIRSSIVGTYLGHTEEVCGLKWSESGKKLASGGNYNVVHIWDHRSVASSKPTRQWLHRFEEHTAAVRALAWCPFQATLLATGGGVGDGKIKFWNTHTGACLNSVETGSQVCSLLWSQRERELLSSHGFTQNQLTLWKYPSMSKMAELNGHTSRVLFMAQSPNGCTVASAAGDENLRLWNVFGEPPKTTKKAASKNYLELFSHVNSLR", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRIRSATPSLILLVIAIVFFVLAICTPPLANNLTLGKYGDVRFGVFGYCLNSNCSKPLVGYNSDYLDEHAKDGFRTSVIVRQRASYGLVIVPVSACICLISTIMTIFAHIGAIARSPGFFNVIGTITFFNIFITAIAFVICVITFVPHIQWPSWLVLANVGIQLIVLLLLLVARRQATRLQAKHLRRATSGSLGYNPYSLQNSSNIFSTSSRKGDLPKFSDYSAEKPMYDTISEDDGLKRGGSVSKLKPTFSNDSRSLSSYAPTVREPVPVPKSNSGFRFPFMRNKPAEQAPENPFRDPENPFKDPASAPAPNPWSINDVQANNDKKPSRFSWGRS", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASKYERILSDCRSKNVLWEDPDFPAVQSSVFYYQTPPFTFQWKRIMDLADSGSGAVAANSSAAPVFLNESAEFDVVPGKMGDRWLVSCLGLLSSLRNLFYRVVPADQTLASAHGVFRFRLWWCGEWVEVLVDDRLPTINGRLAFMQPQASNCFWAALLEKAIAKLHGSYEALKYGTRSDGLTDLLGGVVRQMPILSDNIRPQTLKELLTTTCIVTCLADKSATVAKKNLAERMPNGILVNVNYRLSSLDKVKTLMGDSVQLVCLKDTFSSKPFGEKTHFLGDWSPMSKTWERVSQVERARLIRQLGPGEFWLSFCDFVEIFSTMEVVYLDTETSNDEEMLKSRPLHWKMKMHQGQWKRGVTAGGCRNHESFHINPQLLISVQDEQDLVIALNQHTAVEPKVIGFTMYTWDGEYMLSECLQKDFFKNHVSYLNSDYGNTRHVSYHTHLEAGHYVLIPTTYEPAEEAHFTVRILGTGSFRLSCLETQTMILLDPFPALKSTDAERCGGPKVKSVCQYEPVYMQLADENKTINCFELHELLEACLPNDYIKGCANIDICRQVIALQDRSGSGRITFQQFKTFMVNLKSWQGVFKMYTKEKAGILRAERLRDALCDIGFQLSTDIMNCLIQRYIRKDGTLRLSDFVSAVIHLTTAFNQFHLKNYGQVNVIEVHLHDWIKSILSC", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSGGSARSLGKGSAPPGPVPEGLIRVYSMRFCPFAQRTLLVLNAKGIRHQVININLKNKPEWFFQKNPSGLVPVLENSQGQLIYESAITCEYLDEAYPGKKLLPDDPYEKACQKMVFELSSKVPPLLIRFIRRENEADCSGLKEELRKEFSKLEEVLTKKKTTYFGGSSLSMIDYLIWPWFERLEALELNECIDHTPKLKLWMAAMMKDPAVSALHIEPRDLRAFNDLYLQNSPEACDYGL", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSTLNVPKETMSRKDPEKFFTIVEKLGEGSYGSVYKAINISTGIVVAIKKVSVDNDLEDMEKEISFMKQCKSPYIVTYYASFRKENEVWIVMEHCGAGSVCDAMKITDKTLSEDQIAVVSRDVLQGLAYLHSVRKIHRDIKAGNILMNHKGESKLADFGVSGQLSDTMAKRQTVIGTPFWMAPEVIQEIGYDYKADIWSYGITCIEMAESKPPLFNVHPMRVIFMIPNPSRPPPKLTEPEKWSPEFNDFLAKCLTRKPELRPSAEELLKHPFITKAKSHSLLVPLIDEQDIIINEKGREVALGIEQRDEEEEDEDEDSEDSDDNRGTMVRAKPRSMQNSGGEDNDEEYDTGTMVITDNKNSYDTVVFNNDDEDSGTMKLKNTMPSNKKNFVPDYMNQFKKSDDDVTNVPLSDKYSSYSLEELKKMLAELEIEREKEVQKTLEKFSINRQALLAVIDEKKSK", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MESAPIVLDNGTGFVKVGYAKDNFPRFQFPSIVGRPILRAEEKTGNVQIKDVMVGDEAEAVRSLLQVKYPMENGIIRDFEEMNQLWDYTFFEKLKIDPRGRKILLTEPPMNPVANREKMCETMFERYGFGGVYVAIQAVLSLYAQGLSSGVVVDSGDGVTHIVPVYESVVLNHLVGRLDVAGRDATRYLISLLLRKGYAFNRTADFETVREMKEKLCYVSYDLELDHKLSEETTVLMRNYTLPDGRVIKVGSERYECPECLFQPHLVGSEQPGLSEFIFDTIQAADVDIRKYLYRAIVLSGGSSMYAGLPSRLEKEIKQLWFERVLHGDPARLPNFKVKIEDAPRRRHAVFIGGAVLADIMAQNDHMWVSKAEWEEYGVRALDKLGPRTT", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSNPRMFQSRGKRVVSDSLPIANSTHTLEDTLKLRPDWTIALSQHETETRSTRTSCSTTTESTIAMRSKQKAIISVLEEFRDSEAAYVHDLHVAQRYYADRLSDRVKKSEWKDVFEIFLVLCKQASLFEIEMHKSLNDEINYILDDQDACLKPKPSVAQLFLSWLPKLSAVYGRYCVIQENIGKKVEKWMKNSSISEYLQECDSMAKIESNSWNLDSFLVKPVQRFLKYPLLLNQLYRSASLGIISDYVLLGEACHKSEIASQRMNELKRRRDIIITALDSVSNSQEVLLLSTDSIDKKIAKLQNSTNIFYVPEHEPILAFVHQLSSSYTNLLNLRSAICDWLKFSRYHYLKFFTFVEAYSVFCKDTKSADKWALISVALDNIAKGAVLRLTEQCQTSVLRPISNGILFFRNPLCVTDVWIKKATAFSKRRQSQVFEEDLESFPLLSNCLLEELPLFLEMARNVTDECILAFAQIQATFYDTIQKVLEPVVAKFNLTDHQDIPSIESIMDFTSLRSSMESSPKSK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSRQFTCKSGAAAKGGFSGCSAVLSGGSSSSFRAGSKGLSGGFGSRSLYSLGGVRSLNVASGSGKSGGYGFGRGRASGFAGSMFGSVALGPVCPTVCPPGGIHQVTVNESLLAPLNVELDPEIQKVRAQEREQIKALNNKFASFIDKVRFLEQQNQVLETKWELLQQLDLNNCKNNLEPILEGYISNLRKQLETLSGDRVRLDSELRNVRDVVEDYKKRYEEEINKRTAAENEFVLLKKDVDAAYANKVELQAKVESMDQEIKFFRCLFEAEITQIQSHISDMSVILSMDNNRNLDLDSIIDEVRTQYEEIALKSKAEAEALYQTKFQELQLAAGRHGDDLKNTKNEISELTRLIQRIRSEIENVKKQASNLETAIADAEQRGDNALKDARAKLDELEGALHQAKEELARMLREYQELMSLKLALDMEIATYRKLLESEECRMSGEFPSPVSISIISSTSGGSVYGFRPSMVSGGYVANSSNCISGVCSVRGGEGRSRGSANDYKDTLGKGSSLSAPSKKTSR", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEQVIQNNCSEVDPHVLSYCTGYANDAIKDVDEASDHTISLIRNLLLDAGAREEAVQLIQNELEKQFKEREEHLDTLQTNGLVRLKETIRMDSQSEISSTMGLVGEKMELDAVKGRKVESRVDRRKLEKAERKIRAKWEKRTIKAEYESSKLVQPEQKSYEEFYMAVNPLDLSGSNQGKSKDIKIDGIDLAFAGHRILTGASLTLAQGRRYGLTGRNGIGKSTLLRALSRREIAIPTHITILHVEQEMTGDDTPALQSVLDADVWRKYLIQDQEKITNRLSTIEKELEELSKDQTADQAISRRLERERDELDLRLLDIQNKLSEMDSDRAESRAATILAGLGFTQEMQSHATKTFSGGWRMRLSLARALFCQPDLLLLDEPSNMLDVPSIAFLSEYLQTYKNIVLVVSHDRSFLNEVATDIIHQHSERLDYYKGNFSQFYATREERCKNQLREYEKQMEYRKHLQSFIDKFRYNAAKSSEAQSRIKKLEKLPILEKPQTEEEVEFEFPPVEKISPPILQMSDVNFEYVPGHPILKHVDIDVQMDSRIGVVGPNGAGKSTMLKLLIEQLHPTSGIVSRHPRLRIAYFAQHHVDTLDLNLNALSFLAKTFPGKGEEEYRRHLGAFGVSGPLALQKMITLSGGQKSRVAFACLGLQNPHILILDEPTNHLDMESMDALTRAVKRFQGGVILVSHDVDFLDKTCTSIWQCDHNVVSKFDGTISQYKKFCLSQQPTMTIKT", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSSPVIGITFGNTSSSIAYINPKNDVDVIANPDGERAIPSALSYVGEDEYHGGQALQQLIRNPKNTIINFRDFIGLPFDKCDVSKCANGAPAVEVDGKVGFVISRGEGKEEKLTVDEVVSRHLNRLKLAAEDYIGSAVKEAVLTVPTNFSEEQKTALKASAAKIGLQIVQFINEPSAALLAHAEQFPFEKDVNVVVADFGGIRSDAAVIAVRNGIFTILATAHDLSLGGDNLDTELVEYFASEFQKKYQANPRKNARSLAKLKANSSITKKTLSNATSATISIDSLADGFDYHASINRMRYELVANKVFAQFSSFVDSVIAKAELDPLDIDAVLLTGGVSFTPKLTTNLEYTLPESVEILGPQNKNASNNPNELAASGAALQARLISDYDADELAEALQPVIVNTPHLKKPIGLIGAKGEFHPVLLAETSFPVQKKLTLKQAKGDFLIGVYEGDHHIEEKTLEPIPKEENAEEDDESEWSDDEPEVVREKLYTLGTKLMELGIKNANGVEIIFNINKDGALRVTARDLKTGNAVKGEL", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSVSLVVIRLELAEHSPVPAGFGFSAAAGEMSDEEIKKTTLASAVACLEGKSPGEKVAIIHQHLGRREMTDVIIETMKSNPDELKTTVEERKSSEASPTAQRSKDHSKECINAAPDSPSKQLPDQISFFSGNPSVEIVHGIMHLYKTNKMTSLKEDVRRSAMLCILTVPAAMTSHDLMKFVAPFNEVIEQMKIIRDSTPNQYMVLIKFRAQADADSFYMTCNGRQFNSIEDDVCQLVYVERAEVLKSEDGASLPVMDLTELPKCTVCLERMDESVNGILTTLCNHSFHSQCLQRWDDTTCPVCRYCQTPEPVEENKCFECGVQENLWICLICGHIGCGRYVSRHAYKHFEETQHTYAMQLTNHRVWDYAGDNYVHRLVASKTDGKIVQYECEGDTCQEEKIDALQLEYSYLLTSQLESQRIYWENKIVRIEKDTAEEINNMKTKFKETIEKCDNLEHKLNDLLKEKQSVERKCTQLNTKVAKLTNELKEEQEMNKCLRANQVLLQNKLKEEERVLKETCDQKDLQITEIQEQLRDVMFYLETQQKINHLPAETRQEIQEGQINIAMASASSPASSGGSGKLPSRKGRSKRGK", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRKLNPALEFRDFIQVLKDEDDLIEITEEIDPNLEVGAIMRKAYESHLPAPLFKNLKGASKDLFSILGCPAGLRSKEKGDHGRIAHHLGLDPKTTIKEIIDYLLECKEKEPLPPITVPVSSAPCKTHILSEEKIHLQSLPTPYLHVSDGGKYLQTYGMWILQTPDKKWTNWSIARGMVVDDKHITGLVIKPQHIRQIADSWAAIGKANEIPFALCFGVPPAAILVSSMPIPEGVSESDYVGAILGESVPVVKCETNDLMVPATSEMVFEGTLSLTDTHLEGPFGEMHGYVFKSQGHPCPLYTVKAMSYRDNAILPVSNPGLCTDETHTLIGSLVATEAKELAIESGLPILDAFMPYEAQALWLILKVDLKGLQALKTTPEEFCKKVGDIYFRTKVGFIVHEIILVADDIDIFNFKEVIWAYVTRHTPVADQMAFDDVTSFPLAPFVSQSSRSKTMKGGKCVTNCIFRQQYERSFDYITCNFEKGYPKGLVDKVNENWKRYGYK", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGSGISSESKESAKRSKELEKKLQEDAERDARTVKLLLLGAGESGKSTIVKQMKIIHKNGYSEQECMEFKAVIYSNTLQSILAIVKAMTTLGIDYVNPRSAEDQRQLYAMANTLEDGGMTPQLAEVIKRLWRDPGIQACFERASEYQLNDSAAYYLNDLDRITASGYVPNEQDVLHSRVKTTGIIETQFSFKDLHFRMFDVGGQRSERKKWIHCFEGVTCIIFCAALSAYDMVLVEDEEVNRMHESLHLFNSICNHKYFSTTSIVLFLNKKDIFQEKVTKVHLSICFPEYTGPNTFEDAGNYIKNQFLDLNLKKEDKEIYSHMTCATDTQNVKFVFDAVTDIIIKENLKDCGLF", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAALDSLSLFTSLGLSEQKARETLKNSALSAQLREAATQAQQTLGSTIDKATGILLYGLASRLRDTRRLSFLVSYIASKKIHTEPQLSAALEYVRSHPLDPIDTVDFERECGVGVIVTPEQIEEAVEAAINRHRPQLLVERYHFNMGLLMGEARAVLKWADGKMIKNEVDMQVLHLLGPKLEADLEKKFKVAKARLEETDRRTAKDVVENGETADQTLSLMEQLRGEALKFHKPGENYKTPGYVVTPHTMNLLKQHLEITGGQVRTRFPPEPNGILHIGHAKAINFNFGYAKANNGICFLRFDDTNPEKEEAKFFTAICDMVAWLGYTPYKVTYASDYFDQLYAWAVELIRRGLAYVCHQRGEELKGHNTLPSPWRDRPMEESLLLFEAMRKGKFSEGEATLRMKLVMEDGKMDPVAYRVKYTPHHRTGDKWCIYPTYDYTHCLCDSIEHITHSLCTKEFQARRSSYFWLCNALDVYCPVQWEYGRLNLHYAVVSKRKILQLVATGAVRDWDDPRLFTLTALRRRGFPPEAINNFCARVGVTVAQTTMEPHLLEACVRDVLNDTAPRAMAVLESLRVIITNFPAAKSLDIQVPNFPADETKGFHQVPFAPIVFIERTDFKEEPEPGFKRLAWGQPVGLRHTGYVIELQHVVKGPSGCVESLEVTCRRADAGEKPKAFIHWVSQPLMCEVRLYERLFQHKNPEDPTEVPGGFLSDLNLASLHVVDAALVDCSVALAKPFDKFQFERLGYFSVDPDSHQGKLVFNRTVTLKEDPGKV", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSKGKVLLVLYEGGKHAEEQEKLLGCIENELGIRNFIEEQGYELVTTIDKDPEPTSTVDRELKDAEIVITTPFFPAYISRNRIAEAPNLKLCVTAGVGSDHVDLEAANERKITVTEVTGSNVVSVAEHVMATILVLIRNYNGGHQQAINGEWDIAGVAKNEYDLEDKIISTVGAGRIGYRVLERLVAFNPKKLLYYDYQELPAEAINRLNEASKLFNGRGDIVQRVEKLEDMVAQSDVVTINCPLHKDSRGLFNKKLISHMKDGAYLVNTARGAICVAEDVAEAVKSGKLAGYGGDVWDKQPAPKDHPWRTMDNKDHVGNAMTVHISGTSLDAQKRYAQGVKNILNSYFSKKFDYRPQDIIVQNGSYATRAYGQKK", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSKGKVLLVLYEGGKHAEEQEKLLGCIENELGIRNFIEEQGYELVTTIDKDPEPTSTVDRELKDAEIVITTPFFPAYISRNRIAEAPNLKLCVTAGVGSDHVDLEAANERKITVTEVTGSNVVSVAEHVMATILVLIRNYNGGHQQAINGEWDIAGVAKNEYDLEDKIISTVGAGRIGYRVLERLVAFNPKKLLYYDYQELPAEAINRLNEASKLFNGRGDIVQRVEKLEDMVAQSDVVTINCPLHKDSRGLFNKKLISHMKDGAYLVNTARGAICVAEDVAEAVKSGKLAGYGGDVWDKQPAPKDHPWRTMDNKDHVGNAMTVHISGTSLHAQKRYAQGVKNILNSYFSKKFDYRPQDIIVQNGSYATRAYGQKK", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRQSDRGAELTNEDRALPTPPDPENGLSGILRLLLQELSLFYSRDVNGLCLLYDLLHSPWLQALLKVYDCLQRFKEKKLVPDTTHAQILACEVLELLPKASGSPEIQELRQVLQAPHCKALLSAHDTVAQKDFEPLLPPLPDNIPDSEEAMRIVCLVKNQQPLGATIKRHEITGDILVARVIHGGLVERSGLLYAGDKLVEVNGVSVEGLDPEQVIHILAMSCGTIMFKVIPVSAPPVSSQKMVYVRAMIDYWPQEDPDIPCMDAGLPFLKGDILQIVDQNDALWWQARKISDLTICAGLIPSNHLLKRKQREFWWSQPYQPHTCLKSTRALSMEEEDSMKIDEKCVEADEETFESEELAEAKDEFVGDGQKFFIAGFRRSMRLCRRKSHFSQLHASLCCSCSCYSAVGAPYEEVVRYQRQPADKHRLIVLVGPSGVGVNELRRQLIGCNPSCFQSAVPHTTRFPKSYEMDGREYHYVSRETFESLMYGHKMLEYGEYKGHLYGTSVNAVHAVLDEGKICIMDLEPQDIQSARTRDLKPYVIFIKPPNTSSMRHSRKNAKITTDYYVDMKFKDEDLQEMEELAQKMESQFGQFFDHVIVNDNLQDACGQLLSAIQKAQEELQWVPEAWVSPDTES", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASDSGNQGTLCTLEFAVQMTCQSCVDAVRKSLQGVAGVQDVEVHLEDQMVLVHTTLPSQEVQALLEGTGRQAVLKGMGSGQLQNLGAAVAILGGPGTVQGVVRFLQLTPERCLIEGTIDGLEPGLHGLHVHQYGDLTNNCNSCGNHFNPDGASHGGPQDSDRHRGDLGNVRADADGRAIFRMEDEQLKVWDVIGRSLIIDEGEDDLGRGGHPLSKITGNSGERLACGIIARSAGLFQNPKQICSCDGLTIWEERGRPIAGKGRKESAQPPAHL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASSEVARHLLFQSHMATKTTCMSSQGSDDEQIKRENIRSLTMSGHVGFESLPDQLVNRSIQQGFCFNILCVGETGIGKSTLIDTLFNTNFEDYESSHFCPNVKLKAQTYELQESNVQLKLTIVNTVGFGDQINKEESYQPIVDYIDAQFEAYLQEELKIKRSLFTYHDSRIHVCLYFISPTGHSLKTLDLLTMKNLDSKVNIIPVIAKADTVSKTELQKFKIKLMSELVSNGVQIYQFPTDDDTIAKVNAAMNGQLPFAVVGSMDEVKVGNKMVKARQYPWGVVQVENENHCDFVKLREMLICTNMEDLREQTHTRHYELYRRCKLEEMGFTDVGPENKPVSVQETYEAKRHEFHGERQRKEEEMKQMFVQRVKEKEAILKEAERELQAKFEHLKRLHQEERMKLEEKRRLLEEEIIAFSKKKATSEIFHSQSFLATGSNLRKDKDRKNSNFL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFVDDPSLHTLKRRRLEITDSMEASSSAQAKIADMREKLGREVRVFETSSISQRPSQVSSADDESDDFYEFTPADFYRLLATKKEDKSLKTRKIREAEEAARRSKLTKAVIRVRFPDNHTLEATFHPSEKIQGLIDLVKRVVAHPDVPFYLYTTPPKKQIKDFSQDFYSAGFVPGAIVYFSNDQPKDDGGSSTPYLNEEILSLKDLEAMTKAVEPVESSSEPATVDSSAVPVEHERKSTEKKTTKPKWFKM", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEPSTLYFYVNGRRVTEKNVDPETMLLPYLGRNLRLTGTKYGCGGGGCGACTVMVSRYDRGTGQIRHYPACACLTPLCSLHGAAVTTVEGVGSTRTRLHPVQERIAKSHGTQCGFCTPGMVMSLYALLRSHPQPSEEQLLEALAGNLCRCTGYRPILDAGKTFCKTSGCCQSKENGVCCLDQGVNGVQEAEGEQTSQELCSEEEFVPLDPTQELIFPPELMILAQKQPQKSRVFTGDRVTWISPVTLKDLLEAKAKNPRAPVVMGNTSVGPEMKFKGVFHPVIISPDGIEELSVIKQGNEGLTLGAGLSLAQVQDVLADVVQQLPEEKTQTLCALLKQLRTLAGSQIRNMASLGGHIMSRHLDSDLNPVLAAASCTLHVPSQEGDRQIPLDEHFLSRSPSADLRPQEVLLSVTIPYSRKWEFVSAFRQAQRKRSARAIVNVGMRVFFGAGDGVISELCILYGGVGPAIVCATDACRKLVGRHWTEEMLDEACRLVLGEVAIPGAAPGGRVEFRRTLLVSFLFRFYLQVSQSLSRMDPGRYPSLVGKYESALEDLCLGHHQRTFELQSADAKQLPQDPIGRPIMHLSGIKHTTGEAIYCDDMPLVDRELSLAFVTSSRAHAAILSMDLSEALSLPGVVDIVTAEHLGDANSFAKETLLATDKVLCVGHLVCAVIADSEVQAKRAAEKVKIVYQDLEPLILTIEEAIQHDSFFETERKLESGDVAEAFRTAEQVLEGSIHMGGQEHFYMETQSMLAVPKGEDQEIDLYVSTQFPTYIQEIVASTLKLPVNKVMCHVRRVGGAFGGKVGKTAILAAITAFAALKHCRAVRCILERGEDMLITGGRHPYLGKYKVGFRNNGQVVALDMEHYSNAGSTLDESLMVVEMGLLKMENAYKFPNLRCRGHACKTNLPSNTALRGFGFPQSGLITEACIVEVAARCGLSPEEVREVNMYRGTEQTHYGQEIHTQRLAQCWSECKAKATFSLRRAAVDRFNAGSPWKKRGLAMVPLKFPVGLGSVAMGQAAALVHVYLDGSVLLTHGGIEMGQGVHTKMIQVVSRELKMPMANVHLRGTSTETVPNANVSGGSVVADLNGLAVKDACQTLLKRLEPIISKNPKGTWKEWAQAAFDQSISLSAIGYFRGYDADMDWEKGKGHPFEYFVYGAACSEVEIDCLTGNHKNIRTDIVMDVGRSINPALDLGQVEGAFIQGMGLYTSEELKYGPQGALYTRGPDQYKIPAVCDVPAELHVFFLPPSKNSNTLYSSKGLGESGVFLGCSVLFAIWDAVSAARRERGLPGTLALSCPLTPEKIRMACEDRFTKMIPRDTPGSYVPWDVVV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSNGYEDHMDDVCRDDIGRTNLIVNYLPQNMTQDELRSLFSSIGEVESAKLIRDKVAGHSLGYGFVNYLNAKDAERAINTLNGLRLQSKTIKVSFARPSSETIKDANLYISGLPRTMTQKDVEDMFLPFGHIINSRVLVDQATGLSRGVAFIRFDKRSEAEEAIASFNGHKPPGSSEPITVKFAANPNQSKNMALLSQICHSPARRFGGPVHHQAQRFRFSPMGVDHMSSISSVNVASSASSGWCIFIYNLGQDADEGILWQMFGPFGAVTNVKVIRDFNTNKCKGFGFVTMTNYEEAAMAIASLNGYRLGDKTLQVSFKTSKSHK", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPVIPPEKLVSLQKNQENIRNFTLLAHVDHGKTTLADSLLASNGIISSKLAGTVRFLDFREDEITRGITMKSSAISLFFKVISQNDEKRVEKDYLINLIDSPGHVDFSSEVSSASRLCDGAFVLVDAVEGVCSQTITVLRQAWIDRIKVILVINKMDRLITELKLSPIEAHYHLLRLVEQVNAVIGTFYTGELMQLADNDEVISDEGIYFAPEQGNVVFASAYDGWAFCLDQFSEFYEKKLGLKQKALTKCLWGDYYLDPKTKRVLQPKHLQGRRLKPMFVQFVLENLWAVYESAVSNRNLENIEKIIKALNIKVLPRDIKSKDPRNLLLAIFQQWLPLSTAILLTAIREIPSPINAQANRARKVLSSTPHYEMIDPDITLAMESCDASKEQPVLVYISKMVAFSERDLPNHRRKQLSAEEMKLIRSKLSESIESGINTISIEENVSSTNSDNLEGSTTDMDDDKDILIGFARIYSGTISVGQEVYVYGPKYDPVNPEKHITKVTVESLYLMMGQELVYLETVPAGNVFAIGGLAGTVLRTATLCSSPNGPNLVGVTQQMEPIVRVALEPVRPFEMNKLVTGLDMLNQADPCVQIAVEENGEHVIMCAGEIHLERCLKDLRERFAKIEIQASQPLVPYRETTIATPDLLAKNKELSIGFVTATLPVGGVTIGITVTPLSGSVVDFLLKHSKTIENVSSNFSKKNRNVVVSESLTKSMEEVLTPEKFYERLSKLLEEENSDLGELKNHLDSIIAFGPKRVGPNILFDKTKKMRDFRRQSDETKLIPSDLSEYVVTAFQLITHQGPLCAEPVQGICVSIDQFDISDDSEDSKLLTINNPQIPGQVISVVKESIRHGFLGWSPRLMLAMYSCDVQATSEVLGRVYGVVSKRRGRVIDEEMKEGTPFFIVKALIPVVESFGFAVEILKRTSGAAYPQLIFHGFEMLDENPFWVPTTEEELEDLGELADRENIAKRYMLNVRKRKGLLVEQKIVEKAEKQRTLKH", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKKNRERFSSKEREFVYKFQIGSERLELRVPLRFPVDENASHLHGRLMLLHSLPCFIENDLKEALARFIEEESLRDHDSDAEACLEAVKSGEVDLHQLASTWAKAYAETTLEHARPEEPNWDEDFADVYHDLIHSPASETLLNLEHNYFVSISELIGERDVELKKLRERQGIEMEKVMQELGKSLTDQDVNSLAAQHFESQQDLENKWSNELKQSTAIQKQEYQEWVIKLHQDLKNPNNSSLSEEIKVQPSQFRESADAAGRIYEEQRKLEESFTIHLGAQLKTMHNLRLLRADMLDFCKHKRTQGSGVKLHRLQTALSLYSTSLCGLVLLVDNRINSYSGIKRDFATVCQECTDFHFPRIEEQLEVVQQVALYARTQRRSKCKEARDSGNQNGGSDEKSKNAERNYLNILPGEFYITRHSNLSEIHVAFHLCVDDNVKSGNITARDPAIMGLRNILKVCCTHDITTISIPLLLVHDMSEEMTIPWCLRRAELVFKCVKGFMMEMASWDGGISRTVQFLVPQSISEEMFYQLSNMLPQIFRVSSTLTLTSKH", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLSRAGKVVCIGRNYAAHIRELNNPFPTKPFFFLKPTSAIVEPGHGNLIIPPDVSAHYEVELGLIMKDRLPARRPVSSNSWLDSIGAYFVGIDMTARNIQNEAKKKGLPWSFAKGYDTFLPVGPIIPKHLIPDPHNVILELSLNGKVVQKDSTSLMLNKIPKIFSSITEAMSLNPGDLVLTGTPKGVGPVVPGDILSARLLTAQEQEIIPSKFEIKAEKCD", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MWKRSEQMKIKSGKCNMAAAMETEQLGVEIFETADCEENIESQDRPKLEPFYVERYSWSQLKKLLADTRKYHGYMMAKAPHDFMFVKRNDPDGPHSDRIYYLAMSGENRENTLFYSEIPKTINRAAVLMLSWKPLLDLFQATLDYGMYSREEELLRERKRIGTVGIASYDYHQGSGTFLFQAGSGIYHVKDGGPQGFTQQPLRPNLVETSCPNIRMDPKLCPADPDWIAFIHSNDIWISNIVTREERRLTYVHNELANMEEDARSAGVATFVLQEEFDRYSGYWWCPKAETTPSGGKILRILYEENDESEVEIIHVTSPMLETRRADSFRYPKTGTANPKVTFKMSEIMIDAEGRIIDVIDKELIQPFEILFEGVEYIARAGWTPEGKYAWSILLDRSQTRLQIVLISPELFIPVEDDVMERQRLIESVPDSVTPLIIYEETTDIWINIHDIFHVFPQSHEEEIEFIFASECKTGFRHLYKITSILKESKYKRSSGGLPAPSDFKCPIKEEIAITSGEWEVLGRHGSNIQVDEVRRLVYFEGTKDSPLEHHLYVVSYVNPGEVTRLTDRGYSHSCCISQHCDFFISKYSNQKNPHCVSLYKLSSPEDDPTCKTKEFWATILDSAGPLPDYTPPEIFSFESTTGFTLYGMLYKPHDLQPGKKYPTVLFIYGGPQVQLVNNRFKGVKYFRLNTLASLGYVVVVIDNRGSCHRGLKFEGAFKYKMGQIEIDDQVEGLQYLASRYDFIDLDRVGIHGWSYGGYLSLMALMQRSDIFRVAIAGAPVTLWIFYDTGYTERYMGHPDQNEQGYYLGSVAMQAEKFPSEPNRLLLLHGFLDENVHFAHTSILLSFLVRAGKPYDLQIYPQERHSIRVPESGEHYELHLLHYLQENLGSRIAALKVI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MALLLEGTSLARKVREELREQISSIKSVDPYFNVSLKIIQVGGREDSNVYVRMKTRAANEAGISCEHVNFPEDITEYDLLLAIKGFNEDPTVHGIIVQLPLPAHINEQIITEAVAPEKDVDGFCETNLGKLTKREGQPLFTACTPKGIMCILKHYGINVQGKHAVVIGRSNIVGRPMSILLEKANATVTLCHSKTESIADIVRTADIVVAAIGIPHFVKADWLKKGVVAIDVGINSIPDATKKSGYRLTGDIDFENAKEVASAITPVPGSVGPMTVAMLLQNVVESAVRFRKMSRKRKPTLLPLKLQTPVPSDIEIARSQTPKNIGDLASEIGIAKSELEFYGSHKAKVNLEILQRLAHRRDGHYVVVTGITPTPFGEGKSTLTAGLVQALSNLDKLAIACVRQPSQGPTFGIKGGAAGGGYSQFIPMEEFNLHLTGDIHAITAATNLLAAAIDTRMFHENTQSDAALYKRLTLVKGNKREFAPVMFRRLKKLGIDKTNPEELTEEEQRKFARLDIEPSTISWNRTLDVNDRFLRKITIGENPTEKGFTRQTGFDLSVASECMSVLALATDLKDMRERLGRMVVASNKSGEPVTADDLGVGGALTVLLKDAIKPTLMQTLEGTPALVHAGPFANISIGASSILADRIALKLAGTEVDEDAKKEAGYVVTEAGFASDIGMEKFFNIKCRTSGLKPDAIVIVATVQALKLHGGGPPVGPGKPIPEVYKREDVDLVRKGCANLAKHISNARKYGLPVVVAINKFSSDSPNEISAIREEALAAGATDAVDSNHWAEGGKGALGVARALINACENVDSEFRLLYDVHEPIEKKIEIIAKEMYGADGIELSPLAKERLETFTKQGYNNLPICIAKTQYSLSHDPDLKGAPTNFTVPIRDMRLSAGAGFIYPLAAAISTIPGLPTKPAYYNIDIAENGDIVGLS", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAEAEAAQLKEEGNRHFQLQDYKAATKSYSQALKLTKDKALLATLYRNRAACGLKMESYAQAASDASRAIDINSADIKALYRRCQALEHLGKLDQAFKDVQRCATLEPRNQNFQETLRRLNTSIQEQLRVQFSTDSRVQTMFEILLNENSEADKREKAANNLIVLGREEAGAERIFQSNGVALLLQLMNTQRPELLLAAVRTLSGMCSGHRARATAILHAVRIDRICSLMALENEEMSLAVCNLLQAIIDSLSGEDKREHRGKEEALVLDTKKDLKQITSHLLDMLVSKKVSGQGRDQALNLLNKNVPRKDLSIHDNSRTIYVVDNGLRKILKVVGQVPDLPSCLPLTDNTRMLASILINKLYDDLRCDPERDHFRKICEEYITSKFDPQDMDKNVNAIQTVSGILQGPFDLGNQLLGMKGVMEMMVALCGSEREADQLVAVEALIHASTKLSRATFIITNGVTLLKQIYKTTKNEKIKIRTLVGLCKLGSAGGSDYGLRQFAEGSTEKLAKQCRKWLCNTAIDTRTRRWAVEGLAYLTLDADVKDDFVQDIPALQAMFELAKARTSDKTILYSVANTLVNCTNSYDVKEVVPELVQLAKFSKQHVPEEHPKDKKDFVDLRVKRLLKAGVISALACMVKADSAILTDQTKELLARVFLALCDNPKDRGTIVAQGGGKALIPLALEGTDVGKVKAAHGLAKIAAVSNPDIAFPGERVYEVVRPLVSLLDTQRDGLQNYEALLGLTNLSGRSDKLRQKIFKEKALPDIENYMFENHDQLRQAATECMCNMVLNKEVQERFLADGNDRLKLVVLLCGEDDHKLQNAAAGALAMLTAAHKKLCLKMTEVTTQWLEILQRLCLHDQLSVQHRGLVIAHNLLSADAELARKLVESELLEILTVVGKQEPDEKRAAVVQTARECLIKCMDYGFIKPVS", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKKYLLYMVQVHLNFRRAELESLADLYNLSIDFSQYDANSPFFIVELENDQQAKDWIKRSILTRGIYEYWGQGTTLDELHKDIQRQSNFEQDLQLKFKHSTFKFEFECYKGNSKAKRVEQIETFRYLGFEGKIDMKHPQEVFTVIEEYTPISENVGGKTPTRIYFGRQVQMSNRSAMEKYDLKKRPYKGTTSFEAELSLVSANIAQVKPGTIMYDPFAGTGSFLVAGGHFGSLVIGSDIDGRMIRGKGAQVNISANFKKYGESSQFLDVLTMDFTNNALRNNLVIDTILCDPPYGIRESIKVLGAKDPERFLGKEDMEIDGEKAYLRRDYIPTKKPYALDSLLDDLLQYSSERLPIGGRLAFWMPTANDANIETIVPMHENLELKYNCVQEFNKWSRRLLVYINRGSTFNGSSNHGIKRSKDNFRERYFNNFN", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAPPSVFAEVPQAQPVLVFKLTADFREDPDPRKVNLGVGAYRTDDCHPWVLPVVKKVEQKIANDNSLNHEYLPILGLAEFRSCASRLALGDDSPALKEKRVGGVQSLGGTGALRIGADFLARWYNGTNNKNTPVYVSSPTWENHNAVFSAAGFKDIRSYRYWDAEKRGLDLQGFLNDLENAPEFSIVVLHACAHNPTGIDPTPEQWKQIASVMKHRFLFPFFDSAYQGFASGNLERDAWAIRYFVSEGFEFFCAQSFSKNFGLYNERVGNLTVVGKEPESILQVLSQMEKIVRITWSNPPAQGARIVASTLSNPELFEEWTGNVKTMADRILTMRSELRARLEALKTPGTWNHITDQIGMFSFTGLNPKQVEYLVNEKHIYLLPSGRINVSGLTTKNLDYVATSIHEAVTKIQ", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEAEDIQEELTCPICLDYFQDPVSIECGHNFCRGCLHRNWAPGGGPFPCPECRHPSAPAALRPNWALARLTEKTQRRRLGPVPPGLCGRHWEPLRLFCEDDQRPVCLVCRESQEHQTHAMAPIDEAFESYRTGNFDIHVDEWKRRLIRLLLYHFKQEEKLLKSQRNLVAKMKKVMHLQDVEVKNATQWKDKIKSQRMRISTEFSKLHNFLVEEEDLFLQRLNKEEEETKKKLNENTLKLNQTIASLKKLILEVGEKSQAPTLELLQNPKEVLTRSEIQDVNYSLEAVKVKTVCQIPLMKEMLKRFQVAVNLAEDTAHPKLVFSQEGRYVKNTASASSWPVFSSAWNYFAGWRNPQKTAFVERFQHLPCVLGKNVFTSGKHYWEVESRDSLEVAVGVCREDVMGITDRSKMSPDVGIWAIYWSAAGYWPLIGFPGTPTQQEPALHRVGVYLDRGTGNVSFYSAVDGVHLHTFSCSSVSRLRPFFWLSPLASLVIPPVTDRK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGSGISSESKESAKRSKELEKKLQEDAERDARTVKLLLLGAGESGKSTIVKQMKIIHKNGYSKQECMEFKAVVYSNTLQSILAIVKAMTTLGIDYVNPRSREDQQLLLSMANTLEDGDMTPQLAEIIKRLWGDPGIQACFERASEYQLNDSAAYYLNDLDRLTAPGYVPNEQDVLHSRVKTTGIIETQFSFKDLNFRMFDVGGQRSERKKWIHCFEGVTCIIFCAALSAYDMVLVEDEEVNRMHESLHLFNSICNHKYFATTSIVLFLNKKDLFQEKVTKVHLSICFPEYTGPNTFEDAGNYIKNQFLDLNLKKEDKEIYSHMTCATDTQNVKFVFDAVTDIIIKENLKDCGLF", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSVDKAELCGSLLTWLQTFHVPSPCASPQDLSSGLAVAYVLNQIDPSWFNEAWLQGISEDPGPNWKLKVSNLKMVLRSLVEYSQDVLAHPVSEEHLPDVSLIGEFSDPAELGKLLQLVLGCAISCEKKQDHIQRIMTLEESVQHVVMEAIQELMTKDTPDSLSPETYGNFDSQSRRYYFLSEEAEEGDELQQRCLDLERQLMLLSEEKQSLAQENAGLRERMGRPEGEGTPGLTAKKLLLLQSQLEQLQEENFRLESGREDERLRCAELEREVAELQHRNQALTSLAQEAQALKDEMDELRQSSERAGQLEATLTSCRRRLGELRELRRQVRQLEERNAGHAERTRQLEDELRRAGSLRAQLEAQRRQVQELQGQRQEEAMKAEKWLFECRNLEEKYESVTKEKERLLAERDSLREANEELRCAQLQPRGLTQADPSLDPTSTPVDNLAAEILPAELRETLLRLQLENKRLCRQEAADRERQEELQRHLEDANRARHGLETQHRLNQQQLSELRAQVEDLQKALQEQGGKTEDAISILLKRKLEEHLQKLHEADLELQRKREYIEELEPPTDSSTARRIEELQHNLQKKDADLRAMEERYRRYVDKARMVMQTMEPKQRPAAGAPPELHSLRTQLRERDVRIRHLEMDFEKSRSQREQEEKLLISAWYNMGMALQQRAGEERAPAHAQSFLAQQRLATNSRRGPLGRLASLNLRPTDKH", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGAGTLLNGLEKENFPNNIHSDLPAYPNMDSQEDGNTSKESKRNSPVKQKSQKDEEKSSKMGTASNIFHENKDIHERSEHTDDFNDGLKLAPDSSPSLKECQFKNWESFWCNTEGYKTKHMQPFHFTSGLEEIKEPVMELNISTSPYKGQRPNSAPTEYSAATTAFTKTQLEVSFLKTNLLTYIKKEIDICLSSVPFFDDAVQMQKKFLEYRDIDLDEEYELKILGELLNDLNFFHMQENSLLNRELAVRRFSNQPESQNLPSIRDFRNPLLPIDNRPSPPLGLKRNGKSFEETYDFTSNTSNFWGEKAELQNSITGGTPYFFHPNNIHQTKPFMSFENQNELLFQRKNSDYKQHFNSGRNIHNGVESKSYRGVGLNDSYQKGYAAMTKSFGNIDLNRMPRRSNEEMYSWSRN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTSGIYYKGLQCWIPDEQSQWIPGSIKDCRVEGEKAFLTVQDENENETVITVKPDDLNYEGRNGLPFLRSINSDADDLTDLSYLNEPSVLDALSTRYNQLQIYTYSGIVLIAVNPFQRLPNLYTHEIVRAYSEKSRDELDPHLYAIAEDSYKCMNQEHKNQTIIISGESGAGKTVSARYIMRYFASVQALIQSTDSNFHEAPQLTAVENEILATNPIMEAFGNSKTSRNDNSSRFGKYIQILFDGNATIIGAKIQTYLLERSRLVFQPNQERNYHIFYQILAGSSSEQLEKWKLVENSQEFNYLKQGNCSTIEGVNDKEEFKATVDALKTVGIDNDTCECIFSLLAALLHIGNIEVKHSRNDAYIDSKNENLINATSLLGVDPSSLVKWLTKRKIKMASEGILKPLNEFQAVVARDSVAKFLYASLFDWLVATINKALMYSADKSNQTAKSFIGVLDIYGFEHFKKNSFEQFCINYANEKLQQEFYRHVFKLEQEEYAAEGLNWSYIDYQDNQQCISMIESRLGILSLLDEECRMPTNSDENWVSKLNDAFSKPEFKNSYQKSRFGNKEFTIKHYALDVVYCAEGFIDKNRDTISDELLELFTNSDVPFVKDLVLFRLEQTAPPADTKKIKTKPKSNTLGSMFKSSLVSLMSTINETNAHYIRCIKPNEEKEAWKFDNQMVVSQLRACGVLETIKISCAGFPSRWTFDEFVSRYYMLVPSAVRTTESLTFSKAILEKHADPTKYQIGKTKIFFRSGVTPLLESARDKALKHAAHLLYEAFAVNYYRTRFLLSRKRVRSFQAVAHGFLSRRHTEYELLSSNIIKLQSLWRTALKRKEFIQTKNSILKVQSIIRGFLLRQTLEEKTKHDATLIIQSLWLTFKAHKHYKELQYYAVRIQSLWRMKLAKRQLTELKIESTKASHLKQVSYRLESRLFEISKQLDNSEQENNKFRERIAELESHLSNYAEAKLAQERELEQTRVLISDQSQDGELKELLEEKENALIMMEEEMRQVNDANTELLRVNATLKSQLKNYDMIIVEQTSQLKEKNRIIASLTKATKILNSASSIEQSRNSEEKSRRDSSLMEMRTQKEMLVLLMNDGLKHDLDKLTEYAGRTFTTLKTLLLKDNDVEAQKLDHLFLAKLLFIIISQMWKSNLCQESVALVERYCVHTLEYVFQKTSSANERPDIGFWVANTHALLAFVYTKQQAFKHSSAFTLLSTESHESVQTIFEMIESHLSKIFFEWVRQVNNFLKPLIVQAMIITGTNTDAGDENRKLRIKFFEKPKYKITDVIHVLNKVHDSCQAYKVNYEIYNALIRSIYRFINVEAFNSLFIDERGSWKRGTNISYNYHVLKDWCLESGVPEAYLQLEELLQTSKILQFVKDDPNYVARVRDFYALNFLQIKTLLHRYDYADYEAHVPKKTMSELSKNIVAEGINQREQLTYEVLDYRLQDSFEESPSLEKIKIPDDCNVTYLRRIIDLASAEESVEQALITVGNVADNDVQNSSDEENQVPNGIKV", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAKPALKAAKEALVVKNYELAIEQSKKALSFDANNYNANVFLGVAYFSTKQLSESKEAYLDAIKIDEKAVLAWQGLWNLYESTHDISELHKITPILASKFLELEEQNKCLNTVNKYMEVVKKYGNKADEFKALKLLTPEEGEIYEYLEGRVLPLQTVYMQMVDIQESMDRCYFESEVNKRKTRLGARLEQVMRDVELEIYKDSPLETLYNQIINYAETDEIRRLTESKLLHMYNKLLILSEIKEKSHWRERIWDLIQGMVTLHIPEQAAWTIYFEWQDHSDISFFQSAELQEYIELFPGSPLAQALFAFRNSDLWHKEHPIQLEDSNNSAELAKETKEEDDSENSVDKKENEEDIISSTMMPQDEVLANLTEAYENAPQSTIISECLAKYYIHLKEYEYAIGLSKAALEVLKRLQQDTGVKLDKLTRIFQLCLAIGYSHYEVPRYLFQAMHYYDILLAADPRNYHALLGKGLVQIENEQYSDAVKTLGLLLDDHENDPSLSELSWCYFKTGNLPKAISTVEKCLDVLLSMDVERFKIAEAYYRYGIYILNRKSENYLEDSFSAFVSSLRKDPNYAPAYTSLGLYYRDIHDMVRATKCFQKAFELDASQVEAAEALAKTFAEANEWELVEVISRRVLNTSENDLKRKKKFNWHHTSLGVLELNAKNFHKAIVHFQSALRISPKDTNAWSGLGEAYARSGRYVSALKAFNRASILDPDDWYVKYFIATLEKDMGEYEVAVSTLSEILAVRSKELCVQVSLAETYVRLAKLYHARGFYSRAADSLEKSIQICCNVLKEDITSIFSWEILGDACLSFCQLKNYHNRFPNSLISDILFTTEAMKCANNGRQFENMIYLPDLETSSGAIFIAAVAITCFTIHLSLVADDKLLLPVSWYNLGSSYYRFYECDTTKDATLQVAINCIKQAIKLEAKNYVFWNMLGVLFSQTKAVRSAQHCYIQSLLLNERSSGVWANYGALCIQNHDVECANAAFTRSISIDPDNSQAWLGKAYCSIAVGSIRKAVQIIHHAFEISSGKMPDVNYWYADTMLHAVNTEDFVTTDGDIWSATYAIKRYLGENPTDTFAYYIKASLLEHLGETTDSVPSAIRLCELLEQEYDVSESPVILKRFIDAKALLGRLYLAKKSFENSVEQAGIALDLLEGEEDEDIKRTTLGLNLTCGTASFFLNKLEKSLDCFEKALLVSDSNADVVVLVSKVLWALGSENGKQAAREQLFEALEQSPSHIGSLLCLGAIAIYDEDDAVCSAIEDSIAHLKKDEVLRSGALKQVQIMEVLLTKKIDESMIKSLLQRFLHVYPFAASSWTLLTNRYASKSLANAFATTLYTHPSRPDDLAQSYRLQNSIDRAQVAIHLVPWDSANWKALHGVTHEALVSSDAS", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAPQQNIMKQLQQMQSSPYPSSSPSSTTVSQNNDNLNHNVHSLNNSSNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNSINEKNKINDNNNRGNSDDGNNNNSNNNSNNNNSNNNNRDDEEEEGDDEDNNNNNNSNNNKIRGYNDNNDINDIFSINFSSWSKSKDNLIENGVLIFEESGLYKELNLSKSSILNFLSIVASSYRNNPFHSFNHAIAVTQTIFLILLKTNLFNILSPIEKLSIIIASICHDLDHPALSNRFQINMKSSIAVLYNNKSVLENHHLSICLGILESKIGNELLSTLTVEEKKQFFRRVKILILATDMENHFTYKKQFDDIISTFSWDNSEHRDLLLIMFLKSADISNELRSFDISNKWANALMEEFFNQSDLEKLNNLPLTPFMEREKVVLHLTQVSFIEKFLLPSYQSLQNLLPSLEDFVQRIIENKEIWSNNGSSSSTTSSSPN", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAKKKSKASNSARGYATTSIPSRSASSPANKNQVKGEKNNKTQKVEPKNAFKVENQSNDIGVDTVDAFDHLLLDKTLPTIDAETEVIKNTSLSNSKSFLHSWQTDIRLRKNDNVLGLTEDEIQQILKTFRDSWKSSLKESYAFSNRSSFSLRKRYLWTTFLSLKGMGFEESEIFGAFSSIPIVSIDEYITWMITENLINSEPSNSSFSYEVQPSNYTTFCRMLDEPLPANNPVQSNSRLVPLMKDFSNDIKHTPNKETQPSNQVDDSLKSKDSKPLTMSEVLTQLPEDDIPFDDPFDLTSQYVKVKLKMLRLQIANKKNSEEFSTLNLQLESITSQYLFSSKEAEIVFRKSRIEFMNKLKALQQKLENERIVEEIKKNGIQEDSQSTDDSSKDDDNNSESNDMSPHNDAETRADDDAYLMGDLFNQEEEDIQDTENDLLNANYTLLPLTTDKSGTRPSTTLQYELHKIGSNIKAEFKTMPIGKIGYQSTCFVRCSTGQKTFSDLKTVLPTATLAADYISMIVLFRLMANFTKISLQTFPKSFKEVYGKFSAEKQNTDLAEDAKISEKLDSIIKSKELETPTSATTSKLMAPMDNIGKFSGFERPPETLLNKWRQQLESESAEKFKVFRNQLPATMFRETIIDAVNNSQLLIISGDTGCGKSTQIPAFLLENSTKNGKAVKIYVTEPRRISAISLANRVSQELGGNPPSARSHELVGYSVRLDSKCTPLTPLTYVTTGTFLRLLEVGNEIESVTHLIIDEVHERSIDSDLLLIHVLHLLKQHPHLKIIIMSATLNAEKFQLYFEGSNLITIPGKTYPVHRFYLEDILSQFGNDKSFGNAAGQDVIEEDDYETDQQDASISNKSAEDAIVEMNLIPAWYNEKAINYGLIVYLLKYIFTEGDPKFSKCVLVFLPGISEILRVKSLIEDMPMFRNHRKFCIYMLHSTLSSAQQQSVFNIPPKGCRKIVLSTNIAETGVTIPDVTCVIDTGVHREMRYNSRRHLSRLTDTFVSKANAKQRSGRAGRVQEGICYHLFSKFKHDTQFLSYQTPEILRLNLQEVVLRVKMCQMGDVQDVLGKALDPPSSTNIIRALEKLHQVGALSENEKLTKLGKFLSQLPVDANLGKILVLGCFYKCVDAASSIVAMLTIGSPFRKSVDNEFSANKARLSFAKENTRSDLVLMYYAYCAWREICLSPLGPDEDSFAKEKYLNLEALSMTESLKIQLLSELKDMKLLGASDVDTCKSLKRSICRRFAVIPKEHDINSGNAEILCGVIAASLYPNILRYDYEKRQWSTLSTNKRVRILDVSVNNRSELPNMPSKFVAYTNMMSSTRASEYVNETTMVTLRQLLMMCGLKVENRVSVGQAKLDNFTVYFENVYVSASLSILRRFIETSLNEFFAEPDKRLLNSHLEVIVNIVSRLNYGTKFQKRLKD", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPNEIFPWKIRVDESRGLAGNSGTKKSNHEALSRLQSPLNSPKLQPIGSPQASRKTSGSGSSAPLYPKWSGALSLASSRAASPAPSDSFPTFGYSQLGGLENSSKGSALFNSANSIGTPYLSSRNSNSANEASAMAFHNVSPPSGAESSSESKSFSASGKGNKADTSAEPSLDAFNSTQIKAGSTANSNSTPVEPGEDTIPTAIVVKNIPFSLEKDTLLDHFKQLGIPRPYAFNYHYDNGIFRGLAFANFYRPEEAQVVVQTLNGYEINGRRLRVEWKRQLPAAEREKVEKAKKRQAEERRRKQQYKMFEVSFTDQGLNLNDTGTLETYSRLLLFAHQCIPSREITFETTSKDGNLLNAIRIFCLYFDLDYYARPNGEVLKLVVTHPNKKNTSVSQSQPASPNLRFNMPAPLATRFLQEHSLNGTKSAPITPPPSFAVPLTNQLRSIDDKIYGNESPLQKASTLSSPFNSKNDNDASTSASKQSFGVSHF", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAEPEKKRDQPFSQEKDEEKDLYLVHDEHESPLPLTVTSRVLYMLGDIASGPAYRFTQWLDLVRKRSATYGSSGFPHRLHRIDDMVTSAGERNTDPKSPPSRQSSEISLWERLGKASTVDIDSSCFSWNMLSSLHHTEHSSSTDHSEEDQSKPLEVTVNSGGVVFFALFNSSSSEDASRKEEAAVIKFASSRMATQSERLGYEFSKWLGVQIPQARVIHSCNPEWTLIKEATEKAQAKATSEGDEVGEMTCSELLEALELSRCLLLMSYVHGCPMLESMSSFETEEKAERAAAALGRILVLDLVIRNEDRLPCRQLRWRGNPANLLLTDRIVSSAKHHECSFDEAFDSAIKRYHPKDYRSIQRERRASSVDSRSRLSISDQMLVSQASDFSDITESPRSYDTGLMSPMSDRSVAADFHLVAIDSGVPRRPPAGKRASDQEIYPRLVELLLNSSQYSSNLLHEITEGSLGYPQAEDGEETSNVRSVVTPVVREFRNGFRAGLRDLQEFHIFLVTLHQKLDVLLRAFFSMMDKTMCADFDREDFAVPESPSHTHGHEVNHYPSPSKDRVPSDNSSDHSESDMQKSVPRTPNSENKEDGSSPKSRESWHGRSGKGGESLSSQRLAAKLRDFHKFAKVDAESNKELDQWNETLRNEVMKLCQENGFNTGFFEGSDNNSCTDAYELKVRLEHILERISLISKAANTEKPSMIQENLFIGGGLAARSIYTLQHLGITHVLCLCANEIGQSDTQYPDLFEYQNFSITDDEDSNIESIFQEALDFIKHGEETGGKILVHCFEGRSRSATVVLAYLMLQKKLTLLEAWSKLRKVHRRAQPNDGFARILINLDKKCHGKVSMEWRQRKPTMKVCPVCGKNAGLSSSSLKLHLQKSHRKLSSGSVDSAMNMEIQKALEALKLSTGRGSSASSNSFQSHPG", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAENERMYISYNNIHKLCQGVAKHILARNERPDIIIAITGGGMIPARIIRSFLKTKGQKNIPIQAIGLSLYEDLGLDNSVETIGKEVIRTQWLDFGALNQHFDSLIGKKVLIVDEVDDTRTTLHYAVSELEKEIAEQQKVLNRMSEETVISIFVLHNKDKPKRAGLPDSMMNSGRYIAAQTVPDKWLCYPWDAEDIEEHTMLAKAQGHD", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNEDLFYDRLHQRCPGKYLLEELETSKSNDVLHASRFVCEMELVQKTNAYYCKTIVKMLLDHEWIFAKAFTIVNDGEDEIEIYDYLYEKYIKLLSTGKPDPMMKDVVRYRFDEDVKIKIEETPNLISAASTTGFRTWEAALYMGDFLIHKPLQELAPVQGQDDGKKKLNVLEVGAGTGIVSLVILQKYHEFVNKMYVTDGDSNLVETQLKRNFELNNEVRENEPDIKLQRLWWGSDRVPEDIDLVVGADVTYDPTILPDLCECLAECLALDRCKLCLLSATIRSESTVQLFSQECNKLGLKCTIVTSTEYDANNEIRAMKALQFKPLIAPIRIYKITKQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAEVPPGPSSLLPPPAPPAPAAVEPRCPFPAGAALACCSEDEEDDEEHEGGGSRSPAGGESATVAAKGHPCLRCPQPPQEQQQLNGLISPELRHLRAAASLKSKVLSVAEVAATTATPDGGPRATATKGAGVHSGERPPHSLSSNARTAVPSPVEAAAASDPAAARNGLAEGTEQEEEEEDEQVRLLSSSLTADCSLRSPSGREVEPGEDRTIRYVRYESELQMPDIMRLITKDLSEPYSIYTYRYFIHNWPQLCFLAMVGEECVGAIVCKLDMHKKMFRRGYIAMLAVDSKYRRNGIGTNLVKKAIYAMVEGDCDEVVLETEITNKSALKLYENLGFVRDKRLFRYYLNGVDALRLKLWLR", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTASDLLTLPQLLAQYSSSAPQNKVFYTTSTKNSHSSFKGLESVATDATHLLNNQDPLNTIKDQLSKDILTTVFTDETTLVKSIHHLYSLPNKLPLVITVDLNLQDYSAIPALKDLSFPILISSDLQTAISNADSSYKIATSSLTPVFHFLNLEKIGTSTAIEQDIDFPTLEIANEETKVALSEATDSLTNFELVKGKESITTVIVNLSPYDAEFSSVLPSNVGLIKIRVYRPWNFSKFLEILPSSVTKIAVLQGVSKKSQSNEFQPFLLDFFGNFNELVSRNIEQVVLTNIGNVNDYGNVINTVISNINKKEPDNNLFLGESNEKAEEQAEVTQLISSVKKVVNLEDAYIKVLKQLFSSNLQILNQFSSETIEPSNPEFGFGRFLKQEAQREELISLAKTSLDPSLYLSEDANKIVQLLSKWLSFNGRDLDEAQLQEANATGLEIFQLLQSNQDSSTVLKFLKIAPTSDSFIFKSSWLIGSDAWSYDLGHSGIQQVLSSRKNINVLLIDSEPYDHRKQNQDRKKDVGLYAMNYYSAYVASVAVYASYTQLLTAIIEASKYNGPSIVLAYLPYNSENDTPLEVLKETKNAVESGYWPLYRFNPVYDDPSTDKEAFSLDSSVIRKQLQDFLDRENKLTLLTRKDPSLSRNLKQSAGDALTRKQEKRSKAAFDQLLEGLSGPPLHVYYASDGGNAANLAKRLAARASARGLKATVLSMDDIILEELPGEENVVFITSTAGQGEFPQDGKSFWEALKNDTDLDLASLNVAVFGLGDSEYWPRKEDKHYFNKPSQDLFKRLELLSAKALIPLGLGDDQDADGFQTAYSEWEPKLWEALGVSGAAVDDEPKPVTNEDIKRESNFLRGTISENLKDTSSGGVTHANEQLMKFHGIYTQDDRDIREIRKSQGLEPYYMFMARARLPGGKTTPQQWLALDHLSDTSGNGTLKLTTRATFQIHGVLKKNLKHTLRGMNAVLMDTLAAAGDVNRNVMVSALPTNAKVHQQIADMGKLISDHFLPKTTAYHEVWLEGPEEQDDDPSWPSIFENRKDGPRKKKTLVSGNALVDIEPIYGPTYLPRKFKFNIAVPPYNDVDVLSIDVGLVAIVNPETQIVEGYNVFVGGGMGTTHNNKKTYPRLGSCLGFVKTEDIIPPLEGIVIVQRDHGDRKDRKHARLKYTVDDMGVEGFKQKVEEYWGKKFEPERPFEFKSNIDYFGWIKDETGLNHFTAFIENGRVEDTPDLPQKTGIRKVAEYMLKTNSGHFRLTGNQHLVISNITDEHVAGIKSILKTYKLDNTDFSGLRLSSSSCVGLPTCGLAFAESERFLPDIITQLEDCLEEYGLRHDSIIMRMTGCPNGCSRPWLGELALVGKAPHTYNLMLGGGYLGQRLNKLYKANVKDEEIVDYIKPLFKRYALEREEGEHFGDFCIRVGIIKPTTEGKYFHEDVSEDAY", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSLTSWFLVSSGGTRHRLPREMIFVGRDDCELMLQSRSVDKQHAVINYDASTDEHLVKDLGSLNGTFVNDVRIPEQTYITLKLEDKLRFGYDTNLFTVVQGEMRVPEEALKHEKFTIQLQLSQKSSESELSKSASAKSIDSKVADAATEVQHKTTEALKSEEKAMDISAMPRGTPLYGQPSWWGDDEVDEKRAFKTNGKPEEKNHEAGTSGCGIDAKQVEEQSAAANEEVLFPFCREPSYFEIPTKEFQQPSQITESTIHEIPTKDTPSSHITGAGHASFTIEFDDSTPGKVTIRDHVTKFTSDQRHKSKKSSPGTQDLLGIQTGMMAPENKVADWLAQNNPPQMLWERTEEDSKSIKSDVPVYLKRLKGNKHDDGTQSDSENAGAHRRCSKRATLEEHLRRHHSEHKKLQKVQATEKHQDQAVTSSAHHRGGHGVPHGKLLKQKSEEPSVSIPFLQTALLRSSGSLGHRPSQEMDKMLKNQATSATSEKDNDDDQSDKGTYTIELENPNSEEVEARKMIDKVFGVDDNQDYNRPVINEKHKDLIKDWALSSAAAVMEERKPLTTSGFHHSEEGTSSSGSKRWVSQWASLAANHTRHDQEERIMEFSAPLPLENETEISESGMTVRSTGSATSLASQGERRRRTLPQLPNEEKSLESHRAKVVTQRSEIGEKQDTELQEKETPTQVYQKDKQDADRPLSKMNRAVNGETLKTGGDNKTLLHLGSSAPGKEKSETDKETSLVKQTLAKLQQQEQREEAQWTPTKLSSKNVSGQTDKCREETFKQESQPPEKNSGHSTSKGDRVAQSESKRRKAEEILKSQTPKGGDKKESSKSLVRQGSFTIEKPSPNIPIELIPHINKQTSSTPSSLALTSASRIRERSESLDPDSSMDTTLILKDTEAVMAFLEAKLREDNKTDEGPDTPSYNRDNSISPESDVDTASTISLVTGETERKSTQKRKSFTSLYKDRCSTGSPSKDVTKSSSSGAREKMEKKTKSRSTDVGSRADGRKFVQSSGRIRQPSVDLTDDDQTSSVPHSAISDIMSSDQETYSCKPHGRTPLTSADEHVHSKLEGSKVTKSKTSPVVSGSSSKSTTLPRPRPTRTSLLRRARLGEASDSELADADKASVASEVSTTSSTSKPPTGRRNISRIDLLAQPRRTRLGSLSARSDSEATISRSSASSRTAEAIIRSGARLVPSDKFSPRIRANSISRLSDSKVKSMTSAHGSASVNSRWRRFPTDYASTSEDEFGSNRNSPKHTRLRTSPALKTTRLQSAGSAMPTSSSFKHRIKEQEDYIRDWTAHREEIARISQDLALIAREINDVAGEIDSVTSSGTAPSTTVSTAATTPGSAIDTREELVDRVFDESLNFRKIPPLVHSKTPEGNNGRSGDPRPQAAEPPDHLTITRRRTWSRDEVMGDNLLLSSVFQFSKKIRQSIDKTAGKIRILFKDKDRNWDDIESKLRAESEVPIVKTSSMEISSILQELKRVEKQLQAINAMIDPDGTLEALNNMGFPSAMLPSPPKQKSSPVNNHHSPGQTPTLGQPEARALHPAAVSAAAEFENAESEADFSIHFNRFNPDGEEEDVTVQE", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSAKSRTIGIIGAPFSKGQPRGGVEEGPTVLRKAGLLEKLKEQECDVKDYGDLPFADIPNDSPFQIVKNPRSVGKASEQLAGKVAEVKKNGRISLVLGGDHSLAIGSISGHARVHPDLGVIWVDAHTDINTPLTTTSGNLHGQPVSFLLKELKGKIPDVPGFSWVTPCISAKDIVYIGLRDVDPGEHYILKTLGIKYFSMTEVDRLGIGKVMEETLSYLLGRKKRPIHLSFDVDGLDPSFTPATGTPVVGGLTYREGLYITEEIYKTGLLSGLDIMEVNPSLGKTPEEVTRTVNTAVAITLACFGLAREGNHKPIDYLNPPK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAPLDLDKYVEIARLCKYLPENDLKRLCDYVCDLLLEESNVQPVSTPVTVCGDIHGQFYDLCELFRTGGQVPDTNYIFMGDFVDRGYYSLETFTYLLALKAKWPDRITLLRGNHESRQITQVYGFYDECQTKYGNANAWRYCTKVFDMLTVAALIDEQILCVHGGLSPDIKTLDQIRTIERNQEIPHKGAFCDLVWSDPEDVDTWAISPRGAGWLFGAKVTNEFVHINNLKLICRAHQLVHEGYKFMFDEKLVTVWSAPNYCYRCGNIASIMVFKDVNTREPKLFRAVPDSERVIPPRTTTPYFL", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTGRARARSRGRGRGQEPAAPGAQPPVSQEAAKPVVSTPSEGQLVGRGRQKPAPGAMSEEAMLQISAGFQQVKIGERGGRRRDFHDSGVHTRQLMEHVKESKTGVSGTAIELRANFMRLLSRPMWALYQYHVDYKPPMESRRLRSALLFQHEETLGKAHTFDGAILFLPNKLRNAETVLCSETRNGEKVEITVTLTNELPPSSPVCLQFYNILFRRILRILNMQQIGRHYYNPDDPFNIPQHRLTIWPGFMTTILQYESSIMLCSDVSHKVLRSETVLDFMYSLRQQCGDQRFPEACTKELVGLIILTKYNNKTYRIDDIAWDHTPNNTFKKGDTEISFKNYFKSQYGLDITDGNQVLLVSHVKRLGPSGRPPPGPAMLVPEFCYLTGLTDKMRADFNIMKDLASHTRLSPEQREGRINRLISNINRNGDVQNELTTWGLSFENKLLSLNGRVLPSERIIQGGRAFEYNPWTADWSKEMRGLPLISCMSLDNWLMFYTRRNADVAQSLLQTLNKVSGPMGIRMQRAVMIEYEDRQESLLRALQQNVARETQMVVVILPTNRKDKYDCVKKYLCVDCPTPSQCVVSRTISKPQALMTVATKIALQMNCKMGGELWSVEIPLRQLMIVGIDCYHDTAAGKRSIGAMVASLNQGMSRWFSKCVLQNRGQEIIDALKGSLQGALKAYLKYNNSLPSRIIVYRDGVGDGMLQSVVDYEVPQIMQSIKTMGQDYEPKLSVVVVKKRISSRFFARIDGKIANPPPGTVIDTEVTRPEWYDFFIVSQAVRFGCVAPTHYNVVFDNSGLKPDHMQRLTYKLCHMYYNWQGIVRVPAPCQYAHKLAFLVGQSIHKEPNMNLDDFLYYL", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "METRSSKTRRSLASRTNECQGTMWAPTSPPAGSSSPSQPTWKSSLYSSLAYSEAFHYSFAARPRRLTQLALAQRPEPQLLRLRPSSLRTQDISHLLTGVFRNLYSAEVIGDEVSASLIKARGSENERHEEFVDQLQQIRELYKQRLDEFEMLERHITQAQARAIAENERVMSQAGVQDLESLVRLPPVKSVSRWCIDSELLRKHHLISPEDYYTDTVPFHSAPKGISLPGCSKLTFSCEKRSVQKKELNKKLEDSCRKKLAEFEDELDHTVDSLTWNLTPKAKERTREPLKKASQPRNKNWMNHLRVPQRELDRLLLARMESRNHFLKNPRFFPPNTRYGGKSLVFPPKKPAPIGEFQSTEPEQSCADTPVFLAKPPIGFFTDYEIGPVYEMVIALQNTTTTSRYLRVLPPSTPYFALGLGMFPGKGGMVAPGMTCQYIVQFFPDCLGDFDDFILVETQSAHTLLIPLQARRPPPVLTLSPVLDCGYCLIGGVKMTRFICKNVGFSVGRFCIMPKTSWPPLSFKAIATVGFVEQPPFGILPSVFELAPGHAILVEVLFSPKSLGKAEQTFIIMCDNCQIKELVTIGIGQLIALDLIYISGEKSQPDPGELTDLTAQHFIRFEPENLRSTARKQLIIRNATHVELAFYWQIMKPNLQPLMPGETFSMDSIKCYPDKETAFSIMPRKGVLSPHTDHEFILSFSPHELRDFHSVLQMVLEEVPEPVSSEAESLGHSSYSVDDVIVLEIEVKGSVEPFQVLLEPYALIIPGENYIGINVKKAFKMWNNSKSPIRYLWGKISDCHIIEVEPGTGVIEPSEVGDFELNFTGGVPGPTSQDLLCEIEDSPSPVVLHIEAVFKGPALIINVSALQFGLLRLGQKATNSIQIRNVSQLPATWRMKESPVSLQERPEDVSPFDIEPSSGQLHSLGECRVDITLEALHCQHLETVLELEVENGAWSYLPVYAEVQKPHVYLQSSQVEVRNLYLGVPTKTTITLINGTLLPTQFHWGKLLGHQAEFCMVTVSPKHGLLGPSEECQLKLELTAHTQEELTHLALPCHVSGMKKPLVLGISGKPQGLQVAITISKESSDCSTEQWPGHPKELRLDFGSAVPLRTRVTRQLILTNRSPIRTRFSLKFEYFGSPQNSLSKKTSLPNMPPALLKTVRMQEHLAKREQLDFMESMLSHGKGAAFFPHFSQGMLGPYQQLCIDITGCANMWGEYWDNLICTVGDLLPEVIPVHMAAVGCPISSLRTTSYTIDQAQKEPAMRFGTQVSGGDTVTRTLRLNNSSPCDIRLDWETYVPEDKEDRLVELLVFYGPPFPLRDQAGNELVCPDTPEGGCLLWSPGPSSSSEFSHETDSSVEGSSSASNRVAQKLISVILQAHEGVPSGHLYCISPKQVVVPAGGSSTIYISFTPMVLSPEILHKVECTGYALGFMSLDSKVEREIPGKRHRLQDFAVGPLKLDLHSYVRPAQLSVELDYGGSMEFQCQASDLIPEQPCSGVLSELVTTHHLKLTNTTEIPHYFRLMVSRPFSVSQDGASQDHRAPGPGQKQECEEETASADKQLVLQAQENMLVNVSFSLSLELLSYQKLPADQTLPGVDIQQSASGEREMVFTQNLLLEYTNQTTQVVPLRAVVAVPELQLSTSWVDFGTCFVSQQRVREVYLMNLSGCRSYWTMLMGQQEPAKAAVAFRVSPNSGLLEARSANAPPTSIALQVFFTARSSELYESTMVVEGVLGEKSCTLRLRGQGSYDERYMLPHQP", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSCTIEKILTDAKTLLERLREHDAAAESLVDQSAALHRRVAAMREAGTALPDQYQEDASDMKDMSKYKPHILLSQENTQIRDLQQENRELWISLEEHQDALELIMSKYRKQMLQLMVAKKAVDAEPVLKAHQSHSAEIESQIDRICEMGEVMRKAVQVDDDQFCKIQEKLAQLELENKELRELLSISSESLQARKENSMDTASQAIK", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRVLITNDDGPLSDQFSPYIRPFIQHIKRNYPEWKITVCVPHVQKSWVGKAHLAGKNLTAQFIYSKVDAEDNTFWGPFIQPQIRSENSKLPYVLNAEIPKDTIEWILIDGTPASCANIGLHLLSNEPFDLVLSGPNVGRNTSAAYITSSGTVGGAMESVITGNTKAIAISWAYFNGLKNVSPLLMEKASKRSLDVIKHLVKNWDPKTDLYSINIPLVESLSDDTKVYYAPIWENRWIPIFNGPHINLENSFAEIEDGNESSSISFNWAPKFGAHKDSIHYMDEYKDRTVLTDAEVIESEMISVTPMKATFKGVNHLLGELKLTEEENNLSKTNNLIVVSIDPMEYIYKPLTHALKKYLPQVEIVSNLPEFDNGGCEKEMKVFHYGDYEQLDMDKLMELPNNYFTNSYIYRKALIRKHFLSHTIQTYTAKNPESILKKAYLESFTIDLDYAEFLDDALDENWELRQELENESQDKWWIVKPSMSDKGQGIRVFKTIEDLQAIFDSFDDEDSEAEESGNDDDADDVNGEFMDNNKVNISQLRHFIIQEYLTNPLLLASMDNRKFHIRCYVVCRGDLQVFVYDRMLALFAAKPFVPLDPYAYSVTDLKDLECHLTNTCLQSKKKDKDSSVLEFDSIEEIPNERKSNIKEQIHSITNDVFLAAVNVNRLNFQPLPNAFETYGVDFLIDSNYEVKLLEINAFPDFKQTGKDLKNLIDELFDDTVKYCVTPIFNENRNKTDDETDPNFVKVIDYTSNGW", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAVLSSVQPINHNSALVEARDEQVNTTACSDDLLNAPPYEYDTEGNPSWAGALPKAQALYDPAYEKDSCGVGFTCHIKGQVSHKIVTDARLLLCNMTHRGATGADTRDGDGAGVMTGMPYTFMQKEFGQIGCTLPKSGEYAIGNVFFSPEADVCREAMTAFTQVAEKLGLAILAWRSVPCDNSILGPAALSREPTILQPCVVLKAAYDGEAEFDTDLFERQLYVLRKQSSHLIGKEKWFYICSLHRETIVYKGQLAPVQVYNYFLDLNNAEYVSHFALVHSRFSTNTFPSWDRAQPMRLAAHNGEINTLRGNKNWMHAREGLMKSSRFGEEFASLLPIIERGGSDSAAFDNVIELLCASGVVSLPEAVMLLIPEAWQNDKNISDEKAAFYEWAACQMEPWDGPALFTFADGRYCGANLDRNGLRPCRFYLTSDDMMICASEVGTVGIEPDRIVQKGRLYPGRMLLVDTKEGRIVDDKELKHNIASRYDFRSWLDQELIDMNSIVDSLIESTSVDLTPIVDDVPLADDKTMLAFGYTLEQINMIMAPMANGGKETLGSMGNDAAIACLSDQPRLLYDYFRQLFAQVTNPPIDPIREAIVMSLQCYIGPSGNLLEINQSQCRRLRMPTPILTVEEFNALKNVDRIYPDWKVASIDITFFKSEGVAGYAAAIERICSEADTAVNEGYKAIVLSDRNVNSERVPLASIAACGAVHHYLVQNKLRSRVALVCESGDAREVHHMCTLLGYGADAVCPYLAMEALTKLVRQNAMKPGITEETAIKNFKHAINGGILKVMSKMGISTLQSYKGAQIFEALGIDNEVINKCFLGTASRIRGVTFEHIALDAFALHERGYPTDQSIRSLQIPDMGDFYYRDGGEQHVNHPKAIASLQDAVRNKNEAAYAEFSRTHYEQTRRCTLRGMLDFDFDSSQAIPIEQVEPWTEIVRRFCTGAMSYGSISMESHSSLAIAMNRLGGKSNTGEGGEDPARSQRLANGDTMRSAIKQIASGRFGVTSWYLSDADELQIKMAQGAKPGEGGELPGNKVSESIAKTRHSTAGVGLISPPPHHDIYSIEDLKQLIYDMKSANPRARVSVKLVSEVGVGIVASGVAKAKADHILVSGHDGGTGASRWTGIKYAGLPWELGVAETHQTLVLNDLRGRVVIQTDGQIRTGRDVAIACLLGAEEWGFATTPLIALGCIMMRKCHLNTCPVGIATQDPELRKKFEGQPEHVVNFFYYVAEELRGIMAKLGFRTINEMVGRSDKLKVAEPINNKSKLLDLTPLLTPAFTLRPGAATYNVRKQDHRLYTRLDNKLIDEAEVTLEEGIPSVVECEIINTDRTLGATLSNKISKRYGEEGLPTDSIRVNVFGSAGQSFGAFLAPGVTLQLEGDCNDYVGKGLSGGRLIIYPPRVSPFKPEENMIIGNVCLYGATSGHAFISGVAAERFAVRNSGAIAVVEGVGDHGCEYMTGGRVVILGSTGRNFAAGMSGGIAYVYDMQMDFAGKINTEMVDISSVTDAAEIAFLRGLIQDHRHYTGSQVADRILSDFPRHLSRFVKVLPREYKAVLEREAAKKEEAKRLQYPKAFMPGNPIRQQIEETNAQIADVEDTLGATVKKSAPLDKLRGFMKYQRRSEHYRNPLKRTNDWKELSVRLREDELRVQTARCMDCGTPFCQSDYGCPISNKIFTWNDLVFKQQWKEALTQLLLTNNFPEFTGRVCPAPCEGACTLGIIESPVGIKSVERAIIDKAWEEGWIVPRPPAERTGRRVAIIGSGPAGLAAADQLNRAGHHVVIYERADRPGGLLQYGIPNMKLDKKVVERRIQLMIDEGIEVLTNVEVGKNGDVSLDELHKVYDAVVLASGSTVPRDLPIPNRDSKGIHFAMEFLHKNTKSLLDSELKDGNYISAKGKDVIVIGGGDTGNDCLGTSVRHGAKSVRNLELLPIPPRERAFDNPWPQYPRVFRVDYGHAEVQAHYGQDFREYSILTKSFEKDEDGNVKGINTVRIEWTKNSKGRWIMKEIRNSEEFFPADLVILALGFLGPEEQATAGMNVDRDARSNISTPTKSYETSVPGIYAAGDCRRGQSLVVWGIQEGRQCAREIDLKFQGKTFLPGDGGLVKRTVNC", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLENDLQKVVNLFNTRIVQLDNYVTRLSTEKSPNTEITQALSSSYEAEEKAKQLKELDFRLQQLSSFCEWNKLAFEKLAANMDKHLGTERLVTFYEQKVCKLDFANSSKIYESMIALKALNSSQNDSEVKDKLEKLSIHHPLKKDLLAFIQLDIAAEVVTACINAPDSVLVDILAASIIAGAKACMREVIGRIGLRSECLASALRRAINNLCGPDEGVLYFLEVLKQICSVVYVDSYHSNRLLSRILLVERNSKGQSVMHSVAKLGWAGLCSKFCEIVSSIPDSEPLNWMLPCWRDVMHDTPLTLAIKGNHVEALHALLSAQDKETTSTKPGPVPPLVLTCCVGDYDLIVEELILAGFNPNEVDASSNTALHTAVRYNRPECVKMLLKLGANPSARDFLNSWTPLMLASATGLSEIVSILVASGASVDEVDSSGWTAMEQAVVRGYLHLADKLRTQVALSDKPVNLHTLYIKASSSEMRSRKRAMDLQLSRSVVIIRISDLAGRIRVSLQGDDAVYVSGRSPSFAASRPSSVDFMSQSTDSLSKNDTTASNGSMTPSSSQNNSVIIDIPRSHFDNAGEVCLENLAEPDEIADDSIHLHYDAAQENSPQPVNGSSPPYELVFVTRNTEEATITIDLLANRSHKILGRTVCCLTSLVSDLGNHMQSLKPLAPLPLLSSKTLKPIAHVNADVLISKVTVDDRFSSNDGISTPALSLEAVSNVSRTALEDAERSLHKSATTTSESGKSNGVAVIGHRGLGKNQPDRLSLQLGENTLQSFIKAADLGASYVELDVQMTKDMVPVVYHDFIVNETGTDAQVHSLTLEQFLGASHSPSEEIKDDASDIQQKRRPRAYSSSFTPSGSQVNFGEFAEENARLKPKVYKGNALGHTICAPFTTLKDVLKEVPQSVGLNVEFKYPMLSEAEEEKLLPIAYDYNFYVDTILSIIKKYGGKRKYIFSSFNPDICILLSLKSTNPVLFLTEGGTAYRTDVRAASLRQALKFASQWSFLGIVSACEPLIMCPRLIKAVKQLGLSCYTYGVLNNDVDNVRRQVRFGVDAVIVDNVLAIRRALNQYDESLESD", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASSAGSAASGSVVPGGGGSAASSCATMALSTAGSGGPPVNHAHAVCVWEFESRGKWLPYSPAVSQHLERAHAKKLTRVMLSDADPSLEQYYVNVRTMTQESEAETAGSGLLTIGVRRMFYAPSSPAGKGTKWEWSGGSADSNNDWRPYNMHVQCIIEDAWARGEQTLDLCNTHIGLPYTINFCNLTQLRQPSGPMRSIRRTQQAPYPLVKLTPQQANQLKSNSASVSSQYNTLPKLGDTKSLHRVPMTRQQHPLPTSHQVQQQQHQHQHQQQQQQQHHHQHQQQQHQQQQQHQMQHHQIHHQTAPRKPPKKHSEISTTNLRQILNNLNIFSSSTKHQSNMSTAASASSSSSSASLHHANHLSHAHFSHAKNMLTASMNSHHSRCSEGSLQSQRSSRMGSHRSRSRTRTSDTDTNSVKSHRRRPSVDTVSTYLSHESKESLRSRNFAISVNDLLDCSLGSDEVFVPSLPPSSLGERAPVPPPLPLHPRQQQQQQQQQQQLQMQQQQQAQQQQQQSIAGSIVGVDPASDMISRFVKVVEPPLWPNAQPCPMCMEELVHSAQNPAISLSRCQHLMHLQCLNGMIIAQQNEMNKNLFIECPVCGIVYGEKVGNQPIGSMSWSIISKNLPGHEGQNTIQIVYDIASGLQTEEHPHPGRAFFAVGFPRICYLPDCPLGRKVLRFLKIAFDRRLLFSIGRSVTTGREDVVIWNSVDHKTQFNMFPDPTYLQRTMQQLVHLGVTD", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTGAEIESGAQVKPEKKPGEEVVGGAEIENDVPLVVRPKVRTQAQIMPGARPKNKSKVMPGASTKVETSAVGGARPKSKAKAIPVSRFKEEAQMWAQPRFGAERLSKTERNSQTNIIASPLVSTDSVLVAKTKYLSEDRELVNTDTESFPRRKAHYQAGFQPSFRSKEETNMGSWCCPRPTSKQEASPNSDFKWVDKSVSSLFWSGDEVTAKFHPGNRVKDSNRSMHMANQEANTMSRSQTNQELYIASSSGSEDESVKTPWFWARDKTNTWSGPREDPNSRSRFRSKKEVYVESSSGSEHEDHLESWFGAGKEAKFRSKMRAGKEANNRARHRAKREACIDFMPGSIDVIKKESCFWPEENANTFSRPMIKKEARARAMTKEEAKTKARARAKQEARSEEEALIGTWFWATDESSMADEASIESSLQVEDESIIGSWFWTEEEASMGTGASSKSRPRTDGERIGDSLFGAREKTSMKTGAEATSESILAADDEQVIIGSWFWAGEEVNQEAEEETIFGSWFWVIDAASVESGVGVSCESRTRSEEEEVIGPWFWSGEQVDIEAGIGEEARPGAEEETIFGSWFWAENQTYMDCRAETSCDTMQGAEEEEPIIGSWFWTRVEACVEGDVNSKSSLEDKEEAMIPCFGAKEEVSMKHGTGVRCRFMAGAEETNNKSCFWAEKEPCMYPAGGGSWKSRPEEEEDIVNSWFWSRKYTKPEAIIGSWLWATEESNIDGTGEKAKLLTEEETIINSWFWKEDEAISEATDREESRPEAEEGDIIGSWFWAGEEDRLEPAAETREEDRLAAEKEGIVGSWFGAREETIRREAGSCSKSSPKAEEEEVIIGSWFWEEEASPEAVAGVGFESKPGTEEEEITVGSWFWPEEEASIQAGSQAVEEMESETEEETIFGSWFWDGKEVSEEAGPCCVSKPEDDEEMIVESWFWSRDKAIKETGTVATCESKPENEEGAIVGSWFEAEDEVDNRTDNGSNCGSRTLADEDEAIVGSWFWAGDEAHFESNPSPVFRAICRSTCSVEQEPDPSRRPQSWEEVTVQFKPGPWGRVGFPSISPFRFPKEAASLFCEMFGGKPRNMVLSPEGEDQESLLQPDQPSPEFPFQYDPSYRSVQEIREHLRAKESTEPESSSCNCIQCELKIGSEEFEELLLLMEKIRDPFIHEISKIAMGMRSASQFTRDFIRDSGVVSLIETLLNYPSSRVRTSFLENMIRMAPPYPNLNIIQTYICKVCEETLAYSVDSPEQLSGIRMIRHLTTTTDYHTLVANYMSGFLSLLATGNAKTRFHVLKMLLNLSENLFMTKELLSAEAVSEFIGLFNREETNDNIQIVLAIFENIGNNIKKETVFSDDDFNIEPLISAFHKVEKFAKELQGKTDNQNDPEGDQEN", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSGTMKFNGYLRVRIGEAVGLQPTRWSLRHSLFKKGHQLLDPYLTVSVDQVRVGQTSTKQKTNKPTYNEEFCANVTDGGHLELAVFHETPLGYDHFVANCTLQFQELLRTAGTSDTFEGWVDLEPEGKVFVVITLTGSFTEATLQRDRIFKHFTRKRQRAMRRRVHQVNGHKFMATYLRQPTYCSHCREFIWGVFGKQGYQCQVCTCVVHKRCHHLIVTACTCQNNINKVDAKIAEQRFGINIPHKFNVHNYKVPTFCDHCGSLLWGIMRQGLQCKICKMNVHIRCQANVAPNCGVNAVELAKTLAGMGLQPGNISPTSKLISRSTLRRQGKEGSKEGNGIGVNSSSRFGIDNFEFIRVLGKGSFGKVMLARIKETGELYAVKVLKKDVILQDDDVECTMTEKRILSLARNHPFLTQLFCCFQTPDRLFFVMEFVNGGDLMFHIQKSRRFDEARARFYAAEIISALMFLHEKGIIYRDLKLDNVLLDHEGHCKLADFGMCKEGICNGVTTATFCGTPDYIAPEILQEMLYGPAVDWWAMGVLLYEMLCGHAPFEAENEDDLFEAILNDEVVYPTWLHEDATGILKSFMTKNPTMRLGSLTQGGEHEILRHPFFKEIDWAQLNHRQLEPPFRPRIKSREDVSNFDPDFIKEEPVLTPIDEGHLPMINQDEFRNFSYVSPELQL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAQQAADKYLYVDKNFINNPLAQADWAAKKLVWVPSSKNGFEPASLKEEVGEEAIVELVENGKKVKVNKDDIQKMNPPKFSKVEDMAELTCLNEASVLHNLKERYYSGLIYTYSGLFCVVINPYKNLPIYSEEIVEMYKGKKRHEMPPHIYAITDTAYRSMMQDREDQSILCTGESGAGKTENTKKVIQYLAHVASSHKSKKDQGELERQLLQANPILEAFGNAKTVKNDNSSRFGKFIRINFDVNGYIVGANIETYLLEKSRAIRQAKEERTFHIFYYLLSGAGEHLKTDLLLEPYNKYRFLSNGHVTIPGQQDKDMFQETMEAMRIMGIPEDEQMGLLRVISGVLQLGNIAFKKERNTDQASMPDNTAAQKVSHLLGINVTDFTRGILTPRIKVGRDYVQKAQTKEQADFAIEALAKATYERMFRWLVLRINKALDKTKRQGASFIGILDIAGFEIFDLNSFEQLCINYTNEKLQQLFNHTMFILEQEEYQREGIEWNFIDFGLDLQPCIDLIEKPAGPPGILALLDEECWFPKATDKSFVEKVVQEQGTHPKFQKPKQLKDKADFCIIHYAGKVDYKADEWLMKNMDPLNDNIATLLHQSSDKFVSELWKDVDRIIGLDQVAGMSETALPGAFKTRKGMFRTVGQLYKEQLAKLMATLRNTNPNFVRCIIPNHEKKAGKLDPHLVLDQLRCNGVLEGIRICRQGFPNRVVFQEFRQRYEILTPNSIPKGFMDGKQACVLMIKALELDSNLYRIGQSKVFFRAGVLAHLEEERDLKITDVIIGFQACCRGYLARKAFAKRQQQLTAMKVLQRNCAAYLRLRNWQWWRLFTKVKPLLNSIRHEDELLAKEAELTKVREKHLAAENRLTEMETMQSQLMAEKLQLQEQLQAETELCAEAEELRARLTAKKQELEEICHDLEARVEEEEERCQYLQAEKKKMQQNIQELEEQLEEEESARQKLQLEKVTTEAKLKKLEEDQIIMEDQNCKLAKEKKLLEDRVAEFTTNLMEEEEKSKSLAKLKNKHEAMITDLEERLRREEKQRQELEKTRRKLEGDSTDLSDQIAELQAQIAELKMQLAKKEEELQAALARVEEEAAQKNMALKKIRELETQISELQEDLESERASRNKAEKQKRDLGEELEALKTELEDTLDSTAAQQELRSKREQEVSILKKTLEDEAKTHEAQIQEMRQKHSQAVEELADQLEQTKRVKATLEKAKQTLENERGELANEVKALLQGKGDSEHKRKKVEAQLQELQVKFSEGERVRTELADKVTKLQVELDSVTGLLSQSDSKSSKLTKDFSALESQLQDTQELLQEENRQKLSLSTKLKQMEDEKNSFREQLEEEEEAKRNLEKQIATLHAQVTDMKKKMEDGVGCLETAEEAKRRLQKDLEGLSQRLEEKVAAYDKLEKTKTRLQQELDDLLVDLDHQRQSVSNLEKKQKKFDQLLAEEKTISAKYAEERDRAEAEAREKETKALSLARALEEAMEQKAELERLNKQFRTEMEDLMSSKDDVGKSVHELEKSKRALEQQVEEMKTQLEELEDELQATEDAKLRLEVNLQAMKAQFERDLQGRDEQSEEKKKQLVRQVREMEAELEDERKQRSMAMAARKKLEMDLKDLEAHIDTANKNREEAIKQLRKLQAQMKDCMRELDDTRASREEILAQAKENEKKLKSMEAEMIQLQEELAAAERAKRQAQQERDELADEIANSSGKGALALEEKRRLEARIAQLEEELEEEQGNTELINDRLKKANLQIDQINTDLNLERSHAQKNENARQQLERQNKELKAKLQEMESAVKSKYKASIAALEAKIAQLEEQLDNETKERQAASKQVRRTEKKLKDVLLQVEDERRNAEQFKDQADKASTRLKQLKRQLEEAEEEAQRANASRRKLQRELEDATETADAMNREVSSLKNKLRRGDLPFVVTRRIVRKGTGDCSDEEVDGKADGADAKAAE", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MIDINVNNIFFRSYSVDPNSGHAIYVFDSTYLPASDEIGDKQVYDLLINALMDRLVMKLPQAPYSLVIFSSGFSQRKISWVYGIKMFAKLPKETKFYLQKIFIVHESFFVRSVYQVISNAMNFNFLDSKDSQHDFPSLVHVLDLTSLSELIDITRLRISLNVYLYDYQIREHINVPEEYYNRLTPLAIRQYRQLVFDKIFKKLQNDALLCELIFQKPGNYKKVNIFLDIIKRNNYIDLSQWDIYSLASVWLNYFIKNKAKPLIPIELIPLPIVDDLKFTSETFRKIIKFNQYQDLFMVIIPFFNRIIAHGESTKHDSRTLSKALTPALCKEKLSMMTNDRLAIGSRYIKNLLDFFPEIAKEISSPPSSVSSSSTIPVLPKPRKSSPTRYSELGCLTLPRSRSPSPQRSVTSPTYTPVALQNTPVLKPKSSSRNVSSPSFNAKPPLPIKAVTRPQLSLTSNSNTDLALASSSTDTLSSPTKTPSADSLPLSNSSTDLTISDNIKEMVKDEPAKDKNSVETDIFVQQFESLTLVQNAKIKKFDKELQEKKKKNETTSKTADKFSQKGYSDIKASNKVSRLAALYEERLQGLQVMNEMKQRW", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MQWIRGGSGMLITGDSIVSAEAVWDHVTMANRGVAFKAGDVIKVLDASNKDWWWGQIDDEEGWFPASFVRLWVNQEDGVEEGPSDVQNGHLDPNSDCLCLGRPLQNRDQMRANVINEIMSTERHYIKHLKDICEGYLKQCRKRRDMFSDEQLKVIFGNIEDIYRFQMGFVRDLEKQYNNDDPHLSEIGPCFLEHQDGFWIYSEYCNNHLDACMELSKLMKDSRYQHFFEACRLLQQMIDIAIDGFLLTPVQKICKYPLQLAELLKYTAQDHSDYRYVAAALAVMRNVTQQINERKRRLENIDKIAQWQASVLDWEGDDILDRSSELIYTGEMAWIYQPYGRNQQRVFFLFDHQMVLCKKDLIRRDILYYKGRIDMDKYEVIDIEDGRDDDFNVSMKNAFKLHNKETEEVHLFFAKKLEEKIRWLRAFREERKMVQEDEKIGFEISENQKRQAAMTVRKASKQKGRVGEEENQSLELKRACEVLQRLWSPGKKS", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLGSSVKSVQPEVELSGGSGSGGDEGADESRGASRKAAAADGRGMLPKRAKAAGGSGSMAKASAAELKVFKSGSVDSRVPGGLPTSNLRKQKSLTNLSFLTDSEKKLQLYEPEWSDDMAKAPKGLGKLGPKGRETPLMSKTLSKSEHSLFQPKGGSTGGAKTPLAPLAPSLGKPSRIPRGPYAEVKPLSKAPEAAVSDDGKSDDELLSSKAKAQKGSGTVPSAKGQEERAFLKVDPELVVTVLGDLEQLLFSQMLDPESQRKRTVQNVLDLRQNLEETMSSLRGSQVTHSSLEMPCYDSDDANPRSVSSLSNRSSPLSWRYGQSSPRLQAGDAPSVGGSCRSEGPPAWYMHGERAHYSHTMPMRSPSKLSHISRLELVESLDSDEVDLKSGYMSDSDLMGKTMTEDDDITTGWDESSSISSGLSDASDNLSSEEFNASSSLNSLPTTPTASRRSSTIVLRTDSEKRSLAESGLNWFSESEEKTPKKLEYDSGSLKMEPGTSKWRRERPESCDDASKGGELKKPISLGHPGSLKKGKTPPVAVTSPITHTAQSALKVAGKPEGKATDKGKLAVKNTGLQRSSSDAGRDRLSDAKKPPSGIARPSTSGSFGYKKPPPATGTATVMQTGSSATLSKIQKSSGIPVKPVNGRKTSLDVSNSVEPGFLAPGARSNIQYRSLPRPAKSSSMSVTGRGGPRPVSSSIDPSLLSTKQGGLTPSRLKEPSKVASGRSTPAPVNQTDREKEKAKAKAVALDSDNISLKSIGSPESTPKNQASHPPATKLAELPPTPLRATAKSFVKPPSLANLDKVNSNSLDLPSSSDTHASKVPDLHAPSSSTGGPLPSCFTPSPAPILNINSASFSQGLELMSGFSVPKETRMYPKLSGLHRSMESLQMPMSLPSAFPSSAPIPTPPTAPSEEDTEELPWSGSPRAGQLDSSQRDRNTLPKKGLRYQLQSQEETKERRHSHTAGGLPESDDQAELPSPPALSMSLSAKGQLTNIVSPTAATTPRITRSNSIPTHEAAFELYSGSQMGSTLSLAERPKGMIRSGSFRDPTDDVHGSVLSLASSASSTYSSAEERMQSEQIRKLRRELESSQEKVATLTSQLSANANLVAAFEQSLVNMTSRLRHLAETAEEKDTELLDLRETIDFLKKKNSEAQAVIQGALNASEATPKELRIKRQNSSDSISSLNSITSHSSIGSSKDADAKKKKKKSWVYELRSSFNKAFSIKKGPKSASSYSDIEEIATPDSSAPSSPKLQHGSTETASPSIKSSTSSSVGTEVTETPAHSVPHTRLFQANEEEEPEKKEVSELRSELWEKEMKLTDIRLEALNSAHQLDQLRETMHNMQLEVDLLKAENDRLKVAPGPSSGCTPGQVPGSSALSSPRRSLGLALSHPFSPSLTDTDLSPMDGISTCGSKEEVTLRVVVRMPPQHIIKGDLKQQEFFLGCSKVSGKVDWKMLDEAVFQVFKDYISKMDPASTLGLSTESIHGYSLSHVKRVLDAEPPEMPPCRRGVNNISVALKGLKEKCVDSLVFETLIPKPMMQHYISLLLKHRRLVLSGPSGTGKTYLTNRLAEYLVERSGREVTDGIVSTFNMHQQSCKDLQLYLSNLANQIDRETGIGDVPLVILLDDLSEAGSISELVNGALTCKYHKCPYIIGTTNQPVKMTPNHGLHLSFRMLTFSNNVEPANGFLVRYLRRKLVESDSDVNANKEELLRVLDWVPKLWYHLHTFLEKHSTSDFLIGPCFFLSCPIGIEDFRTWFIDLWNNSIIPYLQEGAKDGIKVHGQKAAWEDPVEWVRDTLPWPSAQQDQSKLYHLPPPSVGPHSTASPPEDRTVKDSTPNSLDSDPLMAMLLKLQEAANYIESPDRETILDPNLQATL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDCIDKSIRRESLRKLGCLPDHGWNLFVQSSNRYSKLLEADSKLFKAQRIEDTVLSICEVTLENKASENALTLFQQLRFYLLNYLFLEDTSEYKSVLCSLDESVYPNLLPLTANICPCILKALLALVRQHRELSSDIVKFLNSIFLALTRILNTPHSSNNNPTHTKTTIFEKVFYASHLGFFFEEIADACISALPQDACLWAMEVCNTILVSDLPCKLIDLHNDSFLHHSNYYAGFGLSYYLYLSSMKAYINSSGCLWYEDATSFWDIVKHRPSSPEEKPFLTQFQSILNLSDKFLSKTGTLRLQEKLGTSCNLFFVLVLKLALLSSSYIGFVPYTYSDWINVLLGSISEDPELLPHLIELMASLACVFPTHFQFAMKRLRLIAIYAPRFDDGNVTYAQLASRKLAQLFNYSSRDTAITSIYQFANLLSPADTPDSYLAAPKERLSISDNMSSSSSQTATVNTISNYLNVIDSVREIALTVNDEKIYGLAISLLIQKFSRKFDSRVSTELVRTLADISTKANDRDFSILVQFYSIQNKMLVKNGDEALTIAICDSRCLIARGTESNSFKRSDLLKSLLEEIIHIGIVRDTPAPELKGYYFGMSKAVQALVECVAGTDWSSLPKEEMYPALFRDMWFTLVINRFRYSVDLGETLEVDLENIAKNSPLLVFEDFGSNFESNLELNTVLRTHIEHSVISQIKSELLVRVPNIDLKPLSTSEICFLSAVLLLESLRCKSNKLSALVEYLLDPSLRDSQLPQSIRAIALYNLTSFVESLSKERRVASSTIDEFQKLLCLCCNRVDCVRQLALECMNYIMETLPHLLGIKEILFSVLELSSLLWKARTEECTDQYVPQLLYKSNKLNLSVILSDIYSCREEVLFQFNRHARSWIQNSSKAIPYQVKNLLESYLADFVDFDDLEVVELGRSLAVELGTRIVSSDRDNFVLPAFGNWTPDTSSEFMAEYTIRQRYSHVDNSILNIEGDMSTDRIDLILNEKSKLFETHLAALKSLEDDILQGNTVSPQRLRNEVRKAAAHAVQEPIFQFSVLARKIVRIPFLDFSPSSFKLGITLWNWMMNQVPSFSSFLISNIIRNWKNEIVTEKRGYFSTAKSKSPLALPMTYSPTERASFLSYKNKVMSQMIPHLLLLQLIAGNFEGFWYGDRQTAKLIVHFMKFVLKKITSMEVNLNVLTRELHFKFVSFGLRIAENLLNSPLGSRFYNLCVDAGLCWFSGMPNWTYGADKLHVAAEISVMRSLRDKLDSFLLRYPLKVSTTLKQKLLIILLNNEMYMLYTWLTPVLHGRNVRMVEPIPDSDAASSPITLEMLQVAWNVSPNIVLYAPKRFQNAPLKQMALNFVIANPFTSVKHEVALEYLFEHYPSGEFPIDRKFILYWEPMYPVSGPVMFMPNVKWNPQLLQYTMRSMESYPVSVTFFYVPQIVQSLRYDSMGYAESFILETSGTSQLFAHQILWNMKANLYKDEAATVPDSIKPILDRVMDKMINSLSGEDKQFYEREFTFFNEVTSISGKLKPFIRKSKPEKKAKIDEEMKKIKLDVGVYLPSNPDGVIVGIDRKSGKPLQSHAKAPFMATFKIRKEKLVDADPEELAVNGTEEEAGDSAKKQTYEVWQSAIFKVGDDCRQDVLTLQLIAMFKNIFNSVGLDVYLFPYRVTATNPGCGVIDVLPNCISRDMLGREAVNGLYDYFRTKFGDEDSIAFQKARSNFVQSMAAYSVITYLLQFKDRHNGNIMIDDQGHILHIDFGFIFDIAPGGITFESAPFKLTTEMIAVMGGSNKSQPFQWFQELCVKAFLACRPYAHYICQAVEVMLDSGLPCFKGQLTITHCLERFALNLNERQASTFMLHLIEQSYANKRTLMYDQFQKATNGIPY", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTDQENNNNISSNPFAALFGSLADAKQFAAIQKEQLKQQSDELPASPDDSDNSVSESLDEFDYSVSEISRSFRTHQEMCEQLNINHMIQRIFLITLDNSDPSLKSGNGIPSRCVYLEEMAVELEDQDWLDMSNVEQAIFARLLLQDPGNHLISMTSSTTLNLSADRDAGERHIFCYLYSCFQRAKEEITKVPENLLPFAVQCRNLTVSNTRTVLLTPEIYVDQNIHEQLVDLMLEAIQGAHFEDVTEFLEEVIEALLLDEEVRTFPEVMIPVFDILLSRIKDLELCQILLYAYLDILLYFTRQKDMAKVFLEYIQPKDPSNGQMYQKTLLGVILNISCLLKTPGVVENHGFFLNPSRSSPQEIKVQEANIHQFMAQFHEKIYQMLKNLLQLSPETKHCILFWLGNCLHANAGRTKIWANQMPEIFFQMYASDAFFLNLGAALLKLCQPFCKPRSSRLLTFNPTYCVLKDLNDEERKIKSVHMRGLDKETCLIPAVQEPTFPQSYNLVTENLALTEYTLYLGFHRLHDQMVKINQNLHRLQVAWRDAQQSSSPAADNLREQFERLMTIYLSTKTAMTEPQMLQNCLNLQVSMAVLLVQLAIGNEGSQPIELSFPLPDGYSSLAYVPEFFADNLGDFLIFLRRFAEDILETSADSLEHVLHFITIFTGSIERMKNPHLRAKLAEVLEAVMPHLDQTPSPLVSSVFHRKRVFCNFPYAPQLAEALIKVFVDIEFTGDPHQFEQKFNYRRPMYPILRYMWGTDCYRESIKYLSKIKIQQIEKDRGEWESLTPEARREKEAGLQMFGQLARFHNIMSNETIGTLSFLTSEIKSLFVHPFLAERIISMLNYFLQHLVGPKMGALKVKDFSEFDFKPQQLVSDICTIYLNLGDEENFCATVPKDGRSYSPTLFAQTVRVLKKINKPGNMIVAFSNLAERIKSLADLQQQEEETYADACDEFLDPIMSTLMSDPVVLPSSRVTVDRSTIARHLLSDQTDPFNRSPLTMDQIRPNTELKEKIQRWLAERKQQKEQPE", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDAWKEITKTTENDGVSTCSLSSIAFDPYSELVWTGHKNGQIKSSFGPSLTSYTQFIGHEGPVHQVLPQERGVFSLSSKSLRLSNRKGTIRWRYQDSDCIDYRAMFYQSRNNPEVVIGGYHQKLTVVNAERGISIHKDKNVSDIFIMRRNRLLCCGSTNGEIILRDPNSFQPVNKVVAHTGTISDIDTSGNLLLSCGYSLRHGTYMLDPFVKVWDLRNLSSLVPIPFPAGPTIIRMHPKLSTTAVVCSCSGQFHIVDTGNPLDAKLMQIPLTSYLTGMDIASTGDAMVFTDVEDNIHLWSPLENPSFSDLKLPIQLPNTSTETVQLENNDPLNSIGLPYYKDELLSSWSKYLIFDVGKPILDSNLLIAKQISENSHPVPQEIKSFHRNQIIEVPWLNRKLISEGATPKFHSERQKDIMSGNDIEGSASYFEEIEDTISGPDSIPKFYQRPVIKYSKFGIEDFDFGFYNKTKYAGLETDITNSYCNSVLQLLSYVPSFSKAAISHSLGPCDLMECLLCELGFLFAMLKESTGRNCQATNFLRAFSNSSFAQSLGIVFDDYSDGTFPDSFVIQKFTKFMLTEISRIADYEDKKDGTSFPVSFLLKSFCIPEMQTYRCGICGITSQKIKSSLYIIDLHYPSQQLESILSFEWLFKMSLDRRVDLPPGWCEYCLAHQPFLLRSFIRSLPDCLFINTQVKHHEHWKLWARKNWLPKKLHLRRVNDTMQCVSQKISNLDKDQQSLSVYVLRGIIYEIRQNGEEPHFVSTIRVSDNTSSDNPDDNRWYIFNDFLVKEVTEEEALTVHGPWKIPIIVYYEKLDTKIPQWDEVSDYTLLYQPYSLNKNPPINKIQPLTTDEMLYPKMLVGIDSEFVALQQEETEVRSDGTKSTIKPSKLSLARVSVLRGEGPNKGLPFIDDYVATDDKVTDYLTEYSGIHPGDLDPDRSPYNVVPLKVAYKKLRLLVNAGCIFVGHGLQKDFRIINLLVPPEQVVDTVDLFFLSSRQRKLSLKFLAWYLLDEEIQLTEHDSIEDALTALKLYDCYDKLKSQGKLEETLDNIYEVGRRFKFRPPSVASMSLEDRNSYGDESVISNQTN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MESKTINDVVVSESNHELASSSPSEFEKNQKHYQEIIATLPHKDGWRPKDPFVEYGGHWWLQPLLEGLLHAQKFFKARPNDFFVCSYPKTGTTWLKALTFAIANRSKFDVSTNPLLKRNPHEFVPYIEIDFPFFPSVDVLKDEGNTLFSTHIPYDLLPESVVKSGCKIVYIWRDPKDTFVSMWTFAHKERSQQGPVVSIEEAFDKYCQGLSAYGPYLDHVLGYWKAYQANPDQILFLKYETMRADPLPYVKRLAEFMGYGFTKEEEEGNVVEKVVKLCSFETLKNLEANKGEKDREDRPAVYANSAYFRKGKVGDWQNYLTPEMVARIDGLMEEKFKGTGFLSSKS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSSSHRGILKTEALTKYLLETSAYPREHEQLKGLREATVEKHKYWSLMNVPVDEGLFISMLLKIMNAKKTIELGVFTGYSLLATALALPQDGKIIAVDPDKEAYQTGVPFIKKAGVEHKINFIQSDAMSVLNDLIADGKEEGTLDFAMVDADKENYLNYHELLLKLVRVGGIIAYDNTLWFGSVARSEEEEMMDFERAGRVHLMKLNKFLASDPRVELSHLSIGDGVALCRRLY", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVIVTRGDYIWIEPASGREFDVAIGARVVSAEGRRIQVRDDDGDEVWLAPERRIKAMHASSVQGVEDMISLGDLHEAGILRNLLIRYKENLIYTYTGSILVAVNPYQILPIYTGDQIKLYKERKIGELPPHIFAIGDNAYAHMKRYRQDQCIVISGESGAGKTESTKLILQYLAAISGKHSWIEQQILEANPILEAFGNAKTIRNDNSSRFGKYIDIHFSANGVIEGAKIEQYLLEKSRIVSQNHSERNYHVFYCILAGLSADEKSRLDLGMAADYKYLTGGNSITCEGRDDAAEFSDIRSAMKVLLFSDQEIWEIIKLLAALLHCGNIKYKATVVDNLDATEIPEHINVERVAGLLGLPIQPLIDALTRRTLFAHGETVVSTLSRDQSVDVRDAFVKGIYGRMFVHIVRKINTAIFKPRGTSRNAIGVLDIFGFENFDQNSFEQFCINYANENLQQFFVQHIFKLEQEEYNHEAINWQHIEFVDNQDALDLIAIKQLNIMALIDEEARFPKGTDQTMLAKLHKTHGSHKNYLKPKSDINTSFGLNHFAGVVFYDTRGFLDKNRDTFSPDLLHLVSQSTNKFLRQIFAQDIEMGAETRKRTPTLSTQFRKSLDALMKTLSSCQPFFIRCIKPNELKKPMMFDRGLCCRQLRYSGMMETIRIRRAGYPIRHGFREFVERYRFLIPGVPPAHRTDCQAATSRICAVVLGKSDYQLGHTKVFLKDAHDLFLEQERDRVLTRKILILQRSIRGWVYRRRFLRLRAAAITVQRFWKGYAQRKRYRNMRVGYMRLQALIRSRVLSHRFRHLRGHIVGLQAHARGYLVRREYGHKMWAVIKIQSHVRRMIAMRRYRKLRLEHKQFAEVLQLRKLEEQELLHRGNKHAREIAEQHYRDRLHELERREIQEQLENRRRVEVNMNIINDAARKQEEPVDDGKLVEAMFDFLPDSSSDAPTPHGGRETSVFNDLPHAQNVNQDDIIAPIHISEDEEDLSEFKFQKFAATYFQGNVNHQYAKKALKHPLLPLHTQGDQLAAQALWITILRFTGDMPEPKYHTMDRMDTTSVMSKVTATLGRNFIRSKEFQEAQLMGLDPDAFLKQKPRSIRHKLVSLTLKRKNKLGEDVRRRLQDDEYTADSYQSWLQSRPTSNLEKLHFIIGHGILRAELRDEIYCQICKQLTNNPLKSSHARGWILLSLCVGCFAPSEKFVNYLRAFIREGPPGYAPYCEERLKRTFNNGTRNQPPSWLELQATKSKKPIMLPITFMDGNTKTLLADSATTARELCNQLSDKISLKDQFGFSLYIALFDKVSSLGSGGDHVMDAISQCEQYAKEQGAQERNAPWRLFFRKEIFAPWHEPTHDQVATNLIYQQVVRGVKFGEYRCDKEEDLAMIAAQQYFIEYSTDMSMERLFTLLPNFIPDFCLSGVDKAIERWAALVLQAYKKSYYVKDKIAPLKIKEDIVSYAKYKWPLLFSRFYEAYRNSGPNLPKNDVIIAVNWTGVYVVDDQEQVLLELSFPEITAVSSQKTNKVFTQTFSLSTVRGEEFTFQSPNAEDIRDLVVYFLDGLKKRSKYVIALQDYRAPSDGTSFLSFFKGDLIILEDESCGESVLNNGWCIGRCDRSQERGDFPAETVYVLPTLSKPPQDILALFNIEEAHHGRRLSMASNGGAVEPRDRPHTLMEYALDHFRLPPKRTMSKTLTLSSKRSEELWRYSRDPIKAPLLRKLQSKEEFAEEACFAFAAILKYMGDLPSKRPRMGNEITDHIFDGPLKHEILRDEIYCQLMKQLTDNRNRMSEERGWELMWLATGLFACSQGLLKELLLFLRTRRHPISQDSMHRLQKTIRHGQRKYPPHQVEVEAIQHKTTQIFHKVYFPDDTDEAFEVDSSTRAKDFCNNISQRLSLRTSEGFSLFVKIADKVISVPEGDFFFDFVRHLTDWIKKARPIRDGANPQFTYQVFFMKKLWTNTVPGKDRNADLIFHYHQELPKLLRGYHKCSREEAAKLAALVFRVRFGENKQELQAIPQMLRELIPSDIMKIQSTSEWKRSIVASYNQDGGMTSEDAKVAFLKIVYRWPTFGSAFFEVKQTTEPNYPEMLLIAINKHGVSLIHPVTKDILVTHPFTRISNWSSGNTYFHMTIGNLVRGSKLLCETSLGYKMDDLLTSYISLMLTNMNKNRTIRAN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MADPGPDPESESESVFPREVGLFADSYSEKSQFCFCGHVLTITQNFGSRLGVAARVWDAALSLCNYFESQNVDFRGKKVIELGAGTGIVGILAALQGGDVTITDLPLALEQIQGNVQANVPAGGQAQVRALSWGIDHHVFPANYDLVLGADIVYLEPTFPLLLGTLQHLCRPHGTIYLASKMRKEHGTESFFQHLLPQHFQLELAQRDEDENVNIYRARHREPRPA", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPYNPLYESLSNQFDPSRIETVLDPMGYTIKRRALPVSLTIAGSDCSGGAGIQADLKTMTSLGVYGMSAITCLVAENAGGVDSVEEMSPAFVESQIDCCIRDIPCHVVKTGMLGSPEIVKAVARSAKKFNFSKLVVDPVMVATSGDSLVTKDIVSVLNEELLPLTYLVTPNIPEAIVLAKNQGLDISNINSVSDMERCAAVIHKLGPKHVLLKGGHMPVNNLGLKSSDDEDLRVVDILYDGNRFYHFSSSYLKKGEVHGTGCTLSSAIASFLAWEHSLTEAVQFGIDYVHGAITHSPPINNCSTNILNHMTRLRIVPFAPGHFIEYILSHPQVVPAWKEYINHKFTNMLAKGTLPLPAFQDYLKQDYLYLVNFARAYSLKGYKENTFPNILEAAQSVIHVIEEKELHVSMCSSYGVSLQDLKSCEESPACTAYSRYILDTGAAQDVAALDFVQAPCLIGYYVIAARLMKEPFRNPQGPYQKWVDNYFCEDYLSAVRRGCRQIEEIVLKLSPERIQELIEIFIRATKFETLFWETPYYEYVTKQNLEDKEFS", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSTVGNSTNIFWQESPIGKTERQKLLNQKGCVVWITGLSGSGKSTLACSLSRELNNRGKLSYILDGDNLRHGLNKDLGFKAEDRVENIRRVGEVAKLFADAGLICIASLISPYRKDRDACREMIQNSSFIEVFMNMSLQLCEARDPKGLYKLARAGKIKGFTGIDDPYESPLNCEIELKEKEGECPSPVAMAEEVISYLEDKGFLQNE", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAQPKDLSHHLSVESASRKQSPLKAVALSKSSRNIKIISLAGGLPNPEYFPIREMDAEIPAINSWKKDSSNSGKLDTVSVPMSSSDSDVLPLSVALQYGQGSGAALLSQFLKEHTRIIHNPPYEGWNIIMTTGNTSCLDIALRMLTNRGDSILVEKYSFPSALQSMRPLGLSCIPIDMDQFGFLPESMDDILTNWDATSYGSPKPHVLYTIPTGQNPTGSTLSVERRKQIYTLAQKHDIIILEDEPYYYLQMDAYEGKPEAADKAFTNEQFVKELIPSFLSMDVDGRVIRMDSLSKVVAPGSRVGWFTAQPLFIERGLRAAETATQSASGISQGILYAMFKHWGQDGYLEWLKHIRYSYTLRRNYLLYAMDTYLPKSVCSYIPPVAGMFIWFEVDKSRYIHADKNESIPEIESKIHAEAVEEGVNLACGNWFVVDPRVNDKIFFRVTFAHAELEEFNVAIERFAGVLKNNFKC", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTSTSGKPKMEKFGLQTDKSARVILFRNGDRYHVEGVHCLVHSSKFKTFDQLKLEFSKKVGLFTGNVQKVYSMDKKRIQDIKDFVDGHHYICCGAEPLNTEVIPKGIQDIFGKAEVSDQDDEPKPSKPFVSSVPPPPTPTPTSSSGTTTTSQPTLSASPSVSSAQSPKKPVVSAYKESAVHSIDKFSVQTEKAKVIMCFRNGDRYHSGERVTVHSTKFKTYDQLKEQLSKQVKLPTGPVRKLYLASSGKLVKTMEEIIDGEYYVCAGGETLNPLDFSPTLSEHVKQKKLQEQQQQASEQQKPQEQEIF", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MACINGENRDFSSSSSLSSLPMIVSRNFSARDDGETGDEFPFERIFPVYARGTLNPVADPVLLDFTNSSYDPIWDSIREEAKLEAEEEPVLSSFLYASILSHDCLEQALSFVLANRLQNPTLLATQLMDIFCNVMVHDRGIQSSIRLDVQAFKDRDPACLSYSSAILHLKGYLALQAYRVAHKLWKQGRKLLALALQSRVSEVFGIDIHPAARIGKGILLDHGTGVVIGETAVIGDRVSILHGVTLGGTGKETGDRHPNIGDGALLGACVTILGNIKIGAGAMVAAGSLVLKDVPSHSMVAGNPAKLIGFVDEQDPSMTMEHDATREFFQNVAVAYRETIPNGSSVSGSCRERRH", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSAKLLYNLSDENPNLNKQFGCMNGIFQVFYRQHCPATPVTVSGGAEKSLPPGERRGSVGETNMESDKETERSSTKKKKSAAKEKHRVSFESSSRPSFSSSPRSSSFSSAEVSTTASQFDQPGENLIREQPNGGLMMPYDLKELVKGSINREIRTRGEEASFTQQQQPISARSSMLLLKESSLRSPCRSSNEWNEGRGAAMKFKESHRLSYDEREMRNNGFRVGSKLKETPRLSLDSRSNSFRSPRADAARSSCPEEPATMTHRRSSSSVVAKLMGLEVIADNSDTEQRRENRFCDSPRPMSRVEPTALQRSRSVDSIKRIPASAASKFPMEPAPWKQMKAGDSALTVYGEIQKRLTQLEFKKSGKDLRALKQILEAMEKTQQLIDESRDDGTLSTTTLMQRTHKPVSAATSPARNFKSSSIVVMKSAAPVSTSPLPQNVTLPNVKVGNSRQTRKVTSGKQNAMDLTPRPGLYKGQLDSTKSNSPKTVRSRQALAADAGSMTKSGRSQQHSVSPRTQPKKLGFEKQTRPTTPKSEPGKRQLGRQQTEVASPRRKQMIKPHSTLQQPDDRLSDARSDLRSLRSDSNISLGSNVDIEVTSRHRLERNCDFPEQHTPKQRSPDFGIKQDRPSLKPLKVTVEQPSPVSVLDAVFDEEDSPSPVRKISLSFKEEDALRSEESEWINKPTSFCRSVPFPQSNRGPMKPSSDHFECSPEEGADFKSGNHKYILEILLASGILRDLEYSMISFQLHQTRLPINPGLFFILEQNKASNVTLPDNKHRGRGFRQQQTNPTETIRRKLVFDTVNEILARKFTAEGCIKPRLIANPLKKLEKISKEEQLLQTLCSEIDRLQQNNSNCILEDDEEDIIWEDLQSQSMNLKEFEGETPGIVLDIERMIFRDLVNEVCFC", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSVVGLDVGSQSCYIAVARAGGIETIANEFSDRCTPSVISFGSKNRTIGVAAKNQQITHANNTVSNFKRFHGRAFNDPFIQKEKENLSYDLVPLKNGGVGIKVMYMGEEHLFSVEQITAMLLTKLKETAENSLKKPVTDCVISVPSFFTDAERRSVLDAAQIVGLNCLRLMNDMTAVALNYGIYKQDLPSLDEKPRIVVFVDMGHSAFQVSACAFNKGKLKVLGTAFDPFLGGKNFDEKLVEHFCAEFKTKYKLDAKSKIRALLRLYQECEKLKKLMSSNSTDLPLNIECFMNDKDVSGKMNRSQFEELCAELLQKIEVPLYSLLEQTHLKVEDVSAVEIVGGATRIPAVKERIAKFFGKDISTTLNADEAVARGCALQCAILSPAFKVREFSVTDAVPFPISLIWNHDSEDTEGVHEVFSRNHAAPFSKVLTFLRRGPFELEAFYSDPQGVPYPEAKIGRFVVQNVSAQKDGEKSRVKVKVRVNTHGIFTISTASMVEKVPTEENEMSSEADMECLNQRPPENPDTDKNVQQDNSEAGTQPQVQTDAQQTSQSPPSPELTSEENKIPDADKANEKKVDQPPEAKKPKIKVVNVELPIEANLVWQLGKDLLNMYIETEGKMIMQDKLEKERNDAKNAVEEYVYEFRDKLCGPYEKFICEQDHQNFLRLLTETEDWLYEEGEDQAKQAYVDKLEELMKIGTPVKVRFQEAEERPKMFEELGQRLQHYAKIAADFRNKDEKYNHIDESEMKKVEKSVNEVMEWMNNVMNAQAKKSLDQDPVVRAQEIKTKIKELNNTCEPVVTQPKPKIESPKLERTPNGPNIDKKEEDLEDKNNFGAEPPHQNGECYPNEKNSVNMDLD", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSFNVPKEEEKIVEFWREIDAFHTQLKLSQGRPTYTFFDGPPFATGRPHHGHLLASTIKDSVTRYACLKGYHVERRFGWDTHGLPVEHEIDKKLGITGSDDVMAMGIDKYNAECRKIVMTYASEWRATVERLGRWIDFDNDYKTLYPSFMESVWWVFKELHTKGKVYRGYRVMPYSTACTTPLSNFEAQQNYKEVPDPAIVVAFQSISDPEVSFLAWTTTPWTLPSNLALAVHPDLQYIKILDKDSNKKYILMESCLGILYKNPKKANFEILERFQGKALDGQKYEPLFPYFKSTFGERAFKLYSADYVEEGSGTGIVHQAPAFGEADYDAAWAAGIIDADHQPPCPVDEQGLLTSEITDFAGQYVKDADKEIIRSLKASGHLVKHSQIFHSYPFCWRSDTPLIYRAVPSWFVRVKEITNEMVENVMSTHWVPQNIRDKRFANWLKNARDWNISRNRYWGTPIPLWVSDDYEEVVCIGSIKELEELSGVSNITDIHRDSIDHITIPSKKGKGTLHRVSEVFDCWFESGSMPYASRHYPFERIEEFKHGFPADFISEGVDQTRGWFYTLTVLGTLLFDKAPYKNVIVSGLVMAEDGKKMSKRLKNYPEPNLIIEKYGSDALRLYLINSPVVRAEILKFKEDGVREVVTRVLIPWWNSYKFFEAQAALYKKVTGKDFVFDDAATLSSNVMDRWILARCQSLIGFVDEEMKQYRLYTVVPQLLGLIEEMTNWYIRFNRRRLKGEDGEIETINALNVLFEVLFTLVRIMGPFTPFITENIYQHLRNYMPIDKNEISLRSVHFLPFPTYKSELDDETVLRRVKRMQTIIELARYVREQNNISLKTPLKTLIVILTNEEYLEDAKLLERYIAEELNVREVVFTSNEEKYGVVYSVQADWPVLGKKLRKDMARVKKALPNVTSEEVKEFQKNKKMVLDGIELVEGDLQIIRSVEVKNEFLKSNTDGICIVLLDIEIDAQLQAEGLAREVINRVQRLRKKSNLQVTDDVRMTYKIKNDTIGLESAVDSNEALFSKVLRRPIEKETGADESNIIASEEQDVQGATFLLSLLRL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLFKQWNDLPEPKHLLDLPEISKNLQSLEVCPVPKVEFPQDLDVPQYSTAVITTKIMNPLFPKNLLQLTSIGEIKTTLTVKSPSLPQSSGKHSWNYDENFPNEVDPDQKNDTADETVYGFSFPIYSFGKTLLFSMEENFISISPIFGNMISRSIISQLAQFSPDIIVIGTSDKIASMKVMTENECTLQPPEFITGFIGSVLTQLIVGPSKGLKFKCLVAPSEGPNGFEKLSLSDMGSLVDLCGQWLGFEPSRYSEECYRLWRCDSAAIGAQSGLYI", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPIPPPPPPPPGPPPPPTFHQANTEQPKLSRDEQRGRGALLQDICKGTKLKKVTNINDRSAPILEKPKGSSGGYGSGGAALQPKGGLFQGGVLKLRPVGAKDGSENLAGKPALQIPSSRAAAPRPPVSAASGRPQDDTDSSRASLPELPRMQRPSLPDLSRPNTTSSTGMKHSSSAPPPPPPGRRANAPPTPLPMHSSKAPAYNREKPLPPTPGQRLHPGREGPPAPPPVKPPPSPVNIRTGPSGQSLAPPPPPYRQPPGVPNGPSSPTNESAPELPQRHNSLHRKTPGPVRGLAPPPPTSASPSLLSNRPPPPARDPPSRGAAPPPPPPVIRNGARDAPPPPPPYRMHGSEPPSRGKPPPPPSRTPAGPPPPPPPPLRNGHRDSITTVRSFLDDFESKYSFHPVEDFPAPEEYKHFQRIYPSKTNRAARGAPPLPPILR", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSVSGLKAELKFLASIFDKNHERFRIVSWKLDELHCQFLVPQQGSPHSLPPPLTLHCNITESYPSSSPIWFVDSEDPNLTSVLERLEDTKNNNLLRQQLKWLICELCSLYNLPKHLDVEMLDQPLPTGQNGTTEEVTSEEEEEEEEMAEDIEDLDHYEMKEEEPISGKKSEDEGIEKENLAILEKIRKTQRQDHLNGAVSGSVQASDRLMKELRDIYRSQSYKTGIYSVELINDSLYDWHVKLQKVDPDSPLHSDLQILKEKEGIEYILLNFSFKDNFPFDPPFVRVVLPVLSGGYVLGGGALCMELLTKQGWSSAYSIESVIMQINATLVKGKARVQFGANKNQYNLARAQQSYNSIVQIHEKNGWYTPPKEDG", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSWDDEAINGSMGNDDAVLMDSWDAEIGDDEPVMQSWDAEEEEKKPAPKPKKEQPKKVKKGKESSADRALLDIDTLDEKTRKELIKKAEMESDLNNAADLFAGLGVAEEHPRARALQKEQEEQALKRPAFTKDTPIETHPLFNAETKREYQDLRKALTAAITPMNKKSPLNYSSSLAIDLIRDVAKPMSIESIRQTVATLNVLIKDKEREERQARLARVRGGTATGGAGKKKVKGKTNLGGAFKKDQDFDLDGPDDFEFGDDDFM", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINDAKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKGAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKEIEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVDKKDPTGAKVTKAAQKKK", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDVLAEANGTFALNLLKTLGKDNSKNVFFSPMSMSCALAMVYMGAKGNTAAQMAQILSFNKSGGGGDIHQGFQSLLTEVNKTGTQYLLRMANRLFGEKSCDFLSSFRDSCQKFYQAEMEELDFISAVEKSRKHINTWVAEKTEGKIAELLSPGSVDPLTRLVLVNAVYFRGNWDEQFDKENTEERLFKVSKNEEKPVQMMFKQSTFKKTYIGEIFTQILVLPYVGKELNMIIMLPDETTDLRTVEKELTYEKFVEWTRLDMMDEEEVEVSLPRFKLEESYDMESVLRNLGMTDAFELGKADFSGMSQTDLSLSKVVHKSFVEVNEEGTEAAAATAAIMMMRCARFVPRFCADHPFLFFIQHSKTNGILFCGRFSSP", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVQAHGGRSRAQPLTLSLGAAMTQPPPEKTPAKKHVRLQERRGSNVALMLDVRSLGAVEPICSVNTPREVTLHFLRTAGHPLTRWALQRQPPSPKQLEEEFLKIPSNFVSPEDLDIPGHASKDRYKTILPNPQSRVCLGRAQSQEDGDYINANYIRGYDGKEKVYIATQGPMPNTVSDFWEMVWQEEVSLIVMLTQLREGKEKCVHYWPTEEETYGPFQIRIQDMKECPEYTVRQLTIQYQEERRSVKHILFSAWPDHQTPESAGPLLRLVAEVEESPETAAHPGPIVVHCSAGIGRTGCFIATRIGCQQLKARGEVDILGIVCQLRLDRGGMIQTAEQYQFLHHTLALYAGQLPEEPSP", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MERDRITALKRSFEVEEIEPPNSTPPRRVQTPLLRATVASSSQKFQDLGVKNSEPAARLVDTLSQRSPKPSLRRVDLAGAKAPEPMSRRTELSIDISSKQVESTASTPGPSRFGLKRAEVLGHKTPEPVPRRTEITIVKPQESGLRRVETPASKAPEGSAMPVTDAAPKRVEIQVPKPAEAPNCPLPPQTLENSEAPMSQLQSRLEPRPPVTEVPYRNQEDSEVAPSCVVDMADNPRDAMLKQAPVSRNEKAPVDFGYVGIDSILEQMRRKAMKQGFEFNIMVVGQSGLGKSTLINTLFKSKISRKSVQPISEERIPKTIEIKSITHDIEEKGVRMKLTVIDTPGFGDHINNENCWQPIMKFINDQYEKYLQEEVNINRKKRIPDTRVHCCLYFIPATGHSLRPLDIEFMKRLSKVVNIVPVIAKADTLTLEERVYFKQRITSDLLSNGIDVYPQKEFDEAEDRLVNEKFREMIPFAVVGSDHEYQVNGKRILGRKTKWGTIEVENTTHCEFAYLRDLLIRTHMQNIKDITSNIHFEAYRVKRLNEGNSAMANGIEKEPETQEM", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLRFLKPFPLRFGKRFYSKVPPVTNHERILPKQPSFPTAPAQNEIATLTIRNGPIFHGTSFGANRNVSGEAVFTTSPVGYVESLTDPSYKQQILIFTQPLIGNYGVPDCKKRDENGLLRHFESPHIQCAGVVVNDYATKYSHWTAVESLGEWCAREGVAAITGVDTRAIVTFLREQGSSLAKISIGEEYDANDDEAFINPEEVNLVSQVSTREPFFVSGGDGMLNIAVIDCGVKENILRSLVSRGASVTVFPFDYPIQNVASNYDGIFLTNGPGDPTHLTKTVNNLRELMNTYNGPIMGICMGHQLLALSTGAKTIKLKYGNRGHNIPALDIASGNCHITSQNHGYAVDASTLPAEWKATWTNLNDQSNEGIAHVSRPISSVQFHPEARGGPMDTFYLFDNYIKEAIKYQKSRTA", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MARKKIREYDSKRLVKEHFKRLSGKELPIRSVQINETTDLNELVEKEPWLSSEKLVVKPDMLFGKRGKSGLVALKLDFADVATFVKERLGKEVEMSGCKGPITTFIVEPFVPHNEEYYLNVVSDRLGCSISFSECGGIEIEENWDKVKTIFLPTGASLTPEICAPLVATLPLEIKAEIEEFIKVIFTLFQDLDFTFLEMNPFTLVDGSPYPLDMRGELDDTAAFKNFKKWGDIEFPLPFGRVMSPTESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEDEVLQYARVVIDCATANPDGKSRALVIGGGIANFTDVAATFNGIIRALKEKEAKLKAARMHIFVRRGGPNYQKGLAKMRALGDDIGVPIEVYGPEATMTGICKEAIQYITAAA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGIFSETVPITAVTTYIDRLTSRDTDDEDLSGIVQLSEAVNLTVTGPREASRTLRKKLKYSTPHEQVRALVILQALIENAGSHFLQNFSDEKLEDRMLQCATNSEYSKPVRKRAIHMIKLWHNDYSNVRGMESMSSLVSRLPQRQSSASHSEQPTINLKKVGPILERLIASSSMAATNLSNSLVRINPNTENPAKNKQIMVYYVDCKRAHRSLLRYIQAIQDEMWLANLLKANDEIVTAIDAFKEKCSENSDYSSDSGSYSSSYSRHLDDRASYISRSSSGGSNAQRSEDLDVNNPFGDHNRLE", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGFFSSLSSLFGLGKKDVNIVVVGLDNSGKTTILNQLKTPETRSQQIVPTVGHVVTNFSTQNLSFHAFDMAGQMKYRSTWESYFHSSQGVIFVLDSSDRLRMELLKDELMMVMEHKDVVSRGIPIVILANKMDIPGAMTASDITVALGLNLYRSGTWSIHSTCALTGDGLDKAMQQLSAEITKYMESRRT", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSTGSSDRKDDVKLLELLNSIDEQFLVPYKKPEDLRKISSTTKLQGSTPTKELDKLASVLKAHCTKIGIVCKPGTFDNNHKVVITEIQNFSRPLFYLLSLFPLFYNNKDCPKYFTDQLDESTLQLLDGLRDFIAELQERLKNDENASLDKERLTSVGKIFNACDSLSNCSKAGPYGILANILKDNVAIMDDTMNEIKEWLEEPDFSANSDDIFLDFEDSESESDSQKEEFDQEKVYENIKLFFDGFTRKIKLIKLLVSTFRKTLVSKDFTPKRNQAETLDSIHTYLKEIQLLLDEVVSTVQFEPKNFTNEEVKEEQAALVAVTKKVLIQMSKLYEGDPKRKKWIDTWEIKFNELF", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNNWQHFFNNPVDLSEHLKKPYFRFDNRDKEITAISFDEKANLIWSGDSYGCISSYDPTFQLYTRYRGHIGGNSVKDILSHRDGILSISEDSLHFANRRGVTKLNLTSIDIAAFSELNTMCYSPHSLKNNIYCGGDNTNWGIASIDLNRGCLDSLLNYSSKVKLMCSNNKVLSIGRQTGTVDLLDPTSNRTIKSFNAHSASISAMDLRDNTLVTVGKSKRFYNLYADPFVNVYDLRTMRQLPPVSFSKGTTMGSGGADFVQLHPLLPTVMIVASSSGSFDFIDLSNPTLRTQYVHPCQSIKKLCLSPNGDVLGILEADNHLDTWRRSSNNMGMFTNTPEMLAYPDYFNDITSDGPISVDDETYPLSSVGMPYYLDKLLSAWPPVVFKSEGTIPQLTGKSPLPSSGKLKSNLAVISSQNEKLSTQEFPLLRYDRTKYGMRNAIPDYVCLRDIRKQITSGLETSDIQTYTSINKYEVPPAYSRLPLTSGRFGTDNFDFTPFNNTEYSGLDPDVDNHYTNAIIQLYRFIPEMFNFVVGCLKDENFETTLLTDLGYLFDMMERSHGKICSSSNFQASLKSLTDKRQLENGEPQEHLEEYLESLCIRESIEDFNSSESIKRNMPQKFNRFLLSQLIKEEAQTVNHNITLNQCFGLETEIRTECSCDHYDTTVKLLPSLSISGINKTVIKQLNKKSNGQNILPYIEYAMKNVTQKNSICPTCGKTETITQECTVKNLPSVLSLELSLLDTEFSNIRSSKNWLTSEFYGSIIKNKAVLRSTASELKGTSHIFKYELNGYVAKITDNNNETRLVTYVKKYNPKENCFKWLMFNDYLVVEITEEEALKMTYPWKTPEIIIYCDAEELRKPFFSVDTYSINYDILFRDYFANGIRDTARREYKLLTHDEAPKSGTLVAIDAEFVSLQSELCEIDHQGIRSIIRPKRTALARISIIRGEEGELYGVPFVDDYVVNTNHIEDYLTRYSGILPGDLDPEKSTKRLVRRNVVYRKVWLLMQLGCVFVGHGLNNDFKHININVPRNQIRDTAIYFLQGKRYLSLRYLAYVLLGMNIQEGNHDSIEDAHTALILYKKYLHLKEKAIFEKVLNSVYEEGRAHNFKVPETSKG", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVRVAINGFGRIGRLVMRIALSRPNVEVVALNDPFITNDYAAYMFKYDSTHGRYAGEVSHDDKHIIVDGKKIATYQERDPANLPWGSSNVDIAIDSTGVFKELDTAQKHIDAGAKKVVITAPSSTAPMFVMGVNEEKYTSDLKIVSNASCTTNCLAPLAKVINDAFGIEEGLMTTVHSLTATQKTVDGPSHKDWRGGRTASGNIIPSSTGAAKAVGKVLPELQGKLTGMAFRVPTVDVSVVDLTVKLNKETTYDEIKKVVKAAAEGKLKGVLGYTEDAVVSSDFLGDSHSSIFDASAGIQLSPKFVKLVSWYDNEYGYSTRVVDLVEHVAKA", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAVNVYSTSVTSDNLSRHDMLAWINESLQLNLTKIEQLCSGAAYCQFMDMLFPGSIALKKVKFQAKLEHEYIQNFKILQAGFKRMGVDKIIPVDKLVKGKFQDNFEFVQWFKKFFDANYDGKEYDPVAARQGQETAVAPSLVAPALSKPKKPLGSSTAAPQRPIATQRTTAAPKAGPGMVRKNPGVGNGDDEAAELMQQVKVLKLTVEDLEKERDFYFGKLRNIELICQENEGENDPVLQRIVDILYATDEGFVIPDEGGPQEEQEEY", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MADSLDEFIEEQKAKLAKDKAELESDPPYMEMKGKASEKLSENSKILISMAKENIPPSSQQQPKGPLGIEYGLSLPLGEDYEQKKHKLKEELRQDYRRYLTQGITQAKRKKNFLSTGETDPSTLGVSLPIDERLSAKERLKLERNREYNQFLRGKAESTEKVRQVEKNIEPKSQRNKNPISQGKSDLPLQIQTAYTHSEGPWLSRQEEGLYRQLDGEIELRSRRPLKQTKEEVGISGAEHPSLSGSAGVPERRARRANGERVLDRQHCRADRDPGVSEDMDERFRFESDFDRRLLRVYTNGRPHGSRRGYVDGDDVPEEPNTQISAAENKSVHCNGPPRSADLDITSPFAGMLFGGEDRELTKRRKEKYRQELLEQIAEQQKKKRREKDLAFGITTSGVQDPEKSPDRLKQFSLTPRHFEEMPPERPRVAFQTPPPPFSAPSSPSVPPVHSAPSHNEDLHSGLGSTLGELAHPRVLPVPLNPPPPPLLAPPASNYRTPYDDAYYFYGARNTLDPNIVYYGSGMIGGQPAPHVSAPVTHQVAPPAVNTVGQNEQKVLSDGLRNSGLVFEDKPKPSTQSLQSYQEALQEQIREREARRKKERLEKEEYEAKLEAEMRIYNPWGKGGGGAPLRDAKGNLITDLNRMHRQNIDAYHNPDARTYEDKRAVVSIDQNLATSNAENLEDSANKNSGPLQTQSSPFARGNTFGEPLSELQIKQQELYKNFLRFQIEEKRQREEAEREKLRVAEEKEEKRLAEQRARIQQEYEEEQERRREKEEEQRLKNEELIRLAEERRKEAERKKKEEEEKHNLQLQHYYERENIIGDETKHLRQPSPVVPALQNKIASKLQRPPSVDTIISSFIHESSMSRAQSPPVPARKNQLRAEEEKKNVIMELSEMRKQLRSEERRLQGRLLHLDSDDEIPMRKRERNPMDIFDMARHRVQAPVRRPSPKGLDATTFQNIHDFNELRERDSDTRVDLRLMYPDPPRDHHTLEIQQQALLREQQKRLNRIKMRRDAGADLDTICTDNAQGRRMPRDDTNDFLKNSLLESDSAFIGAYGETYPVIEDNAFPPPSQLPSARERRRNKLKGLDFDSSRLHTPQDGLSLKSISSVNVDQVRMRNEDRMRRLTEQQKKPTNTDDEGSLVDPDDIMRHLSDDGRNSAATEPWLRPGTSESLKRFMAEHLNEEQHKGPGKPGTFTWQGLSAAHA", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAWQVSLLELEDWLQCPICLEVFKEPLMLQCGHSYCKGCLVSLSCHLDAELRCPVCRQAVDGSSSLPNVSLARVIEALRLPGDPEPKVCVHHRNPLSLFCEKDQELICGLCGLLGSHQHHPVTPVSTVYSRMKEELAALISELKQEQKKVDELIAKLVNNRTRIVNESDVFSWVIRREFQELHHLVDEEKARCLEGIGGHTRGLVASLDMQLEQAQGTRERLAQAECVLEQFGNEDHHKFIRKFHSMASRAEMPQARPLEGAFSPISFKPGLHQADIKLTVWKRLFRKVLPAPEPLKLDPATAHPLLELSKGNTVVQCGLLAQRRASQPERFDYSTCVLASRGFSCGRHYWEVVVGSKSDWRLGVIKGTASRKGKLNRSPEHGVWLIGLKEGRVYEAFACPRVPLPVAGHPHRIGLYLHYEQGELTFFDADRPDDLRPLYTFQADFQGKLYPILDTCWHERGSNSLPMVLPPPSGPGPLSPEQPTKL", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDQSKMRRTNQFRKTSQKPPSTGIDSYPTPAQSPMAQHETPMWDFNSLNPYFSMLNMNDGINYARHQQNHIVTSRPPTPLTDLMSLRSFQSFPNVFMPVSRSRTSSFIQSDTDSSRLESDDFSQNVRCFSADIDRSKSYGSSKHYHLKYSRPALSRNSRSFTRSNNVLPTWSLDSNGEMRSRLSLSEVLDSGDLMKFAVDKTGCQFLEKAVKGSLTSYQKFQLFEQVIGRKDDFLKLSTNIFGNYLVQSVIGISLATNDDGYTKRQEKLKNFISSQMTDMCLDKFACRVIQSSLQNMDLSLACKLVQALPRDARLIAICVDQNANHVIQKVVAVIPLKNWEFIVDFVATPEHLRQICSDKYGCRVVQTIIEKLTADSMNVDLTSAAQNLRERALQRLMTSVTNRCQELATNEYANYIIQHIVSNDDLAVYRECIIEKCLMRNLLSLSQEKFASHVVEKAFLHAPLELLAEMMDEIFDGYIPHPDTGKDALDIMMFHQFGNYVVQCMLTICCDAVSGRRQTKEGGYDHAISFQDWLKKLHSRVTKERHRLSRFSSGKKMIETLANLRSTHPIYELQSSGHDSFKTDYFSTASEHDGPELEKNGIEEGSLMLEPRSNKSSVSVKFSSSGSHGDD", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MIQSDKGADPPDKKDMKLSTATNPQNGLSQILRLVLQELSLFYGRDVNGVCLLYDLLHSPWLQALLKIYDCLQEFKEKKLVPATPHAQVLSYEVVELLRETPTSPEIQELRQMLQAPHFKALLSAHDTIAQKDFEPLLPPLPDNIPESEEAMRIVCLVKNQQPLGATIKRHEMTGDILVARIIHGGLAERSGLLYAGDKLVEVNGVSVEGLDPEQVIHILAMSRGTIMFKVVPVSDPPVNSQQMVYVRAMTEYWPQEDPDIPCMDAGLPFQKGDILQIVDQNDALWWQARKISDPATCAGLVPSNHLLKRKQREFWWSQPYQPHTCLKSTLSISMEEEDDMKIDEKCVEADEETFESEELSEDKEEFVGYGQKFFIAGFRRSMRLCRRKSHLSPLHASVCCTGSCYSAVGAPYEEVVRYQRRPSDKYRLIVLMGPSGVGVNELRRQLIEFNPSHFQSAVPHTTRTKKSYEMNGREYHYVSKETFENLIYSHRMLEYGEYKGHLYGTSVDAVQTVLVEGKICVMDLEPQDIQGVRTHELKPYVIFIKPSNMRCMKQSRKNAKVITDYYVDMKFKDEDLQEMENLAQRMETQFGQFFDHVIVNDSLHDACAQLLSAIQKAQEEPQWVPATWISSDTESQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASGSGPGAAASANLNAVRETMDVLLEISRILNTGLDMETLSICVRLCEQGINPEALSSVIKELRKGTEALKAAENTS", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGGEGGAEPVIHFVFVHGASHGAWCWYKLTTLLDAAGFKSTSVDLTGAGISLIDSNIVFDSDQYNRPLFSLLSDLPPHHKVILVGHSIGGGSVTEALCKFTDKISMAIYLAASMVQPGSIPSPHLSNIHVGEEDIWEYTYGEGTDKPPTGVLMKPEFIRHYYYSQSPLEDVTLSSKLLRPAPMRAFQDLDKLPPNPEAEKVPRVYIKTAKDNLFDSVRQDLLVENWPPSQLYVLEDSDHSAFFSVPTTLFAYLLRAVSFLQR", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSHPDYRMNLRPLGTPRGVSAVAGPHDIGASPGDKKSKNRSTRGKKKSIFETYMSKEDVSEGLKRGTLIQGVLRINPKKFHEAFIPSPDGDRDIFIDGVVARNRALNGDLVVVKLLPEEHWKVVKPESNDKETEAAYESDIPEELCGHHLPQQSLKSYNDSPDVIVEAQFDGSDSEDGHGITQNVLVDGVKKLSVCVSEKGREDGDAPVTKDETTCISQDTRALSEKSLQRSAKVVYILEKKHSRAATGFLKLLADKNSELFRKYALFSPSDHRVPRIYVPLKDCPQDFVARPKDYANTLFICRIVDWKEDCNFALGQLAKSLGQAGEIEPETEGILTEYGVDFSDFSSEVLECLPQGLPWTIPPEEFSKRRDLRKDCIFTIDPSTARDLDDALSCKPLADGNFKVGVHIADVSYFVPEGSDLDKVAAERATSVYLVQKVVPMLPRLLCEELCSLNPMSDKLTFSVIWTLTPEGKILDEWFGRTIIRSCTKLSYEHAQSMIESPTEKIPAKELPPISPEHSSEEVHQAVLNLHGIAKQLRQQRFVDGALRLDQLKLAFTLDHETGLPQGCHIYEYRESNKLVEEFMLLANMAVAHKIHRAFPEQALLRRHPPPQTRMLSDLVEFCDQMGLPVDFSSAGALNKSLTQTFGDDKYSLARKEVLTNMCSRPMQMALYFCSGLLQDPAQFRHYALNVPLYTHFTSPIRRFADVLVHRLLAAALGYRERLDMAPDTLQKQADHCNDRRMASKRVQELSTSLFFAVLVKESGPLESEAMVMGILKQAFDVLVLRYGVQKRIYCNALALRSHHFQKVGKKPELTLVWEPEDMEQEPAQQVITIFSLVEVVLQAESTALKYSAILKRPGTQGHLGPEKEEEESDGEPEDSSTS", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNTNDEKMKIISEDFTGDGVDTEAGRRKKNSKVRRQQRKKKPPASPPEEMVSDKFQDGGQQEVVEEEPETNLLSLTARRGPRSLPPIPSASRTGFAEFSMRERMREKLQAARSKAESALLRDVPTPRPRRLRSPSREKETETEFGTEPSTEVQDTQKEDDTKSYSRIKFRDSVRKIKSKPQLPPGFPSAEEAYNFFTFNFDPEPEESEEKSPVKGGERAHHEDQEGEEGTQAQERAKKTEEEELLNGKDAEDFLLGLDPTAHDFVAVRAAEYKSARIQLQKEKEILFTPSRLTVPTYKKLPENIQPRFLEDEGLYIGARPEVARTNENIMENRLLIQEPGSKWFGDDGRILALPSPIKPFPSRPSLTTREQSPKAGLETLYKKAEKYVHSRQHMIGSGDPPGNFQLDIDISGLIFTHHPCFSREHVLASKLAQLYDQYLARQQRNKTKFLTDKLQALRKAVQTSLNPEKPHQSLDTTQKTINEYKSEIRQTRKLRDAEQEKDRTLLKTIIKVWKEMKSLREFQRFTNTPLKLVLRKEKVDPKLDEDAYEAEIQAEIHELLEEHMEEYATKMEEYRTSHQQWKAWRKAQRAKKKKKKQTTEEHLEEEEAEESFPEEEVTKPIPPEPTDPAVIEQQVRERAAHSRRRPGEPTLIPELSLAGNVTPNDQCPRVEVSRREDVRRRSVYLKVVFNSKEVSRTVSRPLGADFRVHFGQIFNLQIFNWPESLMLQVYETIGHSGTTLLAEVFLPIPETTLVTGRAPIEEVEFSSNQHVTLDHEGVGSGVPFSFEADGSNQLTLMTSGKVSHSVAWAVGENGIPLIPPLSQQNIGFRSALRRADAISSIGTSGLTDMKKLAKWAAESKLDPNDPNHAPLMQLISVATSGESYVPDFFRLEQLQQEFNFVSEEELNRSKRFRLLHLRSQEVPEFRNYKQIPAYDREIMEKVFQDYEKRLRDRNVIETKDHLDMHRATVAKYLQQVREAVVNRFLTAKHHFLLTDLVVEEEVPNISSEGSGILGLSLFKLAEQKRPLRPRRKGRKKVTAQNLSDGDIKLLVNIIRAYDIPVRKPVVSKFQQPSRSSRTFSEKQTASPSTHSPLHNADYPLGQVLVRPFVEVSFQRTICHTTTAEGPNPSWNEELELPFRAPNGDYSTASLQSVKDDVYINIFDEVLYDILEDDRERGSGIHTRIERHWLGCVKIPFSTIYFQARIDGTFKIDIPPVLLGYSKERNIIMERAFDSARSLSEGSYITLFITIEPQLVPGEPMREKMSDMLKKFDTQEDEKLLQATEKFQAECALKFPQRQCLTTVTDMTGKTVFITRYLKPLNPPQELLHVYPNNPQATAELVARYVSLIPFLPDSVSFAGVCDLWSTSDQFLDLLAGDEEEHAVLLCNYFLFLGKKAWLVMGSAIPEGPTAYVLTWEKNYYLIWNPCSGHCYGQFDAFCPLKSVGCLIGPDNIWFNIQHHDSPLRINFDVTKPKLWKSFFSRSLPYPGLSSVQPEELIYQHTDKAVAAELQDRIEKILKEKIMDWRPRHLTRWNRYCTSTLRHFLPLLERSQGEDIEDDHRAELLKQLGDYRFSGFPLHMPYSEVKPLVEAVYSTGVHNIDLPNVEFALAVYIHPYPKNVLSVWIYVASLVRNR", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MMIHGFQSSHRDFCFGPWKLTASKTHIMKSADVEKLADELHMPSLPEMMFGDNVLRIQHGSGFGIEFNATDALRCVNNYQGMLKVACAEEWQESRTEGEHSKEVIKPYDWTYTTDYKGTLLGESLKLKVVPTTDHIDTEKLKAREQIKFFEEVLLFEDELHDHGVSSLSVKIRVMPSSFFLLLRFFLRIDGVLIRMNDTRLYHEADKTYMLREYTSRESKISSLMHVPPSLFTEPNEISQYLPIKEAVCEKLIFPERIDPNPADSQKSTQVE", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEGTVESQTPDLRDVEGKVGRKTPEGLLRGLRGECELGTSGALLLPGASSTGHDLGDKIMALKMELAYLRAIDVKILQQLVTLNEGIEAVRWLLEERGTLTSHCSSLTSSQYSLTGGSPGRSRRGSWDSLPDTSTTDRLDSVSIGSFLDTVAPSELDEQGPPGAPRSEMDWAKVIAGGERARTEVDVAATRLGSLRAVWKPPGERLQGGPPESPEDESAKLGFEAHWFWEQCQDDVTFL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPRLALRQYNFYYRVNGEKAEELLKEYGEDGDFLLRYSESNPQNFSISVRVAEDKILHIKVTKYESDMLSIFEDERTTPNQFGSITELAEFYMEFPEKLREKNGLFLELKKPVYVPYHLEACAEEQRRTQLYRWWHGNLPASSANKLLQTEKNGTYLLRASQHIPGALVISAKTEGQVVHLTIYQDPSTGRFNIDGDRTKFQSAWLLIDSYSKNPIVEKGEASRVLYLEEPLFNTFIEADLFVDRFEIIRRPINPRESMEKTGISEEFDRLSQEALPAEQYLSKREGRRPVNAEKNRYKNIVPFDHTRVILTDRPNTPGSDYINASYVRFENSQRTKNVTFACEKSFIATQGCLETTISDFWSMVWQENSRVIVMPTMENERKEKCARYWPAEVNKPEVHGDISLTCTIERKVQRAVSDEVKAELEQEKTNRIAKGLVPEAELNGDGISYILRTLVMKKGKDTREIRQLQYLTWPDHGCPLHPYAVLNFLEDVDREYDYFNAQPIAASLPQGPIVVHCSAGIGRTGTVLVLDALLNQVKKVGLLCPMDVYKMVKYVRTYRSGLVQTEQQYQFLYKALAFYLKNNNPYPVKSFIDGDTDAFDFPRRLRPTPNASRPSSARQVTSSRPSSSASSRTSHSRPRTGPQAEPIFERSTSSTSSSSTLLKSTKK", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSGNPDAFENDPGFPFLGISREARAATAARPFDSKKNCWIPDPEDGFVAAEIQSTTGEQVTVVTVKGNQITVKKDQCQEMNPPKFDKTEDMANLTFLNEASVLGNLKDRYKDLMIYTYSGLFCVVINPYKRLPIYSESVIKHFMGKRRNEMPPHLFAVSDEAYRNMVQDKENQSMLITGESGAGKTENTKKVISYFAIVGATQAASGKEAKDGKKGGTLEEQIVQTNPVLEAFGNAKTVRNNNSSRFGKFIRTHFSGSGKLAGGDIEHYLLEKSRVVRQAPGERCYHIFYQIMSGNDPSLRGKLKLSNDITYYHFCSQAELTIEGMDDKEEMRLTQEAFDIMGFEDNETMDLYRSTAGIMHMGEMKFKQRPREEQAEPDGEEDALNAAAMLGIQAEEFLKALTKPRVRVGTEWVNKGQNLEQVNWAVSGLAKAIYARMFKWIITRCNKTLDAKEIERKHFIGVLDIAGFEIFDLNSFEQLWINFVNERLQQFFNHHMFVLEQEEYKREGIAWTFIDFGLDLQACIELIEKPLGIISILDEECIVPKATDMTYAQKLLDQHLGKHPNFQKPKPPKGKQGDAHFAIVHYAGTVRYNATNFLEKNKDPLNDTAVALLKHSTDNSLMLDIWQDYQTQEEAAEAAKAGQTAGGKRGKSSSFATVSMIYRESLNNLMNMLYQTHPHFIRCIIPNEKKASGVIDSALVLNQLTCNGVLEGIRICRKGFPNRMLYPDFKHRYAILAADAAKESDPKKASVGILDKISVDGNLTDEEFKVGETKIFFKAGVLAKLEDLRDEILSRIVTMFQSRIRSYLAKAEVRRRYEQQTGLLVVQRNVRAWCTLRTWEWFKLFGKVKPMLKAGKEQEAMGELAVKIQKLEEAVQRGEIARSQLESQVADLVEEKNALFLSLETEKANLADAEERNEKLNQLKATLESKLSDITGQLEDMQERNEDLARQKKKTDQELSDTKKHVQDLELSLRKAEQEKQSRDHNIRSLQDEMANQDEAVAKLNKEKKHQEESNRKLNEDLQSEEDKVNHLEKIRNKLEQQMDELEENIDREKRSRGDIEKAKRKVEGDLKVAQENIDEITKQKHDVETTLKRKEEDLHHTNAKLAENNSIIAKLQRLIKELTARNAELEEELEAERNSRQKSDRSRSEAERELEELTERLEQQGGATAAQLEANKKREAEIAKLRREKEEDSLNHETAISSLRKRHGDSVAELTEQLETLQKLKAKSEAEKSKLQRDLEESQHATDSEVRSRQDLEKALKTIEVQYSELQTKADEQSRQLQDFAALKNRLNNENSDLNRSLEEMDNQLNSLHRLKSTLQSQLDETRRNYDEESRERQALAATAKNLEHENTILREHLDEEAESKADLTRQISKLNAEIQQWKARFDSEGLNKLEEIEAAKKALQLKVQELTDTNEGLFAKIASQEKVRFKLMQDLDDAQSDVEKAAAQVAFYEKHRRQFESIIAEWKKKTDDLSSELDAAQRDNRQLSTDLFKAKTANDELAEYLDSTRRENKSLAQEVKDLTDQLGEGGRSVAELQKIVRKLEVEKEELQKALDEAEAALEAEEAKVLRAQIEVSQIRSEIEKRIQEKEEEFENTRRNHQRALESMQATLEAETKQKEEALRIKKKLESDINDLEIALDHANRAYADAQKTIKKYMETVQELQFQIEEEQRQKDEIREQFLASEKRNAILQSEKDELAQQAEAAERARRNAEAECIELREQNNDLNAHVSALTGQRRKLEGELLAAHAELEEIANELKNAVEQGQKASADAARLAEELRQEQEHSMHIERIRKGLELQIKEMQIRLDDAENAALKGGKKIIAQLEARIRAIEQELDGEQRRHQDTEKNWRKAERRVKEVEFQVVEEKKNEERLTELVDKLQCKLKIFKRQVEEAEEVAASNLNKYKVLTAQFEQAEERADIAENALSKMRNKIRASASMAPPDGFPMVPSASSALIRSSSNARFL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAAETSIRKLPSLSGLRHRRNPLEDNPYFHPSNGFYITPSDVILAQVAYDHSAHSQSRVAYHRAGPRREIMYEPSAVKAAIVTCGGLCPGMNTVIRELVVGLWELYGVREIYGIPAGYRGFYSMKAVKLDPKAVHDWHKKGGTVLATSRGGFHLQKIVDAIHLNGYNQVYIIGGDGTMRGAVEIFKEISLRKLEVGITVIPKTVDNDVGIIDRSFGFQTAVEMAQEAISAAHVEAESAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPEMDFYLEGKGGLFEFLEKRLKERGHAVLVVAEGAGQEMIPRNESQKQERDESGNAVFLDVGVWFKSVLKAWWEREHPDELFTVKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGVMAGYTGFVPGPINGNYAYIPLEEVAQTKNQVNTRDHKWAWVRSVTNQPDFETNVKG", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLSNSTFHEHHAKSHFHNNACQSNASSSACRASEDHLVSSFPNDSIIDLQPSRPAPEPPKKKFGYYARRLSGHFLSLIHGSGNSTRSPPFHLQNQKSNGQSEVWHSSDDSGSPKRLNRSRSSKEDMYRRRSLHGLPSLSRRNSKKSSTLSRSISLHLRSESAPISLPIHLYKSYSYNHSPSSLPTVLNSQALSSPPVPTTPDEVSTNRLSSSTSSMNCRNLVPDNFNISIRPNTTNYRSSIQENSNGNRDSISPSAYDAPLLHNVDTQSIDGFVSVASHFSSASTAESLDDGHSATTIQQGDVSSYPLSRSVSTPVPMSPISISPAKPSPQSPKLSQSAVGHPSSSIPAAAMHKVSYSDDLMRFVAREKYYLQIVDCLCTQKDPLFFYTDFTKICQQDTVGTYVARQTLDKEVVVIKRFDISAVTHRRLLLEELQRLSGLSHKNLIRYNESFWYLNNIWSVFEYKDPSTKLSALIPKYFFSELNIASICYEISSGLAFLHNSGIAHHNLTTECIYLTKSSCLKIGNYAFSSPYIERQTNRGAVSHVPDWLIEKNYKEGFMKDVKSLGLVALEIFQGQPNFFRKSIQSIQLTPNANVLVNRVRGLISQEFKEFLLQTLQAETLQGPNINMLLETSSFLEKRQTLNFEICLNNLNLRERKASRYSYL", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSFLISFDKSKKHPAHLQLANNLKIALALEYASKNLKPEVDNDNAAMELRNTKEPFLLFDANAILRYVMDDFEGQTSDKYQFALASLQNLLYHKELPQQHVEVLTNKAIENYLVELKEPLTTTDLILFANVYALNSSLVHSKFPELPSKVHNAVALAKKHVPRDSSSFKNIGAVKIQADLTVKPKDSEILPKPNERNILITSALPYVNNVPHLGNIIGSVLSADIFARYCKGRNYNALFICGTDEYGTATETKALEEGVTPRQLCDKYHKIHSDVYKWFQIGFDYFGRTTTDKQTEIAQHIFTKLNSNGYLEEQSMKQLYCPVHNSYLADRYVEGECPKCHYDDARGDQCDKCGALLDPFELINPRCKLDDASPEPKYSDHIFLSLDKLESQISEWVEKASEEGNWSKNSKTITQSWLKDGLKPRCITRDLVWGTPVPLEKYKDKVLYVWFDATIGYVSITSNYTKEWKQWWNNPEHVSLYQFMGKDNVPFHTVVFPGSQLGTEENWTMLHHLNTTEYLQYENGKFSKSRGVGVFGNNAQDSGISPSVWRYYLASVRPESSDSHFSWDDFVARNNSELLANLGNFVNRLIKFVNAKYNGVVPKFDPKKVSNYDGLVKDINEILSNYVKEMELGHERRGLEIAMSLSARGNQFLQENKLDNTLFSQSPEKSDAVVAVGLNIIYAVSSIITPYMPEIGEKINKMLNAPALKIDDRFHLAILEGHNINKAEYLFQRIDEKKIDEWRAKYGGQQV", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MFVQEEKIFAGKVLRLHICASDGAEWLEEATEDTSVEKLKERCLKHCAHGSLEDPKSITHHKLIHAASERVLSDARTILEENIQDQDVLLLIKKRAPSPLPKMADVSAEEKKKQDQKAPDKEAILRATANLPSYNMDRAAVQTNMRDFQTELRKILVSLIEVAQKLLALNPDAVELFKKANAMLDEDEDERVDEAALRQLTEMGFPENRATKALQLNHMSVPQAMEWLIEHAEDPTIDTPLPGQAPPEAEGATAAASEAAAGASATDEEARDELTEIFKKIRRKREFRADARAVISLMEMGFDEKEVIDALRVNNNQQNAACEWLLGDRKPSPEELDKGIDPDSPLFQAILDNPVVQLGLTNPKTLLAFEDMLENPLNSTQWMNDPETGPVMLQISRIFQTLNRT", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MASATLGSSSSSASPAVAELCQNTPETFLEASKLLLTYADNILRNPSDEKYRSIRIGNTAFSTRLLPVRGAVECLFEMGFEEGETHLIFPKKASVEQLQKIRDLIAIERSSRLDGSSKKVQFSQHPAAAKLPLEQSEDPAGLIRHSGNQTGQLPSLPSAPMVVGDSTILKVLQSNIQHVQLYENPVLQEKALTCIPVSELKRKAQEKLFRARKLDKGTNVSDEDFLLLELLHWFKEEFFRWVNNIVCSKCGGETRSRDEALLPNDDELKWGAKNVENHYCDACQLSNRFPRYNNPEKLLETRCGRCGEWANCFTLCCRALGFEARYVWDYTDHVWTEVYSPSQQRWLHCDACEDVCDKPLLYEIGWGKKLSYIIAFSKDEVVDVTWRYSCKHDEVMSRRTKVKEELLRETINGLNKQRQLSLSESRRKELLQRIIVELVEFISPKTPRPGELGGRVSGSLAWRVARGETGLERKEILFIPSENEKISKQFHLRYDIVRDRYIRVSDNNINISGWENGVWKMESIFRKVEKDWNMVYLARKEGSSFAYISWKFECGSAGLKVDTVSIRTSSQSFESGSVRWKLRSETAQVNLLGDKNLRSYNDFSGATEVTLEAELSRGDGDVAWQHTQLFRQSLNDSGENGLEIIITFNDL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSMSNIVVFGGDSHPELVTKICENLDIHPSKVELGKFSNGETNIALRESVREKDVYIIQSGCGQVNDTFMQLLILISACKSASASRVTAVMPYLCYSRQPDIPYTAKGAPIISKPKENYTFESHPGTPVSSSLMTQRPGAESSLKSLDSAIRSTINLENPQPIRTPNSSATANNNFDIKKTLSFSRIPMIPGGKLQNTSNSTDAGELFNAQNAGYKLWVVQAGTLIAHLLSAAGADHVITMDLHDPQFPGFFDIPVDNLYCKPIAQNYIQHRIPDYQDAVIVSPDAGGAKRATAIADALELSFALIHKERRSQLLKGPPDATLTSGGSLPVSPRPLVTTLVSSQNTTSSGATGVAALEMKKTTSTSSTSSQSSNSSKFVQTTMLVGDVRNKVCIIVDDLVDTSYTITRAAKLLKDQGSTKVYALITHGVFSGDALERIGQSSIDKLIISNTVPQDRTLQYLGKDRVDVIDVSCIIGEAIRRIHNGESISMLFEHGW", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEDLKEDIKFIVDETLDFGGLSPSDSHEEEDITVLVSPEKPLRRGLAHRSNPNEVAPALQGVRFSLGPLSPEKLEEILDEANRLAAQLEECALKDRERAGTGPGRPSPRGKPSPRRETFVLKDSPVRDLLPTVSSWSTPPPSSLAGLRSSDKKGSARAVRVASGKKPSSIKKESPTCNLFPASKSPGRSPLAQPILPPRRKTGFGARTTASPPIPVRPVPQSSASNSQCSSRLQGAAVKSSSRLPVPSAIPKPATRVPLIGRSLPPGKGALAPDSLSTQKGHPSAIGHRASVSQKTNLPTTSAARGRTTSAARGRAQPLRKAAVPGPTR", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSTDIATQLKGSRSDVEKVRKTVEAKFRELSGDGLPLRYEVNVLRHICLALKDNLHQNSDLYCDIMGIMLPRVVPCEEKPSLWEAHLSSLRYIHHGLFHQRSIEACQKLYNLIRQQPCRLQEESDYKIYLDIHLTHFNGFHVLLQKQKLPLEATSQLCYALESLGDLFAAMTQRQISLCATLLVQLNESLFGKRSRSFFKSLSFLPSESLAKMFNALLMLLASSTSSNLANLFPECLSLTLALVQIDMFSPQSNQQMSLQLLRMSKELFRQESNLCYALQLMYYYIKLIFVREPTGDFKRTYIDLSSKFQHFFEHKVASHAKEQWLADFLVAIQLLQVLIHQSNSKLQSPFQIFWQQFDGESSPEIYTAHFQLLQTCASLAVNITRSPLGCSCSHEACKSVRRHCILAYGLCALDAYINWKPAAEQRANVSPHKPLLGVVKYSMDVAKTMKCLGPTSVEIIKLVRQLTYVADQVTCPEQMSVLLPLLEPLQKLRPLVADQDMSSLLRRLFKASSHCGDSNIACRIQASYLASITNPARLRSQVCLYYHNLGKKGTEIKRCVYEWHESTPLPFPLTPDQKKQLYDTDFFALLHYLRSPSTAHMESLIRCRTSDYHLVLLARQMRKDDSISKKCIEVHDKLRQQRSLSRMDNLCLGHASVGLLLDALEAQKTKVSTKEITENMFEELLLSKNLWQMNIQREQRLVNYASEAISAFSNFFDRADQEPLSANETSIDWEALIDDAIATANALSSMGYQSEEDDAWLLLLRMGRLLEDRFTYLRALNHFLSQNEVSSRLNLKLGEEVEVAEELLDDLWPQLKNGKFFKRQQTTVMLCFCHLASYYARMECYSHAQLLLLHVEQLREEFPERQGKSDIVLLTLQTVRFRIGYQQRKPTNCRLPTPLRQLDILLDNVRSFCNLSSLDGGSLQLLLSTLVRESTECSANRLSERLSFSNIALHLVLQSGLALRAIEVFLAWLWTNLQMESFDKAQSKLRLIEHCLGIKQLNPTSRPEKEAIKDVAISDLASNMHLLQLVEPIRKQQLLNMASPNLLKMRPHSPNPQLDLDRYITLDVAPANLRENSQLQCLYFVTGCLHARLRFLQRNSEQLEEFYGRAHNWMQEKPPMSSALYPMLHAQQLYHLNYLRFARKHVEAISTAQLGLKMRSRAVDINFEYNFLAQLKTAQLELKPVGQDKPQVKILRRALVFNHSPEDKKRTATGSVSAVKNTASKVKQSAKKAPRFRIYEELELRPPSATSCSSSGGSGTENTPPSDHVDLNACQAIEISDDDDSPLVSTKKTQPKSREKAKPKATSKACKVLTLDNSLEIVETPTITTSTRSTRARLRQPVETPKTATLSSKRTRRQVLEAQAPETESISTRTRHRH", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASRQGFSNVNEDEPELPPSVLSLKSKFESLSTGDLTNLDEKTAKRRTVKGCKNGTSEPNVFKARPIPPPRQVSSTIGSSTGRKVSGSIQRLASNFKNPSNPHADVSKIDRLPSDSSESHVATPSSPTISNSFVSVSPLLKRPQQKGPEISFQSSVQSTKGNDLMKHDDTNNHQIPPPKPNFSSKAGSSSPISVSPLKNVKAYISQSPTHSEASSVLSSEEEEENVINSSKSVPSFDLHDPFSQTFGKECPISTAPPVLNIGDRSLETPPPIPSPRPPQPVAVEAIQQSRAVISQQLPLHVSPRKPPKPPLRKVSTQRSSSPIENLATKSDASLVTGLQSSPYTHIAPASEMSLIPEKPRLPPRPSHTLSELSSPALTSENLSSKPSPLFPPPPPRVKSLATNKPVSMPVSTEQSDPSVAASSSSSSQLDVVLKGSIPDTSSVRRNPPCFVNGVESINVDFEARIFDVSGDRLVLAGNGGLRVYDTVTGLCHWHMPLGDTKVTSLSFKSSPENYSDDGRFVWFGTRDGMLWEVDVQNHHIVTKKSVSNCPITYVMVYKNEMWTLDDMGKLYVWQEDEIMGLSIQSTPHSIRTIPHATHAMVLDNRLLWVVVGKSIYVYDPSTSENESASVLAKPMTPPGLIGDISCGTTISNFTDLVFYGHVDGKISIFSKTQYRFLELITSSSFYRICSLVGVGNTLWAAYTTGMIYVFDVSESPWRLLKSWHGHKASHNGATTILGIDVNSVWKAKRLQVVSMASSVVKFWDGLMMGDWLATEMRSRFPEYSNFTDVSILICSWNAGASKPSDLDSDTIGASMIPMMIRDNGYPDIVVFGFQELVDLENKRLTARSILSKSSSKGGSSNSANISSQYRLWREKLESEMMRVSSNDDYQVLVCENLVGLFSCVFVKNKLQSKIRMLQSTTVKTGLGGLHGNKGAIVVRFLVDDTSYCIVNCHLAAGQSNKAARNNDLATILDNASLFPENDETDQLNTFVGGGDGSLIMDHEVCVLHGDLNYRINTLRPKALDLIKKNDIKTLLQSDQLLVERKRNAGFRLRTFTEPEITFAPTYKYDVHSEQYDSSEKKRVPAWCDRICYRGSPDYISAENYTRYELKASDHRPVSALIHSKAKMVNAQSQGSTWDVVKRKWIEYADEFKRKAKITYVMNYTSVSYQTAEQYLSGNNWNVQNALKQVSS", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLLKEYRICMPLTVDEYKIGQLYMISKHSHEQSDRGEGVEVVQNEPFEDPHHGNGQFTEKRVYLNSKLPSWARAVVPKIFYVTEKAWNYYPYTITEYTCSFLPKFSIHIETKYEDNKGSNDTIFDNEAKDVEREVCFIDIACDEIPERYYKESEDPKHFKSEKTGRGQLREGWRDSHQPIMCSYKLVTVKFEVWGLQTRVEQFVHKVVRDILLIGHRQAFAWVDEWYDMTMDEVREFERATQEATNKKIGIFPPAISISSIPLLPSSVRSAPSSAPSTPLSTDAPEFLSVPKDRPRKKSAPETLTLPDPEKKATLNLPGMHSSDKPCRPKSE", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPKTSYLNKNFESAHYNNVRPSYPLSLVNEIMKFHKGTRKSLVDIGCGTGKATFVVEPYFKEVIGIDPSSAMLSIAEKETNERRLDKKIRFINAPGEDLSSIRPESVDMVISAEAIHWCNLERLFQQVSSILRSDGTFAFWFYIQPEFVDFPEALNVYYKYGWSKDYMGKYLNDNQREILLNYGGEKLRSLLSDRFGDIEVTIYSPSDPNASTVTAENSQFLWRAAITLNQFKEFVKSWSIYTSWARDNPSKPDIADIFINELKEICHCEDLNVPLKIEWSTFYYLCRKRE", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSSATSGEYWLISVPGEKGANDAWDKLNRSTGNTSTNSKYLIPDLKVGTLDQLVGLSDDLSKLDTSAEAVIRKLVQYFTEVLEEDKSKIAENLVIGNKDMKTYVTKFQWEGAKYPLKQSLKVLSEIIGKQISQIDNDLKVKSLTYNNLKNALASMDRKTVGSLLTKDLADLVKADDFVLNSEYLQTVIVVVPKISVKEWEQKYATLSSMVVPGSSKLLTEEGEHALYTVTLFKKVIDEFKNTARENKFIVRDFVYDEETLKAGRTERDKLMAEKQRQYAPLIRWLKINFGEIFAAYIHIKALRVFVESVLRYGLPVNFQAAVIEPAKGQQKKLRQELHKLYIHLDGSAAGPIDTLEDSPALMSLGVNEYYPYVFFKLNIDFLNK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MADEEAGGTERMEISAELPQTPQRLASWWDQQVDFYTAFLHHLAQLVPEIYFAEMDPDLEKQEESVQMSIFTPLEWYLFGEDPDICLEKLKHSGAFQLCGRVFKSGETTYSCRDCAIDPTCVLCMDCFQDSVHKNHRYKMHTSTGGGFCDCGDTEAWKTGPFCVNHEPGRAGTIKENSRCPLNEEVIVQARKIFPSVIKYVVEMTIWEEEKELPPELQIREKNERYYCVLFNDEHHSYDHVIYSLQRALDCELAEAQLHTTAIDKEGRRAVKAGAYAACQEAKEDIKSHSENVSQHPLHVEVLHSEIMAHQKFALRLGSWMNKIMSYSSDFRQIFCQACLREEPDSENPCLISRLMLWDAKLYKGARKILHELIFSSFFMEMEYKKLFAMEFVKYYKQLQKEYISDDHDRSISITALSVQMFTVPTLARHLIEEQNVISVITETLLEVLPEYLDRNNKFNFQGYSQDKLGRVYAVICDLKYILISKPTIWTERLRMQFLEGFRSFLKILTCMQGMEEIRRQVGQHIEVDPDWEAAIAIQMQLKNILLMFQEWCACDEELLLVAYKECHKAVMRCSTSFISSSKTVVQSCGHSLETKSYRVSEDLVSIHLPLSRTLAGLHVRLSRLGAVSRLHEFVSFEDFQVEVLVEYPLRCLVLVAQVVAEMWRRNGLSLISQVFYYQDVKCREEMYDKDIIMLQIGASLMDPNKFLLLVLQRYELAEAFNKTISTKDQDLIKQYNTLIEEMLQVLIYIVGERYVPGVGNVTKEEVTMREIIHLLCIEPMPHSAIAKNLPENENNETGLENVINKVATFKKPGVSGHGVYELKDESLKDFNMYFYHYSKTQHSKAEHMQKKRRKQENKDEALPPPPPPEFCPAFSKVINLLNCDIMMYILRTVFERAIDTDSNLWTEGMLQMAFHILALGLLEEKQQLQKAPEEEVTFDFYHKASRLGSSAMNIQMLLEKLKGIPQLEGQKDMITWILQMFDTVKRLREKSCLIVATTSGSESIKNDEITHDKEKAERKRKAEAARLHRQKIMAQMSALQKNFIETHKLMYDNTSEMPGKEDSIMEEESTPAVSDYSRIALGPKRGPSVTEKEVLTCILCQEEQEVKIENNAMVLSACVQKSTALTQHRGKPIELSGEALDPLFMDPDLAYGTYTGSCGHVMHAVCWQKYFEAVQLSSQQRIHVDLFDLESGEYLCPLCKSLCNTVIPIIPLQPQKINSENADALAQLLTLARWIQTVLARISGYNIRHAKGENPIPIFFNQGMGDSTLEFHSILSFGVESSIKYSNSIKEMVILFATTIYRIGLKVPPDERDPRVPMLTWSTCAFTIQAIENLLGDEGKPLFGALQNRQHNGLKALMQFAVAQRITCPQVLIQKHLVRLLSVVLPNIKSEDTPCLLSIDLFHVLVGAVLAFPSLYWDDPVDLQPSSVSSSYNHLYLFHLITMAHMLQILLTVDTGLPLAQVQEDSEEAHSASSFFAEISQYTSGSIGCDIPGWYLWVSLKNGITPYLRCAALFFHYLLGVTPPEELHTNSAEGEYSALCSYLSLPTNLFLLFQEYWDTVRPLLQRWCADPALLNCLKQKNTVVRYPRKRNSLIELPDDYSCLLNQASHFRCPRSADDERKHPVLCLFCGAILCSQNICCQEIVNGEEVGACIFHALHCGAGVCIFLKIRECRVVLVEGKARGCAYPAPYLDEYGETDPGLKRGNPLHLSRERYRKLHLVWQQHCIIEEIARSQETNQMLFGFNWQLL", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MCDQQEIQCCGPIPQCCVKGSSFGPSQFPYANNQVLVEAPCEMQFLECAAPCPIQVSQTPCQSSTTEVKGQAPCKTTNVKCQTKTTQVKCQPKTTEIKCQAPCQAQVSCVQCQAPCQSQVSYVQVPQPPQTYYVECAPVYYTETRFVEYPVSNYVPVPAPQPGYTYVECPSLGQGQGQGSFSTRYQYQGSYGSCTSQSQSRGSYSSCGPQHQSQASYSYCEPQFQSRPSYTNCGTQRQSQASFGSCTSQLQSRASYSNCSSQRRSGTSFSTCAPQCQGQGTYGSFTAQRKSQSASRCLPSRRLQPSYRSCSPPRHSEPCYSSCLPSRCSSGSYNYCTPPRRSEPIYGSHCSPRGRPSGCSQRCGPKCRIEISSPCCPRQVPPQRCPVQIPPIRGRSRSCPRQPSWGVSCPDLRPCAEPHAFPRPCRPQRLDRSPESSWRRCPVPAPRPYPRPEPCPSPEPRPCPRPRPRPEPCPSPEPRPRPRPDPCPSPELRPRPRPEPCPSPEPRPRPRPDPCPSPEPRPRPCPEPCPSPEPRPCPPLRRFSEPCLYPEPCSVSKPVPCPVPCPAPHPRPVHCETPGRRPQPSPRSQPCPHPEPMPRPVPCSSPVPCGDPIHCPSPCSGHNPVPYSQELGCHESNPCRLDTEGPSSYSFSQGQESNGCCVSGGVFSGSRGLSGCGDQGNTYRGMNCGACGGTQGAYF", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVARSPNAKPDRQKAAALAAAAALNPALLRETLKKVDRCMARLQELQYTVAGGAKVVSGVSLSPRSTRGYLRTSLRCKQETVRMRGGASAQKRSPSGKFGGGVGGEGAQWRRMSLPAMLLGETVLEIVQASQFARDIVTAAGATNREPPRTPKPAPRTRKPAAGEPTPLRARRAREKQSHRGGAATRGADAATPPSRSRVRSRIQFKPVSPVAVGRPSVSANRVSPKNRPWAKKAVMFPNPTFHASTSAATDPCATPSPSKKQKRLYKTRSPVAARQTPHKFLVKSPPSALGSKLRMHGKALPARPAAVSPPPPVKAQASPAKTRRCSFSPSRLATRLMSPIKARLSLGRSRDSGVGVGGGPMSGLKQRPGVSLTVRTVSSKISSR", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSQEYTTFIDIPVTRAQVEHCSYSFWSSLYPKYVPKSIVLKSLPKKFIQYLEQDGIKLPQEENSRSVYTEEIIRNEDNDYSDWEDDEDTATEFVQEVEPLIDFPELHQKLKDALNELGAVAPKLNWSAPRDATWILPNNTMKCNEVNELYLLLNASNYIMHDLQRAFKGCVDGDDIKGLKFDLVLRQWCDMNPALEFRVFVKNAHIVGATQRDLNYYDYLDELSDTFKDLIDEIVHDVVLPKFPDKSFVLDVYIPRPFNKIFIVDINPFARKTDSLLFSWNEIAAIAPPKNDVEDYELRLVTRHNTGRFASKEHSENHVPQDLVEASLNPEAIRELTQKWKELLSQQAKEESSDSENET", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASQKKQLFKIIILGDSGVGKTSLMNQYVNARFTQQYRATVGADFMAKEVMIDDRMVTLQIWDTAGQERFQSLGGAFYRGADCCVLVYDITNPKSFDSLDSWRDEFLMQGQPKDPEHFPFVVLGNKLDKATERKVQESKSQQWCKSHGNIQFFEVSAKDATNIEQAFQDIAKAAASQEKDEEIFFPTTVTLTKQDPKKQTKQGGCC", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTTEQARGQQGPNLAIGRQKPPAGVVTPKSDAEEPPLTRKRSKKERGLRGSRKRTGSSGEQTGPEAPGSSNNPPSTGEGPAGAPPASPGPASSRQSHRHRPDSLHDAAQRTYGPLLNRVFGKDRELGPEELDELQAAFEEFDTDRDGYISHRELGDCMRTLGYMPTEMELLEVSQHIKMRMGGRVDFEEFVELIGPKLREETAHMLGVRELRIAFREFDRDRDGRITVAELREAVPALLGEPLAGPELDEMLREVDLNGDGTVDFDEFVMMLSRH", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDTFSTKSLALQAQKKVLSKMASKAMVAVFVDNTSSEVLDELYQATKEFTRSRKEAQRVVKNLVKVAVKLAVLLRADQLDSNELAQLQRFRGRVRSLAMTALSFHQVDFTFDRRVLATGLLECRDLLHQAIGPHLTAKSHGRINHIFSHFANGDFLAALYSPAEPYRSHLCRICDGLGRMLDEGGI", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVGRLSLQDVPELVDTKKKGDGVLDSPDSGLPPSPSPSHWGLAATAGGGGERAPVAGTLEPDAAVTPIVPNPASLTHSLAAICSPRLCPLSFGEGVEFDPLPPKEIKYTSSVKYDSERHFIDDVQMPLGLVVASCSQTVTCIPNCTWRNYKAEVRFEPRPKPARFLSTTIVYPKYPKTVYTTTLDYNCHKKLRRFLSSVELEATEFLGSDGLADEC", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGKEKSHINVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEKEAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKYQVTVIDAPGHRDFIKNMITGTSQADCAILIIAGGVGEFEAGISKDGQTREHALLAFTLGVRQLIVAVNKMDSVKWDESRFQEIVKETSNFIKKVGYNPKTVPFVPISGWNGDNMIEATTNAPWYKGWEKETKAGVVKGKTLLEAIDAIEQPSRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFAPAGVTTEVKSVEMHHEQLEQGVPGDNVGFNVKNVSVKEIRRGNVCGDAKNDPPKGCASFNATVIVLNHPGQISAGYSPVLDCHTAHIACRFDELLEKNDRRSGKKLEDHPKFLKSGDAALVKFVPSKPMCVEAFSEYPPLGRFAVRDMRQTVAVGVIKSVDKTEKAAKVTKAAQKAAKK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTSATTTEATAKDLQEKLSLKENDVVEDDGKVEENDAAEEGASNGEKKKKKKKKSSKKKKTPQEQTNPPTVGLSKIFVNKKYPVGEVCDYAEDNLWRTTDEEKRALDRQNFDQYNDLRRAAEVHRQARQYAQSVIKPGMSMMDVVNTIENTTRALVEEDGLKSGIGFPTGVSLNHCAAHYTPNAGDTTILKEKDVMKVDIGVHVNGRIVDSAFTMSFDPQYDNLLAAVKAATNKGIEEAGIDARLNEIGEAIQEVMESYEVEINGKTHQVKSIRNLCGHNLDPYIIHGGKSVPIVKGGEEIKMEEGEIFAIETFGSTGRGVVHEDMECSHYAKIPDAGHIPLRLPRAKALLNTITQNFGTLPFCRRYLDRIGESKYLLALNNLVSAGIVQDYPPLCDIRGSYTAQFEHTIILHPTQKEVVSRGDDY", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNLSRFASRLRMAEEISKTKVGSSSTASVADSSAAASAATNAAKSRWKILWPNSLRWIPTSTDYIIAAEKRLLSILKTPYVQEQVSIGSGPPGSKIRWFRSTSNESRYINTVTFDAKEGAPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCRSTEETEAWFIDSFEEWRKAQNLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGSAGFSAEADAKSEWLTKFRATWKGAVLNHLWESNFTPQKLVRGLGPWGPGLVNRYTTARFGAHSEGTGLTEEEAKLLTDYVYHTLAAKASGELCLKYIFSFGAFARKPLLQSASEWKVPTTFIYGMNDWMNYQGAVEARKSMKVPCEIIRVPQGGHFVFIDNPIGFHSAVLYACRKFISQDSSHDQQLLDGLRLV", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFARRCGRLANRFVRLKSTSATSPITYKALHANSPLPRCRIIEPPRATVPEAVSNIIMSTPFNRVQRPKRHVFNCLVQNEPGVLSRLSGILAARGFNIDSLVVCATEVENLSRMTIVLRGADEVVEQAKRQIEDIVSVWAVLDYTGTSMVERELLLAKVSLLGPDHFQEHFERSEKVAESTNAKAKSDGEGVMNANAALQLRASQLAAINQLTTLFHGRVADISTETIILELTATPDRVDNFLSLLRPYGVLEACRTGTSAMTRAPHSNEVTEEAEDDVEVEEVFLPPG", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPAYFQRPENALKRANEFLEVGKKQPALDVLYDVMKSKKHRTWQKIHEPIMLKYLELCVDLRKSHLAKEGLYQYKNICQQVNIKSLEDVVRAYLKMAEEKTEAAKEESQQMVLDIEDLDNIQTPESVLLSAVSGEDTQDRTDRLLLTPWVKFLWESYRQCLDLLRNNSRVERLYHDIAQQAFKFCLQYTRKAEFRKLCDNLRMHLSQIQRHHNQSTAINLNNPESQSMHLETRLVQLDSAISMELWQEAFKAVEDIHGLFSLSKKPPKPQLMANYYNKVSTVFWKSGNALFHASTLHRLYHLSREMRKNLTQDEMQRMSTRVLLATLSIPITPERTDIARLLDMDGIIVEKQRRLATLLGLQAPPTRIGLINDMVRFNVLQYVVPEVKDLYNWLEVEFNPLKLCERVTKVLNWVREQPEKEPELQQYVPQLQNNTILRLLQQVSQIYQSIEFSRLTSLVPFVDAFQLERAIVDAARHCDLQVRIDHTSRTLSFGSDLNYATREDAPIGPHLQSMPSEQIRNQLTAMSSVLAKALEVIKPAHILQEKEEQHQLAVTAYLKNSRKEHQRILARRQTIEERKERLESLNIQREKEELEQREAELQKVRKAEEERLRQEAKEREKERILQEHEQIKKKTVRERLEQIKKTELGAKAFKDIDIEDLEELDPDFIMAKQVEQLEKEKKELQERLKNQEKKIDYFERAKRLEEIPLIKSAYEEQRIKDMDLWEQQEEERITTMQLEREKALEHKNRMSRMLEDRDLFVMRLKAARQSVYEEKLKQFEERLAEERHNRLEERKRQRKEERRITYYREKEEEEQRRAEEQMLKEREERERAERAKREEELREYQERVKKLEEVERKKRQRELEIEERERRREEERRLGDSSLSRKDSRWGDRDSEGTWRKGPEADSEWRRGPPEKEWRRGEGRDEDRSHRRDEERPRRLGDDEDREPSLRPDDDRVPRRGMDDDRGPRRGPEEDRFSRRGADDDRPSWRNTDDDRPPRRIADEDRGNWRHADDDRPPRRGLDEDRGSWRTADEDRGPRRGMDDDRGPRRGGADDERSSWRNADDDRGPRRGLDDDRGPRRGMDDDRGPRRGMDDDRGPRRGMDDDRGPRRGLDDDRGPWRNADDDRIPRRGAEDDRGPWRNMDDDRLSRRADDDRFPRRGDDSRPGPWRPLVKPGGWREKEKAREESWGPPRESRPSEEREWDREKERDRDNQDREENDKDPERERDRERDVDREDRFRRPRDEGGWRRGPAEESSSWRDSSRRDDRDRDDRRRERDDRRDLRERRDLRDDRDRRGPPLRSEREEVSSWRRADDRKDDRVEERDPPRRVPPPALSRDRERDRDREREGEKEKASWRAEKDRESLRRTKNETDEDGWTTVRR", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKKHFTLPRNAILRDGGEPHSPNPSISKSKPPRKLRSAKENAPPLDRNTSTPDHRSMRMKNPLPPRPPPSNPLKRKLSAETATESGFSDSGVKVIVRMKPLNKGEEGDMIVEKMSKDSLTVSGQTFTFDSIANPESTQEQMFQLVGAPLVENCLSGFNSSVFAYGQTGSGKTYTMWGPANGLLEEHLCGDQRGLTPRVFERLFARIKEEQVKHAERQLNYQCRCSLLEIYNEQITDLLDPSQKNLMIREDVKSGVYVENLTEEYVKNLTDVSQLLIKGLGNRRTGATSVNTESSRSHCVFTCVVESRCKNVADGLSSFKTSRINLVDLAGSERQKSTGAAGERLKEAGNINRSLSQLGNLINILAEISQTGKPRHIPYRDSRLTFLLQESLGGNAKLAMVCAVSPSQSCRSETFSTLRFAQRAKAIQNKAVVNEVMQDDVNFLRGVIHQLRDELQRMKNDGNNPTNPNVAYSTAWNARRSLNLLRSFGLGHPRSLPHEDNDGDIEMEIDEAAVERLCVQVGLQSSLASEGINHDMNRVKSIHSSDGQSIEKRLPEDSDVAMEDACCHTENHEPETVDNMRTETETGIRENQIKTHSQTLDHESSFQPLSVKDALCSSLNKSEDVSSCPDLVPQDVTSANVLIADGVDDPEHLVNSASPSLCIDPVGATPVLKSPTLSVSPTIRNSRKSLKTSELSTASQKDSEGENLVTEAADPSPATSKKMNNCSSALSTQKSKVFPVRTERLASSLHKGIKLLESYCQSTAQRRSTYRFSFKAPDSEPSTSISKADAGVQTIPGADAISEENTKEFLCCKCKCREQFDAQQMGDMPNLQLVPVDNSEVAEKSKNQVPKAVEKVLAGSIRREMALEEFCTKQASEITQLNRLVQQYKHERECNAIIGQTREDKIIRLESLMDGVLSKEDFLDEEFASLLHEHKLLKDMYQNHPEVLKTKIELERTQEEVENFKNFYGDMGEREVLLEEIQDLKLQLQCYIDPSLKSALKTCTLLKLSYQAPPVNAIPESQDESLEKTLEQERLCWTEAETKWISLSEELRTELEASKALINKQKHELEIEKRCGEELKEAMQMAMEGHARMLEQYADLEEKHMQLLARHRRIQDGIDDVKKAAARAGVRGAESRFINALAAEISALKVEKEKERQYLRDENKSLQTQLRDTAEAIQAAGELLVRLKEAEEGLTVAQKRAMDAEYEAAEAYRQIDKLKKKHENEINTLNQLVPQSHIHNECSTKCDQAVEPSVNASSEQQWRDEFEPLYKKETEFSNLAEPSWFSGYDRCNI", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSVKASINNSQEAVSRIAFRCSDALYVVHPNNSILNGALTESLKDLKKFETLNVSGKVPHVLPLKSHADPFAHIADAILAEEEVASTQPKQITSVVASADALFFATPHLYKLAHEPLVAHVAIESTEAFDFASVRDTGFVILFSGNRPGDSSEAALEDTLETASLAHRLALKLNTGVLHFYSPVYDTTAALENIETLPSKEDAQHARVAHIPIEEKQEDSEKEGNIKEAFVPPKFDQPERDAATSEYLESLSIKPFEYSGSDDATDVLLVFGSAASELAKAAVTSSVAVAIVRVLRPWLPSKLQEVLPTSTKRLTVLEPITSLPRKWDPLYLDVLSSFVASGSSIELFAVRYGLSSSEQATEIIKAVRDNLSGALKPSLVCDFTDGVSQVFVPTPPSIEEAYHKLLHRVFKSRLNIVNDPASSATKQNIPSRLIISPQFALGSVLEYENQRRAFCDEVATLLKEKNSSVSSESLEVLSNWIVSVDNLESPVDPELVISELKKDSSAPIKSLLDRSEFFTNVSHWIIGSDAWAYDLGNSALHQVLCLEKNVNLLIVDTQPYSTREAVRSSSRKKDIGLYAMNFGNAYVASTALYSSYTQLISALLEADKFKGPSVVLAYLPYHSADDDAITVLQETKKAVDIGYWPLYRWTPALEDGEYSDFKLDSERIRRELKTFLERDNYLTQLTLRVPSLARTLTQSFGAEVRHQQNVDSRNALNKLIEGLSGPPLTILFASDGGTAENVAKRLQNRASARGSKCKIMAMDDFPIEELGNEKNVVVLVSTAGQGEFPQNGREFWEAIKGADLNLSELKYGVFGFGDYEYWPRKEDKIYYNRPGKQLDARFVELGAAPLVTLGLGNDQDPDGWETAYNLWEPELWKALGLDNVEIDIDEPKPITNEDIKQASNFLRGTIFEGLADESTGALAESDCQLTKFHGIYMQDDRDIRDERKKQGLEPAYGFMIRARMPAGVCTPEQWIAMDDISTKWGNHTLKITTRQTFQWHGVLKKNLRNTIRNIVKVFLTTLGACGDVARNVTCSSTPNNETIHDQLFAVSKQISNELLPTTSSYHEIWIEDPETVEKRKVAGEAVQDVEPLYGPTYLPRKFKVGVAAPPYNDVDVYTNDVALIAIIENDKVLGFNVGIGGGMGTTHNNKKTYPRLATVVGYVLTDKIMEVVKAILIVQRDNGDRENRKHARLKYTVDTLGVSTFVEKVEEVLGYKFEEARDHPQFIKNHDDFEGWHKTEKNKYWRSIFVENGRIENNGILQFKTGLRELAERLYTEKSEAEFRLTANQHVILFNVAENELGWINEHMAKYKLDNNAFSGLRLSSAACVALPTCGLAMAESERYLPKLITKVEEIVYEAGLQKDSIVMRMTGCPNGCSRPWVAEIACVGKAPNTYNLMLGGGFYGQRLNKLYRSSVQEKEILNLLRPLIKRYALEREDGEHFGDWVIRAGIITAVENGGANGAVHEGVSPEAF", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MESNGVPMITLSSGIRMPALGMGTAETMVKGTEREKLAFLKAIEVGYRHFDTAAAYQSEECLGEAIAEALQLGLIKSRDELFITSKLWCADAHADLVLPALQNSLRNLKLDYLDLYLIHHPVSLKPGKFVNEIPKDHILPMDYKSVWAAMEECQTLGFTRAIGVCNFSCKKLQELMAAAKIPPVVNQVEMSPTLHQKNLREYCKANNIMITAHSVLGAICAPWGSNAVMDSKVLHQIAVARGKSVAQVSMRWVYQQGASLVVKSFNEGRMKENLKIFDWELTAENMEKISEIPQSRTSSADFLLSPTGPFKTEEEFWDEKD", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MESNGVPMITLSSGIRMPALGMGTVETMEKGTEREKLAFLNAIEVGYRHFDTAAAYQSEECLGEAIAEALQLGLIKSRDELFITSKLWCADAHADLVLPALQNSLRNLKLEYLDLYLIHHPVSLKPGKLVNEIPKDHILPMDYKSVWAAMEECQTLGFTRAIGVSNFSCKKLQELMATAKIPPVVNQVEMSPTLHQKNLREYCKANNIMITAHSVLGAIGAPWGSNAVMDSKVLHQIAVARGKSVAQVSMRWVYQQGASLVVKSFNEARMKENLKIFDSELTAEDMEKISEIPQSRTSSADFLLSPTGPFKTEEEFWDEKD", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPAEGGKTDMERIGLFSEMEYITVGDKYVSQFNRPFNEAASKNKQMLPGGSKEMSDLQAGYFDPHFVRIFEGEGYINLNQVRRRDMVEAAKKNLGKAFLPSNGEKKPCGLGSYYGTIGGPVPFFSAQSKPREKYKAPGKNLYTNPGKKGTGYGYANITIGKQFSHSADFYDAAKLKYKKANEEHHRLLKGAPFKLNLHPRDYFDANPYFSEESLPPIKKEEKKKTISNTFKPSSPGKKPGGMKAGTFDPYPSHSADPYVAKLANISGKDDKIFHPPSGPKSRPVESIMTLNVRRALNSKNYKTSSVPSY", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSDEASETGQRYNGQPILKRQKPILPYICSTLDFQEERDFLAKSIFPRLNDICSSRGTYFKAVDLRWSAVKAHKSFTSNQFRQYSCLQSQHLKLSLDYVNRCFPFFIGLLGQTYGDFLPDYTPFLLSQVKDFESLSKGKKNLYIAAKNGYPWVLKTPNCSLTEFEIIQAVFRKKSQFQFFYFRTSNSLLRTFNEEEEEEEEKLSSAYLLNEQGKMKVGKLKAKIIGKGLPVRFYRDLEELGDMVWKDWSAVVEKLYPFTTIMGNIDYKHSFENLYHEEFVENCKQVFVTSKESNRTFEILERFAIKDLDLDLDTDSTIAGSGLDSILRINSLPTCKSILLLSGERGCGKSTLIANWVSNFQSKHPGVLMIPYFVGSTCESCDIMSVIHYFVMELQHRANGPRLEMDFLNEDSNVLVFSLLVEVFIAAISLKPCILVLDGIEELIGIYGISGQKAKDFSWLPRSLPPHCKFILSSVSSSLSCKSLCARPDVKIVELNSIGDEDTKFNIFRQHLSPADQERFGQSKPILRKKPNLSPLKLAIIASELQECKIYRNEFQCLREYLEVASVQELWELILKRWVEDYSWTLKPKDTTLDTVIPGPSGWVVDVLCLLCISHCGLAEDELLQLLDTMGYRDHHKVTAVHWAAFRQATKTWIQEKPNGLLYFQHQSLRSAVEHKLLGVSTPVRESNPNVAQNSVNHKKAHFHQVLMRFFQRQTIFWRVYQELPWHMKMSGYWEGLCNFITNPSITDFISKIQNPSLWTRLHLVHYWDVLLEAGNDVSEAFLLSVAKIEGEQFQKLKKRTTLSVLECSLSEITAADKGRIILFIGSFLKLMGKINEAEKLFLSAEDLLLQSPSMTEMLLRAQNAIGELYLEIGMTPKGLTYFQKAWSNLLRFTLSDLKISQELMKQKVKVMNNLAESAPGEFLKENHVLEYATEISKYVTGNPRDHATMKYTEGVLMLASGNAALAKLKFQECLTIRRWLFGNKNILVGEIMEFLADLLFFLLGENEKSQKKQAIEYYKQVIKIKEKADTVATCKLVRKHLSISLSDTLCKLAGQLLSGDFCHHATMEAVSYLYRSLDLRAAHLGPTHASIEGILHLLREIQRSRGRRSWPQSMNHLFPNGSRNGFSLWENVPKLNFHSAQSSDTVNTAMCMNIRRFQRVKSTQPSLVSDKPKYVPGKGKKTLAPILCKSAEEKFQRQASDSQIWNSPRRQPARKKAACPLKTVSLIDKNGLVRLSRQSVSSAELDSRKGLITSICRQPLQRPHNVDNPWKSISELVSEKWLFHTPQYCFTPQKPGFPRRSQIESKLLKTSDDPNKE", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSDEASETGQRYNGQPILKRQKPILPYICSTLDFQEERDFLAKSIFPRLNDICSSRGTYFKAVDLRWSAVKAHKSFTSNQFRQYSCLQSQHLKLSLDYVNRCFPFFIGLLGQTYGDFLPDYTPFLLSQVKDFESLSKGKKNLYIAAKNGYPWVLKTPNCSLTEFEIIQAVFRKKSQFQFFYFRTSNSLLRTFNEEEEEEEEKLSSAYLLNEQGKMKVGKLKAKIIGKGLPVRFYRDLEELGDMVWKDWSAVVEKLYPFTTIMGNIDYKHSFENLYHEEFVENCKQVFVTSKESNRTFEILERFAIKDLDLDLDTDSTIAGSGLDSILRINSLPTCKSILLLSGERGCGKSTLIANWVSNFQSKHPGVLMIPYFVGSTCESCDIMSVIHYFVMELQHRANGPRLEMDFLNEDSNVLVFSLLVEVFIAAISLKPCILVLDGIEELIGIYGISGQKAKDFSWLPRSLPPHCKFILSSVSSSLSCKSLCARPDVKIVELNSIGDEDTKFNIFRQHLSPADQERFGQSKPILRKKPNLSPLKLAIIASELQECKIYRNEFQCLREYLEVASVQELWELILKRWVEDYSWTLKPKDTTLDTVIPGV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSDEASETGQRYNGQPILKRQKPILPYICSTLDFQEERDFLAKSIFPRLNDICSSRGTYFKAVDLRWSAVKAHKSFTSNQFRQYSCLQSQHLKLSLDYVNRCFPFFIGLLGQTYGDFLPDYTPFLLSQVKDFESLSKGKKNLYIAAKNGYPWVLKTPNCSLTEFEIIQAVFRKKSQFQFFYFRTSNSLLRTFNEEEEEEEEKLSSAYLLNEQGKMKVGKLKAKIIGKGLPVRFYRDLEELGDMVWKDWSAVVEKLYPFTTIMGNIDYKHSFENLYHEEFVENCKQVFVTSKESNRTFEILERFAIKDLDLDLDTDSTIAGSGLDSILRINSLPTCKSILLLSGERGCGKSTLIANWVSNFQSKHPGVLMIPYFVGSTCESCDIMSVIHYFVMELQHRANGNRASLMGRK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MCKNEARLANELIEFVAATVTGIKNSPKENEQAFIDYLHCQYLERFQFFLGLLDGREFDTLFVFLFEELDRTIVTIDIGEEAIYDANLANKKYSTLLIIKSRSVIVDAEPIATQISAIYLPGPVNAGNLASIITHGVSSVFGQLIKSDTKTYSVETIDKTRRKLDDISKQFQQLHTSIETPDLLAMVPSIIKLAVSKGATSHDYANYLPSNDLESMRFLNILQSIANKWFLVLKQTLAIDRDIKNGSFLDEVEFWSNFYEVLKSLIEQTQSQEFQVCLSVLTNAKRFHNLTNLLNEGSLSDKFKLADKYNQFLSSIPIDEVRQASNLEDLQELFPVLASSLKKFRYSGYPVQRFVVLMDKISQEVMDAILSNLSDLFQLEYGSFLGLYEKSAGMIEEWDDIVQDVNLLIREDLRKRAPQELLIQKLTFTSASVKATLDEILSTRKRFFSLAETIKSISPSTYHEEIQRLYHPFEQIHDISVNFRLKLEQAESEFSKNMLDLEKKLQNTLASFMDSDHCPTEKLSYLVKFKPLMELCPRIKVKVLENQQILLLEIKKDIRQLETGLELLPKILHVEALNNIPPISARISYFLNVQSRIDNIVQYLEALFGSNWNDTLEGRSISTSIVQLRKETNPHDVFLHWLGNFPEKATANLLTTPILKLIRNNEDDYELKVNFDFALAAAYSELRSLTYMAFQVPSHIVRIARTYMYLYPRAINLVELIQTFFSLSKSLSYTFYTNIFLKRNVQTVWLLLQQILITPWESLQEESSEMSCSVHSLARLEKSIDGILSDYQILKNSEPQFAKEFSGLKSFDGTADDLHEVEEIISNIQAIFENLFTKGLTNVSDHISTFNNLIISIILEKVRLNLKKMHFPKHVLKLSFNEGRITSSPSLAAMKRSLLKDIEALLNKVVLINFLHDPDHPLSTTLTFNSLVIKLKDDIQNCIEQVQNLHCKINSYVKEWQKMEFLWQITEEAFLEVVDNSTQRCFGILKGLLDSQSKFDLIISRNNFSKNLVLHTEDAQRHIRSKMDSWILYVSKHLLTIYERDARKLHEDMNRDREAVEDMDINFTSLKNITVIIEAVNVNKRHLTERDIQIKLLGSVMRALTKLKVRFPSHFVYIDQLDNDFSSLRQSLSYVEQELQKHRVVIAKSLEEGVENINNLSQSLNESWSVRKPISPTLTPPEALKILEFFNESITKLKKKMHSVAAAAKMLLIPVVLNDQLTHVVEEVKTYDLVWRSIKNLWEDVQRTFETPWCRVDVLLLQSDLANFLRRADELPRAVKQFEMYKSLFSQVNMLTSVNKILVELKDGALKPRHWNMIFRDIGKRQIQKNLLDKLEFSLKDVMVLNLTLNEILLTKIIERAQKEFVIEKSLNRIKKFWKEAQYEVIEHSSGLKLVREWDVLEQACKEDLEELVSMKASNYYKIFEQDCLDLESKLTKLSEIQVNWVEVQFYWLDLYGILGENLDIQNFLPLETSKFKSLTSEYKMITTRAFQLDTTIEVIHIPNFDTTLKLTIDSLKMIKSSLSTFLERQRRQFPRFYFLGNDDLLKIIGSGKHHDQVSKFMKKMFGSIESIIFLEDFITGVRSVEGEVLNLNEKIELKDSIQAQEWLNILDTEIKLSVFTQFRDCLGQLKDGTDIEVVVSKYIFQAILLSAQVMWTELVEKCLQTNQFSKYWKEVDMKIKGLLDKLNKSSDNVKKKIEALLVEYLHFNNVIGQLKNCSTKEEARLLWAKVQKFYQKNDTLDDLNSVFISQSGYLLQYKFEYIGIPERLIYTPLLLIGFATLTDSLHQKYGGCFFGPAGTGKTETVKAFGQNLGRVVVVFNCDDSFDYQVLSRLLVGITQIGAWGCFDEFNRLDEKVLSAVSANIQQIQNGLQVGKSHITLLEEETPLSPHTAVFITLNPGYNGRSELPENLKKSFREFSMKSPQSGTIAEMILQIMGFEDSKSLASKIVHFLELLSSKCSSMNHYHFGLRTLKGVLRNCSPLISEFGEGEKTVVESLKRVILPSLGDTDELVFKDELSKIFDSAGTPLNSKAIVQCLKDAGQRSGFSMSEEFLKKCMQFYYMQKTQQALILVGKAGCGKTATWKTVIDAMAIFDGHANVVYVIDTKVLTKESLYGSMLKATLEWRDGLFTSILRRVNDDITGTFKNSRIWVVFDSDLDPEYVEAMNSVLDDNKILTLPNGERLPIPPNFRILFETDNLDHTTPATITRCGLLWFSTDVCSISSKIDHLLNKSYEALDNKLSMFELDKLKDLISDSFDMASLTNIFTCSNDLVHILGVRTFNKLETAVQLAVHLISSYRQWFQNLDDKSLKDVITLLIKRSLLYALAGDSTGESQRAFIQTINTYFGHDSQELSDYSTIVIANDKLSFSSFCSEIPSVSLEAHEVMRPDIVIPTIDTIKHEKIFYDLLNSKRGIILCGPPGSGKTMIMNNALRNSSLYDVVGINFSKDTTTEHILSALHRHTNYVTTSKGLTLLPKSDIKNLVLFCDEINLPKLDKYGSQNVVLFLRQLMEKQGFWKTPENKWVTIERIHIVGACNPPTDPGRIPMSERFTRHAAILYLGYPSGKSLSQIYEIYYKAIFKLVPEFRSYTEPFARASVHLYNECKARYSTGLQSHYLFSPRELTRLVRGVYTAINTGPRQTLRSLIRLWAYEAWRIFADRLVGVKEKNSFEQLLYETVDKYLPNQDLGNISSTSLLFSGLLSLDFKEVNKTDLVNFIEERFKTFCDEELEVPMVIHESMVDHILRIDRALKQVQGHMMLIGASRTGKTILTRFVAWLNGLKIVQPKIHRHSNLSDFDMILKKAISDCSLKESRTCLIIDESNILETAFLERMNTLLANADIPDLFQGEEYDKLLNNLRNKTRSLGLLLDTEQELYDWFVGEIAKNLHVVFTICDPTNNKSSAMISSPALFNRCIINWMGDWDTKTMSQVANNMVDVIPMEFTDFIVPEVNKELVFTEPIQTIRDAVVNILIHFDRNFYQKMKVGVNPRSPGYFIDGLRALVKLVTAKYQDLQENQRFVNVGLEKLNESVLKVNELNKTLSKKSTELTEKEKEARSTLDKMLMEQNESERKQEATEEIKKILKVQEEDIRKRKEVVMKSIQDIEPTILEAQRGVKNIKKQQLTEIRSMVNPPSGVKIVMEAVCAILGYQFSNWRDIQQFIRKDDFIHNIVHYDTTLHMKPQIRKYMEEEFLSDPNFTYETINRASKACGPLYQWVNAQINFSKVLENVDPLRQEMKRIEFESLKTKANLLAAEEMTQDLEASIEVSKRKYSLLIRDVEAIKTEMSNVQANLDRSISLVKSLTFEKERWLNTTKQFSKTSQELIGNCIISSIYETYFGHLNERERADMLVILKRLLGKFAVKYDVNYRFIDYLVTLDEKMKWLECGLDKNDYFLENMSIVMNSQDAVPFLLDPSSHMITVISNYYGNKTVLLSFLEEGFVKRLENAIRFGSVVIIQDGEFFDPIISRLISREFNHAGNRVTVEIGDHEVDVSGDFKLFIHSCDPSGDIPIFLRSRVRLVHFVTNKESIETRIFDITLTEENAEMQRKREDLIKLNTEYKLKLKNLEKRLLEELNNSQGNMLENDELMVTLNNLKKEAMNIEKKLSESEEFFPQFDNLVEEYSIIGKHSVKIFSMLEKFGQFHWFYGISIGQFLSCFKRVFIKKSRETRAARTRVDEILWLLYQEVYCQFSTALDKKFKMIMAMTMFCLYKFDIESEQYKEAVLTMIGVLSESSDGVPKLTVDTNNDLRYLWDYVTTKSYISALNWFKNEFFVDEWNIADVVANSENNYFTMASERDVDGTFKLIELAKASKESLKIIPLGSIENLNYAQEEISKSKIEGGWILLQNIQMSLSWVKTYLHKHVEETKAAEEHEKFKMFMTCHLTGDKLPAPLLQRTDRFVYEDIPGILDTVKDLWGSQFFTGKISGVWSVYCTFLLSWFHALITARTRLVPHGFSKKYYFNDCDFQFASVYLENVLATNSTNNIPWAQVRDHIATIVYGGKIDEEKDLEVVAKLCAHVFCGSDNLQIVPGVRIPQPLLQQSEEEERARLTAILSNTIEPADSLSSWLQLPRESILNYERLQAKEVASSTEQLLQEM", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MESNGVPMITLSSGIRMPALGMGTAETMVKGTEREKLAFLKAIEVGYRHFDTAAAYQSEECLGEAIAEALQLGLIKSRDELFITSKLWCADAHADLVLPALQNSLRNLKLEYLDLYLIHHPVSLKPGKFVNEIPKDHILPMDYKTVWAAMEECQTLGFTRAIGVSNFSCKKLQELMAAAKIPPVVNQVEMSPTLHQKNLREYCKANNIMITAHSVLGAIGAPWGSNAVMDSKVLHQIAVARGKSVAQVSMRWVYQQGASLVVKSFNEGRMKENLKIFDWELTAEDMEKISEIPQSRTSSADFLLSPTGPFKTEEEFWDEKD", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGANQLVVLNVYDMYWMNEYTSSIGIGVFHSGIEVYGREFAYGGHPYPFSGIFEISPGNASELGETFKFKEAVVLGSTDFLEDDIEKIVEELGKEYKGNAYHLMHKNCNHFSSALSEILCGKEIPRWINRLAYFSSCIPFLQSCLPKEWLTPAALQSSVSQELQDELEEAEDAAASSAMASAAAGARTGRHTKL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPINIRRATINDIICMQNANLHNLPENYMMKYYMYHILSWPEASFVATTTTLDCEDSDEQDENDKLELTLDGTNDGRTIKLDPTYLAPGEKLVGYVLVKMNDDPDQQNEPPNGHITSLSVMRTYRRMGIAENLMRQALFALREVHQAEYVSLHVRQSNRAALHLYRDTLAFEVLSIEKSYYQDGEDAYAMKKVLKLEELQISNFTHRRLKENEEKLEDDLESDLLEDIIKQGVNDIIV", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEEGPAVGTLSREVSTEEAEPLGAAWSGDSGHVSQSHSSASGPWDDDGPEDAPGRDLPLLRRAASGYASSLLPSAGPRPEVEALDASLEELLAKVDEFVGMLDMIRGDSSHVVGEGVPRIHAKAAEMRRIYGRIDKLEAFVRMIGSSVARMEEQVAKAEAELGTFPRAFRRLLHTISVPALFRSAPSGPQRAAYEPPVLFRTEDHFPGCGDRPQL", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MADAADSSMALVHSSLADSVLTSPRTLRQGQKWEVEYARYFGTPRRDPTAAPPSGLRYIMRGVHRHQGTWIPASCPASLCVCHPSLPSAVPVLTISIGDVVFEEHFVSILNFSWPQVTCVTQCPIRGSRVVFVSFCDKFKQIQKFAVRFPQPCDAESFLSCVECSCGSSGTMDIIPFGSDYVCEDSSASEYIVSNGLHHRLDDASNLEEQCFDHTIDEPPMNYHEETDQHVLEPLSASNTSNNSAFPPSFNQMLKSCSIDYDQEEPCPLAASNHVLQEVYVLDTSHDVANEERTAGKGMDAAEGVDASILTYDLMARIKTYMADESFNDMLLKLDKAIDELGGDMSL", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "AAAAAVSGAKRSLRAELKQRLRAISAEERLRCQRLLTQKVIAHRQYQKSQRISIFLSMPDEIETEEIIKDIFQQGKVCFIPRYRLQSNHMDMVKLASADEISSLPKTSWNIHQPSESDTREEALATGGLDLIFMPGLGFDRNGNRLGRGRGYYDTYLQRCLQQQGAKPYTIALAFREQICPQVPVDDTDVSVDEVLYVDAA", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSEKKEEVKNATVKVTVKLPKEDNHSHNTKHLKKTQSSKNNDISFEIGKESKIQTVLDVLAMIPSSKYLTNVGLKTIEGDSQLSDEMSIKEIVGEKSELKLQLILKPYSAREALKHVITVRDFIGFAQETSDGLSEFAISTGSSFSSLPLGPIKERSKQEEKDEKSDPEEKKNTFKDVTDEEKLKFNEMVHEVFSSFKNSSINKLLTSESNIITPCVRSLSFAPYNPVPPFYRSKGHLFYLQIVTLEGESFYITAIPSGFYVNKSNSTKFDPSPKENTDENAHSSLIYYSLFDLIASRSKKFISHVQAFEKKLSALDSTSYVRPSNTFLHKPWFVSSLPPNNPDYLRLQTAALDTTPERNFNDEFQAIKDLTTSTLQDRIEMERLFSKVVHEFSVTAASGAMSIFYSDFVAMNPESPTRDQIFLKDNIFYSYVSDVSGNYEGKGGDEAAIAASNQDLKTINILNRLHMHEVRYLLTTVVEFAGRRILAQTPVPGLLATMGNKIVKDANTGEEVTEDFVNDINVKYGLDEGLGKIVYDADFDSVLEKKFVKAFHLKKHKVNGTELAFSSQSKGIVGFDKRRYILDLANTYPLDINFARQNFDNIEETGNRYPHRQTLLRPELVEKWWNNKVEKEGVEFEKAYEENLFSYNPDAYQVEGIEDANVDEMSNYLQKEVIPSVIQDYLSGNLSTPYNGEHLADTLHKNGINMRYLGKIIELSQKELDSQIVHYEQNLKAVEQDNKEYEDWEKSYLQKIENMIKERQAKINKLVQEGKEVPKELTEDLKLNDEEIKKPTDGKPVVVAYDELVPLIKISELEIVSRSLKHVLKDLSKDVPVFLVPSLVAYVFNMLVGINYNADPKPEPVDEFYPVNKCSFAKLTRSELLEAVSKQAFLRFRHQLPSNWIEAYMENPFTLIRSVSYKFGIQLLNKEYFFTREQLESYKQSLDKKIRNKFVEPPTTFSLSDLTIIPRVKFSEYTSSVSEEFWAQGASMINEDKQSALTLLAQSITVLEDVNNILHPAVAEKYLSLSAIYNKLALYPEAIAFCRKACTIYERVSGIDSFEMMRALTNLAILEFSNESPYNATVVYNRLAEILKVYELPKIHHPAPTSIFNHLEQLALGVQDTKLAIEVLGQLSSYVVELEGKDSLAYGYTESRLGNLFAALKDFHRALEHITVTQGIFTKQLGMNHTHSAQSRQWVNGLSSLIMDLKQKKQLAQDQMSTTGSNSAGHKKTNHRQKKDDVKPELANKSVDELLTFIEGDSSNSKSKNKTNNKKKHGKK", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAVNVYSTSVTSENLSRHDMLAWVNDSLHLNYTKIEQLCSGAAYCQFMDMLFPGCVHLRKVKFQAKLEHEYIHNFKVLQAAFKKMGVDKIIPVEKLVKGKFQDNFEFIQWFKKFFDANYDGKDYNPLLARQGQDVAPPPNPGDQIFNKSKKLIGTAVPQRTSPTGPKNMQTSGRLSNVAPPCILRKNPPSARNGGHETDAQILELNQQLVDLKLTVDGLEKERDFYFSKLRDIELICQEHESENSPVISGIIGILYATEEGFAPPEDDEIEEHQQEDQDEY", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKRLQLFGRSKYFSLVSSAAKEEEEEEEGCADAKSLLHSTSHDIKSRSLRFNDKSSLMCLPTKVLLLILRTLDFNTLVTLCQVNSRFYNLITNEFLFQNVILDSKLSLLKFNALIHSEFHTSNIVTHSGDCSTQSRSQNARFLVRSIEFKNPQSQDSLLKYSKFYNKSGQDSIIAGSYKLDSYDKDVKKLNNIRLNDETPIITSERIKLLDKLESNYFHYTYIELMLDIIDYLPNLTRVILSDVEPNFKIPLWYSVFNDGSRDFFKKIIKGQQSITNEDLRTFQLSKKFVKEYESKYYSLPRLKILEIKANNKRQRTFNRQRHHQKLVLRPSLFCCFGIINELKLENVTIDTESLDTPMEFLPLFLKNEDNELYSLQSPITALTLDSCDVVPGNGILRLFHSYFKMVKHLSLLKINSKFDLLLCSCFPSLSNLTIDCNSKCFTNEQVVGESYYFQQRSLDTEDDFDDCNSMTETLFEAPSDSKIITPPPTSSVVLSLNLNYISRTTGNDVSNNPSPDNNKKPAMLTAAQLQNFQRQRIPEFHSFYHYYRLLWERLPSKNISINVINIPFTNVYPLSPLSFWEHLARTITSVDETDEDVGDENDQETLIGYENNSIRDNIPNANAVPNLSTVMSPESDIHHTYYWNNSVRRCLRDSLIKLKNRTIEYRDLDVEEFLQNVTLENFFNDFQDPENFKDIPNINLWCFLRNLSKFKAVKIRMLRHFSLCTPRTRYDWELLLKPVLRVNVPIEVRDKDGFVLYSYGQK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSELEALRQETEQLKNQIREARKAAADTTLNQAAVNVEQVGRIQMRTRRTLRGHLAKIYAMHWASDSRNLVSASQDGKLIVWDGYTTNKVHAIPLRSSWVMTCAYAPSGSYVACGGLDNICSIYSLKTREGNVRVSRELPGHTGYLSCCRFLDDNQIVTSSGDMSCALWDIETGQQTTAFTGHTGDVMSLSLSPDMRTFVSGACDASAKLWDIRDGMCKQTFSGHESDINAITYFPNGYAFATGSDDATCRLFDIRADQEIGMYSHDNIICGITSVAFSKSGRLLLGGYDDFNCNVWDVLRQERAGVLAGHDNRVSCLGVTEDGMAVATGSWDSFLRIWN", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAVRRALIVLAHAERTSFNYAMKEAAVEALKKKGWEVVESDLYAMNFNPLISRNDITGEPKDSENFQYPVESSLAYKEGRLSPDIVAEQKKLEAADLVIFQFPLYWFGVPAILKGWFERVLVAGFAYTYATMYDKGPFQNKKTLLSITTGGSGSMYSLQGVHGDMNVILWPIQSGILRFCGFQVLEPQLVYSIGHTPPDARVQVLEGWKKRLETVWEESPLYFAPSSLFDLNFQAGFLLKKEVQEEQKKNKFGLSVGHHLGKSIPADNQIKARK", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKSPALQPLSMAGLQLMTPASSPMGPFFGLPWQQEAIHDNIYTPRKYQVELLEAALDHNTIVCLNTGSGKTFIAVLLTKELSYQIRGDFSRNGKRTVFLVNSANQVAQQVSAVRTHSDLKVGEYSNLEVNASWTKERWNQEFTKHQVLIMTCYVALNVLKNGYLSLSDINLLVFDECHLAILDHPYREIMKLCENCPSCPRILGLTASILNGKCDPEELEEKIQKLEKILKSNAETATDLVVLDRYTSQPCEIVVDCGPFTDRSGLYERLLMELEEALNFINDCNISVHSKERDSTLISKQILSDCRAVLVVLGPWCADKVAGMMVRELQKYIKHEQEELHRKFLLFTDTFLRKIHALCEEHFSPASLDLKFVTPKVIKLLEILRKYKPYERQQFESVEWYNNRNQDNYVSWSDSEDDDEDEEIEEKEKPETNFPSPFTNILCGIIFVERRYTAVVLNRLIKEAGKQDPELAYISSNFITGHGIGKNQPRNKQMEAEFRKQEEVLRKFRAHETNLLIATSIVEEGVDIPKCNLVVRFDLPTEYRSYVQSKGRARAPISNYIMLADTDKIKSFEEDLKTYKAIEKILRNKCSKSVDTGETDIDPVMDDDDVFPPYVLRPDDGGPRVTINTAIGHINRYCARLPSDPFTHLAPKCRTRELPDGTFYSTLYLPINSPLRASIVGPPMSCVRLAERVVALICCEKLHKIGELDDHLMPVGKETVKYEEELDLHDEEETSVPGRPGSTKRRQCYPKAIPECLRDSYPRPDQPCYLYVIGMVLTTPLPDELNFRRRKLYPPEDTTRCFGILTAKPIPQIPHFPVYTRSGEVTISIELKKSGFMLSLQMLELITRLHQYIFSHILRLEKPALEFKPTDADSAYCVLPLNVVNDSSTLDIDFKFMEDIEKSEARIGIPSTKYTKETPFVFKLEDYQDAVIIPRYRNFDQPHRFYVADVYTDLTPLSKFPSPEYETFAEYYKTKYNLDLTNLNQPLLDVDHTSSRLNLLTPRHLNQKGKALPLSSAEKRKAKWESLQNKQILVPELCAIHPIPASLWRKAVCLPSILYRLHCLLTAEELRAQTASDAGVGVRSLPADFRYPNLDFGWKKSIDSKSFISISNSSSAENDNYCKHSTIVPENAAHQGANRTSSLENHDQMSVNCRTLLSESPGKLHVEVSADLTAINGLSYNQNLANGSYDLANRDFCQGNQLNYYKQEIPVQPTTSYSIQNLYSYENQPQPSDECTLLSNKYLDGNANKSTSDGSPVMAVMPGTTDTIQVLKGRMDSEQSPSIGYSSRTLGPNPGLILQALTLSNASDGFNLERLEMLGDSFLKHAITTYLFCTYPDAHEGRLSYMRSKKVSNCNLYRLGKKKGLPSRMVVSIFDPPVNWLPPGYVVNQDKSNTDKWEKDEMTKDCMLANGKLDEDYEEEDEEEESLMWRAPKEEADYEDDFLEYDQEHIRFIDNMLMGSGAFVKKISLSPFSTTDSAYEWKMPKKSSLGSMPFSSDFEDFDYSSWDAMCYLDPSKAVEEDDFVVGFWNPSEENCGVDTGKQSISYDLHTEQCIADKSIADCVEALLGCYLTSCGERAAQLFLCSLGLKVLPVIKRTDREKALCPTRENFNSQQKNLSVSCAAASVASSRSSVLKDSEYGCLKIPPRCMFDHPDADKTLNHLISGFENFEKKINYRFKNKAYLLQAFTHASYHYNTITDCYQRLEFLGDAILDYLITKHLYEDPRQHSPGVLTDLRSALVNNTIFASLAVKYDYHKYFKAVSPELFHVIDDFVQFQLEKNEMQGMDSELRRSEEDEEKEEDIEVPKAMGDIFESLAGAIYMDSGMSLETVWQVYYPMMRPLIEKFSANVPRSPVRELLEMEPETAKFSPAERTYDGKVRVTVEVVGKGKFKGVGRSYRIAKSAAARRALRSLKANQPQVPNS", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSEMEKQVENLSLEAKNEKPKEVILGEDGKPLSKKALKKLEKEREKEQKRKEREAREAEEKKKREANEIDYSAGKYGDLPLNRSTARPGRTYTQISDISAKNDGQTVLLRARVYTSRLQGNKMCFFSLRQKYDTIQALAVVNKDTISKQMVKWCGSISLESIVLVEGIVKKSPEIIKSATVQDAEIHISSIYVISPIKKNLPFLVEDAGRSEEQIRESEENAAEGDSKFVRVNLDTRLDNRVLDLRTPTNQAIFDIQAGICQAFREFLLSNSFNEIHTPKMSGASSEGGSNVFKIQYFKTDGFLSQSPQLYKQMLIAADRERVFEIGPVFRAEDSNTYRHMTEFTGLDLEMAFNEHYHEVMEFIEKLFLYIFKTIREKYAKQVAVVRQQYPSEDFILPDADRIRFHFKDAVKLLKEAGYRKQLVPGQKVPEDEEFHYCEDPEFDDFSTPEERALGQIVREKYHTDFYVIDKYPSSVRPFYTMPDPEDPRYSNSYDFFMKGQEIMSGAQRIHDPELLVERMKALGVSPDVGLQQYIDAFAIGCPPHAGGGIGLERVVMFYLNLPNIRLASSFPRDPKRLLP", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MREGTPRVRIEVNKGSNRPSQFVSESEEQRLQRVQSRDSDTATMNFVTDDRMMEEHDEYSAFKEAYEEEESREYVIENGVKRLVNQHYDSRGYSSAGRGQKGRREEERRRNMAVDYSSQDFRQSLAAIDQASRDGAISRGEDGVRVRQIGDTTIMTEHRDPYSFYWQLDQARREAESPPRRPPPTDYVIDEEEEVLETVYSPEADDVMFENQYRRPVRHPLPPPPPIMEEEPKDLPPGWEKHEDPQGYSYYWHVDSGTIQRQPPPPVNRETQADAPPPQIIQLPPQQPVIEEHAFKQTTTKRRIEQDEMSEREIEDVAMIENGDTYHKPVRFAVRSLGWTDISEDELTAEKSSRAVNRAIVDLTTRSDIDSIPKWGDGRELIMELDDNELALLDPDSMNVIHSERIQAIRVWGVGRDNGRDFAYVSRDRGTRRFMCHVFRCDTSAKTIANTLRDICKRLMLHRRPSSLHAIESGEKRIVRSEGLTAPIDEPRKVIRCHFLGVTQVPKATGIEILNEAVDRLVSQVRSERWILADVSIAPSTIAIVEVNGQQIAECRVRYLSFLGIGRDVKHCAFIMQTSSESFMCYVFHVEPNAAAMAKMVEAACKLRYQKVLDAHSSSRHHSGMSIHGQHPPSTYHGKGWTETFRDAFGSVTSRMVPSRSAQRL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNSTKRLKMSTTFHDYDLEEPLTSNARPLKNSVITIRVIKSFPYRNVKNIVLHDYDLADKTAKDLFNDVLNKIQNEGSFRPFRNVKFDTLKIYTHAHGSKTVNLVINFDHDDDWTLDIENDKKKLFEYGIENETEISLFNKEDYLRFKENPEEKW", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKVISLKKDSFNKGGAVITLLPEDKEDLFTVYQIVDKDDELIFKKKFTSKLDEAGKKKSTDLVKLKIKVISEDFDMKDEYLKYKGVTVTDESGASNVDIPVGKYLSFTLDYVYPFTIIKQNFNKFMQKLLNEACNIEYKSDTAAVVLQEGIAHVCLVTSSSTILKQKIEYSMPKKKRTTDVLKFDEKTEKFYKAIYSAMKKDLNFDKLKTIILCSPGFYAKILMDKIFQYAEEEHNKKILDNKGMFFIAHCSTGYLQGINEVLKNPLYASKLQDTKYSKEIMVMDEFLLHLNKDDDKAWYGEKEVVKAAEYGAISYLLLTDKVLHSDNIAQREEYLKLMDSVESNGGKALVLSTLHSLGEELDQLTGIACILKYPLPDLDEDDGEE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEPAVGGPGPLIVNNKQPQPPPPPPPAAAQPPPGAPRAAAGLLPGGKAREFNRNQRKDSEGYSESPDLEFEYADTDKWAAELSELYSYTEGPEFLMNRKCFEEDFRIHVTDKKWTELDTNQHRTHAMRLLDGLEVTAREKRLKVARAILYVAQGTFGECSSEAEVQSWMRYNIFLLLEVGTFNALVELLNMEIDNSAACSSAVRKPAISLADSTDLRVLLNIMYLIVETVHQECEGDKAEWRTMRQTFRAELGSPLYNNEPFAIMLFGMVTKFCSGHAPHFPMKKVLLLLWKTVLCTLGGFEELQSMKAEKRSILGLPPLPEDSIKVIRNMRAASPPASASDLIEQQQKRGRREHKALIKQDNLDAFNERDPYKADDSREEEEENDDDNSLEGETFPLERDEVMPPPLQHPQTDRLTCPKGLPWAPKVREKDIEMFLESSRSKFIGYTLGSDTNTVVGLPRPIHESIKTLKQHKYTSIAEVQAQMEEEYLRSPLSGGEEEVEQVPAETLYQGLLPSLPQYMIALLKILLAAAPTSKAKTDSINILADVLPEEMPTTVLQSMKLGVDVNRHKEVIVKAISAVLLLLLKHFKLNHVYQFEYMAQHLVFANCIPLILKFFNQNIMSYITAKNSISVLDYPHCVVHELPELTAESLEAGDSNQFCWRNLFSCINLLRILNKLTKWKHSRTMMLVVFKSAPILKRALKVKQAMMQLYVLKLLKVQTKYLGRQWRKSNMKTMSAIYQKVRHRLNDDWAYGNDLDARPWDFQAEECALRANIERFNARRYDRAHSNPDFLPVDNCLQSVLGQRVDLPEDFQMNYDLWLEREVFSKPISWEELLQ", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASAASVTSLADEVNCPICQGTLREPVTIDCGHNFCRACLTRYCEIPGPDLEESPTCPLCKEPFRPGSFRPNWQLANVVENIERLQLVSTLGLGEEDVCQEHGEKIYFFCEDDEMQLCVVCREAGEHATHTMRFLEDAAAPYREQIHKCLKCLRKEREEIQEIQSRENKRMQVLLTQVSTKRQQVISEFAHLRKFLEEQQSILLAQLESQDGDILRQRDEFDLLVAGEICRFSALIEELEEKNERPARELLTDIRSTLIRCETRKCRKPVAVSPELGQRIRDFPQQALPLQREMKMFLEKLCFELDYEPAHISLDPQTSHPKLLLSEDHQRAQFSYKWQNSPDNPQRFDRATCVLAHTGITGGRHTWVVSIDLAHGGSCTVGVVSEDVQRKGELRLRPEEGVWAVRLAWGFVSALGSFPTRLTLKEQPRQVRVSLDYEVGWVTFTNAVTREPIYTFTASFTRKVIPFFGLWGRGSSFSLSS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSNAINQNGTGLEQQVAGLDLNGGSADYSGPITSKTSTNSVTGGVYVPPHLRGGGGNNNAADAESQGQGQGQGQGFDSRSGNPRQETRDPQQSRGGGGEYRRGGGGGGRGFNRQSGDYGYGSGGGGRRGGGGRFEDNYNGGEFDSRRGGDWNRSGGGGGGGRGFGRGPSYRGGGGGSGSNLNEQTAEDGQAQQQQQPRNDRWQEPERPAGFDGSEGGQSAGGNRSYNNRGERGGGGYNSRWKEGGGSNVDYTKLGARDERLEVELFGVGNTGINFDKYEDIPVEATGQNVPPNITSFDDVQLTEIIRNNVALARYDKPTPVQKHAIPIIINGRDLMACAQTGSGKTAAFLVPILNQMYELGHVPPPQSTRQYSRRKQYPLGLVLAPTRELATQIFEEAKKFAYRSRMRPAVLYGGNNTSEQMRELDRGCHLIVATPGRLEDMITRGKVGLENIRFLVLDEADRMLDMGFEPQIRRIVEQLNMPPTGQRQTLMFSATFPKQIQELASDFLSNYIFLAVGRVGSTSENITQTILWVYEPDKRSYLLDLLSSIRDGPEYTKDSLTLIFVETKKGADSLEEFLYQCNHPVTSIHGDRTQKEREEALRCFRSGDCPILVATAVAARGLDIPHVKHVINFDLPSDVEEYVHRIGRTGRMGNLGVATSFFNEKNRNICSDLLELLIETKQEIPSFMEDMSSDRGHGGAKRAGRGGGGRYGGGFGSRDYRQSSGGGGGGRSGPPPRSGGSGSGGGGGSYRSNGNSYGGNSGGGGYYGGGAGGGSYGGSYGGGSASHSSNAPDWWAQ", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPPKKGKQAQAAGKKKDNVDKTFGMKNKNRSTKVQKYIKQVQSQSDPKKEEMRLKKLEEKKRREAEEAERRALFNPVADQRVRAGVDPKSMVCALFKLGNCNKGAKCKFSHDLNVGRRMEKKDLYQDTRSEKENDTMDNWDEEKLRKVILSKHGNPKTTTDKVCKYFIEAVENGKYGWFWICPNGGDKCMYRHSLPEGFVLKTNEQKRLERESLEKQPKITLEEFIETERGKLDKSKLTPITIANFAQWKKDHVIAKINAEKKLSSKRKPTGREIILKMSAENKSFETDNADMPDDVTQGSAWDLTEFTDALKKADHQDDGGIKDYGDGSNPTFDIKKANSATLA", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVPAESNAVQAKLAKTLQRFENKIKAGDYYEAHQTLRTIANRYVRSKSYEHAIELISQGALSFLKAKQGGSGTDLIFYLLEVYDLAEVKVDDISVARLVRLIAELDPSEPNLKDVITGMNNWSIKFSEYKFGDPYLHNTIGSKLLEGDFVYEAERYFMLGTHDSMIKYVDLLWDWLCQVDDIEDSTVAEFFSRLVFNYLFISNISFAHESKDIFLERFIEKFHPKYEKIDKNGYEIVFFEDYSDLNFLQLLLITCQTKDKSYFLNLKNHYLDFSQAYKSELEFLGQEYFNIVAPKQTNFLQDMMSGFLGGSK", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEDPAAPGTGGPPANGNGNGGGKGKQAAPKGREAFRSQRRESEGSVDCPTLEFEYGDADGHAAELSELYSYTENLEFTNNRRCFEEDFKTQVQGKEWLELEEDAQKAYIMGLLDRLEVVSRERRLKVARAVLYLAQGTFGECDSEVDVLHWSRYNCFLLYQMGTFSTFLELLHMEIDNSQACSSALRKPAVSIADSTELRVLLSVMYLMVENIRLERETDPCGWRTARETFRTELSFSMHNEEPFALLLFSMVTKFCSGLAPHFPIKKVLLLLWKVVMFTLGGFEHLQTLKVQKRAELGLPPLAEDSIQVVKSMRAASPPSYTLDLGESQLAPPPSKLRGRRGSRRQLLTKQDSLDIYNERDLFKTEEPATEEEEESAGDGERTLDGELDLLEQDPLVPPPPSQAPLSAERVAFPKGLPWAPKVRQKDIEHFLEMSRNKFIGFTLGQDTDTLVGLPRPIHESVKTLKQHKYISIADVQIKNEEELEKCPMSLGEEVVPETPCEILYQGMLYSLPQYMIALLKILLAAAPTSKAKTDSINILADVLPEEMPITVLQSMKLGIDVNRHKEIIVKSISTLLLLLLKHFKLNHIYQFEYVSQHLVFANCIPLILKFFNQNILSYITAKNSISVLDYPCCTIQDLPELTTESLEAGDNSQFCWRNLFSCINLLRLLNKLTKWKHSRTMMLVVFKSAPILKRALKVKQAMLQLYVLKLLKLQTKYLGRQWRKSNMKTMSAIYQKVRHRMNDDWAYGNDIDARPWDFQAEECTLRANIEAFNSRRYDRPQDSEFSPVDNCLQSVLGQRLDLPEDFHYSYELWLEREVFSQPICWEELLQNH", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNTSSRITYFIIGGSRGIGFNLVKILSASTGNTVITSIRGSPSLPKNKQVEDLAKIRKNIHIVQLDLTKDESIGNIADEIKKTPFFLGIDIFIACSAVSDSYYKVLETPKSVWLNHYSTNALGPILALQKVYPLLLLKKTRKIFFISSVAGSINAFVPLSVSAYGQSKAALNYAVKTLSFELKPEGFTVVAFHPGMVSTDMGQYGLDHFKEKNIDISGVNIITPEESASALIDVFRKILPEDNGKFFNYDGSEGVF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKIDCKRVSLIGSPEPRWGHTGTTLPNGSGFIVFGGNSNRAFNDIQYYNIFNNSWSKIEAVGNAPSERYGHSAVLYQSQSRPYSDSYQIIFFGGRATSKPFSDINILYVNSNRSFIWKQVTTKSIEGRAGHTAVVYRQNLVVFGGHNNHKSKYYNSVLLFSLESNEWRQQVCGGVIPSARATHSTFQVNNNKMFIFGGYDGKKYYNDIYYLDLETWIWKKVEAKGTPPKPRSGHSATMIQNNKLMIFGGCGSDSNFLNDIHILHIEGANEYRWEQPSYLGLEIPQARFRHTTNFIGGRVYIYAGTGSGNLMGDLHTLEFLDDNNTPLIPITISIPITNSNSIVGSPNTSISCGVSNSGASSSSGGGISGHPSILSSSSSSSYLSTSPLSTSSLASSYQSSQSLQFNQNQNQNNNNNNNNNNNNNIQTTTTTTTNNNNNNNNNNNNNNNNNNVESNQQQQQIQHQTSPMSVLSRSNSNISLNSLNSSSSSILSTPSTLSTTTTTTTTSHASHTSHTSNRSNGSRGGIPSIPPFNGRSSNHNNNNNSNSNNYNNHQQTKTNSAEELILEELKSLNIYDQAACNKDFQTNLKRVEELFNQKIKHEQKYRQSLEEKLGKANHQVSLLTNQIQSIIQKDELTSLKKEYSELKKKHSLLYSEDIDDLPTETCLKLEEIHVKSLEKLRVKKLPSSNQLSTLQQQIPQQPTTIICNNSQIIQQQPLPPLQQQQQQQQQQQQQQQQQQQPLEIQEQLTMLQLQLSQLSQQQQNQIDKQQKQEKLQQEQQQQQLKNINRLSISSNSSTLSSKDSFYFESKIQELSNQLKEKQQAITDRDNKIKDFENQLNKYKLIGLDSLDHYQLLELESSFHNGLKQIGSIKDQRYLNRLVSLEKEKDQLKDQNSCVICASNPPNIVLLPCRHSSLCSDCCSKLTKCPICRSHIENKISIYQ", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNKPITPSTYVRCLNVGLIRKLSDFIDPQEGWKKLAVAIKKPSGDDRYNQFHIRRFEALLQTGKSPTSELLFDWGTTNCTVGDLVDLLIQNEFFAPASLLLPDAVPKTANTLPSKEAITVQQKQMPFCDKDRTLMTPVQNLEQSYMPPDSSSPENKSLEVSDTRFHSFSFYELKNVTNNFDERPISVGGNKMGEGGFGVVYKGYVNNTTVAVKKLAAMVDITTEELKQQFDQEIKVMAKCQHENLVELLGFSSDGDDLCLVYVYMPNGSLLDRLSCLDGTPPLSWHMRCKIAQGAANGINFLHENHHIHRDIKSANILLDEAFTAKISDFGLARASEKFAQTVMTSRIVGTTAYMAPEALRGEITPKSDIYSFGVVLLEIITGLPAVDEHREPQLLLDIKEEIEDEEKTIEDYIDKKMNDADSTSVEAMYSVASQCLHEKKNKRPDIKKVQQLLQEMTAS", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MALLPFFDLTNFESDASEELGWLKYVGRVQTRVFPQHFKDNLEKVRKISETIDVIVDTTAELGPEACVNLLNAGALAILVNEEMLNELADISPNRLVLKTDTTDIGKIEKLSQVAGSIQWIGSAENYPPDFFERASKIIHKAVMPEGGGRTLYLEFPEQPSMEVLKSFSVHSVVPVLSSSFLTVKPAEEPKKLSLADLILISANTDREDGLFSTLVVNELGIALGLVYSSKESVAESLKTGTGVYQSRKRGLWYKGASSGAVQHLIHIDVDCDEDCLRFVVYQTGKGFCHLDTLHCFGQASGLCQLEKTLIDRKNNAPEGSYTARLFSDPKLLRAKIMEEAEELCDATTKENVIWEMADLMYFAITRCVGSGVSLNDISRHLDLKHRKVTRRKGDAKVAWQEKLKDKGGVANTSYTA", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MENGEAKQSVPLLTPYKMGRFNLSHRVVLAPLTRQRSYGNVPQPHAAIYYSQRTTPGGFLITEATGVSDTAQGYQDTPGIWTKEHVEAWKPIVDAVHAKGGIFFCQIWHVGRVSNSGFQPNGKAPISCSDKPLMPQIRSNGIDEALFTPPRRLGIEEIPGIVNDFRLAARNAMEAGFDGVEIHGANGYLIDQFMKDTVNDRTDEYGGSLQNRCKFPLEIVDAVAKEIGPDRVGIRLSPFADYMESGDTNPGALGLYMAESLNKYGILYCHVIEARMKTMGEVHACPHTLMPMRKAFKGTFISAGGFTREDGNEAVSKGRTDLVAYGRWFLANPDLPKRFQVDAPLNKYDRPTFYTSDPVVGYTDYPFLESTA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASRPVTLGIDLGTTSVKAALLEAAPSLPSGFVVLASCARAARAETESAVAGPQGREQDVTRIIQALNECLDALPRQQLQRVRGIGVSGQMHGILFWKAGQGCEWMEGGPAFVFEPRAVSHLVTWQDGRCNSSFLASLPKPDSHLSVATGFGCATIFWLLKNSPEFLKSYDAAGTIQDYVVAMLCGLPRPLMSDQNAASWGYFNTQSQSWNLDTLEKAGFPIHLLPDIAEPGSMAGRTSHTWFEIPKGTQVGIALGDLQASVYSCMGQRTDAVLNISTSVQLAASMPVGFQPLQTPDPAAPVAFFPYFDRTYLGVAASLNGGNVLATFVHMLVQWMADLGLEVEESTVYSRMIQAAAQQKDTHLTITPTVLGERHLPDQLASVTRISSSDLSLGHVTRALCRGIVQNLHSMLPFQQLKEWGVARVVGSGSALSRNEVLKQEVQRAFPFPVCFGQDVDAAFGAALVMLQRDLSQKEP", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGTNNTSNNNGTTKKMSLEEFLGNDTLGESVWDEEDINLDAISNTTNIDILKQTKAGEHQRDGHQQHPHGGHGPMNRSRFSNAGPFGGGSMGDFANHHHPLQHQQGPPYIVKFSDLPPRFSNFDIEDLFQAKFTKFIKFKLFWEINKNPSISTLKSGSIFDQNFKRDSKVAFVELYTSRDMDKILNYWTTPLKEIYHITTAPAEFEDFKDYSTKVKLLTDPKDDAGKPFITKTQRSKSNPFGSAKPVDTQSKILDIEEKMENLHVEDTTTLRASLIPSSDSMATTATGSKITILKKQTPTEEESHSATPTPKPLSYSEVVERSVVNETSKKGTPLSKLDSPALELQSKPDKSDEFKGGDEQGFEKGGDDKAQLDVSNDKDKGSETDVDKQFTFKNVEREHSMSRTKYNGNHNNNNGNFRGSNRYRGGPNGSSYKGGHNNRGNRGGYRGGSSYNNNNNNTNDNNNNNNNSSSNNNNGSRYHDRQNNEEGLTSDSSLDASGNKKNDFTNSTSNTQQYSIFKPASGFLGQGNNDSIRNNGRGNYNSSGMNGGSRGRGFGRGRGFGRGAYNNRGSRGGRGSSGNYSNYNNRTTDMPL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSCAATLSSAKDSTNANASGGGGGGGGGGAPTNSNTNTNTNTQSTAVGVVVSSAAGTGVGVGGGGGGGGSLPGGTTSSSSASAAGGVAAGGGGNSAAALVRRFSMEGVGARVIRGPDWKWNKQDGGEGHVGTVRNFESAEEVVVVWDNGTAANYRCAGAYDLRILDSAPTGVKHEGTMCDTCRQQPIFGIRWKCAECINYDLCSICYHGDKHHLRHRFYRITTPGGERTMLEPRRKSKKVLARGIFPGARVVRGVDWQWEDQDGGVGRRGKVNEIQDWSSASPRSAAYVIWDNGSKNLYRVGFEGMADLKVVNDAKGSNVYRDHLPLLGENGPGKGPHGFQIGDKVTVDLDLEIVQSLQHGHGGWTDGMFECLSNAGMVVGIDEDHDIVVAYNSGNRWTFNPAVLTKVSSPTTAPPEFQVGDIVKICSDVESIKILQRGHGEWADAMQLTLGKIGRVQQVYHDNDLKVEVGNTSWTYNPLAVCKVASSTASDGSCAPVIPSSERLSAILKKLFEPNVSGDATEEFVKAAANGFAARCEEYLAGAAQPSTSSASPSSGPDVNVNGVFAGHTALQAASQNGHIEVIQVLLRHAVDVEIEDKDGDRAVHHAAFGDEAAVIEILAKAGADLNARNKRRQTSLHIAVNKGHLNVVKTLLTLGCHPSLQDSEGDTPLHDAISKEHDEMLSLLLDFGADITLNNNNGFNALHHAALKGNPSAMKILLTKTNRPWIVEEKKDDGYTALHLAALNNHVEIAELLVHMGKANMDRQNVNLQTALHLAVERQHVQIVKLLVQDGADLNIPDKDGDTPLHEALRHHTLSQLKQLQDVEGFGKLLMGLRNANNKKASASIACFLAANGADLTLKNRKQQTPLDLCPDPNLCKTLVKCYNERKTDDSELPGNVAGTSSSARARAASGSLNQSSSVNMPLSSLAASSTFPAASSSSIFALNGIANEMSQSLHEDPPKSSASLDECLVCSDAKRDTVFKPCGHVSCCETCAPRVKKCLICRETVSSREKIDECLVCSDRRAAVFFRPCGHMVACEHCSALMKKCVLCRTQIDEILSFSLCCGGSGRPEKVSVAAGAMATVGLPLPDDRFMEAAAAAACANASGHSVAMNNTVVTPVAGSSNQLNSQNNLLAAAAASSNVSNLSAAGNAMVAPSNVNNFQMDDVQKLKQQLQDIKEQTMCPVCFDRIKNMVFLCGHGTCQMCGDQIEGCPICRKTVEKRILLF", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSYTNKRHTYYGGFTNDLSDTFQYPQRTDEQRRKHVTFGPYILGSTLGEGEFGKVKLGWPKNFSNSSNSTFDFPKQVAIKLIKRDSISNDYRKEVKIYREINALKHLSHPNIVKLEEVLQNSRYIGIVLEYACGGEFYKYIQKKRRLKEMNACRLFSQLISGVHYIHSKGLVHRDLKLENLLLDKNENLVITDFGFVNEFCSRNELMKTSCGSPCYAAPELVISAEPYEARKADIWSCGVILYAILAGYLPWDDDPNNPEGSDIGRLYNYINSTPLKFPDYILPIPRDLLRRMLVSDPKKRINLKQIKKHEWLKPHSSFLSITPDEWDKLNNTQSVFRLAKPRRRYGSRPQSSCSTSSLGSRSDKRDSLVIDSTLITFPAPPQESQNHIITRPASIASDQRLSPIRRSNRHNRSNSAASVALQAVVNADREYVLSHEQSLSPVQNIRQTTGNMTASLSPPPAISPGDIIIETTPIKRNTISGSSIVPSLEEESSTTMQTSKIQPNNMASSQNHQYNKNKTQNSLQSAKNFYRTSSSSHTKPRPTSYHPGSYTTPPYNSNTLSIYEINEKAKSSASSQTLNQRDTSPFDSTPYLALDTCITSSSSIESSPKLITHGQFSVAKPSVDLQSVSGDLIKYKRDADVVTRIYDEKYKQKRKSLRYSGIFSDISCDTVTEESDELRPPESPLQQHEGQESIDKAKTEDTSEKGSKSSNIAKATAQKHVNNHLERSLNEAESTKKRFSFLSLYSYDTSKSSLYSSMDSKRKPSPPSQRRPKKDDSYQTNSKNHYITASNMQTSHQVSKDLPAPTMVQNKCTLETKKAVRSNRSSIMVSEVNKASVDNKAAQSPEHSTAKRVLGFFKRRSMKI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSGYFSGFSLNKITDSIATAAHKTQDTLNNALANANVNLNDPQTRLSIKSRTRFVQESLGTVSDISKLPPQYQFLEKKSDSLEKVCKRILLVSKTFEVEGYDYPPNLTESISDWWSLNKDGWFGSKKSESSTKKKGSNHDDAFLPRSFAQAISKAAVDCECEFQNLEHNEKAELKKKKESIKTAQTTEAQGADHNEEDEEDEEDEEDDEDLSNLIKVFDSWSTCYKNIDEGKAEMDSMMVKEFNKKLETLINQDFKKVHDLRKKVEESRLKFDTMRYEVKAKEAELEAKKAEATGEAHSKDVSAKDISANTTTSFDETPSTEDEKPKSEGAEEESKKEANEPTVDDVADRKEDLKSNKVNDEPPIEESEDNKLLEKLEDEFVSNTTAAVETMEEITDSSEILGLIKLFQNFQLVYFRQCVQEVEANLKVLNGLEI", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSKIEELPPSDTDDHSYSSKPGDVFLAFVDAPVKETDDILVEDSFIGGEPKWLHPDSEPPAELLKCGACKSADNMKLLLQAFSPLDDEQMSAIQQRLGINNMSYINPQDDRVLYVFLCTECQRKGNSVRCIRGVKKNKNVDSLSEKMASTSLEKDFQINPFDLSNNSDSKCNAFSSNPFGGANANPFGADSINSNISQSKDEGKKKESATVSAKTARKLHDLQKDKEYDGNKCFKSCLLYVEEETFKNKKPAHLQLPKNLKIDKEALDLTGDEDLEKDPIKLDPRTEKLSKFLDDDTFQKFQEVVGYNPLQVLRYDLGGKPLLYAETKVDILSTVPRPGYNPSSQRIFEMQLMPKMIFDLEEVVSVDNGMEWGTILVFTDVENYMPEFDEHGVGYVEECVKVQWESRT", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MFVGSPSAIEKPLSLSSKTKVGDETEFTEDALRGSSLVPTGILNGDDECHALHMSPQAIHSQAGKAESDGLPTYASVEKSTTPIGRLLSNLNGGLASAPPKVGFGFPRYYALRIEDLPRDLTPREFLCTFLFATNVVSVELNPVSVDNEVAHGLAVFSSRDAAASARDTLLSSDVYSACSMIILDNYRKGSQTNLSDETEGSESSVSFNRLSRNHSPTRPLLGNRDLFRRSSNHVSASMPTANHSESAYRHSKTPLGDSTFQAPNNGGSLHSDRLWSSFPVSYPLTLANVLAKDEVGSPTWSPTPSKSSTNLRQDGVPPILRFNSLSINTNVARNYLSSEKGYSAHTQNSSAQSPHPRVFSANSAFSTTSPPPLTPSTSRDYPFSASTISPSTPFSAYSSSHGIHQRIPASTPTNTNPADQNPPCNTIYVGNLPPSTSEEELKVLFSTQVGYKRLCFRTKGNGPMCFVEFENIPYAMEALKNLQGVCLSSSIKGGIRLSFSKNPLGVRSSSSSHNNHNGNVRNLHSGSMNNYNTDSLLNHTGGHNEVHASPSWGNNLMYGK", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLESSSDKIKFAPIKEVDYKKPVSKSKNYTLINDIQPLEWYCHNDSETGYQHTISNKTDGGRGLFRVMKKSMETRVETQTLYFTDLHTGLCGFVQLLYSTVMGGIYKGFQLNFKVFGSESNNTDYDVWESFKLDDIAEFQPLKFVSRNVIFEFLSNKNEKLGSIGQLSIKCDLPTCNNTIQNLKIDLLVDLFQGFKMNPNGCNYYFDKQISMSDEFVSSDKMIRHVFVPRGKCNGNISYDKKLNSGDFQNKNISLTDVPVVYLDAVQGLLPNKAASKWNFLCFQSENYSVLAIEFTTPRDHDNVTVTVWSITEKNKLISIGSSVQSPKRHVRFRATSTDKESGWVYPTSIKFPGGFSEHDLRLVNRYDVLGELPSMVRSLAQKIVSIKPFIYQYCQPSKYKHEKGISIVESTFIS", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAEARSQPSAGPQLNALPDHSPLLQPGLAALRRRAREAGVPLAPLPLTDSFLLRFLRARDFDLDLAWRLLKNYYKWRAECPEISADLHPRSIIGLLKAGYHGVLRSRDPTGSKVLIYRIAHWDPKVFTAYDVFRVSLITSELIVQEVETQRNGIKAIFDLEGWQFSHAFQITPSVAKKIAAVLTDSFPLKVRGIHLINEPVIFHAVFSMIKPFLTEKIKERIHMHGNNYKQSLLQHFPDILPLEYGGEEFSMEDICQEWTNFIMKSEDYLSSISESIQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNSESREDMAINSIKLLAGNSHPDLAEQISKKLGIPLSKVGVYQYSNKETSVTIGESLRDEDVYIIQTGIGEQEINDFLMELLILIHACKIASARKITTVIPNFPYARQDKKDKSRAPITAKLVANLLQTAGADHVITMDLHASQIQGFFHIPVDNLYAEPSVLNYIRTKTDFDNAILVSPDAGGAKRVAALADKLDLNFALIHKERQKANEVSKMVLVGDVTNKSCLLVDDMADTCGTLVKACDTLMEHGAKEVIAIVTHGIFSGSAREKLRNSRLSRIVCTNTVPVDLDLPIADQIDISPTFAEAIRRLHNGESVSYLFTHAPV", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAAEGEVIACHTVEDWTEKLKAANESKKLIVIDFTATWCPPCRFIAPVFADLAKKHLDVVFFKVDVDELNTVAEEFKVQAMPTFIFMKEGEIKETVVGAAKEEIIANLEKHKTVVAAA", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSSVEFSNQPVVIDNGSGVIKAGFAGQDPPSHIFQSLVGNPKYKKVMGLNIENESTYFVGDRINDWRGILKLKHPMDHGIVSNWSDMERVWTYTYDQLKIQPSEHPVLLTDVPNNPRLHRERAAQLFFETYNAPALYFSIPAVLSLYASGRTTGIVLDSGDGVTHVVPVFEGFALPHAISRIDIAGRDITEYLQHLLRRSGYNFKTSAEKEVVRIIKEKTCYVAHDPQKEEELLEPDSSSSKPVQPQYTLPDGNVIELGAERFRAPEILFHPDIIGDESLGIHQCLDMSIRKSDLDLRKTFYSNIILGGGSTLFQGFGDRLLNEVKKLAPKDIKIKITAPPERKYSAWMGGSILASLSTFKDLWVTRQEYEEDGCSVIHRKIF", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAAASPSVFLLMITGQVESAQFPEYDDLYCKYCFVYGQDWAPTAGLEEGISQIASKSQDVRQALVWNFPIDVTFKSTNPYGWPQIVLSVYGPDVFGNDVVRGYGAVHVPLSPGRHKRTIPMFVPESTSTLQKFTSWFMGRRPEYTDPKVVAQGEGREVTRVRSQGFVTLLFNVVTKDMKKLGYDTGPVDTQGVLGPSLPQGNPQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDGSRRVRATSVLPRYGPPCLFKGHLSTKSNAFCTDSSSLRLSTLQLVKNHMAVHYNKILSAKAAVDCSVPVSVSTSIKYADQQRREKLKKELAQCEKEFKLTKTAMRANYKNNSKSLFNTLQKPSGEPQIEDDMLKEEMNGFSSFARSLVPSSERLHLSLHKSSKVITNGPEKNSSSSPSSVDYAASGPRKLSSGALYGRRPRSTFPNSHRFQLVISKAPSGDLLDKHSELFSNKQLPFTPRTLKTEAKSFLSQYRYYTPAKRKKDFTDQRIEAETQTELSFKSELGTAETKNMTDSEMNIKQASNCVTYDAKEKIAPLPLEGHDSTWDEIKDDALQHSSPRAMCQYSLKPPSTRKIYSDEEELLYLSFIEDVTDEILKLGLFSNRFLERLFERHIKQNKHLEEEKMRHLLHVLKVDLGCTSEENSVKQNDVDMLNVFDFEKAGNSEPNELKNESEVTIQQERQQYQKALDMLLSAPKDENEIFPSPTEFFMPIYKSKHSEGVIIQQVNDETNLETSTLDENHPSISDSLTDRETSVNVIEGDSDPEKVEISNGLCGLNTSPSQSVQFSSVKGDNNHDMELSTLKIMEMSIEDCPLDV", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSANRTVTVFSSSAEDQEPIELAEDSLQNLDKMLAEEKEEHQLLKDEVVLLRKENVEAKTYSTLLEIMLDEAEEKASSAQETTSEENNLKILNRDLVAENLELKEMKEELRKIWLSDSKKFQEALTRISDENTKLQKDCHELESIRQCAQFALDNCNEELEKTQTENEEHESRIETLEREVCEKDIAMKDIVERKDEISLQLELQTKEFTSALNDLMYGREDTLKQIHQMKENWKVKQNEFEVEITKLKSQNDYFDSERLQLTDRIRALLNELSDVRLELGSTRLAMKEKAEVTEAVTSFNKDLRDKLEDEIARLGECLQFRKDEHEQDEAVIAHLEEQLKLGSDKAAAFSSEHSDTIELLRESETELMELRMENYDLKEDFKILKEEKEDVNRTCECLREQLSTTIQERDIEKGQMQSEMDAKMVAVHQQYAKQIDNMKYNHMLAINQELIKGQMALESGKKKHANEILTVRNELEQSNAAHQSLRDQCSLLLSSEDDLRTAHLALESKMTLVSEECIALRVSRANAQKEIGNLTEHHKLEVALLEDAKSGIQQRLHYATIEIEQLKKINEVTQAQFKKETDEKNAEINEFQAAMVSMKQQYNVLGNHCRVLTSQGISDRTTIDKLQETIREHTELAIETKRIHDAEIVQLNDAHKKLVDNLGVEELDEEPKASTESEEKAEWEMVDEE", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVPGEENQLVPKEDVFWRCRQNIFDEMKKKFLQIENAAEEPRVLCIIQDTTNSKTVNERITLNLPASTPVRKLFEDVANKVGYINGTFDLVWGNGINTADMAPLDHTSDKSLLDANFEPGKKNFLHLTDKDGEQPQILLEDSSAGEDSVHDRFIGPLPREGSGGSTSDYVSQSYSYSSILNKSETGYVGLVNQAMTCYLNSLLQTLFMTPEFRNALYKWEFEESEEDPVTSIPYQLQRLFVLLQTSKKRAIETTDVTRSFGWDSSEAWQQHDVQELCRVMFDALEQKWKQTEQADLINELYQGKLKDYVRCLECGYEGWRIDTYLDIPLVIRPYGSSQAFASVEEALHAFIQPEILDGPNQYFCERCKKKCDARKGLRFLHFPYLLTLQLKRFDFDYTTMHRIKLNDRMTFPEELDMSTFIDVEDEKSPQTESCTDSGAENEGSCHSDQMSNDFSNDDGVDEGICLETNSGTEKISKSGLEKNSLIYELFSVMVHSGSAAGGHYYACIKSFSDEQWYSFNDQHVSRITQEDIKKTHGGSSGSRGYYSSAFASSTNAYMLIYRLKDPARNAKFLEVDEYPEHIKNLVQKERELEEQEKRQREIERNTCKIKLFCLHPTKQVMMENKLEVHKDKTLKEAVEMAYKMMDLEEVIPLDCCRLVKYDEFHDYLERSYEGEEDTPMGLLLGGVKSTYMFDLLLETRKPDQVFQSYKPGEVMVKVHVVDLKAESVAAPITVRAYLNQTVTEFKQLISKAIHLPAETMRIVLERCYNDLRLLSVSSKTLKAEGFFRSNKVFVESSETLDYQMAFADSHLWKLLDRHANTIRLFVLLPEQSPVSYSKRTAYQKAGGDSGNVDDDCERVKGPVGSLKSVEAILEESTEKLKSLSLQQQQDGDNGDSSKSTETSDFENIESPLNERDSSASVDNRELEQHIQTSDPENFQSEERSDSDVNNDRSTSSVDSDILSSSHSSDTLCNADNAQIPLANGLDSHSITSSRRTKANEGKKETWDTAEEDSGTDSEYDESGKSRGEMQYMYFKAEPYAADEGSGEGHKWLMVHVDKRITLAAFKQHLEPFVGVLSSHFKVFRVYASNQEFESVRLNETLSSFSDDNKITIRLGRALKKGEYRVKVYQLLVNEQEPCKFLLDAVFAKGMTVRQSKEELIPQLREQCGLELSIDRFRLRKKTWKNPGTVFLDYHIYEEDINISSNWEVFLEVLDGVEKMKSMSQLAVLSRRWKPSEMKLDPFQEVVLESSSVDELREKLSEISGIPLDDIEFAKGRGTFPCDISVLDIHQDLDWNPKVSTLNVWPLYICDDGAVIFYRDKTEELMELTDEQRNELMKKESSRLQKTGHRVTYSPRKEKALKIYLDGAPNKDLTQD", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSKSDFWILSVPSRGGSNADLCDDIERLLVSGSTSLISTVAPFDVPPFKVESLDVLISQSEQLTKQDAQCASAISKISDIIKNTVSSSSGDLKDYFMVQDKSPLEYVSSFAWNSSRFHMNKTISEISDRITSEIISFENDIRTRQTSFQQASSAFQNMQKKQSGNLSQKSLANIVHEEDVVHGSDYLTNVFIAVPLNLEKQFLNSYETLTDLVIPRSAKKLDQDSEFVLYTVVVFKKTADSFITKAREAKYTIREFTFEQGLRETEQSEFDDAAVKEKRMLSSLLRYASIAFSESFQGWIHLKCLCVYVESILRYGLPPDFSSVIFQPMAKSEVKIKNILLSKYAYLAQNPVGNNKVKNVDSSAGLDESMADLNLDEEYLPFVLFTVPSKVFNY", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVDARGSTPCLIGDSIRNVNDGNSLDFQYTNQFNEESEASRLLTPQTSSNHALSKMQKDDDIRDRSYTSVAELNREGALLTDEVDLENVDASKVRSNRDDLEAEEKRKKLLLLKKKQRNKSINSESFSSPSLRASKSNSLITSTDPVEDHISKYSSSGTPENITGEADDEDEDIIRNSYGQMIKNNSNRPHLAKGESYQSAEQEIDHTAPEKSEKRQERSGRSFDRQKSSAEFLRSLSRSISRGPTKNKTVSPSKGEDSRMYSTSNYSISLVDLENGPKIIPETLEEEQEDAEKEGVLMEDEGNEEYTKDLEEAANKAQPQ", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASDENIGADGEQKPSRPFLRKGQGTARFRMPRNNKTSAGAPPTSELSSASSPSINVPRFSLSNALPNSARTVDSGISNEDETRPPTTASLPMDQPSLSSSPENRLNPAPSVAEEHGHSGQHAEEEEDNDTDEVSAMPSFVPDEPSTLVNSDHELSDDALKYKNAAAEFKAFERRMDSMRSASTITTSLATPSSCAPSNSSEPPTRSTPIMNDLGVGPNNHNWPSSMQELSGISLETPQARPLGSNRINQLVRSEAQTGISLLQHHERPTVTAPLRRNDMMNSSRQNPQNGNVQDENRPEHVYDQPIHVPGSSLDRQKLEIEIRRHRNLNIQLRDTIAHLDYAEESVHTTKRQLEEKISEVNNFKKELIEEFKKCKKGVEEEFEKKFEKIKEDYDELYEKLKRDQRDLERDQKILKKGTGERNKEFTETIATLRDKLRASETKNAQYRQDIRVRDEKLKKKDEEIEKLQKDGNRLKSTLQTLEKRVKQLRTEKERDDKEKEMFAKVAMNRKTSNPVPPVLNQSVPISITSNGPSRHPSSSSLTTFRKPSTSNRERGVSWADEPNEQSLEAVPQEFLMMPVKEMPGKFGKCTIYRDSLGETSKVTDTIANGLLFEYSNGDLRWVNRQNAVNIYISAVDKTVRIDLPTYNISIIHTFQRQVEVLRPGNNITLISIKRREVRTDLIYQNGMYKTEIFNRDGRYVTKDFSNQEVSRKYNPGTHTYRDNQCRYVLVTDYNDFELVEPEFRLRWYQGDPTGLNNQYILKIIGRPECSEKTLRLEVNLSTCEGTLETAEMIGDKRRKTTLFQWKK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDWKITIFTYNLAMKASDSEAVHNMLNGMIDDHTHLVAIGLQEVAHSETIGGAVLTWATTIASWMNTNGRMVLLAKTFQATNQVLIFGRKQLIGQIKRIDYRFQRNTMGGLTGHKGSIGVRLQLASPYSIVFVDSHFIHGPENYGKRVEQYHTNRNCSFPEDKSVRAAFWFGDFNFRVEEDVNTVIRKIKNGTHLELLDTREQLKRALVERDAFIGFHEQPVTFEPTYRVTVGTTEQDGKRVPSWTDRILYKGDGITGLSYTNNKKAVASDHLPVVAMFRVTAPAAPKPQWEVIFEHLPTWYTSIPLVGRFQVNELYYKENGSYRDWIGVFPSSINDCTTATNWIYAATCFEQVIEGSKFLACEFNNIPAGNYRLGYFSCHLHCLVGLSKVFQIVEQP", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEDDDTLNGEYFQPVEDMITLPILTEESLLLNLKMRYKKKEIYTYTGSILVAVNPYEILPIYTADIVKSYFAKSRNLMLPHIFAVSDAAFTNMIEEGKNQSIIISGESGAGKTESTKLIIQYLAARTNRHSQVEQMIVESSPILEAFGNAKTIRNNNSSRFGKFIEIQFNREGHISGARIINYLLEKSRISHQASSERNYHIFYQLLAGASDELKEKLKLGEPEDYHYLSQSGCIRIENINDVEDFEHVKYAMNVLGLPEDKQFTIFSIVSAVLHIGNLKFEKSEKTQGAEGSEVSNKDTLKIIAQLLSVDPVKLETCLTIRHVLIRGQNFVIPLKVNEAEDTRDSLAKALYGNVFNWLVVFINSKIHKPQKNSTFIGVLDIFGFENFKKNSFEQFCINFANEKLQQHFNQHIFKLEQEEYEKEKINWSKIVYNDNQECLDLIEKRPLGILSLLDEESRFPQATDLTYLDKLHTNHEKHPYYEKPRRSKNTFVVKHYAGEVHYDTQGFLDKNKDTVSDDLSSLLQGSKSKFIIELFTPPREEGDDSDKGREKKKTTAGQTFKTQLQSLINILSSTQPHYVRCIKPNTTKEPAVYDRELIQAQLRYAGMMETIRIRKLGYPIRHTHKEFRDRYLILDYRARSTDHKQTCAGLINLLSGTGGLERDEWQLGNTKVFIRDHQYLKLEELRKLKLLKKVTLIQSVWRMYRCKKRYQQIRASAKILGAAMLSHSSRRDFQEQRQAVQRIKGFFKMLTYQKQFKIIQINLRIVQNNIRSFIARRHSRNAVLLKRDRNARMLEIQREKDEEERNRQEKEERDRQEKEDKEKETADRRQLQEEQKRREEELRAKREEEELKKLEEKKSQLKELNQIDELSSLERMLKEQQDKNINELDDFVNSLEAFSFEGGVDDSQPYSFNHKMYEMSPEALDKISITDLLQGLKQTVRSVTKFEVDESKFELPPGIENVLKRAPGIKRQASSFLPGQPIPDVYSSPQYPVDEADDDDSNNNYINSNNGDLPLPTSQSSDFSLPPPPSSSSMDFGLPPPPPSSSSGGTYSLPPMPVFDFGMIDPILGAPPPPPSTSDSTSPSATATGNNTPNSSSASASQSTNQVNPQPTVSVVELPQILNDEEISLYSFYDYANKNFNIEKLKQKDDIFSYQKSHIKSSLLVHSDAEQTKVAVEIFSKVLHYMNSNPLVSKKDPADFYSPVKFILTKGLAIESLRDEIYCQLIKQSTSNPIQDLNIRVWELIHFTCSTFPPTRKLIKYFAAYLKTTIQQSDVSKSVKDSAQASYFILQRFTLNGARKQVPSVTELESIKENRPIFVRITATDGSLKGLHIDSATTCQESSNDLSQRSRMRVNSKENGFTIIESFNGIERDIAPTDKLCDVLSKVENLQATLSSKIQVNFKFVFKKKLFFDNITNNVPTTSINVENEFYYHQLFNDLFNSNYCKDQDYQISIGSLKLQFESSDYTDEIRAWLPGNGRGKYFTTDIEKNRFDDFINKYKSHKGLSPEDAKKQMVQLLEKHPLANCSLVVCEHQSESLPYPKNFVLALNVNGINIYDPATSKMLESVKYSNQSQQNLKSDDKSVSIILENKSTLQAFTGDVQKLVSLIKEYSLYLRNNAKYARALKDYNVSDTSLLPFKRNDIITITFKDQENKWFMGQLNGKEGSFPVDHVEILLSDVPPPQPVHPVATLSPPMSPTIPNITNTPPPPPSISDSMSPPPQVGMLPPPPPPSVMGSTKPIEIPSLGIPPPPPSSSNSSVPNSPIGSPMMGIPPPPPTISVHSLSNSGNSTPPPPLPSLSTPPTLSTPPPISSPPNFRSSLRVSMLNTSNDGGDNSSDDPSKRLTVSPAIGTDSQLAQWASTRFRSFKRASTLNQQQATLKRKAPVDPNTAFYFNKDPIKESLIEMEAKLSKKAIKNFSEIMMWMGDYPIPKGQTASLVIQSIISRGIENHELRDEIYCQAYRQTNKNPKVESAKKGFELIYFLSITFSPSDSLLQPFMEQLMSRNIAIQSSSPQLASLIAVCIEKLESHPIPSYQQRKMGPSATEIQSFRSNLENGDISTCKIRFIDQSTKLAKINTYTTIREITDTVCRQYGISQQSIKMFGISAVNETAGISKVVSETDMIYDVLARWEQSEEKGEFYFQVRRRFFLDDVNKILDQEHLWTDDDICFELTYCQIRDEWMKGLYTNVNEKDSSIIAAILIQLLYPNQSKLVLTKEVVRQVLPDQILNSQNIKVWISMIESQIFELVSQTPEYLKLMFINLIGSKSPLFGCTLFNIQQKENPPKAWLAINKKGVSIFDPHTKESKNFWTFQSISNVAFTDDTFCIMTGNLMKPIKQTFTTDEHSSIASVYQFYSSQ", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MANSNTYLTTPTKTPSSRRNQQSQSKMQSHSKDPINAESRSRFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDLSALEPRCRFQDEDSEEYGSPIVSATAVADVIRSRTEALLKKTKTAVSPKPIVMRAEYAHCPNLTIIDTPGFVLKAKKGEPETTPDEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDAVREIDSSFRRTIVVVSKFDNRLKEFSDRGEVDRYLSASGYLGENTRPYFVALPKDRSTISNDEFRRQISQVDTEVIRHLREGVKGGFDEEKFRSCIGFGSLRDFLESELQKRYKEAAPATLALLEERCSEVTDDMLRMDMKIQATSDVAHLRKAAMLYTASISNHVGALIDGAANPAPEQWGKTTEEERGESGIGSWPGVSVDIKPPNAVLKLYGGAAFERVIHEFRCAAYSIECPPVSREKVANILLAHAGRGGGRGVTEASAEIARTAARSWLAPLLDTACDRLAFVLGSLFEIALERNLNQNSEYEKKTENMDGYVGFHAAVRNCYSRFVKNLAKQCKQLVRHHLDSVTSPYSMACYENNYHQGGAFGAYNKFNQASPNSFCFELSDTSRDEPMKDQENIPPEKNNGQETTPGKGGESHITVPETPSPDQPCEIVYGLVKKEIGNGPDGVGARKRMARMVGNRNIEPFRVQNGGLMFANADNGMKSSSAYSEICSSAAQHFARIREVLVERSVTSTLNSGFLTPCRDRLVVALGLDLFAVNDDKFMDMFVAPGAIVVLQNERQQLQKRQKILQSCLTEFKTVARSL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLREVIYCGICSYPPEYCEFSGKLKRCKVWLSENHADLYAKLYGTDDNTQEVEAVTNKLAESSIGEAREEKLEKDLLKIQKKQENREQRELAKKLSSKVIIKREARTKRKFIVAISGLEVFDIDMKKLAKTFASRFATGCSVSKNAEKKEEVVIQGDVMDEVETYIHSLLEEKGLKDVKVETIDAKKKKKPAAEGAAK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDSDTLSGLLENVAKKFPDRRALSVSGKFNLTHARLHDLIERAASRLVSDAGIKPGDVVALTFPNTVEFVIMFLAVIRARATAAPLNAAYTAEEFEFYLSDSDSKLLLTSKEGNAPAQEAASKLKISHVTATLLDAGSDLVLSVADSDSVVDSATELVNHPDDGALFLHTSGTTSRPKGVPLTQLNLASSVKNIKAVYKLTESDSTVIVLPLFHVHGLLAGLLSSLGAGAAVTLPAAGRFSATTFWPDMKKYNATWYTAVPTIHQIILDRHASHPETEYPKLRFIRSCSASLAPVILSRLEEAFGAPVLEAYAMTEATHLMSSNPLPEEGPHKPGSVGKPVGQEMAILNEKGEIQEPNNKGEVCIRGPNVTKGYKNNPEANKAGFEFGWFHTGDIGYFDTDGYLHLVGRIKELINRGGEKISPIEVDAVLLTHPDVSQGVAFGVPDEKYGEEINCAVIPREGTTVTEEDIKAFCKKNLAAFKVPKRVFITDNLPKTASGKIQRRIVAQHFLEKP", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEKYKILECIGHGSFGRIYKVQRLKDGALLAQKEIHFGNITRQEKQYIADEVNILRNLKHPNIVQYCGEELNRSAQVINLYMEYCGHGDLANLIQRYKEEKKRFTEQEVLKFFTQLLLALYRCHYGENAPACDSQWPREIFHPKQSVLHRDIKPANIFLDENNSVKLGDFGLSKLLDNTRVFTQSYVGTPYYMSPEIIRSSPYSAKSDVWALGCVIFEICMLTHPFEGRSYLELQRNICQGNLSCWDHHYSDDVFLLIRHCLEVNSDLRPTTYQLLRSPILSDIRSKLESERVVLEQSDLLHKKHQMLIQLENDLQFREQRLSARESELENVIASRLAQREEILRRELEKQLRDMDARYQRHMQTVVNSMQKMRVTSPVDHNEQPESSTAEMFVDCTIEASQSPLLHIPKLGISKPLQTLSCPGFTLTTQQPILKRPTLRKELSSRALHTTATLMKYRANASSLRTTPIDKDGQITSLQQKNGTSNQVADCMNKLLHTSLDGKKLSPSELCNKFSDGEGLPNRKVSKLSVESDETAVSASSGESVPTDSTLTDTKSKSVFVHPPSPQSLYVEKLEKLNIRSDEVSKPSKASKTLHGYALPSLASPYDVHAEEKIARENEMDGNFKTMKINQHPDEYVLRTPKKIQLLEGQKRSPVKQLGRLGYNKLRRSAMDNAGLELRKAASTSNYTSLQSRTLPGSWRDDEEEIPRPFLRKMLDARMMRA", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSIASVKTPSSLREAQIQSLEKLLNLNQDVNELESSPQHASNFPIWKVLIFDKAGSETISSVLRISDLRKHGVTVHMNITSFRQPIADVPAIYFVQPTQENIELIIEDLSKGLYESAYVCFSSTISRALLEQFAELASKTNTSHMIHQVYDQYLNYVVLESDFFSLQLPKIFHTFHNPSSDEALINSRVQDIVNGLFSVIVTLGTIPIIRCPQGSAAEMVAQKLNQRLKDHLMNTKDAFVSVNPKPRPILILLDRTVDLIPMINHSWTYQALIHDTLNMQLNRITVESVDDGKMTKRFYDLDGNDFFWESNASKPFPKVAENIDEELTRYKNDASEITRKSGVSSLEEVNVDAFADSTYLKSAVSLLPELTARKQILDMHMNIATALLKAIQERHLDDFFQLEDNITGLNRSAILACINNKEQGTPEDKLRFFIIWYLSVDSVPASDLQAYEEALVNNGCTLEALNFVKRVREITKMTMLASSTTRPATGQTGDNLFRGFSSLSTRFTDRFKEAGIGGLENIISGVRNLIPFRKDGTITSIVQSLMDPGSSPASKQTESYLLLDPKSARAITVNNDPRAMNKRQTFSEAIVCVLGGGNYLEYGNLADWAREQNPKKRIIYGSTDILSPSEFMEEMASLS", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEVPQPEPAPGSALSPAGVCGGAQRPGHLPGLLLGSHGLLGSPVRAAASSPVTTLTQTMHDLAGLGSETPKSQVGTLLFRSRSRLTHLSLSRRASESSLSSESSESSDAGLCMDSPSPMDPHMAEQTFEQAIQAASRIIRNEQFAIRRFQSMPVRLLGHSPVLRNITNSQAPDGRRKSEAGSGAASSSGEDKENDGFVFKMPWKPTHPSSTHALAEWASRREAFAQRPSSAPDLMCLSPDRKMEVEELSPLALGRFSLTPAEGDTEEDDGFVDILESDLKDDDAVPPGMESLISAPLVKTLEKEEEKDLVMYSKCQRLFRSPSMPCSVIRPILKRLERPQDRDTPVQNKRRRSVTPPEEQQEAEEPKARVLRSKSLCHDEIENLLDSDHRELIGDYSKAFLLQTVDGKHQDLKYISPETMVALLTGKFSNIVDKFVIVDCRYPYEYEGGHIKTAVNLPLERDAESFLLKSPIAPCSLDKRVILIFHCEFSSERGPRMCRFIRERDRAVNDYPSLYYPEMYILKGGYKEFFPQHPNFCEPQDYRPMNHEAFKDELKTFRLKTRSWAGERSRRELCSRLQDQ", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MADNNSPPGSVEQKADQIVEANPLVKDDTSLETIVRRFQDSMSEAKTHKFWETQPVGQFKDIGDTSLPEGPIEPATPLSEVKQEPYNLPSVYEWTTCDMNSDDMCSEVYNLLKNNYVEDDENMFRFNYSKEFLRWALRPPGYYQSWHIGVRAKTSKKLVAFISGVPARIRVRDEVVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVILPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDAPITPGFRKMEPRDVPAVTRLLRNYLSQFGVATDFDENDVEHWLLPREDVVDSYLVESPETHDVTDFCSFYTLPSTILGNPNYTTLKAAYSYYNVATQTSFLQLMNDALIVSKQKGFDVFNALDVMHNESFLKELKFGPGDGQLHYYLYNYRLKSALKPAELGLVLL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKRSEKKSMSSALKNGIMERTQPEKVVQMQGTADLSTSKLGTKKYWDELYALELENFRRNPQDTGDCWFSDSDAEQKMIDFLVDNIGAYRISENASVVDLGTGNGHMLFELHQTEFQGKLVGIDYSEESVKLASNIAEATGVDNFISFQQADIFSGDWKPGKYDIVLDKGTLDAISLSGMKINGKLDVVDVYAGVVERILKKDGIFLITSCNFTQDELVKIIETDNLKMWKTIKYPVFQFGGVQGATICSVAFVKQN", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPKKRASNGRNKKGRGHVKPVRCVNCSKSIPKDKAIKRMAIRNIVEAAAVRDLSEASVYPEYALPKTYNKLHYCVSCAIHARIVRVRSREDRKNRAPPQRPRFNRDNKVSPAAAAKKAL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAKDLNDSGFPPKRKPLLRPQRSDFTANSSTTMNVNANTRGRGRQKQEGGKGSSRSPSLHSPKSWIRSASATGILGLRRPELAHSHSHAPSTGTPAGGNRSPLRRSTANATPVETGRSLTDGDINNVVDVLPSFEMYNTLHRHIPQGNVDPDRHDFPPSYQEANNSTATGAAGSSADLSHQSLSTDALGATRSSSTSNLENLIPLRTEHHSIAAHQSTAVDEDSLDIPPILDDLNDTDNIFIDKLYTLPKMSTPIEITIKTTKHAPIPHVKPEEESILKEYTSGDLIHGFITIENKSQANLKFEMFYVTLESYISIIDKVKSKRTIKRFLRMVDLSASWSYSKIALGSGVDFIPADVDYDGSVFGLNNSRVLEPGVKYKKFFIFKLPLQLLDVTCKQEHFSHCLLPPSFGIDKYRNNCKYSGIKVNRVLGCGHLGTKGSPILTNDMSDDNLSINYTIDARIVGKDQKASKLYIMKEREYNLRVIPFGFDANVVGERTTMSQLNDITKLVQERLDALRKIFQRLEKKEPITNRDIHGADLSGTIDDSIESDSQEILQRKLDQLHIKNRNNYLVNYNDLKLGHDLDNGRSGNSGHNTDTSRAWGPFVESELKYKLKNKSNSSSFLNFSHFLNSSSSSMSSSSNAGKNNHDLTGNKERTGLILVKAKIPKQGLPYWAPSLLRKTNVFESKSKHDQENWVRLSELIPEDVKKPLEKLDLQLTCIESDNSLPHDPPEIQSITTELICITAKSDNSIPIKLNSELLMNKEKLTSIKALYDDFHSKICEYETKFNKNFLELNELYNMNRGDRRPKELKFTDFITSQLFNDIESICNLKVSVHNLSNIFKKQVSTLKQHSKHALSEDSISHTGNGSSSSPSSASLTPVTSSSKSSLFLPSGSSSTSLKFTDQIVHKWVRIAPLQYKRDINVNLEFNKDIKETLIPSFESCLCCRFYCVRVMIKFENHLGVAKIDIPISVRQVTK", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAIELGLSRITKLLEHLGNPQNSLRVLHIAGTNGKGSVCTYLSSVLQQKSYQIGKFTTPHLVHVTDSITINNKPIPLERYQNIRLQLEALNKSHSLKCTEFELLTCTAFKYFYDVQCQWCVIEVGLGGRLDATNVIPGANKACCGITKISLDHESFLGNTLSEISKEKAGIITEGVPFTVIDGTNEASVINVVKERCKALGSELSVTDSQLNGNMIDTNSWGCFDLAKLPLNGEYQIFNLRVAMGMLDYLQMNELIDITKNEVSTRLAKVDWPGRLYRMDYRFDKVSNRTVPILMDGAHNGSAAVELVKYLRKEYGNQPLTFVMAVTHGKNLEPLLQPLLRPIDQVILTRFNNVEGMPWIHATDPEEIKDFILTQGYTKEIVIENDLHQVLPSLAHVSDEQRRPIVVCGSLYLCGELLRIHNSHLRN", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAQETNHSQAPMLCSTGCGFYGNPRTNGMCSVCYKEHLQRQNSSNGRISPPAASVSSLSESLPVQCADGSVPDAQSALDSTSSSMQPGPVSNQSLLSESVAPSQVDSTSVDKAVSETEDLQGPRAEGLVPLECDPPSSVSDTTQQPSEEQSKSLEKPKQKKNRCFMCRKKVGLTGFECRCGNVYCGVHRYSDVHNCSYNYKADAAEKIRKENPVVVGEKIQKI", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPQLLQNINGIIEAFRRYARTEGNCTALTRGELKRLLEQEFADVIVKPHDPATVDEVLRLLDEDHTGTVEFKEFLVLVFKVAQACFKTLSESAEGACGSQESGSLHSGASQELGEGQRSGTEVGRAGKGQHYEGSSHRQSQQGSRGQNRPGVQTQGQATGSAWVSSYDRQAESQSQERISPQIQLSGQTEQTQKAGEGKRNQTTEMRPERQPQTREQDRAHQTGETVTGSGTQTQAGATQTVEQDSSHQTGRTSKQTQEATNDQNRGTETHGQGRSQTSQAVTGGHAQIQAGTHTQTPTQTVEQDSSHQTGSTSTQTQESTNGQNRGTEIHGQGRSQTSQAVTGGHTQIQAGSHTETVEQDRSQTVSHGGAREQGQTQTQPGSGQRWMQVSNPEAGETVPGGQAQTGASTESGRQEWSSTHPRRCVTEGQGDRQPTVVGEEWVDDHSRETVILRLDQGNLHTSVSSAQGQDAAQSEEKRGITARELYSYLRSTKP", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MMTLQMDKTTASSSWERAKSIYDEIAELANKRQKAGNPPDPNLLQLLREKYEAIILESHTFSEQHNIEIPLWQLHYKRIEYFRLHINRVLASSTSTAAQNVKGPSKAEQIAQLKLQFRTFLSEATGFYHDMILKIRSKYGLPLGSFSEDQQSQNLSDKDGKELAEVQKALKSCHRCLIYLGDLARYKGMYAEGDSRSRQYASASSYYLQAASLWPASGNPHHQLAIVASYSRDEFVTTYRYFRSLAVEYPFPTARDNLIVAFDKNRQSYEKLFVPSKDSSKRLTGKGRGKGADISLKDATLVAGPEKDKVTIANEMLKAFSIRFVHLNGILFTRTSLETFFDVLASTSSSLREVISLGSAKELTLGIDTSDSALFIVRVVTMLIFSVHNSKKETEGQSYAEIVQRVEPARNSLTASFELLGLVIEKCVQLGDPSSSYFLPGVLVFVEWLACCPDIALGSDPDDRQTAVRNSFWNQFVVFFNQVLSLGPTFIDDVEDETCFSNMSLYDERETENRLALWEDYELRGFLPLLPAQTILNFSRKHSFGTEGPKEKKARIKRIFAAGKALTSVIKVDQNHVYFDSKKKKFLVGVKPADDFLDSHSSPPKACNALQDNQVMIDHNSPIMQLDQQIYMGEEDDDDEVIVFKPLVTEKRKEASDQIYVPSGGFRKSDQVTTMGDFKALSGSDVAFHENQILQARGNASIQVPASVGANLLGPLQPSTQSQAMHMQQVQTQVQVPASVGANLLGLLLTSTQSQAMHMQQVQTQAVNPQPAQSLAASRLQPIQSQVAQPLPSRVVHFQQTQAQVSHVSPAHSQSTSFGGGSKWSPEEAASLASSLSGFAQLGNGHVMRNEMQGNHGVSYYPAHSLPVHQSYNGNGMGGMPYSQSRTPEAVFPPKIDPVLSSGVVADGLGVQSSLAKKNPISRAFRHLGPPPGFNSVPAKLQKEPAPGSELSGNNHLPVDDYSWLDGYQAQSSRGVGLNSSLNYATSGKPEHLGSTGNGLNGPANFPFPGKQVPTSQVQADFPYFQNPQKDNFVDKNHQSTQLPEQYQGQSTWSSRHFV", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEETAKKPASATVSAKSSHDGGTDDLAHLFSTPEIKKVLNSDVAINALLSRLKQSLLTCEEFMKFIRKKYAFEEEHVQELSKQYKHFFNIQGSTNSSLKKMIHEVLGFDGKMAQVKQSYITALQKMYSEISSLLLTMTKLRKSVKENSKRLEKDVSDAIHSAEKAQSRYNSLCQDWDKLRMTDPTKTKLTLRGSKTTKEQEEELLRKIDNADLEYKQKVDHSNSLRNTFITKERPRIVQELKDLILEIDTAMTIQLQKYTIWTENLVLNTGVTISPLDSTKSMKSFAGSVSNERDLYSFLNKYNQTGKHSLLINKNLIPVSYKKHPSMNHGQKNKSPPKFAVDPSRNSIPKRMISTHNESPFLSSSSNTAAVPNANLNSATPSLNTNKQLPPTMASSISSTSNAAGAMSPSSSIVTSDTTSSITKTLDPGNNSPQIPEELINSLDSDRPISHIQTNNNMPPGVQKNFKTFGVPLESLIEFEQDMVPAIVRQCIYVIDKFGLDQEGIYRKSANVLDVSKLKEEIDKDPANISMILPSKPHSDSDIYLVGSLLKTFFASLPDSVLPKALSSEIKVCLQIEDPTTRKNFMHGLIYNLPDAQYWTLRALVFHLKRVLAHEAQNRMNLRALCIIWGPTIAPANPDDANDVNFQIMAMEVLLEVSDQAFEPE", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGLKEEFEEHAEKVNTLTELPSNEDLLILYGLYKQAKFGPVDTSRPGMFSMKERAKWDAWKAVEGKSSEEAMNDYITKVKQLLEVAASKAST", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVFQPIHEEEQVFVDYAIELVKKAGTLVRTAFDSPESKVDTKSSNTDLVTETDQAVEKLLIEGLSERFKGHRFIGEESVAGGAKIEWTDAPTWIIDPIDGTTNFVHRIPMIAICVGLAIKKQIRAGIVYNPITNELYLAQLGKGAFKNGFPIRASKNQLLSKGVLCQSLGLHNRVQFGDRWLDIAQSNMRNQVMAGVRGHRSFGSAAINMVMVAQGSCDGYVEYGIHAWDVAAPSIIVTEAGGVVTDPTGSPFDVMSRKVLCAGTAELGRDLSACLTHVDFEPEA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAPNTSRKQKVIKTLTVDVSSPTENGVFDPASYSKYLIDHIKVDGAVGNLGNAIEVTEDGSIVTVVSSAKFSGKYLKYLTKKYLKKNQLRDWIRFVSIRQNQYKLVFYQVTPEDADEEEDDE", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MYFLNQLIFQDVSVMSVDKREDMSRSFQKCLNLRYPIIQAPMAGVTTIEMAAKACIAGAIASLPLSHLDFRKVNDIEKLKLMVSQFRDQVADESLEGNLNLNFFCHDIVDKPTDLQTANWAKLYRKSMNVPIDMNEIKFDNGNVSFKAFEKENALQDFFQYLSDGFRPKIISFHFGHPSKSTIEYLQKIGILIFVTATSVREVRLLARLGINGIVCQGYEAGGHRGNFLVNDPKDDENLSTVQLVKRTVDELAEMKNKGLIHATPFVIAAGGIMDSKDISYMLSQQADAVQVGTAFLGCSESNASKNFSSPFTRETTTKMVNIISGKPARTISTPFIEKVIANFQGEELPPYGYMYSAFKQVRKKYPELANFILAGQGFQNVQSGITTDKKIETMGARLKIDGK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTLAPLDASKVKITTTQHASKPKPNSELVFGKSFTDHMLTAEWTAEKGWGTPEIKPYQNLSLDPSAVVFHYAFELFEGMKAYRTVDNKITMFRPDMNMKRMNKSAQRICLPTFDPEELITLIGKLIQQDKCLVPEGKGYSLYIRPTLIGTTAGLGVSTPDRALLYVICCPVGPYYKTGFKAVRLEATDYATRAWPGGCGDKKLGANYAPCVLPQLQAASRGYQQNLWLFGPNNNITEVGTMNAFFVFKDSKTGKKELVTAPLDGTILEGVTRDSILNLAKERLEPSEWTISERYFTIGEVTERSKNGELLEAFGSGTAAIVSPIKEIGWKGEQINIPLLPGEQTGPLAKEVAQWINGIQYGETEHGNWSRVVTDLN", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKPTGTDPRILSIAAEVAKSPEQNVPVILLKLKEIINITPLGSSELKKIKQDIYCYDLIQYCLLVLSQDYSRIQGGWTTISQLTQILSHCCVGLEPGEDAEEFYNELLPSAAENFLVLGRQLQTCFINAAKAEEKDELLHFFQIVTDSLFWLLGGHVELIQNVLQSDHFLHLLQADNVQIGSAVMMMLQNILQINSGDLLRIGRKALYSILDEVIFKLFSTPSPVIRSTATKLLLLMAESHQEILILLRQSTCYKGLRRLLSKQETGTEFSQELRQLVGLLSPMVYQEVEEQKLHQAACLIQAYWKGFQTRKRLKKLPSAVIALQRSFRSKRSKMLLEINRQKEEEDLKLQLQLQRQRAMRLSRELQLSMLEIVHPGQVEKHYREMEEKSALIIQKHWRGYRERKNFHQQRQSLIEYKAAVTLQRAALKFLAKCRKKKKLFAPWRGLQELTDARRVELKKRVDDYVRRHLGSPMSDVVSRELHAQAQERLQHYFMGRALEERAQQHREALIAQISTNVEQLMKAPSLKEAEGKEPELFLSRSRPVAAKAKQAHLTTLKHIQAPWWKKLGEESGDEIDVPKDELSIELENLFIGGTKPP", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAPIAVGDVVPDGTISFFDENDQLQTASVHSLAAGKKVILFGVPGAFTPTCSMKHVPGFIEKAEELKSKGVDEIICFSVNDPFVMKAWGKTYPENKHVKFVADGSGEYTHLLGLELDLKDKGLGVRSRRFALLLDDLKVTVANVESGGEFTVSSADDILKAL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNRRKTTSRGTSAAMKISHQPPRLLIVNIAVPSWVDICPNLCEALQNFFSIACSLMGPSRMSLFSLYTVQNQHECVLPFVQVRGNFIRLQACISELRMLQVEGCHRPPHALLPLAIEDGLQQFKQYSSHMASSAAQPWTSLEITVLTSRPGKEVVKELEEGLKDINLLSVRRLQVAEVTKGIQERSDSPSPTEEPSNDESSILEADIVLETLDNDVVSMEVFFKAWLHNSETDQENIHLLLTPQSLPPPSRAKDHPICLKCDLQERFLSPSLLPGTADGVSRIDDPKGDISTLYQMASLASASPYKLQVVKALKSSGICESLTYGLPFILRPTSCWQLDWDELETNQQHFHALCHCLLKRDWLLLARGEPLIHKHNQSLPACSFYVITPSHSLTLLVKLVATRELMLPGFFPLLSEDPPEDSLKIIESTLDSLDLGLTYNPLHVGSHLYSHLSSAHAKPQGRLYTSCASRGLRKGGQLQTNRVRAAVVPLPVAPAPRRALKMTAASKASSAAFLPSDSEEGEEERPSHT", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVNTEVYIVSAVRTPMGSFGGSFASLPATKLGSIAIKGALERVNIKPSDVDEVFMGNVVSANLGQNPARQCALGAGLPRSIVCTTVNKVCASGMKATILGAQTIMTGNAEIVVAGGTESMSNAPYYAPKNRFGAKYGNVELVDGLLRDGLSDAYDGLPMGNAAELCAEEHSIDRASQDAFAISSYKRAQNAQATKAFEQEIVPVEVPVGRGKPNKLVTEDEEPKNLNEDKLKSVRAVFKSNGTVTAANASTLNDGASALVLMSAAKVKELGLKPLAKIIGWGEAAQDPERFTTSPSLAIPKALKHAGIEASQVDYYEINEAFSVVAVANTKILGLDPERVNINGGGVAMGHPLGSSGSRIICTLAYILAQKDAKIGVAAVCNGGGGASSIVIERV", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAVKTGIAIGLNKGKKVTQMTPAPKISYKKGAASNRTKFVRSLVREIAGLSPYERRLIDLIRNSGEKRARKVAKKRLGSFTRAKAKVEEMNNIIAASRRH", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAQGLIEVERKFLPGPGTEERLQELGGTLEYRVTFRDTYYDTPELSLMQADHWLRRREDSGWELKCPGAAGVLGPHTEYKELTAEPTIVAQLCKVLRADGLGAGDVAAVLGPLGLQEVASFVTKRSAWKLVLLGADEEEPQLRVDLDTADFGYAVGEVEALVHEEAEVPTALEKIHRLSSMLGVPAQETAPAKLIVYLQRFRPQDYQRLLEVNSSRERPQETEDPDHCLG", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MATRSVSMKQTSQRAASPNKTQGAKKWSAVAARGSKIAQSATNDHRNVESIKVVPENRVRGGVAAKATDSSSNVTSLASSEENVSSVSGSAKSNNSQQRVWKTDVAISAEKRTETRQRELRRWMPDPEDAGVPLAGLEESTDNVEWDQFATNEKLFGVKSHFDEDLYTSRIDRSHPKYKEKEQEADRIAKEIEGTVTNNIHIAEERGLKVDDSGLDEEDLYSGVHRSIDVVRNYTRSNAYNKNNKDQKPKNHEAPHQHPQQKVVPPDDPAIVSHRHLALPRAPGPDSRAAERFFNARRKAGPLSRREKEGQIKEFMQFSQSLKIGSLDSKQPSSTKSVAEVKVADEKQLPDASSQATPADSKEPRKEEAEKPVTSATEVSSEKVEKVDGNTSSPSKEEEKPSTEPEKPSVVTQRKETTGTKLGTKLNAKAISFKPNVAAPVFTPGKFTIPSKPAPVNASRPMMPQQSNNSEASIPSTTPQSPSVVSNGENKPSSSPVFFNGPVSSEKEPILDNFNVFKNVGEEHQGAEQIDKPFSCPPTWNTGPNSLQQTIANSRPEGNSGSAKKAAAANPMIPSIVLPNSAMPSAMPMYPTPTMPYIPVGYPVPGYTPYMRNPSQHTSVAPSPNGTPTSGNSSTVGSPMIGYMAPQFIPPYAMPQFPPSGNGRGASAPATYFVPQMGGMMAYTMNGVPPMYGQYAPNNGMMNMHYPMYGDSRRSNSQRSFNSSNGKRSNVHKNNNASNTFSHSNASTSSSLNAAPNTTAKSSSQTAPPVSKGDATEKTEKDASANQEAKP", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MQDNSSHSRESASAGDDPLGIDKLTVDYDYLLYKMRDYVQSIQLDTTELCKKQNEVMVNGIIENTIDKNIAKFKELLEKCDTLENHYEMLNQLAIITDTFKERIAEAVNNYNSLKKGASKSK", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEDPQPLPQSELPLCDSLIIWLQTFKTASPCQDVKQLTNGVTMAQVLHQIDVAWFSESWLSRIKDDVGDNWRIKASNLKKVLHGITSYYHEFLGQQISEELIPDLNQITECADPVELGRLLQLILGCAVNCEKKQEHIKNIMTLEESVQHVVMTAIQELMSKEIVISPASDTVGELEQQLKRALEELQEAIAEKEELKQRCQELDMQVTTLQDEKNSLVSENEMMNEKLDQLDGSFDDPNTMVAKKYFHVQLQLEQLQEENYRLEAAKDDYRVHCEELEKQLIEFQHRNDELTSLAEETRALKDEIDVLRATSDKANKLESTVEVYRQKLQDLNDLRKQVKSLQETNMMYMHNTVSLEEELKKANAARAQLETYKRQVQDLHTKLSSESKRADTLAFEMKRLEEKHETLLKEKERLIEQRDTLKETNEELRCSKAQQDHLNQADASATKSYENLAAEIMPVEYREVFIRLQHENKMLRLQQEGTENERIEQLQEQLEQKHRKMNELETEQRLSKERIGELQQQIEDLQKSLQEQGSKSEGESSSKLKQKLEAHMEKLTEVHEELQKKQELIEDLQPDISQNAQKISELEAALQKKDEDMKAMEERYKMYLEKARNVIKTLDPKLNPASAEIMLLRKQLAEKERRIEILESECKVAKLRDYEEKLIVSAWYNKSLAFQKLGMESRLVSGASACKDSVAAAPARSFLAQQRHITNTRRNLSVKVPAAASD", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MYNEQVNSGKSIKEKERYLDALLKILKDNPVTLKEIGWDLPKGLLQFFSRKNINVNIHLVFSPLVSSVMECFNELAINGNPKECLLTACELVSTLHIVLTETGDSDEENEDLNDSNRNDASNITDELSVITPEIGHYMAKNTVEFIPNLKIYVLFEFMSLLLKRVDTLYPSKFLAMVTSAIIKYVTTNVQAMDDPHFILRIVYNFCTNYSPAQPSASLTDGISTNDLEKIHDDESALQKKLLANLSVFVISNCLKNHPGNIDKIYFKTLMHKKTDENEIDASVLQICHQYYEYVTSLDVHMKELLEKCLVESRSIYNSLLMNPAASTPEFKEEINQLVYEVSYAYQIKKLADEKNLELDQYGVVILSAIHYSKNGTHLLPQIDIQSAIYLYLRCTTASLFSEIYENKFLESSVRYWLWVSTTETSTEKIKCALQELPGHITTAFLQMLLMKTCNESNNDTKLTEITLLRRLLYLMPESTSFTFIFETLLHCPYITAKIAVLDILRDMMIRSPEAANRDETVGLIEQQNPGNTANSVPIMPTLPPRPYITINEDRMASIHSIALICFSAAKQKKRTQGDLLLVLTYMKFFVSLRNKWDLGLLTLINKEISESFQGEGEPELAFINISNNTLGEYIEEMNIRS", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MYPKIVARCMIVITKNRESERNVLICYRNVTNVYFSLRILLNRQNEGNNCKYKLVFSLYRVSFRRMRLNDRQLSCVESVTNGIGLLCLKQADQVCLFTPTVDSHL", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEDSRETSPSSNNSSEELSSTLQLSKGMSIFLDILRRADKNDDGKLSFEEFKAYFADGVLSGEELHELFHTIDTHNTNNLDTEELCEYFSQHLGEYENVLAALEDLNLSILKAMGKTKKDYQEASNLEQFVTRFLLKETLNQLQSLQNSLECAMETTEEQTRQERQGPSKPEVLSIQWPGKRSSRRVQRHNSFSPNSPQFNVSSPALLEEDNQWMTQINRLQKLIDRLEKKDLKLEPLEEEIIEENTKPHIMLVQRQMSVTEEDLEEFQLALKHYVESASAQSGCLRISIQKLSNESRYMIYEFWENSSVWNRHLQTNYSKTFQRSNVDFLETPELTSTMLVPASWWILNNN", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTSQLEKEAREWIEETLHTKLNAQLDLLDQLQSGVILCRICKEALGANIRYKESNMPFVQMENISAFINYAQQVVHVPSQDMFQTSDLFERRNDEQVLRSIHSFSRYAAKMFPGKVRGLGPKLAEKKPRVFSAQQQREFREGVNSLQYGSFDMPTQGTEKIAFSRRRDPTGNMY", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDNKKGGLFKIAKKLRNGTKVWARAGYFKCKLLKITTTGAPCLFAGRLKRRYDATFQSMVEIFRQEIANDVTCGRVETALLYFVNKFFRSVGCFGKGKEREVKTDRQRDTGSGEQRIRLERDTETLYQSQLRINQVNGWMSGWMQISLILQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLTKPGKKLDSSESTHHTTSSNYPPLDIVHQTPQPRKEMQQKPLFDPKKMDNLIKPEPAGFTNHHRPNPSPKIPSSPGSNMTESQSNLNTKPNNNNSNNNSNMSSRSNSIESTSSNPSKPHTGGDIRWDAVNTLTSKGVQLGISDFRLLKRLGYGDIGSVYLVELRGTITYFAMKVMDKASLASRNKLLRAQTEREILSQLDHPFLPTLYSHFETDKFYCLVMEFCGGGNLYSLRQKQPNKCFTEDAARFFASEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCSVSPTLVKSSSVHAAGGGSGSSRPVGLIDEDAAVQGCIQPSTFFPRILQSSKKNRKAKSDFGLFVNGSMPELMAEPTNVKSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFIYELLYGATPFKGQGNRATLHNVIGQALRFPEVPHVSSAARDLIKGLLVKEPQKRIAYKRGATEIKQHPFFEGVNWALIRSATPPHVPEPVDFSCYASKDKESMAAVDGGGKKNNNGAGGGCSTGGGDNKPNGDCNDPDYIDFEYF", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRNCLPMELNLRKGDKVWVEDKDLAWIAADVLDSFDNKLHVETSTGKKVFVSPEKLFRRDPDDEEHNGVDDMTKLTYLHEAGVLYNLQRRYALNDIYTYTGSILIAVNPFKKLPHLYNGHMMEQYMGAPFGELSPHVFAVSDVAYRAMIDDSRSQSILVSGESGAGKTETTKLIMQYLTFVGGRATDDDRSVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEIQFDTNGRISGAAIRTYLLERSRVVRITDPERNYHCFYQLCASGNDAEKYKLSNPRQFHYLNQSKTYELEGVSSAEEYKNTRRAMDIVGISQDEQEGIFRTLAAILHLGNVEFSSGREHDSSVVKDPESRHHLQMAADLFKCDANLLLASLCTRSILTREGIIIKALDPNAAVTSRDTLAKTVYAHLFDWLVDKINKSVGQDPESRFQIGVLDIYGFECFKNNSFEQFCINFANEKLQQHFNEHVFKMEQDEYRKEEINWSYIEFIDNQDVLDLIEKKPIGVIALLDEACMFPRSTHESFSMKLFQNFRFHPRLEKPKFSETDFTLSHYAGKVTYQTEAFLDKNRDYTIVEHCNLLSSSKCPFVAGIFPSAPEESTRSSYKFSSVSSRFKQQLQALMETLSKTEPHYVRCVKPNSLNRPQKFESLSVLHQLRCGGVLEAVRISLAGYPTRRNYSDFVDRFGLLAPEFMDESNDEQALTEKILSKLGLGNYQLGRTKVFLRAGQIGILDSRRAEVLDASARLIQRRLRTFVTHQNFISARASAISIQAYCRGCLSRNAYATRRNAAAAVLVQKHVRRWLSRCAFVKLVSAAIVLQSCIRADSTRLKFSHQKEHRAASLIQAHWRIHKFRSAFRHRQSSIIAIQCRWRQKLAKREFRKLKQVANEAGALRLAKTKLEKRLEDLEWRLQLEKRLRTSGEEAKSSEISKLQKTLESFSLKLDAARLATINECNKNAVLEKQLDISMKEKSAVERELNGMVELKKDNALLKNSMNSLEKKNRVLEKELLNAKTNCNNTLQKLKEAEKRCSELQTSVQSLEEKLSHLENENQVLMQKTLITSPERIGQILGEKHSSAVVPAQNDRRSVFETPTPSKHIMPFSHSLSESRRSKLTAERNLENYELLSRCIKENLGFNDDKPLAACVIYKCLLHWRAFESESTAIFNIIIEGINEALKGGDENGVLPYWLSNASALLCLLQRNLRSNSFLNASAQRSGRAAYGVKSPFKLHGPDDGASHIEARYPALLFKQQLTACVEKIYGLIRDNLKKELSPLLGSCIQAPKASRGIAGKSRSPGGVPQQSPSSQWESILKFLDSLMSRLRENHVPSFFIRKLVTQVFSFINLSLFNSLLLRRECCTFSNGEYVKSGISELEKWIANAKEEFAGTSWHELNYIRQAVGFLVIHQKKKKSLDEIRQDLCPVLTIRQIYRISTMYWDDKYGTQSVSSEVVSQMRVLVDKDNQKQTSNSFLLDDDMSIPFSAEDIDKAIPVLDPSEIEPPKFVSEYTCAQSLVKKPSIASTSKQII", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDQPQFSGAPRFLTRPKAFVVSVGKDATLSCQIVGNPTPQVSWEKDQQPVAAGARFRLAQDGDLYRLTILDLALGDSGQYVCRARNAIGEAFAAVGLQVDAEAACAEQAPHFLLRPTSIRVREGSEATFRCRVGGSPRPAVSWSKDGRRLGEPDGPRVRVEELGEASALRIRAARPRDGGTYEVRAENPLGAASAAAALVVDSDAADTASRPGTSTAALLAHLQRRREAMRAEGAPASPPSTGTRTCTVTEGKHARLSCYVTGEPKPETVWKKDGQLVTEGRRHVVYEDAQENFVLKILFCKQSDRGLYTCTASNLVGQTYSSVLVVVREPAVPFKKRLQDLEVREKESATFLCEVPQPSTEAAWFKEETRLWASAKYGIEEEGTERRLTVRNVSADDDAVYICETPEGSRTVAELAVQGNLLRKLPRKTAVRVGDTAMFCVELAVPVGPVHWLRNQEEVVAGGRVAISAEGTRHTLTISQCCLEDVGQVAFMAGDCQTSTQFCVSAPRKPPLQPPVDPVVKARMESSVILSWSPPPHGERPVTIDGYLVEKKKLGTYTWIRCHEAEWVATPELTVADVAEEGNFQFRVSALNSFGQSPYLEFPGTVHLAPKLAVRTPLKAVQAVEGGEVTFSVDLTVASAGEWFLDGQALKASSVYEIHCDRTRHTLTIREVPASLHGAQLKFVANGIESSIRMEVRAAPGLTANKPPAAAAREVLARLHEEAQLLAELSDQAAAVTWLKDGRTLSPGPKYEVQASAGRRVLLVRDVARDDAGLYECVSRGGRIAYQLSVQGLARFLHKDMAGSCVDAVAGGPAQFECETSEAHVHVHWYKDGMELGHSGERFLQEDVGTRHRLVAATVTRQDEGTYSCRVGEDSVDFRLRVSEPKVVFAKEQLARRKLQAEAGASATLSCEVAQAQTEVTWYKDGKKLSSSSKVCMEATGCTRRLVVQQAGQADAGEYSCEAGGQRLSFHLDVKEPKVVFAKDQVAHSEVQAEAGASATLSCEVAQAQTEVMWYKDGKKLSSSLKVHVEAKGCRRRLVVQQAGKTDAGDYSCEARGQRVSFRLHITEPKMMFAKEQSVHNEVQAEAGASAMLSCEVAQAQTEVTWYKDGKKLSSSSKVGMEVKGCTRRLVLPQAGKADAGEYSCEAGGQRVSFHLHITEPKGVFAKEQSVHNEVQAEAGTTAMLSCEVAQPQTEVTWYKDGKKLSSSSKVRMEVKGCTRRLVVQQVGKADAGEYSCEAGGQRVSFQLHITEPKAVFAKEQLVHNEVRTEAGASATLSCEVAQAQTEVTWYKDGKKLSSSSKVRIEAAGCMRQLVVQQAGQADAGEYTCEAGGQRLSFHLDVSEPKAVFAKEQLAHRKVQAEAGAIATLSCEVAQAQTEVTWYKDGKKLSSSSKVRMEAVGCTRRLVVQQACQADTGEYSCEAGGQRLSFSLDVAEPKVVFAKEQPVHREVQAQAGASTTLSCEVAQAQTEVMWYKDGKKLSFSSKVRMEAVGCTRRLVVQQAGQAVAGEYSCEAGSQRLSFHLHVAEPKAVFAKEQPASREVQAEAGTSATLSCEVAQAQTEVTWYKDGKKLSSSSKVRMEAVGCTRRLVVQEAGQADAGEYSCKAGDQRLSFHLHVAEPKVVFAKEQPAHREVQAEAGASATLSCEVAQAQTEVTWYKDGKKLSSSSKVRVEAVGCTRRLVVQQAGQAEAGEYSCEAGGQQLSFRLQVAELEPQISERPCRREPLVVKEHEDIILTATLATPSAATVTWLKDGVEIRRSKRHETASQGDTHTLTVHGAQVLDSAIYSCRVGAEGQDFPVQVEEVAAKFCRLLEPVCGELGGTVTLACELSPACAEVVWRCGNTQLRVGKRFQMVAEGPVRSLTVLGLRAEDAGEYVCESRDDHTSAQLTVSVPRVVKFMSGLSTVVAEEGGEATFQCVVSPSDVAVVWFRDGALLQPSEKFAISQSGASHSLTISDLVLEDAGQITVEAEGASSSAALRVREAPVLFKKKLEPQTVEERSSVTLEVELTRPWPELRWTRNATALAPGKNVEIHAEGARHRLVLHNVGFADRGFFGCETPDDKTQAKLTVEMRQVRLVRGLQAVEAREQGTATMEVQLSHADVDGSWTRDGLRFQQGPTCHLAVRGPMHTLTLSGLRPEDSGLMVFKAEGVHTSARLVVTELPVSFSRPLQDVVTTEKEKVTLECELSRPNVDVRWLKDGVELRAGKTMAIAAQGACRSLTIYRCEFADQGVYVCDAHDAQSSASVKVQGRTYTLIYRRVLAEDAGEIQFVAENAESRAQLRVKELPVTLVRPLRDKIAMEKHRGVLECQVSRASAQVRWFKGSQELQPGPKYELVSDGLYRKLIISDVHAEDEDTYTCDAGDVKTSAQFFVEEQSITIVRGLQDVTVMEPAPAWFECETSIPSVRPPKWLLGKTVLQAGGNVGLEQEGTVHRLMLRRTCSTMTGPVHFTVGKSRSSARLVVSDIPVVLTRPLEPKTGRELQSVVLSCDFRPAPKAVQWYKDDTPLSPSEKFKMSLEGQMAELRILRLMPADAGVYRCQAGSAHSSTEVTVEAREVTVTGPLQDAEATEEGWASFSCELSHEDEEVEWSLNGMPLYNDSFHEISHKGRRHTLVLKSIQRADAGIVRASSLKVSTSARLEVRVKPVVFLKALDDLSAEERGTLALQCEVSDPEAHVVWRKDGVQLGPSDKYDFLHTAGTRGLVVHDVSPEDAGLYTCHVGSEETRARVRVHDLHVGITKRLKTMEVLEGESCSFECVLSHESASDPAMWTVGGKTVGSSSRFQATRQGRKYILVVREAAPSDAGEVVFSVRGLTSKASLIVRERPAAIIKPLEDQWVAPGEDVELRCELSRAGTPVHWLKDRKAIRKSQKYDVVCEGTMAMLVIRGASLKDAGEYTCEVEASKSTASLHVEEKANCFTEELTNLQVEEKGTAVFTCKTEHPAATVTWRKGLLELRASGKHQPSQEGLTLRLTISALEKADSDTYTCDIGQAQSRAQLLVQGRRVHIIEDLEDVDVQEGSSATFRCRISPANYEPVHWFLDKTPLHANELNEIDAQPGGYHVLTLRQLALKDSGTIYFEAGDQRASAALRVTEKPSVFSRELTDATITEGEDLTLVCETSTCDIPVCWTKDGKTLRGSARCQLSHEGHRAQLLITGATLQDSGRYKCEAGGACSSSIVRVHARPVRFQEALKDLEVLEGGAATLRCVLSSVAAPVKWCYGNNVLRPGDKYSLRQEGAMLELVVRNLRPQDSGRYSCSFGDQTTSATLTVTALPAQFIGKLRNKEATEGATATLRCELSKAAPVEWRKGSETLRDGDRYCLRQDGAMCELQIRGLAMVDAAEYSCVCGEERTSASLTIRPMPAHFIGRLRHQESIEGATATLRCELSKAAPVEWRKGRESLRDGDRHSLRQDGAVCELQICGLAVADAGEYSCVCGEERTSATLTVKALPAKFTEGLRNEEAVEGATAMLWCELSKVAPVEWRKGPENLRDGDRYILRQEGTRCELQICGLAMADAGEYLCVCGQERTSATLTIRALPARFIEDVKNQEAREGATAVLQCELNSAAPVEWRKGSETLRDGDRYSLRQDGTKCELQIRGLAMADTGEYSCVCGQERTSAMLTVRALPIKFTEGLRNEEATEGATAVLRCELSKMAPVEWWKGHETLRDGDRHSLRQDGARCELQIRGLVAEDAGEYLCMCGKERTSAMLTVRAMPSKFIEGLRNEEATEGDTATLWCELSKAAPVEWRKGHETLRDGDRHSLRQDGSRCELQIRGLAVVDAGEYSCVCGQERTSATLTVRALPARFIEDVKNQEAREGATAVLQCELSKAAPVEWRKGSETLRGGDRYSLRQDGTRCELQIHGLSVADTGEYSCVCGQERTSATLTVRAPQPVFREPLQSLQAEEGSTATLQCELSEPTATVVWSKGGLQLQANGRREPRLQGCTAELVLQDLQREDTGEYTCTCGSQATSATLTVTAAPVRFLRELQHQEVDEGGTAHLCCELSRAGASVEWRKGSLQLFPCAKYQMVQDGAAAELLVRGVEQEDAGDYTCDTGHTQSMASLSVRVPRPKFKTRLQSLEQETGDIARLCCQLSDAESGAVVQWLKEGVELHAGPKYEMRSQGATRELLIHQLEAKDTGEYACVTGGQKTAASLRVTEPEVTIVRGLVDAEVTADEDVEFSCEVSRAGATGVQWCLQGLPLQSNEVTEVAVRDGRIHTLRLKGVTPEDAGTVSFHLGNHASSAQLTVRAPEVTILEPLQDVQLSEGQDASFQCRLSRASGQEARWALGGVPLQANEMNDITVEQGTLHLLTLHKVTLEDAGTVSFHVGTCSSEAQLKVTAKNTVVRGLENVEALEGGEALFECQLSQPEVAAHTWLLDDEPVHTSENAEVVFFENGLRHLLLLKNLRPQDSCRVTFLAGDMVTSAFLTVRGWRLEILEPLKNAAVRAGAQACFTCTLSEAVPVGEASWYINGAAVQPDDSDWTVTADGSHHALLLRSAQPHHAGEVTFACRDAVASARLTVLGLPDPPEDAEVVARSSHTVTLSWAAPMSDGGGGLCGYRVEVKEGATGQWRLCHELVPGPECVVDGLAPGETYRFRVAAVGPVGAGEPVHLPQTVRLAEPPKPVPPQPSAPESRQVAAGEDVSLELEVVAEAGEVIWHKGMERIQPGGRFEVVSQGRQQMLVIKGFTAEDQGEYHCGLAQGSICPAAATFQVALSPASVDEAPQPSLPPEAAQEGDLHLLWEALARKRRMSREPTLDSISELPEEDGRSQRLPQEAEEVAPDLSEGYSTADELARTGDADLSHTSSDDESRAGTPSLVTYLKKAGRPGTSPLASKVGAPAAPSVKPQQQQEPLAAVRPPLGDLSTKDLGDPSMDKAAVKIQAAFKGYKVRKEMKQQEGPMFSHTFGDTEAQVGDALRLECVVASKADVRARWLKDGVELTDGRHHHIDQLGDGTCSLLITGLDRADAGCYTCQVSNKFGQVTHSACVVVSGSESEAESSSGGELDDAFRRAARRLHRLFRTKSPAEVSDEELFLSADEGPAEPEEPADWQTYREDEHFICIRFEALTEARQAVTRFQEMFATLGIGVEIKLVEQGPRRVEMCISKETPAPVVPPEPLPSLLTSDAAPVFLTELQNQEVQDGYPVSFDCVVTGQPMPSVRWFKDGKLLEEDDHYMINEDQQGGHQLIITAVVPADMGVYRCLAENSMGVSSTKAELRVDLTSTDYDTAADATESSSYFSAQGYLSSREQEGTESTTDEGQLPQVVEELRDLQVAPGTRLAKFQLKVKGYPAPRLYWFKDGQPLTASAHIRMTDKKILHTLEIISVTREDSGQYAAYISNAMGAAYSSARLLVRGPDEPEEKPASDVHEQLVPPRMLERFTPKKVKKGSSITFSVKVEGRPVPTVHWLREEAERGVLWIGPDTPGYTVASSAQQHSLVLLDVGRQHQGTYTCIASNAAGQALCSASLHVSGLPKVEEQEKVKEALISTFLQGTTQAISAQGLETASFADLGGQRKEEPLAAKEALGHLSLAEVGTEEFLQKLTSQITEMVSAKITQAKLQVPGGDSDEDSKTPSASPRHGRSRPSSSIQESSSESEDGDARGEIFDIYVVTADYLPLGAEQDAITLREGQYVEVLDAAHPLRWLVRTKPTKSSPSRQGWVSPAYLDRRLKLSPEWGAAEAPEFPGEAVSEDEYKARLSSVIQELLSSEQAFVEELQFLQSHHLQHLERCPHVPIAVAGQKAVIFRNVRDIGRFHSSFLQELQQCDTDDDVAMCFIKNQAAFEQYLEFLVGRVQAESVVVSTAIQEFYKKYAEEALLAGDPSQPPPPPLQHYLEQPVERVQRYQALLKELIRNKARNRQNCALLEQAYAVVSALPQRAENKLHVSLMENYPGTLQALGEPIRQGHFIVWEGAPGARMPWKGHNRHVFLFRNHLVICKPRRDSRTDTVSYVFRNMMKLSSIDLNDQVEGDDRAFEVWQEREDSVRKYLLQARTAIIKSSWVKEICGIQQRLALPVWRPPDFEEELADCTAELGETVKLACRVTGTPKPVISWYKDGKAVQVDPHHILIEDPDGSCALILDSLTGVDSGQYMCFAASAAGNCSTLGKILVQVPPRFVNKVRASPFVEGEDAQFTCTIEGAPYPQIRWYKDGALLTTGNKFQTLSEPRSGLLVLVIRAASKEDLGLYECELVNRLGSARASAELRIQSPMLQAQEQCHREQLVAAVEVTEQETKVPKKTVIIEETITTVVKSPRGQRRSPSKSPSRSPSRCSASPLRPGLLAPDLLYLPGAGQPRRPEAEPGQKPVVPTLYVTEAEAHSPALPGLSGPQPKWVEVEETIEVRVKKMGPQGVSPTTEVPRSSSGHLFTLPGATPGGDPNSNNSNNKLLAQEAWAQGTAMVGVREPLVFRVDARGSVDWAASGMGSLEEEGTMEEAGEEEGEDGDAFVTEESQDTHSLGDRDPKILTHNGRMLTLADLEDYVPGEGETFHCGGPGPGAPDDPPCEVSVIQREIGEPTVGQPVLLSVGHALGPRGPLGLFRPEPRGASPPGPQVRSLEGTSFLLREAPARPVGSAPWTQSFCTRIRRSADSGQSSFTTELSTQTVNFGTVGETVTLHICPDRDGDEAAQP", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MQAGKPVLYSYFRSSCSWRVRIALALKGIDYEIVPINLIKDGGQQFSEEFQTLNPMKQVPALKIDGITIGQSLAILEYLEETRPIPRLLPQDPQKRAIVRMISDLIASGIQPLQNLSVLKQVGQENQMPWAQKAITSGFNALEKILQSTAGKYCVGDEVSMADVCLAPQVANAERFKVDLSPYPTISHINKALLALEAFQVSHPCRQPDTPAELRT", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGRARKPPPALHRHCEGCFNRHCHVPVEPSVSCLVISCHLLCGATFHMCKESEHTLLCPLEQVPCLNSEYGCPLSMARHKLAKHLQVCPASVVCCSMEWIRWPNVDSETFLHENIMKETPSEECLDTALALQDQKVLFRSLKMVELFPETRDATEEEPDMNGDTSWEETGGAVGGVDARLAPNSCLPATSRQMMELSQEERDALAKTKEGMDLDKFGKWESMFSKEHAASVLTGSLGKSEDKNGDVAGKEQCSSNVRIGDAEGSAERRGPQESQKSQELPATMEMTGLAPWQDGVLERLKTAVDAKDYNMYLVHNGRMLIHFGQMPACTPKERDFVYGNLEAQEVKTVYTFKIPVSYCGKRARLGDAMLKCRPSEHKAVDTSDLGISVEDLPKSDLIKTTLQCALERELKGHVISESRSIDGLFMDLATQTYNFEPEQFSSETVLADLLGTAQPGGLHVELHSECVTRRHNKSSSAFTFTCNKFFRRDEFPLHFKNVHTDIQSSLDGWFQHRCPLAYLGCTFVQNHFRPPGQKAKVIYSQELKTFAIKPEVAPELSEKWKSDHLSGRDGKSLNSLTSLPLEVLQYIAGFLDSISLSQLSQVSVLMRNICATLLQERGMVLSQWKKKRYSHGGTSWKVHNQIWQFSSLFSKINSWEFNDVTSMSEHLKTCPFNIVERKTDPIRLTSMCQPQEKARESLVSTFRARPRGRHF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MATTYEEFAAKLDRLDAEFAKKMEEQNKRFFADKPDEATLSPEMKEHYEKFEKMIQEHTDKFNKKMREHSEHFKAKFAELLEQQKNAQFPGK", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTSDIAMQLIAILEKTVSPDKNELLSAKNFLEQAAASNLPEFLKALSEILVNTANSAVARMAAGLQLKNHLTSKDEKVSQQYQDRWHQFPSEIRELIKNNILAALGTENTRPSCAAQCVAYVAVIELPINRWPMLIQTLVNKVVSEGSSEMHRESALEAIGYICQDIRFGVMENQSNDVLTAIIHGMRKVEPSNHVRLAATTALHNSLEFTKSNFEKDMERNFIMEVVCEATQCQDSQICVAALQCLVKIMTLYYQYMEPYMAQALFPITLAAMKSDNDAVALQGIEFWSNVCDEEIDLAIESQEATDQGRAPQRVSKHYARGALQFLTPVLVEKLTKQDECDDEDTWSPAKAASVCLMVLATCCEDEIVPHVLPFIKENIESPNWRFRDAAVMTFGSVLNGLETNTLKPLVEQAMPTLIRLMYDSSVIVRDTIAWTFGRICDIIPEAAINETYLQTLLECFVKSLKSEPRVAANVCWAFIGLSDAAWEAAVTNDGETPETYALSPYFEYIITQLLETTDRSDGAQANLRCAAYQALMDMIKNSPLDCYLVVQRTTLVILERLNQVMQMETQINNHSDRHQFNDLQSLLCATLQSVLRKVHEQDAPQISDAIMTALLTMFNSSAGKSGVVQEEAFLAVSTLVELLGAQFAKYMPAFKDFLVMGLKNFQEYQVCCAAVGLTGDIFRALKDLMVPYSNEIMTVLINNLTEPTIHRTVKPQVLSAFGDIALSIGNHFLPYLSMVLDMLRVASNLQTDANNFDMNEYINELRESILEAYTGIIQGLKGVDQTAHTDVMHMEPHLMHIISFIKRIAQEGDVSDSMLASAAGFIGDLCTSFGPRLYPLLDDAIITQFLAEGKRSKAQRTKMLCTWAVKEIKKINTQVITQ", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKSVIFTEEKNLQRQNPLQKSEQQRRNFEMPSPPTTTSLSVTQTINGSHSFTIKGYSLAKGIGIGKHIASDTFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASDGTDVRALFELSLLDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRLMLETSDFLKDDCLKINCTVGVVVSEIDCPRLHSIHVPASDIGSHFGMLLENEDGSDITFNVSGEKFRAHRLVLAARSPVFESEFLDVTGEEDRDIEVTDMEPKVFKALLHYIYKDALIEDAESSSSSGSSVGPSASDTLAAKLLGAADKYKLPRLSLMCESVLCKDISVDSVANILALADRYNASALKSVCLKFAAENLIAVMRSDGFDYLREHCPSLQSELLKTVAGCEEELSGGGGKTRSVWGQFSDGGAETNGRQAQTWGDINGGAERSQSVWVEVVNANGSGRNNNDNNNSDDPMAELED", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSNQSSSGSNTSDLDEESASSLVSSAASPFIDSDLETPRPNISRASTGQLAEDGDTSSQHEDSSEELKRQEVRGMRRHSDLSIDAKLGSSEGSTASSALPLTPRSPSNASWLLVRGGLLDSPILDINSVTQKSNLLNELKQVRSKLAALEHENGILSLQLSSSNKKDKNTSSVTTLTSEEDVSYFQKKLTNMESNFSAKQSEAYDLSRQLLTVTEKLDKKEKDYEKIKEDVSSIKASLAEEQASNKSLRGEQERLEKLLVSSNKTVSTLRQTENSLRAECKTLQEKLEKCAINEEDSKLLEELKHNVANYSDAIVHKDKLIEDLSTRISEFDNLKSERDTLSIKNEKLEKLLRNTIGSLKDSRTSNSQLEEEMVELKESNRTIHSQLTDAESKLSSFEQENKSLKGSIDEYQNNLSSKDKMVKQVSSQLEEARSSLAHATGKLAEINSERDFQNKKIKDFEKIEQDLRACLNSSSNELKEKSALIDKKDQELNNLREQIKEQKKVSESTQSSLQSLQRDILNEKKKHEVYESQLNELKGELQTEISNSEHLSSQLSTLAAEKEAAVATNNELSESKNSLQTLCNAFQEKLAKSVMQLKENEQNFSSLDTSFKKLNESHQELENNHQTITKQLKDTSSKLQQLQLERANFEQKESTLSDENNDLRTKLLKLEESNKSLIKKQEDVDSLEKNIQTLKEDLRKSEEALRFSKLEAKNLREVIDNLKGKHETLEAQRNDLHSSLSDAKNTNAILSSELTKSSEDVKRLTANVETLTQDSKAMKQSFTSLVNSYQSISNLYHELRDDHVNMQSQNNTLLESESKLKTDCENLTQQNMTLIDNVQKLMHKHVNQESKVSELKEVNGKLSLDLKNLRSSLNVAISDNDQILTQLAELSKNYDSLEQESAQLNSGLKSLEAEKQLLHTENEELHIRLDKLTGKLKIEESKSSDLGKKLTARQEEISNLKEENMSQSQAITSVKSKLDETLSKSSKLEADIEHLKNKVSEVEVERNALLASNERLMDDLKNNGENIASLQTEIEKKRAENDDLQSKLSVVSSEYENLLLISSQTNKSLEDKTNQLKYIEKNVQKLLDEKDQRNVELEELTSKYGKLGEENAQIKDELLALRKKSKKQHDLCANFVDDLKEKSDALEQLTNEKNELIVSLEQSNSNNEALVEERSDLANRLSDMKKSLSDSDNVISVIRSDLVRVNDELDTLKKDKDSLSTQYSEVCQDRDDLLDSLKGCEESFNKYAVSLRELCTKSEIDVPVSEILDDNFVFNAGNFSELSRLTVLSLENYLDAFNQVNFKKMELDNRLTTTDAEFTKVVADLEKLQHEHDDWLIQRGDLEKALKDSEKNFLRKEAEMTENIHSLEEGKEETKKEIAELSSRLEDNQLATNKLKNQLDHLNQEIRLKEDVLKEKESLIISLEESLSNQRQKESSLLDAKNELEHMLDDTSRKNSSLMEKIESINSSLDDKSFELASAVEKLGALQKLHSESLSLMENIKSQLQEAKEKIQVDESTIQELDHEITASKNNYEGKLNDKDSIIRDLSENIEQLNNLLAEEKSAVKRLSTEKESEILQFNSRLADLEYHKSQVESELGRSKLKLASTTEELQLAENERLSLTTRMLDLQNQVKDLSNIKDSLSEDLRTLRSLEDSVASLQKECKIKSNTVESLQDVLTSVQARNAELEDEVSRSVDKIRRRDDRCEHLSGKLKKLHSQLEEQHETFFRAEQQRMTQLGFLKETVKKQEKLLKKLNLRQEQLIPRSSILVYESYIRDIEKEIIVLQERLNGIELSQQLPKGYFGYFFKTNRVEMEVLDSFKQQVAKLQFLAGAEFIVKFKEDLEKCAAEEKEKQATFDNYSEKVENLGKSIEALYFALNREISFRKSLALSKSAYHNLLVRDSPKFNPDSQITYSIPVTNTKQSLLRSAILCVISLQRLRLLGQRHSFCEEVIENLSCV", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLQIGEDVDYLLIPREVRLAGGVWRVISKPATKEAEFRERLTQFLEEEGRTLEDVARIMEKSTPHPPQPPKKPKEPRVRRRVQQMVTPPPRLVVGTYDSSNASDSEFSDFETSRDKSRQGPRRGKKVRKMPVSYLGSKFLGSDLESEDDEELVEAFLRRQEKQPSAPPARRRVNLPVPMFEDNLGPQLSKADRWREYVSQVSWGKLKRRVKGWAPRAGPGVGEARLASTAVESAGVSSAPEGTSPGDRLGNAGDVCVPQASPRRWRPKINWASFRRRRKEQTAPTGQGADIEADQGGEAADSQREEAIADQREGAAGNQRAGAPADQGAEAADNQREEAADNQRAGAPAEEGAEAADNQREEAADNQRAEAPADQRSQGTDNHREEAADNQRAEAPADQGSEVTDNQREEAVHDQRERAPAVQGADNQRAQARAGQRAEAAHNQRAGAPGIQEAEVSAAQGTTGTAPGARARKQVKTVRFQTPGRFSWFCKRRRAFWHTPRLPTLPKRVPRAGEARNLRVLRAEARAEAEQGEQEDQL", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDTSHEIHDKIPDTLREQQQHLRQKESEGCITTLKDLNVPETKKLSSVLHGRKASTYLRIFRDDECLADNNNGVDSNNGGSVTCADKITRSEATPKSVPEGLQVSEKKNNPDTLSSSLSSFILSNHEEPAIKPNKHVAHRNNITETGQGSGEDIAKQQSHQPQVLHHQTSLKPIQNVDEGCISPKSTYQESLHGISEDLTLKPVSSATYYPHKSKADSGYEEKDKMENDIDTIQPATINCASGIATLPSSYNRHTFKVKTYSTLSQSLRQENVNNRSNEKKPQQFVPHSESIKEKPNTFEQDKEGEQADEEEDEGDNEHREYPLAVELKPFTNRVGGHTAIFRFSKRAVCKALVNRENRWYENIELCHKELLQFMPRYIGVLNVRQHFQSKDDFLSDLDQENNGKNDTSNENKDIEVNHNNNDDIALNTEPTGTPLTHIHSFPLEHSSRQVLEKEHPEIESVHPHVKRSLSSSNQPSLLPEVVLNDNRHIIPESLWYKYSDSPNSAPNDSYFSSSSSHNSCSFGERGNTNKLKRRDSGSTMINTELKNLVIREVFAPKCFRRKRNSNTTTMGNHNARLGSSPSFLTQKSRASSHDASNTSMKTLGDSSSQASLQMDDSKVNPNLQDPFLKKSLHEKISNALDGSHSVMDLKQFHKNEQIKHKNSFCNSLSPILTATNSRDDGEFATSPNYISNAQDGVFDMDEDTGNETINMDNHGCHLDSGKNMIIKSLAYNVSNDYSHHDIESITFEETSHTIVSKFILLEDLTRNMNKPCALDLKMGTRQYGVDAKRAKQLSQRAKCLKTTSRRLGVRICGLKVWNKDYYITRDKYFGRRVKVGWQFARVLARFLYDGKTIESLIRQIPRLIKQLDTLYSEIFNLKGYRLYGASLLLMYDGDANKSNSKRKKAANVKVNLIDFARCVTKEDAMECMDKFRIPPKSPNIEDKGFLRGVKSLRFYLLLIWNYLTSDMPLIFDEVEMNDMISEEADSNSFTSATGSKINFNSKWDWLDEFDKEDEEMYNDPNSKLRQKWRKYELIFDAEPRYNDDAQVSD", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSAEEMVQIRLEDRCYPVSKRKLIEQSDYFRALYRSGMREALSQEAGGPEVQQLRGLSAPGLRLVLDFINAGGAREGWLLGPRGEKGGGVDEDEEMDEVSLLSELVEAASFLQVTSLLQLLLSQVRLNNCLEMYRLAQVYGLPDLQEACLRFMVVHFHEVLCKPQFHLLGSPPQAPGDVSLKQRLREARMTGTPVLVALGDFLGGPLAPHPYQGEPPSMLRYEEMTERWFPLANNLPPDLVNVRGYGSAILDNYLFIVGGYRITSQEISAAHSYNPSTNEWLQVASMNQKRSNFKLVAVNSKLYAIGGQAVSNVECYNPEQDAWNFVAPLPNPLAEFSACECKGKIYVIGGYTTRDRNMNILQYCPSSDMWTLFETCDVHIRKQQMVSVEETIYIVGGCLHELGPNRRSSQSEDMLTVQSYNTVTRQWLYLKENTSKSGLNLTCALHNDGIYIMSRDVTLSTSLEHRVFLKYNIFSDSWEAFRRFPAFGHNLLVSSLYLPNKAET", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MATNPKVYFDMTVGGKSAGRIVMELYADTTPETAENFRALCTGERGIGKQGKPLHYKGSSFHRVIPKFMCQGGDFTAGNGTGGESIYGSKFKDENFIKKHTGPGILSMANAGANTNGSQFFICTEKTSWLDGKHVVFGQVVEGLNVVRDIEKVGSDSGRTSKPVVIADCGQIS", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDERRSSIFSTNIPCRNEQLYGRCPYIDKGCFFQHKNQDNAPASSKPPSATAIDPQNSGFSTKLSINSPSFTPLKLSKTSISSASNKESVPLTRPKSYSSALSSGKNGAAAQQAANSPKTVSLMTSSSKAANALQTHKSSLARAASAVPFSPSKATTVSLKESASLTSLSNNKSVSNLNSISGASSPSGSLVNLHSLTRSASFVPQPSVPNSGQLSNADMSRHILARFPPFFHNLNEQQQKTTSFFLADDHLKWFTYLTQEFYQFANIPKLPSHVLSYHSLIPRRMIVTVLPVLRYATSIYKVIDGNNGLPYSFVQLRDFTLLNDRNITNVSPWTKVDSPHVIKIREAFTTHAFEQKSIVFVYNYLPSCPSLYDLFFASPVFRKRTSSFYFSQPLKATKEVLWCFASQLISALYSIHSSGLAAKMVSLKNVLMVGKMRLAIFGLGIMDVIQEESTEPLTSLQRNDCRDVGLILLALATDTENVTLSTAKAHLTRLKTIVSTDASLVELIEVLIFNEELRIQTLLPTMLSYMVNNYESVLLMEDVYETYLAEQVENDRLLRLLLKLEFLDDRPEYVDDPDWSASGVYFVIRLFRKYMFQVQTIDDASKKPTLQSTTTPPRKLLNKAHLLSCLNKLDAGTDEQILLEDEFTRIIMSFKEVKTTINTAFMELERRCSNNLSVKK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAVAIKKEKTKFAPVKEVLSEKDHANYTKFQDTSKLEWFCRTSNHKKFKSHSLLKAVRNPTETRIETQTLYFTDLTNGKCGLIQLLYSSVMGGIYKGFQLNFKIFKASSEENSEEDIDIWESFKIDNIKDFDTLKVESDNVTFHFVPLENSSSSGFAQLLIKIDIPKGSTSCLLKDLKVDITVNLQEGFIINPDGSNYYLDKSISLEELAKRDSSSTSRKMIRHVFVPRGFCNGTISYKKNDKPVKLDLKDTPMLYLDAVQGLIPNKAASKWNFLCFNGEKRSMMCIEFTTTKEYGSTTVTIWAVSDKDKILEVGSSVNDHAVKFPSTKEDKQNGWKYPTSISFPRGFEESNLRLVNRYDIMSELPAFIRSIAENLANMKPFIYQFCQKSKFDDDEGVSIIESTFIN", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTALRPLSGRSRSLRCSSEKMEGTGSWDVLEWTKLDSASWSGSYSNLDCLLESERIIFEACGVILINTDEAGTLLLSNFRILFLSEGTRKLVPLGTIPFVAIEKFNKLAPKVQSNKYHNNENAPTRLLQVTGKDMRIVVYGFRPGTKQRHTVVDTLLRCNKPERVWDLYAFTCGPSQFGNTNPKERLLNEYFRLLGKSSQRASMNMIEDGSFTLSNDLWRITNLNSNYDLCQSYPFALMVPKSISDEELLQTSTFRARCRLPVISWCHPGSGAVIARSSQPLVGLMMNMRSNSDEKLVASFCTQLAGHKGARRKLYIVDARPRKNALANGAKGGGSESSSNYLQSEIVFLGIDNIHAMRESFSRLRDYLDMHGTTSSDGTSSFLRHGGWTWGGGNLSSMSASVSVLGDSGWLSHIQSILAGVAWIAARVAMESASVLVHCSDGWDRTTQLVSLACLLLDPYYRTFSGFQALVEKDWLSFGHPFSDRVGMPNVSESGNFELPIQSSSARSFPSSPVRQSPGSAAAQSSSSSYGLNNYSPIFLQWLDCISQLMRMYPSAFEFSPTFLVDFIDCLLSCRFGNFLCNSEKERQQCGISETCGCIWAYLADLRSSSGTSHVHCNPFYDPSRYDGPLLPPAAALAPTLWPQFHLRWACPVEPNVTETEDQCRAMTVKYSEMKKEKEEAERKVDELSSAMESLNEELLNERDISRAARESAKRATKERAVISRAVQSLGCKVKFTRNGDCTVEVEDGPQKCSHSIPQKQSEDNTTDVSESISSVTEQNVCEAVCPLRTREGTCRWPDAGCARIGNQFLGLKTNFEAFDNLCVYDSYFTAE", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLVKPMACYFEIWTRKVTTIEDFSLAIANRFKQMGSHSDSEVDWDNEEEVWEDEVHEFCCLFCDSTFTCLKDLWSHCKEAHNFDFYQVKQQNNLDFYACIKLVNYIRSQVKEGKTPDLDKLSDILRSDEYMISVLPDDSVLFSLGDELDSDFEDDNTLEIEVENPADVSKDAEIKKLKLQNQLLISQLEEIRKDKMNELTSQTTDQLSVTPKKADNDSYYFESYAGNDIHFLMLNDSVRTEGYRDFVYHNKHIFAGKTVLDVGCGTGILSMFCAKAGAKKVYAVDNSDIIQMAISNAFENGLADQITFIRGKIEDISLPVGKVDIIISEWMGYALTFESMIDSVLVARDRFLAPSGIMAPSETRLVLTATTNTELLEEPIDFWSDVYGFKMNGMKDASYKGVSVQVVPQTYVNAKPVVFARFNMHTCKVQDVSFTSPFSLIIDNEGPLCAFTLWFDTYFTTKRTQPIPEAIDEACGFTTGPQGTPTHWKQCVLLLRNRPFLQKGTRVEGTISFSKNKKNNRDLDISVHWNVNGKADSQSYVLN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVDLQLHQSLGHHNFTNKLTFYVNGVKRTISNPDPRGTLLDFIRTHEGLTGTKLGCSEGGCGACTVVVASWDREQGEIIYSAVNSCIVPLVAVEGKHLITVEGIGSSNNPHPAQERIALFHGSQCGFCTPGIVMSLYALLRNTGGQPSKEQIAESFDGNLCRCTGYKPIIDAANTFSCGRPGGCCRDNASGKANGAGATVGNGMANGAAAVANGNGAAANGCCKGNGAANGCCKSNGSAATTANGDDKEVDMNKLFTPNGLPLKPYSAKTELIFPPALKKYELNPLFFGNEQKVWFRPVTKLQLLQIKHAYPESKIVGGASEIQIEIKMKAANYNISVYANDIEELKTHKYIPGKGLEFGANISLSKLEEVCDKLVHELDPNVSQIYGAILEQLKYFAGRQIRNAATPAGNIATASPISDLNPVLVAAEAVLTVESIENGEEQISMTDFFVGYRKTKLPAHGVITKIFVPETVPRNEVVMAYKQAKRKDDDIAIVTACLRLALDDDFRISKARLAYGGVGPFTTAAKGTAEFLTGKLLRRETAKEVLEGAIDCLIKEFDLPYSVPGGMAAYRRTLIMSFFYKFYSTVLEKIGLAGEAQDNSALENTYDPQALLEVTRKHPVGSRDLTNPYEQRIVGKSDPHLSALKQVTGEAVYIDDIPPYHGECFGVQVMSTKPRARILSVDPSPALEVEGVVGYVDVNDLPSREANIWGPTPVGKEPFFADGEVYYVGQCIGVIIATDRMIAEEAARLVKVEYEELETVITIEEAIEAQSFFDYQPKAEKGDVDGAFAESAYTFEGTSRIGSQEHFYLETQGSLVVPEPEDGEMKVYSSSQNPTETQVFVAQATGVPSSRIVARVKRLGGGFGGKESRCCHLSSIAAVAAKKYKRPVRMILSRSEDMLTAGQRHPFVMKWKVGLDKNYKFTALEAKLYANAGWSMDLTKGVIERAVLHAENCYDFPNARIQGIPCRTSVASNTAFRGFGGPQGMFMAECYIYEIADQLGIEPDTLREINYLVPGVSSTPFKQAITEDFTVPDMVKQIKKQSNYDDLRRQVEEFNSKHKWIKRGLAHVPTMFGISFGATFLNQAGALVHIYHDGSILLTHGGTEMGQGLHTKMAMVCAEELKVPLSQVFISETSTNTVPNTSASAASASSDLNGMAVKHACDQLNERLAPYRERLGENATMEQLAHAAYFDRVNLSANGFYKTPDIGFVWGDPNPKPAFFYFTQGCAVAMVEVNTLTGDWSNLRTDIVMDIGRPINQAIDYGQIEGAFVQGQGLFTIEESLWLRNGALFTRGPGAYKIPGFRDIPQEFNVGHLRDRPFKHLKTIHRSKGIGEPPLFLGSSVFFAIRDALSYARRQNLGEATMPAGLVAPMTTERIRMLAGDSLYEHKGKIEPTEGDDKPFFVNA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSEGFSSSSIQELYQSLKEITNNADVELFEDRITKLDFESTDEPKHANDIIKDRFLRPSNALPWSLLDMVQDVPHTSSPEDCSGKLDYKELLKVPDPINRTSYQFKRTGLEGKISGYKEEVDLKEVANANASNSLSITRSINHNQNSVRGSTAQLPFTPGGIPMKSVKTDSEQNGSSTMANATKLLHKDGQGLFDIPEGMNRGIKPMDSPAENEDQNGQFKELKQLNEIDNELDIRIEANEAKLKEEEKSAKSISEEIMEEATEETTADNADDAEIDELLPIGIDFGRTKPVSKSVPVKKEWAHVVDLNHKIENFDELIPNPARSWPFELDTFQKEAVYHLEQGDSVFVAAHTSAGKTVVAEYAIAMAHRNMTKTIYTSPIKALSNQKFRDFKETFDDVNIGLITGDVQINPDANCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVNDQDRGVVWEEVIIMLPQHVKFILLSATVPNTYEFANWIGRTKQKNIYVISTPKRPVPLEINIWAKKELIPVINQNSEFLEANFRKHKEILNGESAKGAPSKTDNGRGGSTARGGRGGSNTRDGRGGRGNSTRGGANRGGSRGAGAIGSNKRKFFTQDGPSKKTWPEIVNYLRKRELLPMVVFVFSKKRCEEYADWLEGINFCNNKEKSQIHMFIEKSITRLKKEDRDLPQILKTRSLLERGIAVHHGGLLPIVKELIEILFSKGFIKVLFATETFAMGLNLPTRTVIFSSIRKHDGNGLRELTPGEFTQMAGRAGRRGLDSTGTVIVMAYNSPLSIATFKEVTMGVPTRLQSQFRLTYNMILNLLRIEALRVEEMIKYSFSENAKETLQPEHEKQIKVLQEELQTIEYKSCEICDNDIEKFLELMLAYKEATVNLMQEMVKSPSILHILKEGRLVAFRDPNDCLKLGFVFKVSLKDAVCVIMTFTKPYKLPNGEPNHLIYFPKADGYRRRNFPKFQKTDFYMEEVPVTAIEVITKRKFAAPLGKVIKKDVAALNEFNAETNNILDGKTLKEAINIEKQGLKIHQILLDRTNIRDEIFKLKSIKCPNLSQHIVPKFKAHVIKKKIEELYHLMSDQNLSLLPDYEKRLAVLKDTEFIDQNHNVLLKGRVACEINSGYELVLTELILDNFLGSFEPEEIVALLSVFVYEGKTREEEPPIVTPRLAKGKQRIEEIYKKMLCVFNTHQIPLTQDEAEFLDRKRFAMMNVVYEWARGLSFKEIMEMSPEAEGTVVRVITWLDEICREVKTASIIIGNSTLHMKMSRAQELIKRDIVFAASLYL", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGCNMCVVQKPEEQYKVMLQVNGKELSKLSQEQTLQALRSSKEPLVIQVLRRSPRLRGDSSCHDLQLVDSGTQTDITFEHIMALGKLRPPTPPMVILEPPPISHEYYDPAEFMEGGPQEADRLDELEYEEVELYKSSHRDKLGLMVCYRTDDEEDLGIYVGEVNPNSIAAKDGRIREGDRIIQINGVDVQNREEAVAILSQEENTNISLLVARPESQLAKRWKDSDRDDFLDDFGSENEGELRARKLKSPPAQQPGNEEEKGAPDAGPGLSNSQELDSGVGRTDESTRNEESSEHDLLGDEPPSSTNTPGSLRKFGLQGDALQSRDFHFSMDSLLAEGAGLGGGDVPGLTDEEYERYRELLEIKCHLENGNQLGLLFPRASGGNSALDVNRNESLGHEMAMLEEELRHLEFKCRNILRAQKMQQLRERCMKAWLLEEESLYDLAASEPKKHELSDISELPEKSDKDSTSAYNTGESCRSTPLLVEPLPESPLRRAMAGNSNLNRTPPGPAVATPAKAAPPPGSPAKFRSLSRDPEAGRRQHAEERGRRNPKTGLTLERVGPESSPYLSRRHRGQGQEGEHYHSCVQLAPTRGLEELGHGPLSLAGGPRVGGVAAAATEAPRMEWKVKVRSDGTRYVAKRPVRDRLLKARALKIREERSGMTTDDDAVSEMKMGRYWSKEERKQHLIRAREQRKRREFMMQSRLECLREQQNGDSKPELNIIALSHRKTMKKRNKKILDNWITIQEMLAHGARSADGKRVYNPLLSVTTV", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDGKPQVEVIVNGQVVPNLDDREYRLIKLENDLEVLLVRDPETDNASAAIDVHIGSQSNPRELLGLAHFCEHLLFMGTKKYPDENEYRKYLESHNGISNAYTASNNTNYYFEVSHDALYGALDRFAQFFIDPLFLEECKDREIRAVDSEHCKNLQSDSWRFWRLYSVLSNPKSVFSKFNTGNIETLGDVPKELGLDVRQELLKFYDKYYSANIMKLVIIGREPLDVLQDWAAELFSPIKNKAVPIPKFPDPPYTDNEVRKICYVKPVKNLRRLDIVFPIPGQYHKYKCRPAEYVCHLLGHEGEGSYLAYLKSLGLATSLIAFNVSITEDADIIVVSTFLTEEGLTDYQRVIKILFEYIRLLDQTNAHKFLFEETRIMSEAQFKTRQKTPAYQYAHVVASKLQREYPRDKVLYYSSVLTEFDPKGIQEVVESLRPNNFFAILAAHSIEKGLDNKEKFYGIDYGLEDLDSQFIDSLLHIKTSSELYLPLANEFIPWSLEVEKQPVTTKLKVPNLVRNDKFVRLWHKKDDTFWVPKANVFINFISPIARRSPKVSVSTTLYTRLIEDALGEYSYPASLAGLSFSLSPSTRGIILCISGFTDKLHVLLEKVVAMMRDLKVHPQRFEILKNRLEQELKDYDALEAYHRSNHVLTWLSEPHSWSNAELREAIKDVQVGDMSDFISDLLKQNFLESLVHGNYTEEDAKNLIESAQKLIDPKPVFASQLSRKRAIIVPEGGNYIYKTVVPNKEEKNSAIMYNLQISQLDDERSGALTRLARQIMKEPTFSILRTKEQLGYIVFTLVRQVTPFINLNIFVQSERSSTYLESRIRALLDQFKSEFLEMSDEDFSKHKSSLINFMLEKHTNLKEESSMYWLRICDGFYDFTRLEKQAEIVSTITKDEFYSFFINNIHYEGENTKKISVHVVSQRCEDEVYEIPNVTIIENGNMFKESMTLSKAAFPLKPFDEIDRSLLFN", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATGQKLMRAVRVFEFGGPEVLKLRSDIAVPIPKDHQVLIKVHACGVNPVETYIRSGTYSRKPLLPYTPGSDVAGVIEAVGDNASAFKKGDRVFTSSTISGGYAEYALAADHTVYKLPEKLDFKQGAAIGIPYFTAYRALIHSACVKAGESVLVHGASGGVGLAACQIARAYGLKILGTAGTEEGQKIVLQNGAHEVFNHREVNYIDKIKKYVGEKGIDIIIEMLANVNLSKDLSLLSHGGRVIVVGSRGTIEINPRDTMAKESSIIGVTLFSSTKEEFQQYAAALQAGMEIGWLKPVIGSQYPLEKVAEAHENIIHGSGATGKMILLL", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPPKAKAKDAGPVERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNIPDERFDWLCQTYKPKSEIPAFLEIHDIAGLVRGAHEGQGLGNNFLSHIRAVDGIFHVLRAFEDADIIHVDDIVDPVRDLETITEELRLKDIEFVGKKIDDVEKSMKRSNDKQLKIELELLQKVKAWLEDGKDVRFGDWKTADIEILNTFQLLSAKPVVYLINLNERDYQRKKNKFLPKIHAWVQEHGGDTMIPFSGVFERSLADMAPDEAAKYCEENKLQSALPRIIKTGFSAINLIYFFTAGPDEVKCWQIRRQSKAPQAAGAIHTDFERGFICAEVMKFEDLKELGNEPAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAETEERSLDNFFAKRDKKKKKERSSRAANAASGAGGSSAAAGSRPGDGGSLGSGARSGDGGSLGSGSRSGDGGSSGSGARSGDGGSSRSGDGGSAGPAGKAITKDENEWKEFEQREVDYSGLRVQAMQISEKEDDDNEKREDPGDNWEEGGGGSGAEKSSGPWNKTAPVQAPPAPVTVTETPEPAMPSGVYRPPGARLTTTRKTPQGPPEIYSDTQFPSLQSTAKHVESRKDKEMEKSFEVVRHKNRDREEVSKNQALKLQLDNQYAVLENQKYSHTQYS", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLLGLAAMELKVWVDGIQRVVCGVSEQTTCQEVVIALAQAIGQTGRFVLVQRLREKERQLLPQECPVGAQATCGQFASDVQFVLRRTGPSLAGRPSSDSCPPPERCLIRASLPVKPRAALGCEPRKTLTPEPAPSLSRPGPAAPVTPTPGCCTDLRGLELRVQRNAEELGHEAFWEQELRREQAREREGQARLQALSAATAEHAARLQALDAQARALEAELQLAAEAPGPPSPMASATERLHQDLAVQERQSAEVQGSLALVSRALEAAERALQAQAQELEELNRELRQCNLQQFIQQTGAALPPPPRPDRGPPGTQGPLPPAREESLLGAPSESHAGAQPRPRGGPHDAELLEVAAAPAPEWCPLAAQPQAL", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDFQCRTCSQAYDAEQMMKHLSSTRHKTVFDTSNDEDICCEECQDKNIHQLQIIRFGGEDMVLLCNSCFRKEYSETERPSTSYSLQNGSILKFWEKYVKVRECCCDECGEESNLNANRNGEVLCDKCLPKSNRAKDFVSEKSGRFLYIYLGLNETQNSTRKPRKKGGRRVGRGKKGRKGAKIKKEKKETFEAKISRIAYEVKKENSTIQSSSSSNLRNFKGFKAVESDPVVAAKVSKSETSRSNPGPSNRNKGKGNKANHKKNSGNGIGKEKERKTNIRNNVRNSQPIPEDRKNTNSHVTTNSGGKGKNESVDKHQLPQPKALNGNGSGSTNTTGLKKGKKDHAGQKTKGNDKTGNKNPREAKLNSAGRKNALGKKSNNQPNKGTSRWTIGSDTESSREPSISPNENTTSITKSRNRNKKASKPTLNEKSKTTTMPKKLETKNQEKNNGKTKDGKLIYEEGEPLTRYNTFKSTLSYPDLNTYLNDYSFALFLEQKLENEFVQNFNILWPRNEKDTAFIINVEKNNNSELEKLLPANLLALGRPAFNERQPFFFCTQDEQKVWYIFIKELSIQRGKYVLLVELFSWNNLSLPTKNGSSQFKLLPTSAQTSRILFAMTRITNPKFIDLLLGQKPIKEIYFDNRLKFSSDKLNRSQKTAVEHVLNNSITILQGPPGTGKTSTIEEIIIQVIERFHAFPILCVAASNIAIDNIAEKIMENRPQIKILRILSKKKEQQYSDDHPLGEICLHNIVYKNLSPDMQVVANKTRRGEMISKSEDTKFYKEKNRVTNKVVSQSQIIFTTNIAAGGRELKVIKECPVVIMDEATQSSEASTLVPLSLPGIRNFVFVGDEKQLSSFSNIPQLETSLFERVLSNGTYKNPLMLDTQYRMHPKISEFPIKKIYNGELKDGVTDEQKAWPGVQHPLFFYQCDLGPESRVRSTQRDIVGFTYENKHECVEIVKIIQILMLDKKVPLEEIGVITPYSAQRDLLSDILTKNVVINPKQISMQQEYDEIELFNAAGSQGTAGSLQNNVINIINGLHVATVDSFQGHEKSFIIFSCVRNNTENKIGFLRDKRRLNVALTRAKHGLIVVGNKNVLRKGDPLWKDYITYLEEQEVIFTDLTAY", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLLHLCSVKNLYQNRFLGLAAMASPSRNSQSRRRCKEPLRYSYNPDQFHNIDIRNGAHDAITIPRSTSDTDLVTSDSRSTLMVSSSYYSIGHSQDLVIHWDIKEEVDAGDWIGMYLIGEVSSENFLDYKNRGVNGSHRGQIIWKIDASSYFVESETKICFKYYHGVSGALRATTPSVTVKNSAAPIFKGIGSEETAQSQGSRRLISFSLSDFQAMGLKKGMFFNPDPYLKISIQPGKHSIFPALPHHGQERRSTIIGNTVNPIWQAEHFSFVSLPTDVLEIEVKDKFAKSRPIIKRFLGKLSMPVQRLLERHAIGDRVVSYTLGRRLPTDHVSGQLQFRFEITSSIHADDEEISLSAEPESSAETQDSIMNSMVGNSNGEPSGDATEFCKDAKPESPSEGNGVNSSENQNQEHAGPVEEAAGAMEARDGSNVSEAPEEPGELQDPEQHDTQPTLSAEEVAEGLPLDEDSPSSLLPEENTALGSKVEEETVPENGAREEEMQKGKDEEEEEEDVSTLEQGEPGLELRVSVRKKSRPCSLPVSELETVIASACGDAETPRTHYIRIHTLLHSMPSAQRGSTTEEEDGLEEESTLKESSEKDGLSEVDTIAADPQSMEDGESDGATLCMAPSDCSGGHFSSLSKGIGAGQDGEAHPSTGSESDSSPQQGADHSCEGCDASCCSPSCYSTSCYSSSCYSSSCYSSSCYNGNNRFASHTRFSSVDSAKISESTVFSSQEDEEEENSAFESVPDSVQSPELDPESTNGAGPWQDELAAPGGNAARSTEGLESPMAGPSNRREGECPILHNSQPISQLPSLRPEHHHYPTIDEPLPPNWEARIDSHGRVFYVDHINRTTTWQRPSMAPTPDGMIRSGSVHQMEQLNRRYQNIQRTMATERAEEDSGNQNSEQIPDGGGGGGGGSDSEAESSQSSLDLRREGSLSPVNSQKVTLLLQSPAVKFITNPEFFTVLHANYSAYRVFTSSTCLKHMILKVRRDARNFERYQHNRDLVNFINMFADTRLELPRGWEIKTDHQGKSFFVDHNSRATTFIDPRIPLQNGRLPNHLTHRQHLQRLRSYSAGEASEVSRNRGASLLARPGHSLIAAIRSQHQHESLPLAYNDKIVAFLRQPNIFEMLQERQPSLARNHTLREKIHYIRTEGNHGLDKLSCDADLVILLSLFEEEIMSYVPLQSAFHPGYSFSPRCSPCSSPQNSPGLQRASARAPSPYRRDFEAKLRNFYRKLEAKGFGQGPGKIKLIIRRDHLLEGTFNQVMAYSRKELQRNKLYITFVGEEGLDYSGPSREFFFLLSQELFNPYYGLFEYSANDTYTVQISPMSAFVENYLEWFRFSGRILGLALIHQYLLDAFFTRPFYKGLLKLPCDLSDLEYLDEEFHQSLQWMKDNNITDILDLTFTVNEEVFGQVTERELKSGGANTQVTEKNKKEYIERMVKWRVERGVVQQTEALLRGFYEVVDSRLVSVFDARELELVIAGTAEIDLNDWRNNTEYRGGYHDGHLVIRWFWAAVERFNNEQRLRLLQFVTGTSSVPYEGFAALRGSNGLRRFCIEKWGKITSLPRAHTCFNRLDLPPYPSYSMLYEKLLTAVEETSTFGLE", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVGPGPTAAAAVEERQRKLQEYLAAKGKLKSQNTKPYLKSKNNCQNQPPSKSTIRPKNDVTNHVVLPVKPKRSISIKLQPRPPNTAGSQKPKLEPPKLLGKRLTSECVSSNPYSKPSSKSFQQCEAGSSTTGELSRKPVGSLNIEQLKTTKQQLTDQGNGKCIDFMNNIHVENESLDNFLKETNKENLLDILTEPERKPDPKLYTRSKPKTDSYNQTKNSLVPKQALGKSSVNSAVLKDRVNKQFVGETQSRTFPVKSQQLSRGADLARPGVKPSRTVPSHFIRTLSKVQSSKKPVVKNIKDIKVNRSQYERPNETKIRSYPVTEQRVKHTKPRTYPSLLQGEYNNRHPNIKQDQKSSQVCIPQTSCVLQKSKAISQRPNLTVGRFNSAIPSTPSIRPNGTSGNKHNNNGFQQKAQTLDSKLKKAVPQNHFLNKTAPKTQADVTTVNGTQTNPNIKKKATAEDRRKQLEEWQKSKGKTYKRPPMELKTKRKVIKEMNISFWKSIEKEEEEKKAQLELSSKINNTLTECLNLIEGGVPSNEILNILSSIPEAEKFAKFWICKAKLLASKGTFDVIGLYEEAIKNGATPIQELRKVVLNILQDSNRTTEGITSDSLVAETSITSVEELAKKMESVKSCLSPKEREQVTATPRIAKAEQHNYPGIKLQIGPIPRINGMPEVQDMKFITPVRRSSRIERAVSRYPEMLQEHDLVVASLDELLEVEETKCFIFRRNEALPVTLGFQTPES", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAEASAAGADAGSAVAAHRFFCHFCKGEVNPKLPEYICPRCDSGFIEEVTDDSSFLGGGGSRTDNSTATHFAELWDHLDHTMFLQDFRPFLSSNPLDQDNRANERGHQTHTDFWGPSRPPRLPMTRRYRSRGSTRPDRSPAIEGIIQQIFAGFFANSAIPGSPHPFSWSGMLHSNPGDYAWGQTGLDAIVTQLLGQLENTGPPPADKEKITSLPTVTVTQEQVNTGLECPVCKEDYTVEEKVRQLPCNHFFHSSCIVPWLELHDTCPVCRKSLNGEDSTRQTQSSEASASNRFSNDSQLHDRWTF", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVMEDNESCASRVIFDALPTSQATMDRRERIKMEVFDEVLRRLRQSDIEDAHLPGFEDDLWNHFNRLPARYALDVNVERAEDVLMHKRLLHSAYDPQNRPAIEVHLVQVQPAGISADLDSTSNDAGHSSPTRKSIHPPPAFGSSPNLEALALAASLSQDEDADNSVHNNSLYSRPLHEITFSTEDKPKLLFQLTALLAELGLNIQEAHAFSTTDGYSLDVFVVDGWPYEETERLRISLEKEAAKIELQSQSWPMQQSFSPEKENGQTGARTHVPIPNDGTDVWEINLKHLKFGHKIASGSYGDLYKGTYCSQEVAIKVLKPERLDSDLEKEFAQEVFIMRKVRHKNVVQFIGACTKPPHLCIVTEFMPGGSVYDYLHKQKGVFKLPTLFKVAIDICKGMSYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQTGVMTAETGTYRWMAPEVIEHKPYDHKADVFSYGIVLWELLTGKLPYEYMTPLQAAVGVVQKGLRPTIPKNTHPKLAELLERLWEHDSTQRPDFSEIIEQLQEIAKEVGEEGEEKKKSSTGLGGGIFAALRRSTTHH", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNFIKDNSRALIQRMGMTVIKQITDDLFVWNVLNREEVNIICCEKVEQDAARGIIHMILKKGSESCNLFLKSLKEWNYPLFQDLNGQSLFHQTSEGDLDDLAQDLKDLYHTPSFLNFYPLGEDIDIIFNLKSTFTEPVLWRKDQHHHRVEQLTLNGLLQALQSPCIIEGESGKGKSTLLQRIAMLWGSGKCKALTKFKFVFFLRLSRAQGGLFETLCDQLLDIPGTIRKQTFMAMLLKLRQRVLFLLDGYNEFKPQNCPEIEALIKENHRFKNMVIVTTTTECLRHIRQFGALTAEVGDMTEDSAQALIREVLIKELAEGLLLQIQKSRCLRNLMKTPLFVVITCAIQMGESEFHSHTQTTLFHTFYDLLIQKNKHKHKGVAASDFIRSLDHCGDLALEGVFSHKFDFELQDVSSVNEDVLLTTGLLCKYTAQRFKPKYKFFHKSFQEYTAGRRLSSLLTSHEPEEVTKGNGYLQKMVSISDITSTYSSLLRYTCGSSVEATRAVMKHLAAVYQHGCLLGLSIAKRPLWRQESLQSVKNTTEQEILKAININSFVECGIHLYQESTSKSALSQEFEAFFQGKSLYINSGNIPDYLFDFFEHLPNCASALDFIKLDFYGGAMASWEKAAEDTGGIHMEEAPETYIPSRAVSLFFNWKQEFRTLEVTLRDFSKLNKQDIRYLGKIFSSATSLRLQIKRCAGVAGSLSLVLSTCKNIYSLMVEASPLTIEDERHITSVTNLKTLSIHDLQNQRLPGGLTDSLGNLKNLTKLIMDNIKMNEEDAIKLAEGLKNLKKMCLFHLTHLSDIGEGMDYIVKSLSSEPCDLEEIQLVSCCLSANAVKILAQNLHNLVKLSILDLSENYLEKDGNEALHELIDRMNVLEQLTALMLPWGCDVQGSLSSLLKHLEEVPQLVKLGLKNWRLTDTEIRILGAFFGKNPLKNFQQLNLAGNRVSSDGWLAFMGVFENLKQLVFFDFSTKEFLPDPALVRKLSQVLSKLTFLQEARLVGWQFDDDDLSVITGAFKLVTA", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEPAGGGGGVSSSTDPRSTYVLSNLAEVVERVFTFLPAKALLRVAGVCRLWRECVRRVLRTHRSVTWISAGVAEAGHLEGHCLVRVVAEALENVRILPQTVLYMADSETFISLEECRGHKRARKRTTMETACALEKLFPKQCQVLGIVTPGIVVTPMGSGSNRPQEIEIGESGFALLFPQIEGIKIQPFHFIKDSKNLTLERHQLTEVGLLDNPELRVVLVFGYNCCKVGASNYLHRVVSTFSDMNIILAGGQVDNLSSLTCEKNPLDIDATGVVGLSFSGHRIQSATVLLTEDVNDAKTVEAAMQRLKAANIPEQNTIGFMFACVGRGFQYYRAKGNVEADAFRKFFPSVPLFGFFGNGEIGCDRIVTGNFILRRCNEVKEEDLFHSYTTIMALVHLGTSK", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKTVTMMMVVEMQALTQVLRAVLSACTWVSRKGDLQRMKQTHKGKPPSSMAFTGKFEMESEKNYDEFMKLLGISSDVIEKARNFKIVTEVQQDGQDFTWSQHYSGGHTMTNKFTVGKESNIQTMGGKTFKATVQMEGGKLVVNFPNYHQTSEIVGDKLVEVSTIGGVTYERVSKRLA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSWLSSSQGVVLTAYHPSGKDQTVGNSHAKAGEEATSSRRYGQYTMNQESTTIKVMEKPPFDRSISQDSLDELSMEDYWIELENIKKSSENSQEDQEVVVVKEPDEGELEEEWLKEAGLSNLFGESAGDPQESIVFLSTLTRTQAAAVQKRVETVSQTLRKKNKQYQIPDVRDIFAQQRESKETAPGGTESQSLRTNENKYQGRDDEASNLVGEEKLIPPEETPAPETDINLEVSFAEQALNQKESSKEKIQKSKGDDATLPSFRLPKDKTGTTRIGDLAPQDMKKVCHLALIELTALYDVLGIELKQQKAVKIKTKDSGLFCVPLTALLEQDQRKVPGMRIPLIFQKLISRIEERGLETEGLLRIPGAAIRIKNLCQELEAKFYEGTFNWESVKQHDAASLLKLFIRELPQPLLSVEYLKAFQAVQNLPTKKQQLQALNLLVILLPDANRDTLKALLEFLQRVIDNKEKNKMTVMNVAMVMAPNLFMCHALGLKSSEQREFVMAAGTANTMHLLIKYQKLLWTIPKFIVNQVRKQNTENHKKDKRAMKKLLKKMAYDREKYEKQDKSTNDADVPQGVIRVQAPHLSKVSMAIQLTEELKASDVLARFLSQESGVAQTLKKGEVFLYEIGGNIGERCLDDDTYMKDLYQLNPNAEWVIKSKPL", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MIIFVSEEPERRLAIVSNLYALVLKPVGKKPSDKPLCAIELLQKNDLKKYGFKRLTSHEIFGVIGLIEVNGLLFVGAITGKSKVAQPCPGETVNKIFAVDFFCLNDNSWDFIEIDSSGYPVLPETASTEYQDALPKHPCYELKKLLSNGSFYYSSDFDLTSTLQHRGYGQHSLSTDTYEEEYMWNSFLMQEMITYRDHLDTNLKQILDDEGFLTTVIRGFAETFVSYVKKLKVALTIISKQSWKRAGTRFNARGVDDEANVANFVETEFIMYSSQYCYAFTQIRGSIPVFWEQGTSLINPRVQITRSFEATQPVFDKHIMKSVEKYGPVHVVNLLSTKSSEIELSKRYKEHLTHSKKLNFNKDIFLTEFDFHKETSQEGFSGVRKLIPLILDSLLSSGYYSYDVREKKNISEQHGIFRTNCLDCLDRTNLAQQIISLAAFRTFLEDFRLISSNSFIDDDDFVSKHNTLWADHGDQISQIYTGTNALKSSFSRKGKMSLAGALSDATKSVSRIYINNFMDKEKQQNIDTLLGRLPYQKAVQLYDPVNEYVSTKLQSMSDKFTSTSNINLLIGSFNVNGATKKVDLSKWLFPIGEKFKPDIVVLGLQEVIELSAGSILNADYSKSSFWENLVGDCLNQYDDKYLLLRVEQMTSLLILFFVKADKAKYVKQVEGATKKTGFRGMAGNKGAVSIRFEYGATSFCFVNSHLAAGATNVEERRSDYESIVRGITFTRTKMIPHHDSIFWLGDMNYRINLPNEDVRRELLNQEEGYIDKLLHFDQLTLGINSGSVFEGFKEPTLKFRPTYKYDPGTGTYDSSEKERTPSWTDRIIYKGENLLPLSYSDAPIMISDHRPVYAAYRAKITFVDDKERLSLKKRLFTEYKQEHPEEPGSLISDLLSLDLDNKSTDGFKSSSESSLLDIDPIMAQPTASSVASSSPVSSASASLQPVRTQNSSQSRTPIKKPVLRPPPPPAHKSVSAPAPSTSKEKSPTPQTSTASLSSVTKNIQENKPLAQNRRIPPPGFSQNILTPKSTSNLASPMSSKVDLYNSASESTRSAQDARQQTPTAFAASRDVNGQPEALLGDENPIEPEEKAKLNHMTLDSWQPLTPK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVVQNSADAGDMRAGVQLEPFLHQVGGHMSVMKYDEHTVCKPLVSREQRFYESLPLAMKRFTPQYKGTVTVHLWKDSTGHLSLVANPVKESQEPFKVSTESAAVAIWQTLQQTTGSNGSDCTLAQWPHAQLARSPKESPAKALLRSEPHLNTPAFSLVEDTNGNQVERKSFNPWGLQCHQAHLTRLCSEYPENKRHRFLLLENVVSQYTHPCVLDLKMGTRQHGDDASEEKKARHMRKCAQSTSACLGVRICGMQVYQTDKKYFLCKDKYYGRKLSVEGFRQALYQFLHNGSHLRRELLEPILHQLRALLSVIRSQSSYRFYSSSLLVIYDGQEPPERAPGSPHPHEAPQAAHGSSPGGLTKVDIRMIDFAHTTYKGYWNEHTTYDGPDPGYIFGLENLIRILQDIQEGE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSKLKYTDIDVPLDWLYKGKRRNRTKSAASTRTSEATTTSVKKTATLPSTAAVPTKTIASPQRPLSGQNVNNELSNSKPAVSAEKVSQQGQVPTRRTRSHSVSYGLLQKKNNNDDTTDSPKISRIRTAQDQPVKETKSSTLAEPIVSKKGRSRSSSISTSLNERSKKSLFGSLFGRRPSTTPSHVVERPLSSQNDHKKSTELPPIDTRQSKISTPTSTPTTASSKPSSSGGNRHSDGSLTSKLLSIPHNILETSSTNFNAHHHIQSHHSSGREQDSPHSESSDLPPILEKETTQKQLQKVSKVNLKRVTIAVQEFNSDPPQQLPSRKPKRGNVLIPEDMISAPPLISLGITNSSDQSSFQSNISPSYSKDSKEYKLALENFKKAAKEAEKHQKDAYYVAERMAQEVANYKARQLKTSPLTGATNSAADSATDQESSSLDARASKLHIDKPINVGAHPFETHQDDNIKYSSHLEQTLDVAYTRCCHLREILPIPSTLRQVKGKTAPLQTLKFLNPKPTLVDILSFCDFIAITPIHNIIFDNVSLTHDMFKIVICSLVTSPVVEKLGLRNVVINEQSWKLLCKFLLQNKTLIKLDISQTKARTDLNDSNYRDQMDWELFCEVLRNREGRPLEELLLNGLRFDKMSFSHFKNILLTFAQMNPKNPIRLGMANVEFSTECFDFLFNWMSEYNVQGVDLAYNNLESLAKRMIKKLARLPYKHLEYFTLNSTNITSVDDMSYILKYLSRLPSIKFLDLSNLPQLFPGILTSGYKYFPQFPQLKRIHFDFDDLSIKETTMLVSILAKCETLSHVSLIGQSPMPDASKISDSTDEPDKSKDEKKEQIVFMRNTLWASLYAFVRDSHNLVSLDVDYDQVPDEIQSRIALCLMHNMKRIMDSSFKLDELTVQDDLIFDGSLITETAEEVLKRLNDKSLLQNDVGKKYLLKKYFEKMEKVHHNVQNTIDSMFEKRKSGELPLQEKENLLRLLLLEKNLSNILDIFASMPNIADVVPFSKADNSFPNIGDSTVSANYNDGIRPSLKHLDSDRLINDVSIPENDSSIRPHLMATDSGRIIDVTTGKALLFKSSSNTSLAGKRQEEEEGELHKWGVFVQHQSSRHNSGLPSSANSSRISGSLTPDSSVAGGKKGESSRTSGTRPKILPKIPTGAELRDAIIKAKGIDSVDDLIKNVTSEKVGLESLYGDELNSRSPSNDSLQESQQKAPLQRPLVEDETVTKKYDKLLNDLSNVRHSKT", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAQPETLHQVLAQPADASRVKQLQTVHEAITASLSGQQPGARVGLETLVLCAEAALKVGSLDVAHDCLERYFLEQRRYTVGMAPVELRDQYLCRAHFARGLLVSERSKGLKGKALIDGTLEGVKHVMAGLEVAAANQPRYQFLVYNASVHHWRVVAPLHRDGLRHHLLASTDRVVQALDKVPGHEEWKVRIYTALALCQSDAATVAAAQAEAAGGKAPAKGGGGAAATHDDAAKTLQRAYDTAAAAKLTELQKDVARLQVHLATLAAAAGGKGAKAGGGGAAGGAGKPGAKGAAAALDEEGALRLIQAVLTGRPDRAVAEQQLREAVAKVDPKGPDGPVKGALLRPVSRAAWAAALAGLPELAERWASRAAASSDSGPRTWSDMTRVQLALQALGPAGDTSLAPVVVAAHVKALEQLEDVLTTFTKLADVEGIHAAARLAWNAGLLLLQPGLRKHVKRAFNAAARALAVAASPLTRLRAALHLEAGKCDAAEEALIKAGQEVGKALALDYLPPPAEAAAVPWLARPLDRWAAPLARALALRTSAEPANPEEEAVSLIERSKESRNPAIKSDLLARAREKLRDLPHPPPPAPTDPPGPDRDAAHAAARRRTAVWAELMRSAASSRMDEHVLAAAPHALCVSWDPAVDREMVLLQAQLAHYEAEAAISALRRRRADISPPTRPSPPEVDGEGVRQPPATTEQLQELVVQASVRSMRGAMSVNEPWLTLNNAVQLYNAALPLMQQHRYADLYRWLRPVAEALVALPVADSDGPLAVAVAEALGRAAEHRLLLATLRAKAARDAGQELEDDDDEDSLDEDGNPPPAGDAGPHFNRRSPAYKPLPDARVAASGLDPRGFPALARLLASIGTVTAVAEAALERAGGASTQGLLEMYARLQQYRGVSSGLPAGAAAASAATSRVVSAIEALSSANRVNEEKQPAGAGAEKGGGDKGRKPHGSLAADAAAAIALLRALPGGPPLELWAKMARAVADAGVWPAALECSAAALAALPGAGRDLDVLRLEAPSDVPEMTPAGWFWASVALSVRAAALLTLVDLPSQGAATALTVRREALLHAAQAARCAAFVNKADLCESACRVAWNAALPFTTKPLLRAALIRPLGTAVEALNRVGPADKGFQVRMNCLYVESLAAAKRWGDAVAACDAASRAVKSRSLHRPLMGWKAACLAMLGKNVNAEMTKVKEHPPEAQAYAWSVLSHHSAARYDQIAAHKAAGEAVEHHGWLKAVALAGYAEWLLSSSDDKEAAEDALLAAADALLEFDTGDLDGDGTDDEDDATKAKPRSRSGGGSSSGRAGGGFRRVLSRSYSRGGGGSADGARPSEGGEGAGPAAPDPNRVPEELGSTHLERLARLYVMACQAAPNATDHTDYMLAAHHNFMRLLTQALHSAAHTAFVAARDSYNGEVAAAALEGRDPPPETPLPKPYAVVPDTLIGWGTWQITPELLETLASDAATGAVTALSRELLPQAELTLAYLELLQACLRARGYHCHCLGLAQLQRVLARMVLRDEGMYVATSLGLVAALDELGLSREAGEVEAALGDVACIGPQEEAQAAEQAALADLVLAAAGKLEAARPRSALAVGRLSFLAGGVRRANNAANAAAAAPLPPVAAASQAAAAADAAAAASFTAAGGGRGGRESPSPHDDGIHYIGGPAPGDSHGQLPEWMEDAARELGNVDLAGSAGGLLSHVSGQLLLRPFTLHDVWLKKGDYLLRRGHYAAARQLLSRARAHAADCGNREAEARCLLALSRTELAAHNPVEAVALVQAAQRFGGDIDFWAELLVQYVDCRLAGAHSTTSDAREALQGGIAMFLALARDDRAAEKPASAAGAVLRVRLARLLLTDMEMLRGQGVSTWRKSYDGAVTLVNQAIMALAAREAGLPHIEALLVQAELMLAEPTHIKDLRPRLKKVEKVLLAAEEMAVRFHAEATPRDLAPRCVTPTARLLACVRCRLAEVQLAAAEERERLAGADREKARPKFPHMRGKRDVQVVIDFIDEAGGAPPAPPGLLPEDSALALATGAAALVAAAPRDRARALLIAGRCLAFKFMMAAPEALDPLRPFVPPPKPPGAPKRPPAGAEEEEDEEGPDTAAADAAAEAAEAAATPEGAAALRLQAQAAAMLSSALASATSVQDWALGEQCALALSTLYGQLSPGLACRSLAAAQACRAAAGGQALLRAAAPAQQPEVLALAQRDKLSEVLPAPQDNVHYSALRRMLSGLKGAAARLDAAAAPPVEQQLAALPQDLRVLMLYLSPDGGRLYAAALNIPDATAEPTPPLNAEKSKKKTDASAPAAAGPRLSLLHVVEVDPAAVEALVAECRAYRRGVERTLREAIASAAAKGVGVPPEDDRPDSPSKKGKKPGSATKRPGSKQGPKSGPGAAAAAAAAAAAAGEAGKVQVFDSRLNEEWSGILEQFEEWLAPLAPWLEAAVPALPLPPPGSPDGKKEKKDKKEAAGPTKHKVALLLDPALQSLPWEAARHLATTCSEVSRSPSLQALAACHTLPRADSAAASEHAAAAAAAPAALPPLDLGRLTVIVDPRHECSTAQQARGPYTAQLIPALSAPELTQVLPAASWWGPGGPGGGLEGVPGRAPSPDTYASLLAGQATGGPCTGLLFLGVGRFAAHVPPAVLASAPLGGCEAALLFDRCNTDDAYWAQLYRDNRKSAEQRRLESPGRVATLLLAKGVRTVLVMSAAAPPAAVVKLMHGVMAGLAAGRVLGEVVYSLLTGGGGSGGAGAGVLDEFELAHLRACLQVWGAPGLLGAVLTQGNKAAKGAKK", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSSLASLIKTKLKLPDSSKNLNKLRWKFRLLESQFALYSLPCQLRFVHYNNLEDPNSFNGLLYLFTDYIAFQGDDESNQFCMPYTIIRKVSRVKSNDLEQLLSVSTSNGYEYRISLQVSETTAAHFCQLLREELVSHKADMQRSSEFSKQFFSERLCKPNVPEPETKDSYGFGARYGYPTDPRISRERAKLRMWKEYFLLYGANLSLIRVSLFSKLVRIELPNKLRGEIWELTSGSMYFRLENSDEYDHLLKVYSGQTSFSLEEIEKDLGRSLPEYPAYQNEEGINALRNVLVAFSWKNQEVGYCQAMNIVAAALLIHCTEEQTFFLMHKICEDYIPGYYSKTMYGTLIDQQVYESLVQRSMPNLHAHFVSKDIQLSIISLPWFLSLFLCTMPLPYAFRLLDFFFLEGPRVLFQIGMAILYDNEAEIMKATEDTMLISILKNYFSSLGDKAYKDATDKRVASITKFQLLLVTAFKKFSHITHSLIEDERKKHYEGVMNSIESFAKRTQIRSLQNYGTLTRTDLSNIYDRYHEVLSSKHRVGLGSSTDTRLEFDEFCIFLAGVTEWAKGLDAAAINNSSSFLRHLFLRFDKSMTGSLSLQDLVSGIAELKFRDVMRNISFIFELYDFNGDGFMDKPDVLKVSEAILWLTRFMGDEYLSAVSEFIQRCFHFADEASPDGHSDTLIDISDHMSSTGSENRSVGANSDIKVSLPTFRMVVLSIGLLEQLFSGGLADSIVLAPVQEKSSTTGGLRGLLDSLVIDTNRIGKTFRGHKPASRPSTANGTSNQNTTSEITTSETTATEKTPSNSSDTEDDVGDVVENDKDLLQFDPYKKNDA", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MANDSPAKSLVDIDLSSLRDPAGIFELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTEDEEEEIKLEINMLKKYSHHRNIATYYGAFIKKSPPGHDDQLWLVMEFCGAGSITDLVKNTKGNTLKEDWIAYISREILRGLAHLHIHHVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDRTVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDLWSCGITAIEMAEGAPPLCDMHPMRALFLIPRNPPPRLKSKKWSKKFFSFIEGCLVKNYMQRPSTEQLLKHPFIRDQPNERQVRIQLKDHIDRTRKKRGEKDETEYEYSGSEEEEEEVPEQEGEPSSIVNVPGESTLRRDFLRLQQENKERSEALRRQQLLQEQQLREQEEYKRQLLAERQKRIEQQKEQRRRLEEQQRREREARRQQEREQRRREQEEKRRLEELERRRKEEEERRRAEEEKRRVEREQEYIRRQLEEEQRHLEVLQQQLLQEQAMLLECRWREMEEHRQAERLQRQLQQEQAYLLSLQHDHRRPHPQHSQQPPPPQQERSKPSFHAPEPKAHYEPADRAREVEDRFRKTNHSSPEAQSKQTGRVLEPPVPSRSESFSNGNSESVHPALQRPAEPQVPVRTTSRSPVLSRRDSPLQGSGQQNSQAGQRNSTSIEPRLLWERVEKLVPRPGSGSSSGSSNSGSQPGSHPGSQSGSGERFRVRSSSKSEGSPSQRLENAVKKPEDKKEVFRPLKPADLTALAKELRAVEDVRPPHKVTDYSSSSEESGTTDEEDDDVEQEGADESTSGPEDTRAASSLNLSNGETESVKTMIVHDDVESEPAMTPSKEGTLIVRQTQSASSTLQKHKSSSSFTPFIDPRLLQISPSSGTTVTSVVGFSCDGMRPEAIRQDPTRKGSVVNVNPTNTRPQSDTPEIRKYKKRFNSEILCAALWGVNLLVGTESGLMLLDRSGQGKVYPLINRRRFQQMDVLEGLNVLVTISGKKDKLRVYYLSWLRNKILHNDPEVEKKQGWTTVGDLEGCVHYKVVKYERIKFLVIALKSSVEVYAWAPKPYHKFMAFKSFGELVHKPLLVDLTVEEGQRLKVIYGSCAGFHAVDVDSGSVYDIYLPTHIQCSIKPHAIIILPNTDGMELLVCYEDEGVYVNTYGRITKDVVLQWGEMPTSVAYIRSNQTMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCERNDKVFFASVRSGGSSQVYFMTLGRTSLLSW", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEQNILNSILDKFGDGDQERSDIRHNDSGDENDNHSDHEGNHGNNECCEGNEDGDKEYEVDVEDMTDEQYAQFIQEQQEPKIKSGGNTGVKGVLSDYAEHREKQKQKYLQKKYETQKMLEKMCFTTRDQPPPTEEENQLDSDDDDLERIRKARMEQWKSKQQITSDVKKPEKKVFGYFKQIDSSQYIHEIDNEPPNVFVIIHLFQNYIPECVLLNQQLGQLAVKYRYIKFLKILSKEAKENYHDEALPSLLVYIGGKLLVSFVPLTEELGRNFDQEDLELLLSSYDIIPNPMKAKNSNWETSLSRKRPESDDDNDD", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSSDSNDLDEDELLQMALKEQAKRDLTYQKPPSSSARKPVANLVQQPRQQKPVAAAAAPPKKSAAAVRKPSMDEDEESEVELLSISSGDDDLEREREIGGSSGGAGRGRGSDVREKGRARKEDDGAWDGGEPDCWKRVNEAELARRVRDMRESRTAPVVQKVEGKAPAPGKKVALTSLQSLPRGMECIDPLKLGIIDNKTLRLITESSGSPSKAEKVDNTLREKLVYFSDHFDPKLFLSRIHQDTTAADLEAGALGLKSDLKGRNLQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTTHLFNCMKSVTSRANLAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSIIRSSISKGEYDLAVREYKKAKSIALPSHVNILKRVLEEVEKVMLEFKGTLYKSMEDPKIDFTSLENTVRLLLELEPESDPVWHYLNVQNHRIHGLLEKCTYDHEARVEILRNDTHEKAISDAKWQQIQQNGVSYSDTASSNENNAVQVDLQSVEFPSEEIDILKGRYIKRLTAVLVHHIPVFWKTAISIFSGKFAKSSQVTDTSANKAEEKVTEARYSTHSLEEVAGMIRKTISVYEAKVNSTFCDFDESCILRPFMSDAINEVSKACQAFEAKESTPHSAVVALRKIQAEITKIYIQRLCSWMRASTEGISKEETWIPVSILERNRSPYAISYLPLAFRSVIVSGMEQVNLMILSVKSEAAKSEDMFAQIEEIIISVRLAFLNCFLDFAAHLEQIGADLSQSTSRQDNWKNGYSDEHQEEPSANTYGSVIDPHRRLLMVLSNIGYCKDELASELYNKFKYTWLQSRDKNEDSSDLQDLIMSFSGLGEKVLEHYTFAKANLIRTAATNYLLDSGIQWGSAPQVKGIRDAAVELLHTLVAVHAEVFAGAKPLLDKILGVLIEGLIDTFLSVVEENRSSDLRSIDANGFCQLMFELEYFETVLYSYFTSAATESLKSLQGTVLEIAIESISEAVETPGHNRRPTRGSEDTVSDDKQSVSADDLLALTKQCSNELLQQELERTRVNTACFAESAPLESTPPLPKATYSSFRGSMDSPSRNYRGSQSSGSPINARPRRR", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSKKEPEEIKKNVTVGNYNLGVVIGKGGFGTVYQGLDIEDGDFVAIKQINLTKIPKDQLQGIMNEIDLLKNLNHANIVKYIKYVKTKDNLYIVLEYVENGSLSGIIKKFGKFPETLVCVYIRQVLEGLVYLHEQGVVHRDIKGANILTTKEGKIKLADFGVATKFDDTSAAAVVGTPYWMAPEIIELNGATTKSDIWSVGCTVIELLTGSPPYYDLGQMPALFRIVQDDCPPLPEGISPPLKDWLMQCFQKDPNLRISAQKLLKHKWIQASIKKKPVENGAGGVGNGTDSLGAPANIDDIAKNITDYNERINKKPSHQRKPSIHPKSPKGKVFLPPPEEEEDEWGDDFSNTPKSIKLPDKKSPLKLTNNKPSTPLKQQPTNNTPVQQQQQQQQPPPLKLAVPKQPVIENDDDWGDDFNTVSDLSKAVGSLNFNNNKKNETPKPNIKKPTFSEDEDEDDDDDGFGSGGDDEDDDFGDIPTSIKLNPKFGSNIKGNSSGSANTTNSSSTVVQQPKLTVSNNNNNNNKKLPLSPRQPSSGNVKEGINHGSTGSKSGGVIIDQWGEDGEEDNDWGDVATVNFDPKVIRKGTVNKPDLSTRLKNRIALSETALSNSFNNNGNDDEDEDIFADDFDEDDDEDFDLDKNLMKDNYARMSSEILKLMNLLTPEQPEEVISSACTQLITMFKENSEQKTLLIRRHGVIPIMEMLEVSNIQSHVLCSILKVVNQIIDNNMEIQENLCLVGGIPAIMKFSGPEYPASVRLETASFISKMCSTSTLTLQMFIACKGLPILVDFLLSPYAESKRLVWMAVDAIVNVFELQSPTPKNDFCRLFSKCGLLKTLPIVLRDSIADGEAAATYPDRIINLFIMFSAADSVVRKTMSAVEVIRPILDTLSQLMPEQLAKVLKSIKQLSMDHNTLANLQNAGAIRFMVPFLGRRTGAFVAEIHNHVLNTMFHLCRIDPERQYQAAIDGIIPHLQYFITSHSPLNQFALPIICDLAHSKKARSELWKNNGVAFYLSLLEERYWQVNALDSLAVWITDETHKVENIIATNENIKKLIQLFTNAESQSFAGILEPLLKIIQISIPVNILLGTSNFITKIIDKLGHTNPQVRLNLLKIITSLYECHPNAKKMIQEFKLIPIIQKIADTDKSVLVQKMASKLLEAFNANTVI", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNRRVTLGALAAAVGVGYYMTRHNRQASSRLEQKNAEIVRAVENEGQRFDKAVGETTEKAKSYLQSGKERVVDELDKPRENVKNVLSEAQAKGTEQAEALKKGTSKWF", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVPLCQVEVLYFAKSAEITGVRSETISVPQEIKALQLWKEIETRHPGLADVRNQIIFAVRQEYVELGDQLLVLQPGDEIAVIPPISGG", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKHNRQMPDESLENIKVLLNPKLGKPVKSLTSAQSKACYHTLISNKNLNKTSDEYEKLLANYILLCDEKYLCKTVIPDSRFWAILCDNCQKLRSETLVANLIRIFNVALKCQDSNKNEVIVSICHISRENSQLIGILLQLLSQRPIHIPLFTDTILCITLFLKCSLTLCETSLSHAVEFVPRILILLFQYNFPASMSELLYIEDLQPLILEEFVPLKQRLINFLSSVSIDDYSCSLKADLLTAIKDNSVFQKGLEMEMGDLPSINLLNAYDTFTFLNSPNGSFKRLYTEQLLFGENDFPLYEAIFKLSDQFRRLFNLSGKKENQYSDSERDLKLQIATAVLNRQTCFYKTLELFLRFWIESLAKSQSDLVSLLNLAIITLKYVCLSSSDLEAAIQTKSLLKTQVVALDSMRYKFARTLQLDSIKKEQYRTWSSSIASFDTMLSGQVRDYVRHQRLLQLQKGTWVYAENPLNPEAGTPKVYFLIVSDNHANLLAREFETQTNDLPYLFDNKILTSPGSEALANGRTKVVVLKHITSFKSIELTTPSRRTSSNVYIKLDEANVYTGVELKDRNDRTVLKFYLDTEEGRYIWLDGLKLISPFQHEDISEDTKEQIDTLFDLRKNVQMINLNVRQDIIVPPPEPSDEDEDEEFYNLETLKKVTQNFYFD", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDSAVAGAADIGRYGRRIVRMIWDPEPTNDPIANRPAWCLGYEYTLETNITSKTKGEDSKLSTATSSDQQRPPAQANKVPQMPSAQLPTEAAATALSGNTTPPTPEAALEPTKITSQPAAIDTPPDSVDSSFDSSMAYDDVPDDGGWPPAFLNDFESRIWMTYRSGFEPIPRSTDPTASSRMSFAMRLKTMADQQAGFTTDSGWGCMIRTGQSLLANSLLTCRLGRSWRRGQAPDEERKLLSLFADDPRAPYSIHNFVAHGAAKCGKYPGEWFGPSATARCIHALANATENSFRVYSTGDLPDVYEDSFMEVAKPDGKTFHPTLILISTRLGIDKINQVYWESLTATLQLPQSVGIAGGRPSSSHYFVGAQRSDEDQGSYLFYLDPHHTRPALPFHEDPQLYTPSDVDSCHTRRLRRLHIREMDPSMLIGFLILDEENWHAWKSSVKHVQGKSIITVSEHDPSKGSASGRPSAIDEVETLSDDDGDTVLDG", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSQYVSSMIKYAQSGDSFNILIKDNAKKITEKQFSLAYVECPRFRREGDEPFAFEAQEFSRRLVVGRPASVSTLYVIPTSKREYGRIRTSEFDLAESLLREGLAKLRPEATRNEGTSENSYFVSLEEAQDHAQQYKLGIWGPSDDVVVTEKANPANPAKFLKAHKGKKLNGIVETIRNGDQVRVRLFLSPKQHQLVTISLAGVRCPRSTFTATSPEQTSSEQEPCGDEAKQFVVTRLLQRNVVIELLDLAPNGVSFLGNVLHPAGNIATFLLSSGLGRVADNHISALGPETMQSLRTIERKAKISRLGIWKNISVSIPDINSLSLKDYSAVVSRVISTDTLEVRKDNGVECRIQLSSIRHPRPSNEKEAPYQLEAREFLRKKIIGKRVQVSLDFIRPGQNDLPAINNCTVKLSDGTNVALMVVKSGYATVIRYRMDSVDRSPIYDFLIEAEKAAQEGRKGMWSGKKPAYENIVNASESSLRSRQYLSSLQRTRKLSVIIENVISGSRFRCFCPKENCYFMFACAGIRTPRTARNDQEKGEPFAEESLSLAKSLLQHDAQVEILSVDNNGCFLGDIYVNHDTNFALKLLSQGLAWCQGYASQSNVQYSQYHDTEAAAKEQKVGMWHDYVPPEKKAASTEKESENTVKEPIYLDIVLSDIAEDGKFSFQIIGTGIQQLETLMSDLGSLKKSFKPSEKINVGMNVAAISALDNAMYRGRVLRCDRENQAADVLLYDYGSVEQIPFKNISSLPDTYTKLKPQAQLARLSYVQLPPPSSDYYEDARLVFRELAMNKGLVAKVDGHEGNVYSVTLYNPSDGSDFSDCINAQLVALGMASVIPKKKTSHFEKDTASLNILEEHQQEARLNHIGFWVYGDPLEYED", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDYRHPNALGVNESSRAYEEIFGAPRKREPARTVSTPAFMEPAPVSKKPLPPPTRRLPRKPLPFRSTSLQPPSSQPPAPPTHQREASPVKNIEHSESFPSVFGTSNNHQIVPLTLKDGNDFGALYASLNTTPHFPQVSNHAPNNSNSPSLTWHTSSGDDSNQNPFFVRRQSQSSTSPVSDSVDENLLSAVSSVTESVETNLHLDQNYPYGSPVRSSKNPFLSSNSRLPTDDSSHTVGSHSFTSGTHPPIVSSNSAFTLPNAVTPAAQAPLIRSVSEYPANVSPPAQSLQLPKSTSNPADLHLSIASASSHKNIFSGLDVFSNVFHGPSTTLRDREHDMRNRSFDHSTLAHYEAVKQQRLGVEPTARSFTLSSYKSRASGNSLINDRSSTTTPTFVNSEASSPVHKNKRRRRIYAALLSRVASELLDRLQLGDITKDGLIYSNAFTGDHAVTVLMGIIHTSDRNLALLVGRSLDAQKFIHDVTYDHRLRDSHREIYQLQGTGYRPFLRANDNASINNKNHHKELEDNESGTRISPSTLGDTSFPNGIFTLLTHCYSPTCAKDHPCYSISCPRRLEQQHRLFAKMRANTEQSSSLAFDDKEQKLWIHSVPQEIAYSVSDRERKRQEVICEVIYTERDFVKDLEYLRDYWIKPLWASSCIPERKKEKFIRTVFLNALEVQAVNSKLAEALTKRQNYKPIVDNIADIFLEHVPKFEPFIRYGAGQLYGKYEFEKEKSSNPAFAKFVSDVERLKESRKLELNGYLTKPTTRLARYPLLLEAVLKYTDEGNPDKQDIPKVINIVRGFLSRLNVESGKAENKFNLFHLNQQLVFKPGEHYDLHLLDANRQLIFKGPLKKRSAGSTSSESASDVTLFLFDHALLIVKPKTINKRELLKVFQRPIPLLLLQLFLVDDNGLRIPYSSKQQLAAVSKAANGKPPSRFYPFSLQLLGRRGYEITLYATTEVSRDKWLEHIDNQQTLLQHRNQWFESVTICSNFFVGDNKVNAIGVYDSGRRLLYGTDTGVYVSLRKANSPLQFKPVRALNIPNISQLEVIEEYSLLLLLSDKVLYSYPLEMIDADTTQAPKKARKVSGHTTFFRVGICLGKVLVCAVKSSVLSATIKVFEPVTNYSKTRNMPSLKKFLTVNQDPLRIVKELYIPTESTSVHFLKNKLCVGCTRGFEVVSLDNLETQSLLDPADTSLEFVEKKENVKPIAIYRMNGGEFLLCYSQFAFYVNRDGWRSRPTWFVVWEGSPQNFALSYPYILAFEPTFIEIRHVETSELIHVISGRNIRLLADGRGKLGDGGEIFYACDQRGENCETSVVCSLRLTSAAAHAKEQHVDK", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKNYKAIGKIGEGTFSEVMKMQSLRDGNYYACKQMKQHFESIEQVNSLREIQALRRLNPHPNILALHEVVFDRKSGSLALICELMDMNIYELIRGRRHPLSEKKIMLYMYQLCKSLDHMHRNGIFHRDVKPENILVKQDVLKLGDFGSCRSVYSKQPYTEYISTRWYRAPECLLTDGFYTYKMDLWSAGCVFYEIASLQPLFPGVNELDQISKIHDVIGTPCQKTLTKFKQSRAMSFDFPFKKGSGIPLLTANLSPQCLSLLHAMVAYDPDERIAAHQALQHPYFQVQRAAETQTLAKHRRAFCPKFSMVPESSSHNWSFSQEGRKQKQSLRHEEGHARRQGPTSLMELPKLRLSGMTKLSSCSSPALRSVLGTGANGKVPVLRPLKCAAVNKKTDTQKDIKPHLKHYHLPTINRKGGEY", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVQEDDENLRNSMSLRPTSLSTRPTSLSVNGNEKTLPEESVLRSLSTGTLKYPDDEHLYTFSSANLQDLGAIGNGNFGTVYKMRHKETGKLIAVKRIRCNNIGHREQIRLLREHDTIVKSEKGPNIVKFYGAIFSEGDCWICMELMDISMDLLYKRVYMVKNSRLNENVVGHITVCTVDALDYLKKELKIIHRDVKPSNILVDGTGAVKLCDFGICGQLEESFAKTHDAGCQPYLAPERITSSDKYDVRSDVWSLGITLYEIATGKFPYQEWNSLFDQIATVVSGDPPILHPDSDDFHYSLPLVKFINTCLTKDRRHRPKYDTLKSFDFYRIYAVAGPEIEEAKRILGVEAIDTRNHPVDHRG", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MATIKSELIKNFAEEEAIHHNKISIVGTGSVGVACAISILLKGLSDELVLVDVDEGKLKGETMDLQHGSPFMKMPNIVSSKDYLVTANSNLVIITAGARQKKGETRLDLVQRNVSIFKLMIPNITQYSPHCKLLIVTNPVDILTYVAWKLSGFPKNRVIGSGCNLDSARFRYFIGQRLGIHSESCHGLILGEHGDSSVPVWSGVNIAGVPLKDLNPDIGTDKDPEQWENVHKKVISSGYEMVKMKGYTSWGISLSVADLTESILKNLRRVHPVSTLSKGLYGINEDIFLSVPCILGENGITDLIKVKLTLEEEACLQKSAETLWEIQKELKL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGGEDEILSTMEDFAAVYDVLVIGCGPGGFTAAMQASQAGLLTACVDQRASLGGAYLVDGAVPSKTLLYESYLYRLLQQQELIEQRGTRLFPAKFDMQAAQSALKHNIEELGNVYKRELSKNNVTVYKGTAAFKDPHHVEIAQRGMKPFIVEAKYIVVATGSAVIQCPGVAIDNDKIISSDKALSLDYIPSRFTIMGGGTIGLEIACIFNNLGSRVTIVESQSEICQNMDNELASATKTLLQCQGIAFLLDTRVQLAEADAAGQLNITLLNKVSKKTYVHHCDVLMVSIGRRPLLKGLDISSIGLDERDFVENVDVQTQSLLKYPHIKPIGDVTLGPMLALKAEEQAIRAIQSIGCTGSDGTSNCGFPPNVLYCQPQIGWVGYTEEGLAKARIPYQKGRVLFSQNVRYNTLLPREENTTVSPFIKVLIDSRDMKILGVHMINDDANELLSQASMAVSLGLTAHDVCKVPFPHPSLSESFKQAVQLAMANGTSPGVHVRE", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MESNGVPMITLSSGIRMPALGMGTAETMVKGTEREKLAFLKAIEVGYRHFDTAAAYQTEECLGEAIAEALQLGLIKSRDELFITSKLWCADAHADLVLPALQNSLRNLKLDYLDLYLIHHPVSLKPGKFVNEIPKDHILPMDYKSVWAAMEECQTLGFTRAIGVCNFSCKRLQELMETANSPPVVNQVEMSPTLHQKNLREYCKANNIMITAHSVLGAVGAAWGTNAVMHSKVLHQIAVARGKSVAQVSMRWVYQQGASLVVKSFNEARMKENLKIFDWELTAEDMEKISEIPQSRTSSAAFLLSPTGPFKTEEEFWDEKD", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGKNHFLKDFSALPEDVLIENERGITLLGYPLFSPKILLPHVDPPQFQRLNTENGSLIALSKNTISNFIELYPIDLSTERTAGSSSSQMTKWFVLMDYKEKYDIDDQGWCYSWNFNNSRWKSKNGLVRRRVWVRLPTTSHGLD", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDQPAGGPGNPRPGEGDDGSMEPGTCQELLHRLRELEAENSALAQANENQRETYERCLDEVANHVVQALLNQKDLREECIKLKKRVFDLERQNQMLSALFQQKLQLTTGSLPQIPLTPLQPPSEPPASPSLSSTEGPAAPLPLGHCAGQREVCWEQQLRPGGPGPPAAPPPALDALSPFLRKKAQILEVLRALEETDPLLLCSPATPWRPPGQGPGSPEPINGELCGPPQPEPSPWAPCLLLGPGNLGGLLHWERLLGGLGGEEDTGRPWGPSRGPPQAQGTSSGPNCAPGSSSSSSSDEAGDPNEAPSPDTLLGALARRQLNLGQLLEDTESYLQAFLAGAAGPLNGDHPGPGQSSSPDQAPPQLSKSKGLPKSAWGGGTPEAHRPGFGATSEGQGPLPFLSMFMGAGDAPLGSRPGHPHSSSQVKSKLQIGPPSPGEAQGPLLPSPARGLKFLKLPPTSEKSPSPGGPQLSPQLPRNSRIPCRNSGSDGSPSPLLARRGLGGGELSPEGAQGLPTSPSPCYTTPDSTQLRPPQSALSTTLSPGPVVSPCYENILDLSRSTFRGPSPEPPPSPLQVPTYPQLTLEVPQAPEVLRSPGVPPSPCLPESYPYGSPQEKSLDKAGSESPHPGRRTPGNSSKKPSQGSGRRPGDPGSTPLRDRLAALGKLKTGPEGALGSEKNGVPARPGTEKTRGPGKSGESAGDMVPSIHRPLEQLEAKGGIRGAVALGTNSLKQQEPGLMGDPGARVYSSHSMGARVDLEPVSPRSCLTKVELAKSRLAGALCPQVPRTPAKVPTSAPSLGKPNKSPHSSPTKLPSKSPTKVVPRPGAPLVTKESPKPDKGKGPPWADCGSTTAQSTPLVPGPTDPSQGPEGLAPHSAIEEKVMKGIEENVLRLQGQERAPGAEVKHRNTSSIASWFGLKKSKLPALNRRTEATKNKEGAGGGSPLRREVKMEARKLEAESLNISKLMAKAEDLRRALEEEKAYLSSRARPRPGGPAPGPNTGLGQVQGQLAGMYQGADTFMQQLLNRVDGKELPSKSWREPKPEYGDFQPVSSDPKSPWPACGPRNGLVGPLQGCGKPPGKPSSEPGRREETPSEDSLAEPVPTSHFTACGSLTRTLDSGIGTFPPPDHGSSGTPSKNLPKTKPPRLDPPPGVPPARPPPLTKVPRRAHTLEREVPGIEELLVSGRHPSMPAFPALLPAAPGHRGHETCPDDPCEDPGPTPPVQLAKNWTFPNTRAAGSSSDPLMCPPRQLEGLPRTPMALPVDRKRSQEPSRPSPTPQGPPFGGSRTPSTSDMAEEGRVASGGPPGLETSESLSDSLYDSLSSCGSQG", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGKEQELVQAVKAEDVGTAQRLLQRPRPGKAKLLGSTKKINVNFQDPDGFSALHHAALNGNTELISLLLEAQAAVDIKDNKGMRPLHYAAWQGRKEPMKLVLKAGSAVNVPSDEGHIPLHLAAQHGHYDVSEMLLQHQSNPCIVDNSGKTPLDLACEFGRVGVVQLLLSSNMCAALLEPRPGDTTDPNGTSPLHLAAKNGHIDIIRLLLQAGIDINRQTKSGTALHEAALCGKTEVVRLLLDSGINAQVRNTYSQTALDIVHQFTTSQASKEIKQLLREASAALQVRATKDYCNNYDLTSLNVKAGDIITVLEQHPDGRWKGCIHDNRTGNDRVGYFPSSLGEAIVKRAGSRTGSEPSPPQGGGSLGPSAPPEEIWVLRKPFAGGDRSGSLSNVAGGRSTGGHALHAGAEGVKLLATVLSQKSVSESSPGDSPVKPPEGSSGAARSQPPAAHAGQVYGEQPPKKLESSSASEGKSAEAVSQWLATFQLQLYAPNFTSAGYDLPTISRMTPEDLTAIGVTKPGHRKKITAEISGLNIPDCLPEHKPANLAVWLSMIGLAQYYKVLVDNGYENIDFITDITWEDLQEIGITKLGHQKKLMLAVRKLAELQKAEYSKYEGGPLRRKAPQSLEMMAIESPPPSEPAAAECQSPKMTTFQDSELSGELQAALSGPAEAGAAAAEKSSNHLPATPRTTSRQESSLSGRARHMSSSQELLGDGPQGPGSPMSRSQEYLLDEGPAPGTPPKEVRSSRHGHSVKRASVPPVPGKPRQVLPSGVSHFTPPQTPTKAQPGSPQALGGPHGPATAKVKPTPQLLPPTDRPMSPRSLPQSPTHRGFAYVLPQPVEGEAGPPAPGPVPPPVPAAVPTLCLPPEADVEPRRPKKRAHSLNRYAASDSEPERDELLVPAAAGPYATVQRRVGRSHSVRAPAGTDKNVNRSQSFAVRPRKKGPPPPPPKRSSSAMASANLADEPSPDVETEDGRLGVRAQRRRASDLAGSVDTGSAGSVKSIAAMLELSSIGGGGRAIRRPPEGHPTPRPASPDPGRVATVLASVKHKEAIGPDGEVVNRRRTLSGPVTGLLATARRGPGEPAEQSHFMEDGTARQRLRGPAKGEAGVEGPPLARVEASATLKRRIRAKQSQQENVKFILTESDTVKRRPKAKEPDIGPEPPPPLSVYQNGTATIRRRPASEQAGPPELPPPPPPAEPPPTDLMPLPPLPLPDGSARKPVKPPVSPKPILAQPVSKIQGSPTPASKKVPLPGPGSPEVKRAHGTPPPVSPKPPPPPTAPKPAKALAGLQSSSATPSPVPSPARQPPAALIKPASSPPSQSASPAKPPSPGAPALQVPTKPPRAAASVVSGPPVASDCASPGDSARQKLEETSACLAAALQAVEEKIRQEDGQGPRPSSIEEKSTGSILEDIGSMFDDLADQLDAMLE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MREIVHIQIGQCGNQIGAKFWEMIGEEHGIDLAGSDRGASALQLERISVYYNEAYGRKYVPRAVLVDLEPGTMDSIRSSKLGALFQPDSFVHGNSGAGNNWAKGHYTEGAELIENVLEVVRHESESCDCLQGFQIVHSLGGGTGSGMGTLLMNKIREEYPDRIMNSFSVMPSPKVSDTVVEPYNAVLSIHQLIENADACFCIDNEALYDICFRTLKLTTPTYGDLNHLVSLTMSGITTSLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTAQGSQQYRALSVAELTQQMFDARNTMAACDLRRGRYLTVACIFRGKMSTKEVDQQLLSVQTRNSSCFVEWIPNNVKVAVCDIPPRGLSMAATFIGNNTAIQEIFNRVSEHFSAMFKRKAFVHWYTSEGMDINEFGEAENNIHDLVSEYQQFQDAKAVLEEDEEVTEEAEMEPEDKGH", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGSSSLLLFPSSSSSATHSSYSPSSSSHAITSLLPPLPSDHHLLLYLDHQEQHHLAAAMVRKRPASDMDLPPPRRHVTGDLSDVTAAAAGAPTLSASAQLPALPTQLPAFHHTDMDLAAPAPPAPQQVAAGEGGPPSTAWVDGIIRDIIASSGAAVSVAQLIHNVREIIRPCNPDLASILELRLRSLLNSDPAPPPPPPSHPALLPPDATAPPPPPTSVAALPPPPPAQPDKRRREPQCQEQEPNQPQSPKPPTAEETAAAAAAAAAAAAAAAKERKEEQRRKQRDEEGLHLLTLLLQCAESVNADNLDEAHRALLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPSPSPAGARVHGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMEALEATGKRLSDFADTLGLPFEFCPVADKAGNLDPEKLGVTRREAVAVHWLRHSLYDVTGSDSNTLWLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYSEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFGSWREKLAQSGFRVSSLAGSAAAQAALLLGMFPSDGYTLIEENGALKLGWKDLCLLTASAWRPIQASGR", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKCKPNQTRTYDPEGFKKRAACLCFRSEREDEVLLVSSSRYPDRWIVPGGGMEPEEEPGGAAVREVYEEAGVKGKLGRLLGVFEQNQDRKHRTYVYVLTVTELLEDWEDSVSIGRKREWFKVEDAIKVLQCHKPVHAEYLEKLKLGGSPTNGNSMAPSSPDSDP", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGQSSSISSSNEEGSSHSKKFTNSKDILAYFNNKAQQQVTIPELVSFKGNLQIEDLNTPISHKALCNSLYFPQNHAMIVGIVTNMLRVLSNFPLMKSSYEPITGYGLLKCILLLNRARCAKFLKTKSYDQLKLLFISLSLQKTDKEELSEESENDGNKELTIKQIITGFDDVDTEMLCIPADFMLQFLTWLLILTVDCPTTNSKLDNTETHDQWGNFKVSALNLLRTMNPDVVGDIESHSITFQQFSTAIRTVMPNLLKPLENLMEHFFYLQHDLVDHDTNLSSIQDSKVMTPALLAQLSTGLPKELFIHKLQSLYIGRKSGFSMRSLQAKVFKWMAPSILVVSGMRITNSEEYAAEKNPRYRHFLEEFPKLKESDQMMDASHLNKRKTTFAVYIDDPWKVTNKDYFGDLNTRIIEISPRQDIYKVNQKGTIYFNTIGGGIGIGDKQPLIKPASKRYIPGNVSLTFDSTLEFAVFRNTGYGGSLDPGLLSMERKEENSPYELHFLIQDVEVWGCGGEKELEEQIKQLEWEEAESKRRQQINLRSLGEDRALLEMAGLVGQHQGGGSM", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSCSKSMVLGYWDIRGLAHAIRMLLEFTDTSYEEKQYTCGEAPDYDRSQWLDVKFKLDLDFPNLPYLMDGKNKITQSNAILRYIARKHNMCGDTEEEKIRVDIMENQIMDFRMQLVRLCYNSNHESLKPQYLEQLPAQLKQFSLFLGKFTWFAGEKLTFVDFLTYDVLDQNRMFEPKCLDEFPNLKAFMCRFEALEKIAAFLQSDRCFKMPINNKMAKWGNKSIC", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNTELDMQNFEHIKFLENQISEDHFRWKKNSKHLYNLLITRTLTWPSLSIQWLSAMESITEKAVLKNRLLLGTHAAEGMPNFLQLADLDLPDFNQTILDPVKHYNEDTGELGGYSMQHSCKFQISQRILHNGDVNRVRHMPQNPNIIATMSSCGNAYIFDRTKYTSMPAEEFLPNISLIGHKKEGFGLSWNRQQNCRLVTAANDSKILEWDLNNFSRDTRCLTPVKDFHYDDSPVNDVEYHPHHTNLYIAVNDNGIAFICDNRLQQTCSKTVKASNPLFSVRHNPSIATLFALGSEQDLQLWDLRNLNKSVFNTSEDLSDNRLKVPSRLTLGGTSLSWSWRHSGRIVSACQEYCYVWNFNKANPLEFVHAGHKGTVNEVDFDPFEAQCIASVADDNELHIWKPNVIVN", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSYQKELEKYRDIDEDEILRTLSPEELEQLDCELQEMDPENMLLPAGLRQRDQTKKSPTGPLDREALLQYLEQQALEVKERDDLVPFTGEKKGKPYIQPKREIPAEEQITLEPELEEALAHATDAEMCDIAAILDMYTLMSNKQYYDALCSGEICNTEGISSVVQPDKYKPVPDEPPNPTNIEEILKRVRSNDKELEEVNLNNIQDIPIPMLSELCEAMKANTYVRSFSLVATRSGDPIANAVADMLRENRSLQSLNIESNFISSTGLMAVLKAVRENATLTELRVDNQRQWPGDAVEMEMATVLEQCPSIVRFGYHFTQQGPRARAAQAMTRNNELRRQQKKR", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MMVTLVTRKDIPPWVKVPEDLKDPEVFQVQSLVLKYLFGPQGSRMSHIEQVSQAMFELKNLESPEELIEVFIYGSQNNKIRAKWMLQSMAERYHLRQQKGVLKLEESMKTLELGQCIE", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEPPSCIQDEPFPHPLEPEPGVSAQPGPGKPSDKRFRLWYVGGSCLDHRTTLPMLPWLMAEIRRRSQKPEAGGCGAPAAREVILVLSAPFLRCVPAPGAGASGGTSPSATQPNPAVFIFEHKAQHISRFIHNSHDLTYFAYLIKAQPDDPESQMACHVFRATDPSQVPDVISSIRQLSKAAMKEDAKPSKDNEDAFYNSQKFEVLYCGKVTVTHKKAPSSLIDDCMEKFSLHEQQRLKIQGEQRGPDPGEDLADLEVVVPGSPGDCLPEEADGTDTHLGLPAGASQPALTSSRVCFPERILEDSGFDEQQEFRSRCSSVTGVQRRVHEGSQKSQPRRRHASAPSHVQPSDSEKNRTMLFQVGRFEINLISPDTKSVVLEKNFKDISSCSQGIKHVDHFGFICRESPEPGLSQYICYVFQCASESLVDEVMLTLKQAFSTAAALQSAKTQIKLCEACPMHSLHKLCERIEGLYPPRAKLVIQRHLSSLTDNEQADIFERVQKMKPVSDQEENELVILHLRQLCEAKQKTHVHIGEGPSTISNSTIPENATSSGRFKLDILKNKAKRSLTSSLENIFSRGANRMRGRLGSVDSFERSNSLASEKDYSPGDSPPGTPPASPPSSAWQTFPEEDSDSPQFRRRAHTFSHPPSSTKRKLNLQDGRAQGVRSPLLRQSSSEQCSNLSSVRRMYKESNSSSSLPSLHTSFSAPSFTAPSFLKSFYQNSGRLSPQYENEIRQDTASESSDGEGRKRTSSTCSNESLSVGGTSVTPRRISWRQRIFLRVASPMNKSPSAMQQQDGLDRNELLPLSPLSPTMEEEPLVVFLSGEDDPEKIEERKKSKELRSLWRKAIHQQILLLRMEKENQKLEASRDELQSRKVKLDYEEVGACQKEVLITWDKKLLNCRAKIRCDMEDIHTLLKEGVPKSRRGEIWQFLALQYRLRHRLPNKQQPPDISYKELLKQLTAQQHAILVDLGRTFPTHPYFSVQLGPGQLSLFNLLKAYSLLDKEVGYCQGISFVAGVLLLHMSEEQAFEMLKFLMYDLGFRKQYRPDMMSLQIQMYQLSRLLHDYHRDLYNHLEENEISPSLYAAPWFLTLFASQFSLGFVARVFDIIFLQGTEVIFKVALSLLSSQETLIMECESFENIVEFLKNTLPDMNTSEMEKIITQVFEMDISKQLHAYEVEYHVLQDELQESSYSCEDSETLEKLERANSQLKRQNMDLLEKLQVAHTKIQALESNLENLLTRETKMKSLIRTLEQEKMAYQKTVEQLRKLLPADALVNCDLLLRDLNCNPNNKAKIGNKP", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MATENPQTWALWLILSEMASDMQEDKKVAKILVLGPPKAGKTTLCTFLADFMEDGDTLKKGEDSGERERKFEFEFTSVYRPTKGVRIQEFETHEFFTEQEQNELGGTRRLEDSEIQLWDVSGDKKYEDCWPAIKENAEGVILVVNPEEHKGSDLQQWFYEFVEKENIDLSCVMVILNEQGAKKTNHEQISGFEILPKLRGVHHVAHHFGSEAMQVKMEVNSFMASVLKMDQRQMGSGVDHGLGYADEQEDDF", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFLKTPNWETVNETPKSRVLTINELISPNLDTESNSLLATPARKYFKTSISEAQDSPTSAPSPDGNEDPTYQYNVQFHFPGPITPTTPRSKNAEMFPSPTPPLVSPTAVIEEENDDSVREFSRTLKSRLNCAMVKLSKEHEQVALIPPPPTEKIRKGSYSNKFAAKHRRCHSLDESKKFLSSLEDSSAHAAFLKAISSKHAKSNRVDNVNVSPLRWSSHRRTQSTQENSLQEVVAIDTLLKMSSSD", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAPEPAPRRGREREREDESEDESDILEESPCGRWQKRREQVNQGNMPGIQSTFLAMDTEEGVEVVWNELHFGDRKAFAAHEEKIQTMFEQLALVDHPNIVKLHKYWLDASEARARVIFITEYVSSGSLKQFLKKTKKNHKAMNARAWKRWCTQILSALSFLHACSPPIIHGNLTSDTIFIQHNGLIKIGSVWYRIFSNALPDDLRSPIRAEREELRNLHFFPPEYGEVNDGTAVDIFSFGMCALEMAVLEIQANGDTRVTEEAIARARHSLSDPNMREFILSCLARDPARRPSAHNLLFHRVLFEVHSLKLLAAHCFIQHQYLMPENVVEEKTKAMDLHAVLAEMPQPHGPPMQWRYSEVSFLELDKFLEDVRNGIYPLMNFAAARPLGLPRVLAPPPEEAQKAKTPTPEPFDSETRKVVQMQCNLERSEDKARWHLTLLLVLEDRLHRQLTYDLLPTDSAQDLAAELVHYGFLHEDDRTKLAAFLETTFLKYRGTQA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MREDHSPRRNNTIENTLTELLPNRLYFGCFPNPDAIDKSDKSVKKTCFININNKFHYEPFYEDFGPWNLSVLYRLCVQVGKLLEVEEKRSRRVVLFCQDDGTGQYDKIRVNTAYVLGAYLIIYQGFSADDAYLKVSSGETVKFVGFRDASMGSPQYLLHLHDVLRGIEKALKFGWLDFSDFDYEEYEFYERVENGDFNWIIPGKILSFCGPHNESREENGYPYHAPDVYFDYFRENKVSTIVRLNAKNYDASKFTKAGFDHVDLFFIDGSTPSDEIMLKFIKVVDNTKGGVAVHCKAGLGRTGTLIACWMMKEYGLTAGECMGWLRVCRPGSVIGPQQPYLIEKQKFCWSLSQSNGVHLTQNKEEKRNVRRLVNQVDDINLGEERISPKSRENTRPNILRRRVQVQNGRSTAPVTIAPAGTSESRRSTKPSRVVDETALDDQGRSQGDRLLQLKAKHQHESETTSPNSSSSRRFVKSSTPQMTVPSQAYLNRNREPIIVTPSKNGTSSGTSSRQLKTTPNGNVAYRTRNSSGNTTSTLTRTPASAVFPSMASRRSETTRYLSPTTPIKPMSPSYTDGTSPRYKARLRSENPIGSTTSTPFSLQPQFGLVRVPPDSPHSIMAHRPPPTTSSRAPLSPHNYSTTQGYSTSSRGLYGDKKPLARGSVSTSTLPSMYMTRKMSENGAVEVEITTSYVEDRKAAEQIDPSSTPPEPKRRFVLVSRKIVSKEVPSAGPTCSNDPRLNYASTRKLPIKISHRLCQICRTIKPIADISMMTDENEKLFILLAAIFQRKLFVEECTRIYKQPAVYTCSSHYSEASTAILNMFGVGGALRIFRARPRKVSQVEKFASHLMGKQFEEDKLIHSAYMFVLNHPEAGAVTGPIIKEEDIPFEETVECSSTTAVNGILPKCFRQPRKQKLEEDSCSSSILRIIKKTTPSLPNRSYTMINQNMEHPLQCCYCLEVYEKRYMTQVPKTEQRIARWVAILGEQFRIRLRMKPANYMCRKHFPQADFSSRGRLLKTAVPNVVSQEKVLAFKLEGNTFVEVKEDNTNIKMEKGTDSEIDIEN", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MARGKRSTQRDADLELESLQSEIESESPQPVTKSKAKKNKKKLNKASAFNSDNDSNYDLKPEDDEVDEEVVPVKKKPSKKSKKAKANAFEAFADEQSVEEEEEEDSEKPVRKNKKSSKKASPKNAFDALADDMDDLSLDEEESESSEKSKKKKKKSKSKDDGSEALDDGDIESSEKDKKKKKKSKENDDAPKKDRKTRKKEEKARKLASMLESENKDNDANAAPLNKTDAFKDGLPSGRLIFAYASGQKVAPDGSNPADGITVTGNLLSPPNSRDLQVEKLSVSAWGKLLIKDSELNLINGRRYGLIAPNGSGKSTLLHAIACGLIPTPSSLDFYLLDREYIPNELTCVEAVLDINEQERKHLEAMMEDLLDDPDKNAVELDTIQTRLTDLETENSDHRVYKILRGLQFTDEMIAKRTNELSGGWRMRIALARILFIKPTLMMLDEPTNHLDLEAVAWLEEYLTHEMEGHTLLITCHTQDTLNEVCTDIIHLYHQKLDYYSGNYDTFLKVRAERDVQLAKKARQQEKDMAKLQNKLNMTGSEQQKKAKAKVKAMNKKLEKDKQSGKVLDEEIIQEKQLVIRFEDCGGGIPSPAIKFQDVSFNYPGGPTIFSKLNFGLDLKSRVALVGPNGAGKTTLIKLILEKVQPSTGSVVRHHGLRLALFNQHMGDQLDMRLSAVEWLRTKFGNKPEGEMRRIVGRYGLTGKSQVIPMGQLSDGQRRRVLFAFLGMTQPHILLLDEPTNALDIDTIDALADALNNFDGGVVFITHDFRLIDQVAEEIWIVQNGTVKEFDGEIRDYKMMLKQQIAKEREEERRIELEKQKK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSADYSSEKFKATHLFDEILWHFRSNLSLKTNRRGLATAENSFSGKEAVDFLMIEMPRIIPNNVPERDKMQKFLEFMMDMNVISEAFPKKVKQRRPFSESRIYLFMKTLDELKHPKPRSRRSASFSGARKSAKVAQPASPAATMHRPPKARLPRRLSRSNGNIDKAGIDNSSGGVENHGFDDHKDDEIPKKQRTPKILNRSLESICTEEYTEKREVSEMKEKVYDWLPFFKSRRNHTKVNQPTRRSASLDRNHCVLEQEKAEAALRSQKVTTPPIREAPKDVVFMHPQGPLPAVPSRYQNHRTSIAGSNPALLSRGRMYESIMRRSSVVPVADSVQANNECSFWKTELLGRLEQIYDRTLPCEWASKVDGYDIQWNMIEIDHADGIVKSRCQGLQPDYPQTVIQFMDYLVRYPFVTHKKMDTGLEYNVNRIFITLVNRLEDLNAPLQFDECSLIVNLLCKIDSFAAMLDNGPARRWSKVMISSSASSIEEAGLMVDGFSRDLPACGIRASKYRRRALSPFDNRVNLEIQDEKSYEIREQWLIEAIQLVLLSLPTSRRRKLHKFVTFIQSIETNAVFDLADPSNGSSNNREAAIIGLWTGVCSKCRKQQGMLITAVLLANFQSLFAVPVEFIEQVKRLECEEKDRYSGPRYAKITRSRNDWQPPVPDKPQASPAVFKKPLREVACEKTKKGLFTRLLRK", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MQNEPMFQVQVDESEDSEWNDILRAKGVIPERAPSPTAKLEEALEEAIAKQHENRLEDKDLSDLEELEDDEDEDFLEAYKIKRLNEIRKLQERSKFGEVFHINKPEYNKEVTLASQGKKYEGAQTNDNGEEDDGGVYVFVHLSLQSKLQSRILSHLFQSAACKFREIKFVEIPANRAIENYPESNCPTLIVYYRGEVIKNMITLLELGGNNSKMEDFEDFMVKVGAVAEGDNRLIMNRDDEESREERKLHYGEKKSIRSGIRGKFNVGIGGNDDGNINDDDDGFFD", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPECWDGEHDIETPYGLLHVVIRGSPKGNRPAILTYHDVGLNHKLCFNTFFNFEDMQEITKHFVVCHVDAPGQQVGASQFPQGYQFPSMEQLAAMLPSVVQHFGFKYVIGIGVGAGAYVLAKFALIFPDLVEGLVLVNIDPNGKGWIDWAATKLSGLTSTLPDTVLSHLFSQEELVNNTELVQSYRQQIGNVVNQANLQLFWNMYNSRRDLDINRPGTVPNAKTLRCPVMLVVGDNAPAEDGVVECNSKLDPTTTTFLKMADSGGLPQVTQPGKLTEAFKYFLQGMGYIAYLKDRRLSGGAVPSASMTRLARSRTASLTSASSVDGSRPQACTHSESSEGLGQVNHTMEVSC", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSFQIETVPTKPYEDQKPGTSGLRKKTKVFKDEPNYTENFIQSIMEAIPEGSKGATLVVGGDGRYYNDVILHKIAAIGAANGIKKLVIGQHGLLSTPAASHIMRTYEEKCTGGIILTASHNPGGPENDMGIKYNLSNGGPAPESVTNAIWEISKKLTSYKIIKDFPELDLGTIGKNKKYGPLLVDIIDITKDYVNFLKEIFDFDLIKKFIDNQRSTKNWKLLFDSMNGVTGPYGKAIFVDEFGLPADEVLQNWHPSPDFGGMHPDPNLTYASSLVKRVDREKIEFGAASDGDGDRNMIYGYGPSFVSPGDSVAIIAEYAAEIPYFAKQGIYGLARSFPTSGAIDRVAKAHGLNCYEVPTGWKFFCALFDAKKLSICGEESFGTGSNHVREKDGVWAIMAWLNILAIYNKHHPENEASIKTIQNEFWAKYGRTFFTRYDFEKVETEKANKIVDQLRAYVTKSGVVNSAFPADESLKVTDCGDFSYTDLDGSVSDHQGLYVKLSNGARFVLRLSGTGSSGATIRLYIEKYCDDKSQYQKTAEEYLKPIINSVIKFLNFKQVLGTEEPTVRT", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDSPGYNCFVDKDKMDAAIQDLGPKELSCTELQELKQLARQGYWAQSHALRGKVYQRLIRDIPCRTVTPDASVYSDIVGKIVGKHSSSCLPLPEFVDNTQVPSYCLNARGEGAVRKILLCLANQFPDISFCPALPAVVALLLHYSIDEAECFEKACRILACNDPGRRLIDQSFLAFESSCMTFGDLVNKYCQAAHKLMVAVSEDVLQVYADWQRWLFGELPLCYFARVFDVFLVEGYKVLYRVALAILKFFHKVRAGQPLESDSVKQDIRTFVRDIAKTVSPEKLLEKAFAIRLFSRKEIQLLQMANEKALKQKGITVKQKSVSLSKRQFVHLAVHAENFRSEIVSVREMRDIWSWVPERFALCQPLLLFSSLQHGYSLARFYFQCEGHEPTLLLIKTTQKEVCGAYLSTDWSERNKFGGKLGFFGTGECFVFRLQPEVQRYEWVVIKHPELTKPPPLMAAEPTAPLSHSASSDPADRLSPFLAARHFNLPSKTESMFMAGGSDCLIVGGGGGQALYIDGDLNRGRTSHCDTFNNQPLCSENFLIAAVEAWGFQDPDTQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVNVVLGSQWGDEGKGKLVDLLVGKYDIVARCAGGNNAGHTIVVDGVKYDFHMLPSGLVNPNCQNLLGNGVVIHVPSFFKELETLEAKGLKNARSRLFVSSRAHLVFDFHQVTDKLRELELSGRSKDGKNIGTTGKGIGPTYSTKASRSGLRVHHLVNDQPGAWEEFVARYKRLLETRRQRYGDFEYDFEAKLAEYKKLREQLKPFVVDSVVFMHNAIEAKKKILVEGANALMLDIDFGTYPYVTSSNTGIGGVLTGLGIPPRTIDEIYGVVKAYTTRVGEGPFPTEQLNENGEKLQTIGAEFGVTTGRKRRCGWLDLVVLKYSTLINGYTSLNITKLDVLDTFKEIPVGISYSIQGKKLDLFPEDLNILGKVEVEYKVLPGWDQDITKITKYEDLPENAKKYLKYIEDFVGVPVEWVGTGPARESMLHKEIK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNKHFAKLSQWTGEKFGFDRQKTTLSDDFCSLKGETDAWLVGMDKIHRSCTRWVRNMDKRKGLLDEKDKQLPVTHVGSSFVELGQALSHSSSNSHTYIMYGKSMVEIGHLQEEFMDYLNNSFLANLENSLAEFKALDVKEKKMENRRLVFDALSTKIQKAKKEESKLEEDLRNARAKYEESLEEFEDRMVQLKELEPDRVENVVRLLQMQIRFHQKSLDLLKGLEMNGFSKKRDNVNIPKRTYSARSIPSNISSTNVATTPSNTIFEMDDTLKADSSSNEYHSPTNTLPSYHTEADLDNSSIASSNRTQHTEDNYNKDVSDAQNSLGQSAVDLTTPSSPPIPRHTKPKLSTTQSTPVKPASLQSEEDIQLSFKQPELSASSAELDEKLKSQCNVSPSPSNISDAPPSKLNRSYSSPLASISSRKVVRMKYSFEPETENELKLKKGDLLLVLKEIDEGWWVGEKLGEDGVFTGNTGMFPSNYCVPAHPWDKTFRAFLKKGFK", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAKVQLSPKEITLFRTALKCYETKQYKKGLKAIEPLLERHPEHGESLAIKGILLHSLGNTKEGYDNVRLGLRNDVGSGVCWHIFGLISRADKDYVQAAKCYINAHKLEKNNSSLLRDLALLQSQLRQYKALADTRNALLQDNPGVRANWSALAVAQFLRGEYASAYKIVDAFESTINQGVPVDTQEESEAMLFMNLVILKKDGVEDAYKHLLSIEKKVLDRVAFLETRAEYELYLSKMEEAKSTIYLLLDRNPDNHQYYYNLQRAYGYEDASGKVLDSAEWLNLYSQLAKRYPKSECPTRLPLEKLEGDEFLTHVDLYLRKKLKRGIPSVFVDVKSLYKDTKKCKVVEDLVSKYASSLSTTNKFSEDDDNSQIEIPTTLLWTYYFLAQHFDHVGELEKAEKYVDLAIDHTPTLVELFMTKARISKHKGELQTAMEIMDHARKLDLQDRFINGKCAKYMLRNDENELAAKTVSLFTRNEAVGGAVGDLADMQCLWYMLEDGKSFARQKKFALALKRFSTVFKIFDTWADDQFDFHFFAFRKGSLRTYLDLMSWEDSVYDDPSFREAAQGSIEIYFALFDLPFAKYSPKLPDFEKLSSGEINEEEEKKIYKKLKKDLSKRLERAEKLKEADKSRAKSEDGMPVKYDEDPLGENLVATSEPLKEAQKCLEKLLPYGDKNPSAYILAAQLYTRLKNFDTASKYLEQAKVILGQNDPTVISTEKFYNSIKTQSN", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSLSHLTLDQYYEIQCNELEAIRSIYMDDFTDLTKRKSSWDKQPQIIFEITLRSVDKEPVESSITLHFAMTPMYPYTAPEIEFKNVQNVMDSQLQMLKSEFKKIHNTSRGQEIIFEITSFTQEKLDEFQNVVNTQSLEDDRLQRIKETKEQLEKEEREKQQETIKKRSDEQRRIDEIVQRELEKRQDDDDDLLFNRTTQLDLQPPSEWVASGEAIVFSKTIKAKLPNNSMFKFKAVVNPKPIKLTSDIFSFSKQFLVKPYIPPESPLADFLMSSEMMENFYYLLSEIELDNSYFNTSNGKKEIANLEKELETVLKAKHDNVNRLFGYTVERMGRNNATFVWKIRLLTEYCNYYPLGDLIQSVGFVNLATARIWMIRLLEGLEAIHKLGIVHKCINLETVILVKDADFGSTIPKLVHSTYGYTVLNMLSRYPNKNGSSVELSPSTWIAPELLKFNNAKPQRLTDIWQLGVLFIQIISGSDIVMNFETPQEFLDSTSMDETLYDLLSKMLNNDPKKRLGTLELLPMKFLRTNIDSTINRFNLVSESVNSNSLELTPGDTITVRGNGGRTLSQSSIRRRSFNVGSRFSSINPATRSRYASDFEEIAVLGQGAFGQVVKARNALDSRYYAIKKIRHTEEKLSTILSEVMLLASLNHQYVVRYYAAWLEEDSMDENVFESTDEESDLSESSSDFEENDLLDQSSIFKNRTNHDLDNSNWDFISGSGYPDIVFENSSRDDENEDLDHDTSSTSSSESQDDTDKESKSIQNVPRRRNFVKPMTAVKKKSTLFIQMEYCENRTLYDLIHSENLNQQRDEYWRLFRQILEALSYIHSQGIIHRDLKPMNIFIDESRNVKIGDFGLAKNVHRSLDILKLDSQNLPGSSDNLTSAIGTAMYVATEVLDGTGHYNEKIDMYSLGIIFFEMIYPFSTGMERVNILKKLRSVSIEFPPDFDDNKMKVEKKIIRLLIDHDPNKRPGARTLLNSGWLPVKHQDEVIKEALKSLSNPSSPWQQQVRESLFNQSYSLTNDILFDNSVPTSTPFANILRSQMTEEVVKIFRKHGGIENNAPPRIFPKAPIYGTQNVYEVLDKGGTVLQLQYDLTYPMARYLSKNPSLISKQYRMQHVYRPPDHSRSSLEPRKFGEIDFDIISKSSSESGFYDAESLKIIDEILTVFPVFEKTNTFFILNHADILESVFNFTNIDKAQRPLVSRMLSQVGFARSFKEVKNELKAQLNISSTALNDLELFDFRLDFEAAKKRLYKLMIDSPHLKKIEDSLSHISKVLSYLKPLEVARNVVISPLSNYNSAFYKGGIMFHAVYDDGSSRNMIAAGGRYDTLISFFARPSGKKSSNTRKAVGFNLAWETIFGIAQNYFKLASGNRIKKRNRFLKDTAVDWKPSRCDVLISSFSNSLLDTIGVTILNTLWKQNIKADMLRDCSSVDDVVTGAQQDGIDWILLIKQQAYPLTNHKRKYKPLKIKKLSTNVDIDLDLDEFLTLYQQETGNKSLINDSLTLGDKADEFKRWDENSSAGSSQEGDIDDVVAGSTNNQKVIYVPNMATRSKKANKREKWVYEDAARNSSNMILHNLSNAPIITVDALRDETLEIISITSLAQKEEWLRKVFGSGNNSTPRSFATSIYNNLSKEAHKGNRWAILYCHKTGKSSVIDLQR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTKNFIVTLKKNTPDVEAKKFLDSVHHAGGSIVHEFDIIKGYTIKVPDVLHLNKLKEKHNDVIENVEEDKEVHTN", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSAQKAPKWYPSEDVAALKKTRKAARPQKLRASLVPGTVLILLAGRFRGKRVVYLKHLEDNTLLISGPFKVNGVPLRRVNARYVIATSTKVSVEGVNVEKFNVEYFAKEKLTKKEKKEANLFPEQQNKEIKAERVEDQKVVDKALIAEIKKTPLLKQYLSASFSLKNGDKPHMLKF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTRVTRDFRDSLQRDGVPAVSADVKFASSRFPNYRIGANDQIFDVKDDPKVMSMKEVVARETAQLMDQQKRLSVRDLAHKFEKGLAAAAKLSEEAKLKEATSLEKHVLLKKLRDALESLRGRVAGRNKDDVEEAIAMVEALAVQLTQREGELFIEKAEVKKLASFLKQASEDAKKLVDEERAFARAEIESARAAVQRVEEALREHEQMSRASGKQDMEDLMKEVQEARRIKMLHQPSRVMDMEYELRALRNQLAEKSKHFLQLQKKLAMCRKSEENISLVYEIDGTEALGSCLRVRPCSNDAPDLSKCTIQWYRSSSDGSKKELISGATKSVYAPEPFDVGRVLHADIIYDGHSLSLSTVGKIDPAAGLGSYVEALVRKHDVDFNVVVTQMSGEDHTSESIHLFHVGKMRIKLCKGKTVIAKEYYSSAMQLCGVRGGGNAAAQALYWQAKKGVSFVIAFESERERNAAIMLARRFACDCNVTLAGPEDRTETGQSP", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASSPTPSLDSMRGGANSIESYEHAGYLSDSPLTLSGSSPPASDSAICSDEYTGGSSVKSRSEVTVINGHHPISASVSSSSSASSSSCSSSSSSSSSSSSSSSSTSGLSGCGSTSSSVISANNVASSNGPGVIGSNLQSSNNGGNSGISSLVVGAGKGSNSSSNSSSSNTSANGSPPRCTACKSKCSDAVAKCFECQSYLCANCVTAHEFMHCFNGHNVCLIKGFEASTTGTPLSVGSPSNNPASNEFKYASSLTMMLQQQQQLDSQQQQQQQQLLPAQPMSQLSKIVLAAAAQANSQEQQREDSIYGSLHPQQQQQQQQQQQRQLFCPRHKQELLKFSCRTCCILVCKECIVLEHSTGLHELENVQSPGMTTSTGSTANESALQTLLADMRGKIGEIVGIAGNSDQNLTKVKLQYQKAHNELNETHQFFASMLDERKTELLKELETLYTAKVNSNNSWQQRSRDLIDKGLATCEAVERSPAPPSSLLTEALLLRKSLEQQLQTGIQEMQLPFEIEFMSNYQSIQAGVRNTFGYIRANSSDGGPTGMSLTSNGHGKQPPIARPTQSASNSSASSAGSGHHGHHQQSHHHGHHNHHQTAHHQQLQAQSSLHGLGLGLSGASLLDSSSSAGGAVGAFSNGGLLLGGRDRNALAVEQHFGELMPKRGGGGYTGSNGSATSAVAHYNPYEKWSNGGSDNLFSSVTSGVSGSSAVADAFASLSAVGGSVVSGAGAGGSTVSSESLLDLTNKLLSATIYPPKSQIKRQKMIYHCKFGEFGVMEGQFTEPSGVAVNAQNDIIVADTNNHRIQIFDKEGRFKFQFGECGKRDSQLLYPNRVAVVRNSGDIIVTERSPTHQIQIYNQYGQFVRKFGATILQHPRGVTVDNKGRIIVVECKVMRVIIFDQNGNVLHKFGCSKHLEFPNGVVVNDKQEIFISDNRAHCVKVFNYEGQYLRQIGGEGITNYPIGVGINSNGEILIADNHNNFNLTIFTQDGQLISALESKVKHAQCFDVALMDDGSVVLASKDYRLYIYRYVQLAPVGM", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRNTHNPNETEASEDAENDTQSESDLSFDHGSSEKLNRASLPKTQNSAIPQSNALNTTPNESTSQIDSSPKIPSAVPHISTPNPSSGASTPNIKRVSDFKFGEILGEGSYSTVLTATENSTKREYAIKVLDKRHIIKEKKEKYVNIEKEALCILSKHPGFIKLFYTFQDAHNLYFVLSLARNGELLDYINKLGRFNEICAQYYAALIVDSIDYMHGRGVIHRDLKPENILLDDNMRTKITDFGSAKILNSSHGSHEEDTHHADKPQAHSRSFVGTARYVSPEVLSDKIAGTASDIWAFGCILFQMLAGKPPFVAGNEYLTFQSILHLSYEIPPDISDVASDLIKKLLVLDPKDRLTVDEIHQHPFFNGIKFDNTLWELPPPRLKPFGHTSVLSLSVPNASNKHENGDLTSPLGVPSMVSASTNAAPSPVGTFNRGTLLPCQSNLEEENKEWSSILQDDEKISKIGTLNVYSMSGINGNDAFRFFSSLFRKRKPRTFILTNFGRYLCVASDGEGRKTVKEEIPIKSVGMRCRMVKNNEHGWVVETPTKSWSFEDPNGPASAWVELLDKASSISLPFGNHSVTSFSRSIARSAV", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMSNENFDNDYNLPPPNDSAEDLKIFIKRYERSVDSTLLEIDENKREALEKYIEERDRKMKYEIECNERLQGWKKLAIEREISEEQSGEVQFPRWIDEWANTKLGGIFERIFSKMDSMQNDMNSRFDAMQNEMNSRFDTVQNEMTSMKGEMAEMKVEMVEMKRETIRLNTRIDLLEQKTEARFQSIEQRFNSIDQRFNSIDRRFDSMEQRLDSMDQKMETIDARSCRSIMLTRKLENTTRSDQGYLA", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEHQGQHGHVTSRVDEYGNPVGTGAGHGQMGTAGMGTHGTTGGMGTHGTTGGMGTHGTTGTGGGQFQPMREEHKTGGVLQRSGSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGEQQHAMGGTGGAYGQQGHGTGMTTGTTGAHGTTTTDTGEKKGIMDKIKEKLPGQH", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAGVEEVAASGSHLNGDLDPDDREEGAASTAEEAAKKKRRKKKKSKGPSAAGEQEPDKESGASVDEVARQLERSALEDKERDEDDEDGDGDGDGATGKKKKKKKKKRGPKVQTDPPSVPICDLYPNGVFPKGQECEYPPTQDGRTAAWRTTSEEKKALDQASEEIWNDFREAAEAHRQVRKYVMSWIKPGMTMIEICEKLEDCSRKLIKENGLNAGLAFPTGCSLNNCAAHYTPNAGDTTVLQYDDICKIDFGTHISGRIIDCAFTVTFNPKYDTLLKAVKDATNTGIKCAGIDVRLCDVGEAIQEVMESYEVEIDGKTYQVKPIRNLNGHSIGQYRIHAGKTVPIVKGGEATRMEEGEVYAIETFGSTGKGVVHDDMECSHYMKNFDVGHVPIRLPRTKHLLNVINENFGTLAFCRRWLDRLGESKYLMALKNLCDLGIVDPYPPLCDIKGSYTAQFEHTILLRPTCKEVVSRGDDY", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MALVPYEETTEFGLQKFHKPLATFSFANHTIQIRQDWRHLGVAAVVWDAAIVLSTYLEMGAVELRGRSAVELGAGTGLVGIVAALLGAHVTITDRKVALEFLKSNVQANLPPHIQTKTVVKELTWGQNLGSFSPGEFDLILGADIIYLEETFTDLLQTLEHLCSNHSVILLACRIRYERDNNFLAMLERQFTVRKVHYDPEKDVHIYEAQKRNQKEDL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASGDFCSPGEGMEILQQVCSKQLPPCNLSKEDLLQNPYFSKLLLNLSQHVDESGLSLTLAKEQAQAWKEVRLHKTTWLRSEILHRVIQELLVDYYVKIQDTNVTSEDKKFHETLEQRLLVTELMRLLGPSQEREIPPLLGLEKADLLELMPLSEDFVWMRARLQQEVEEQLKKKCFTLLCYYDPNSDADSETVKAAKVWKLAEVLVGEQQQCQDAKSQQKEQMLLLEKKSAAYSQVLLRCLTLLQRLLQEHRLKTQSELDRINAQYLEVKCGAMILKLRMEELKILSDTYTVEKVEVHRLIRDRLEGAIHLQEQDMENSRQVLNSYEVLGEEFDRLVKEYTVLKQATENKRWALQEFSKVYR", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKQRKGQGSGGSRGRKKRGLSDISPSTSLPPLVEGQLRCFLKLTVNRVIWKIAKPPTCVLVRVRWWGETSDGTLFCPRDALQTEPKAVRTTTRYAIRCGPKQFTSYLTDMAVLVLEVITKLDGLPIGRVQINGLAQLSPTHQINGFFTIVSSTSKKLGELQVSLALEPLSETYDSYHPLPTTDMTENVLLSKQGFRENTEPSSTQFQVPSRPRDIHTIKIDGKELAANSSRSTTPRGKDHVCFAENPDTIKDSSFGLQHSLNSGQSLESVTLKGRAPRKQMSLLNSSEFQPQIRTVAKSHSDSCILSSNNLPTKDLLSALLEQGNKLRNAMVISAMKSSPETSMLLDQVHPPINEDSLRASTQIRAFSRNRFKDHIEDHLLPSTENTFWRHDTKADTRAIQLLLGSAELSQGNFWDGLGSPPDSPSPGSDVYCISELNDPQYDQSLLENLFYTAPKSDTSISDFLSEEDDIVPSKKISQSTALARSSKVLESSDHKLKKRSAGKRNRNLVEQQMLSETPEDAQTMTLSVDRLALLGRTHSVRIIIETMGVPPDSPQMTPGKKSYAGPPPKVTTAKKRTFFVEYHFPVGFSESGLGKTALITEVVRLASSKITDGKVKFQQRFVFPVQFGGPMIEHWWNSNLTFQIYVKKTPQKKPEVIGSVSLSLRAVIQSELLSFSDQLPVQQENGQSPFGPLKVTMELITDNKDFTGINTKLSGNTHYTPLCAPTSPNKALPELNQDMTCTKNPQNLNQIHEETAKKAQNLVLPNRKSPSPVAPHPSTFVATPASHNLVNQTNGTTKESALLLHVLLMVPDGKDFISGESEKQSPCNVYLNCKLFSTEEVTRSVIAWGTTQPVFNFSQVIPVSLSSKYLERLKNNVMVIETWNKVRSPGQDKLLGLVKLPLHQFYMSFKDAKISRLLLDAQYPVVAVDSYMPVIDVFSGHQNGSLRVFLAMGSSNQIMALQRLKNEEGTLPPFSPRPAHFLDQPTAASVAMAEDRGNGLMEHCFEIHIEMVKGLAPLQATVWGEADCYVQYYFPVQHSQSSVLKGPEFLENGITLKPFRTATTLCVPDPIFNSEHHHSLLLPAEVPVQRLLLSAFSAQGLVPGGGVQFEIWCRYYYPNVRDQKVAKGTLPLSRICAMVTTQHREDVGIQTFNLPLTPRIENRKELRNQSSGLLDVGLRYRRSPRTAEGVLAARTVSISVQIIRACGLQAAAKALAEREPALQFSATVGVNASVTTHLSFLPQGEQRRTHPVACSFCPEFSHHVEFTCNLVTQHCSGEACFLAELLEFAEVIFAVYHENTKSASDIISIESCKEYLLGVVKVPTKELLIKRSGITGWYPIILPEDGGLPHGLELMQKIVGGLELSISFTHRGDRERVLEAAEHLGWSFENSLKDFVRMDEGEPATVTISTPRLWLPIHCVLLAGHNHIHKNTYCYLRYKFYDHEAFWTPLKKPKESVNKKQIMVTFKASKRAEVTRGPSLLWYFREERLEIQVWRAYGNDSVERPHQTDSWIGSAYVDLARLGERSARTLTVSGVYPLFGRNASNLSGAALRVHVVLSSLSSHLEPTHELDSMDCSSHSESEQLPRRNDEVQLSPPEVISCHQKSPASTQVPCSSTTAEVRLTQEGPADLDGTFAVSILVERAMHLSLKGSPLTERKVSIPSCCVSFATADESSPVYTQVVENTDSPIWNFQQQSRLSKELLLDPQQTLVFKVWHKGDEERVIGFASVDLSPLLSGFQFVCGWYNITDFSGECQGQIKVAVSPLESLIHFKEERQARRGVETSKSLIPIYSPFSFPASDTYAAFSSHMARQTLDQLAHASSKELDFSSPGRSDTTRSQASRHEEHVQNIRRFHESLHLQGEAPLPCDDKLTTSPLSSQTSILTSLRKNLSELDQIQRYFRQKLTKPFLPLSPQTQTAISQHQESCRDHLGPGASSLDPGSQCILEKSSNLVLQVSSLITDLQTITRDSQAALSSHRARSRSNKATTLPDAQDTEALQERCTMPDEPLVRAPDKGTDSPSPPPLEETSNGGRMLHESLRHAVPITRMQSSEDTEAGPAYSDEDYEEDIIEPRTLNEITTVTDKTSPWSSVISDTSEVISPQPDEVQREGPSCPSPGPFCREELMVKSSFLSSPERAVNPHLPRQGSPSQSLVACECEASKARVGGESASANPQPIPCPTLSGAQQSSTFVGWSSPQTDQNKEPKSEAPAENEAATSELGDSADSFKKLPLNLASQSRRENHKGPPIDSSDIRQRQVTTGSETSTKQSLLLPGPIVVPNFFLPPQQLEASLRMLSLSATLPPAATTDQDKSEATRGALSQRPCRPRPNSLPLNLPEEETLRIARIFSSQYSQKD", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTDTPVTLSGSECNGDRPPENGQQPSSQTRKTTDADETQTYYGVEPSLQHLPAKENQEESGNSKGNVLPRGSEDEKILNENTEENLFVVHQAIQDLSLQETSAEDTVFQEGHPWKKIPLNSHNLDMSRQKERIVHQHLEQREDESAAHQATEIEWLGFQKSSQVDILHSKCDEEEEVWNEEINEEDVDECAEDEGEDEVRVIEFKRKYREGSPLKEESLAREDSPLSSPSSQPGTPDEQLVLGKKGDIARNSYSRYNTISYRKIRKGNTKQRIDEFESMMHL", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MATTYEEFAAKLDRLDAEFAKKMEEQNKRFFADKPDEATLSPEMKEHYEKFEKMIQEHTDKFNKKMREHSEHFKAKFAELLEQQKNAQFPGK", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFIESFKVESPNVKYTENEIHSVYDYQTTELVHENKNGAFQWTVKPKTVKYEFKTDTHVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKEKVQQANYFGSLTQASSIRVGSFNGEEIYAPFKSLLPMVNPEEIVFGGWDISDMNLADAMARAKVLDIDLQKQMRPFMEHMVPLPGIFDPDFIAANQGSRANHVIKGTKKQQLEQVIKDIREFKEKNKVDKVVVLWTANTERYSNVVVGLNDTTENLMSSLDKDEAEISPSTLYAIACVLENVPFINGSPQNTFVPGLIELAIKRNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVGSNGILYEPGEHPDHVVVIKYVPCVGDSKRAMDEYTSEIFMGGKNTIVMHNTCEDSLLAAPIILDLVLLAELTTRIQFMSENEGKFHSFHPVATLLSYLSKAPLVPPGTPVVNALSKQRAMLENVLRACVGLAPENNMILEYK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEVAPALSTTQSDVAFQKVETHEIDRSSYLGPCYNSDELMQLISAYYNVEPLVGYLEQHPEYQNVTLQFPDDLIKDSSLIVRLLQSKFPHGKIKFWVLADTAYSACCVDEVAAEHVHAEVVVHFGDACLNAIQNLPVVYSFGTPFLDLALVVENFQRAFPDLSSKICLMANAPFSKHLSQLYNILKGDLHYTNIIYSQVNTSAVEEKFVTILDTFHVPEDVDQVGVFEKNSVLFGQHDKADNISPEDYHLFHLTTPQDPRLLYLSTVFQSVHIFDPALPGMVTGPFPSLMRRYKYMHVARTAGCIGILVNTLSLRNTRETINELVKLIKTREKKHYLFVVGKPNVAKLANFEDIDIWCILGCSQSGIIVDQFNEFYKPIITPYELNLALSEEVTWTGKWVVDFRDAIDEIEQNLGGQDTISASTTSDEPEFDVVRGRYTSTSRPLRALTHLELEAADDDDSKQLTTRHTASGAVIKGTVSTSASALQNRSWKGLGSDFDSTEVDNTGADIEEGISGVARGYGFDREDAMKKENK", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNNDINSSVESLNSACNMQSDTDTAPLLEDGQHASNQGAASSSRGQPQASPRQKMQRSQPVHILRRLQEEDQQLRTASLPAIPNPFPELTGAAPGSPPSVAPSSLPPPPSQPPAKHCGRCEKWIPGENTRGNGKRKIWRWQFPPGFQLSKLTRPGLWTKTTARFSKKQPKNQCPTDTVNPVARMPTSQMEKLRLRKDVKVFSEDGTSKVVEILTDMTARDLCQLLVYKSHCVDDNSWTLVEHHPQLGLERCLEDHEIVVQVESTMPSESKFLFRKNYAKYEFFKNPVNFFPDQMVNWCQQSNGGQAQLLQNFLNTSSCPEIQGFLQVKEVGRKSWKKLYVCLRRSGLYYSTKGTSKEPRHLQLLADLEESSIFYLIAGKKQYNAPNEHGMCIKPNKAKTEMKELRLLCAEDEQIRTCWMTAFRLLKYGMLLYQNYRIPQRKGLPPPFNAPMRSVSENSLVAMDFSGQIGRVIDNPAEAQSAALEEGHAWRKRSTRMNILSSQSPLHPSTLNAVIHRTQHWFHGRISREESHRIIKQQGLVDGLFLLRDSQSNPKAFVLTLCHHQKIKNFQILPCEDDGQTFFTLDDGNTKFSDLIQLVDFYQLNKGVLPCKLKHHCIRVAL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTPSQVTFEIRGTLLPGEVFAICGSCDALGNWNPQNAVALINENETGDSVLWKAVIALNRGVSVKYRYFRGCFLEPKTIGGPCQVIVHKWETHLQPRSITPLESEIIIDDGQFGIHNGVETLDSGWLTCQTEIRLRLHFSEKPPVSISKKKFKKSRFRVKLTLEGLEEDEDDDDDKVSPTVLHKMSNSLEISLISDNEFKCRHSQPECGYGLQPDRWTEYSIQTMEPDNLELIFDFFEEDLSEHVVQGDVLPGHVGTACLLSSTIAESGRSAGILTLPIMSRNSRKTIGKVRVDFIIIKPLPGYSCSMQSSFSKYWKPRIPLDVGHRGAGNSTTTAKLAKVQENTIASLRNAASHGAAFVEFDVHLSKDFVPVVYHDLTCCLTMKRKYEADPVELFEIPVKELTFDQLQLLKLSHVTALKTKDRKQSLYEEENFFSENQPFPSLKMVLESLPENVGFNIEIKWICQHRDGVWDGNLSTYFDMNVFLDIILKTVLENSGKRRIVFSSFDADICTMVRQKQNKYPILFLTQGKSDIYPELMDLRSRTTPIAMSFAQFENILGINAHTEDLLRNPSYVQEAKAKGLVIFCWGDDTNDPENRRKLKEFGVNGLIYDRIYDWMPEQPNIFQVEQLERLKQELPELKNCLCPTVSHFIPSSFCVEPDIHVDANGIDSVENA", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MALACTDSANSTFSRVDSPTSGPSDQLTTHDLDRHIEKLMRCELIAEQDVKTLCAKAREILAEEGNVQVIDSPVTICGDIHGQFYDLMELFKVGGPVPNTNYLFLGDFVDRGFYSVETFLLLLALKARYPDRMMLIRGNHESRQITQVYGFYDECLRKYGNASVWKHCTEVFDYLSLAAVIDGKVFCVHGGLSPSISTMDQIRVIDRKQEVPHDGPMCDLLWSDPEEGNVGWGLSPRGAGYLFGADASKTFCETNGVDLICRAHQLVMEGYKWHFNEKVLTVWSAPNYCYRCGNVAAILELDENLNKEFTIFEAAPQENRGAPAKKPHADYFL", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAIGVHLGCTSACVAVYKDGRAGVVANDAGDRVTPAVVAYSENEEIVGLAAKQSRIRNISNTVMKVKQILGRSSSDPQAQKYIAESKCLVIEKNGKLRYEIDTGEETKFVNPEDVARLIFSKMKETAHSVLGSDANDVVITVPFDFGEKQKNALGEAARAAGFNVLRLIHEPSAALLAYGIGQDSPTGKSNILVFKLGGTSLSLSVMEVNSGIYRVLSTNTDDNIGGAHFTETLAQYLASEFQRSFKHDVRGNARAMMKLTNSAEVAKHSLSTLGSANCFLDSLYEGQDFDCNVSRARFELLCSPLFNKCIEAIRGLLDQNGFTADDINKVVLCGGSSRIPKLQQLIKDLFPAVELLNSIPPDEVIPIGAAIEAGILIGKENLLVEDSLMIECSARDILVKGVDESGASRFTVLFPSGTPLPARRQHTLQAPGSISSVCLELYESDGKNSAKEETKFAQVVLQDLDKKENGLRDILAVLTMKRDGSLHVTCTDQETGKCEAISIEIAS", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPSDKPVFDIGSQAPERSDSESPSSRSIGSGTPAPVRKGLSKFKNSFLSRKNSSQIKSPSDYKSSAHEQRVNHTTDSMAHVPGNNSPLQTPQKSPPRQKHTAPATPIPVSASRHHKPHHSGLKNLLEKAMHPGHKSNANSPTSESPSKGFGSFINNHILHKNTSSHPSSPVNGKSSDIHKSQSYQHLKNSPPNSRTARKPVPRRANSASHNLGSTKSPNGNAKESLSRSAELPSKAKPMEINNGYRKKPSPLSPNSSIRNREGGNGSYFDGPLTASPTPSSPTGTPNSMSKSPSLSSLASTGASYRPGPSKPLVSRVRDNYANTSYESWPHSTEFDMFTYAVSGSLKLTPQGTGFDCINPANPFSPGYSGKSSMKSDDNVGSSANTAPNSPTSANSSEGNQGNGPTTYPIKPPTNISEIPRKLKSGFIPPYAKRVVPRLSAKYKLVDETKDMGSGATAVIRIVTLKNPKENEKNLRFAVKAYRRKADDETDGQYIAKLASEWLVQCRMEHPNVVKSYDLCIDSHIFPLYSDTWCAVMDFCPRGDLLSLIEDRHDRLGKKDFECMIKQILRGLNYIHSQGIAHRDIKPENILISEYGVLRITDFGACDVLCNPGDDITAVESKSMGIFGSDPYMAPEILTPGSYNAFFADMWSTAIVLHCLYFRTYPFRKASQNDQLYAKYCKAWREYNLICDVQNIRISKTLPYFKPVNDLPMHMQRLFFCLANPTAEQRITAQEALNLPFVQEIECCSVDDCTCTHDAPEECLEWANPPVQKLSTPHNHL", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDSLTSILLLLELQHEFSGLKVPGRLAKFGPPFRPSEEFADAEDSPVMKMIAQSYIFTPTLPGVNKAPPEFWSDKLQPLLETIGKHNLSDSYDHGRVSKRKMVGFAVVVVLHVLSKGLLGKATPLSEDRKPTSNNEEEDDADEAKSSNADSSTDSVQKSLANVNMDDANGPERLHYPSVKRLREAYSLLVYGDGLQQALDRISVSEDVDSWDEPLRSVIENIRYTIAVILHFSFVTVDAIPESPHLVSNRKGPEGVVERLYNRIPWFLTRQVLRVGNAGLLMSGLTRLFLMKPLSWFGESRNLLQTMLAGIFNADLEHSESTMVETDAEFEDDAKWETMRKALQWFTQLSRTEQDDVRSQSINKELNITIAILEAYEAQQTETTETEGVDKEDSDKASSVQGNEDEVPDTASETEHSEIEDFHFDPYSEKGVHIAMRYFDAALTHRDRECLIHDLCDNDQLNDVVREFMNAFYNIIYEAHQAADFSQAIYDFQYFLWDVIQLSKAGAPLVKFINLVERYQSCFVRFIHRLVVNAPDLCGEWCDWYRHCLKQFSVEVKTPDAVDVAHKALNTLDEETKHQVLEEIGDYVKELDEESKKAIENKDSTSEWLLHLYNFFGSAIITPTTAHGVPQPQLPNCGMKLNRTKEKLLTPFRNLLMEQLNELQAKNQETPSEMTSTE", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTTPIVFCNPSNIEESLLYINKSLFSKGVIQAEKLRLSHDIRDNCNIVNIIYRLLRATDEERLEKESLLDAIKNNEYEKQRDNNTIKRLKNELELYQNECQLQLNKVRTLERDQAELITQNKGLKDVNAKNELTLKALKNQLSVSLRQHEQQMETLKGNYGLVKARKGRNLNSMLIVKEPIKQNTNAPILPETASFLQQNDENSNFLNSRVNNDEFQLLKGLNNELKKSNGTLLTCLSGTLNSLVEMLSPLYERPNSNPFEVCELNAILLDAKIQNQLLFIRNLLHERKYVSIDELDAILEENEKNKHLINILQKENKRVFEFLTNMHDKF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MALTVNVVGPAPWGFRISGGRDFHTPIIVTKVTERGKAEAADLRPGDIIVAINGESAESMLHAEAQSKIRQSASPLRLQLDRSQTASPGQINGEGSLDMLATRFQGSLRTHHNSQSSQRSACFSPASLSPRPDSPFSTPPPTSPIALSGENVIGCSFQSLTHSPGLAATHHLTYPGQPTSQQAGHSSPSDSTVRVLLHSPGRPSSPRLSSLDLEEDSEVFKMLQENRQGRAAPRQSSSFRLLQEALEAEERGGTPAFVPSSLSPKASLPTSRALATPPKLHTCEKCSVNISNQAVRIQEGRYRHPGCYTCADCGLNLKMRGHFWVGNELYCEKHARQRYSMPGTLSSQA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTDKEKKPCAIIVVGMAGSGKTTFMQQLNAHLHSKNKPPYILNLDPAVRNLPYEANIDIRDTINYKEVMKQYNLGPNGGIMTSLNLFVTKFDQVLKILEKRAPTVDHILIDTPGQIEIFQWSASGSIICDTLASSWPTCIAYVVDTPRATSTSTWMSSMLYACSMLYKAKLPLIIVYNKCDVQDSEFAKKWMTDFEEFQQAVTKDEGMSSEGATSGYMGSLVNSMSLMLEEFYRHLDFVSCSSVTGEGMDDFLEAVKAKVKEYEEEYVPEMERMKEIQRQTKERQKEAQLSKLMKDMHVSKDKEDVGLTVSDAEDEYNGELVDPDEDDGLTAEDREDMIKQYRVALGISDDISDEKLLEMLTERMKQ", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNGIITPQKQKQLMSSPSRDPLSTTELSTPTSQTTVDVNDTKKSEGLDSTIILLTPGTSPNATPGSSELGLSKKPNSIKNNTYSTAAQAAYLNRRAADQSTVMTTDPISYANNNINNGTLPQQNAYYANSYYPSYYAQSQAISNNRPGVSGFRPAFNSVAPCYGSQWQTHQSVHPYHVNNTHQYLKPYVQNVYPQMPSLNQPGLHIVNQPTYLAPVPSATVPTNSVSLSMPSFSQGQKNIPAAINQEMSVGTTKENTNYLSQLVGLHPAIPPAIPSMFPMSHDNKKSNMESTSRTRNVYIRGLPPNTSDENLLLYTNRFGKVSSSKAIIDMETNLCKGYGFACFEEEKSALICISAMTLCGYQCSFAKESFSARLQSLQDTESTNLYISNLPLHWNESDISTLFKPSKIISNRVLRDSKEQSRGVGFARMQDRKTAEDIINKFNNFVLDPALPPLQIRFADSTDQKKFKGQTQKRRLWRAREYSVLTKGMTANNAFSKVEEFANNSMPSKVGMYVPLESNTVYQHSPTYDTYGWQSMYPSYSVYPSNYENSRSTTPYHAHPATSAAN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSARGPAIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTIFDAKRLIGRKFEDATVQSDMKHWPFRVVSEGGKPKVQVEYKGEMKTFFPEEISSMVLTKMKEIAEAYLGGKVQSAVITVPAYFNDSQRQATKDAGTITGLNVLRIINEPTAAAIAYGLDKKGCAGGEKNVLIFDLGGGTFDVSILTIEDGIFEVKSTAGDTHLGGEDFDNRMVSHLAEEFKRKHKKDIGPNKRAVRRLRTACERAKRTLSSSTQASIEIDSLYEGVDFYTSITRARFEELNADLFRGTLEPVEKALRDAKLDKGQIQEIVLVGGSTRIPKIQKLLQDFFNGKELNKSINPDEAVAYGAAVQAAILIGDKSENVQDLLLLDVTPLSLGIETAGGVMTPLIKRNTTIPTKQTQTFTTYSDNQSSVLVQVYEGERAMTKDNNLLGKFDLTGIPPAPRGVPQIEVTFDIDANGILNVTAADKSTGKENKITITNDKGRLSKDDIDRMVQEAERYKSEDEANRDRVAAKNAVESYTYNIKQTVEDEKLRGKISEQDKNKILDKCQEVINWLDRNQMAEKDEYEHKQKELERVCNPIISKLYQGGPGGGGSSGGPTIEEVD", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDGNSLLSVPSNLESSRMYDVLEPQQGRGCGSSGSGPGNSITACKKVLRSNSLLESTDYWLQNQRMPCQIGFVEDKSENCASVCFVNLDVNKDECSTEHLQQKLVNVSPDLPKLISSMNVQQPKENEIVVLSGLASGNLQADFEVSQCPWLPDICLVQCARGNRPNSTNCIIFEINKFLIGLELVQERQLHLETNILKLEDDTNCSLSSIEEDFLTASEHLEEESEVDESRNDYENINVSANVLESKQLKGATQVEWNCNKEKWLYALEDKYINKYPTPLIKTERSPENLTKNTALQSLDPSAKPSQWKREAVGNGRQATHYYHSEAFKGQMEKSQALYIPKDAYFSMMDKDVPSACAVAEQRSNLNPGDHEDTRNALPPRQDGEVTTGKYATNLAESVLQDAFIRLSQSQSTLPQESAVSVSVGSSLLPSCYSTKDTVVSRSWNELPKIVVVQSPDGSDAAPQPGISSWPEMEVSVETSSILSGENSSRQPQSALEVALACAATVIGTISSPQATERLKMEQVVSNFPPGSSGALQTQAPQGLKEPSINEYSFPSALCGMTQVASAVAVCGLGEREEVTCSVAPSGSLPPAAEASEAMPPLCGLASMELGKEAIAKGLLKEAALVLTRPNTYSSIGDFLDSMNRRIMETASKSQTLCSENVVRNELAHTLSNVILRHSIDEVHHKNMIIDPNDNRHSSEILDTLMESTNQLLLDVICFTFKKMSHIVRLGECPAVLSKETIRRRETEPSCQPSDPGASQAWTKATESSSSSPLSNSHNTSLVINNLVDGMYSKQDKGGVRPGLFKNPTLQSQLSRSHRVPDSSTATTSSKEIYLKGIAGEDTKSPHHSENECRASSEGQRSPTVSQSRSGSQEAEESIHPNTQEKYNCATSRINEVQVNLSLLGDDLLLPAQSTLQTKHPDIYCITDFAEELADTVVSMATEIAAICLDNSSGKQPWFCAWKRGSEFLMTPNVPCRSLKRKKESQGSGTAVRKHKPPRLSEIKRKTDEHPELKEKLMNRVVDESMNLEDVPDSVNLFANEVAAKIMNLTEFSMVDGMWQAQGYPRNRLLSGDRWSRLKASSCESIPEEDSEARAYVNSLGLMSTLSQPVSRASSVSKQSSCESITDEFSRFMVNQMENEGRGFELLLDYYAGKNASSILNSAMQQACRKSDHLSVRPSCPSKQSSTESITEEFYRYMLRDIERDSRESASSRRSSQDWTAGLLSPSLRSPVCHRQSSMPDSRSPCSRLTVNVPIKANSLDGFAQNCPQDFLSVQPVSSASSSGLCKSDSCLYRRGGTDHITNMLIHETWASSIEALMRKNKIIVDDAEEADTEPVSGGSPSQAEKCANRLAASRMCSGPTLLVQESLDCPRKDSVTECKQPPVSSLSKTASLTNHSPLDSKKETSSCQDPVPINHKRRSLCSREVPLIQIETDQREACAGEPEPFLSKSSLLEEAEGHSNDKNIPDVVRGGDTAVSACQIHSDSLDTRDVPEAEASTEARAPDEAPNPPSSSEESTGSWTQLANEEDNPDDTSSFLQLSERSMSNGNSSATSSLGIMDLDIYQESMPSSPMINELVEEKKILKGQSESTEAPASGPPTGTASPQRSLLVINFDLEPECPDAELRATLQWIAASELGIPTIYFKKSQENRIEKFLDVVQLVHRKSWKVGDIFHAVVQYCKMHEEQKDGRLSLFDWLLELG", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASNSIKIFAGNSHPELAEKVARRIGLSLGKVAVVQYSNRETSVTIGESVRDEDVFILQTGCGSINDHLMELLIMINACRSASARRITAIIPCFPYARQDKKDKSRAPITARLVANMLQTAGCNHIITMDLHASQIQGFFNVPVDNLYAEPSVLRYIRENIDTTVNPTVIVSPDAGGAKRATALADRLDLDFALIHKERQKANEVSRMVLVGDVRDKLAILVDDMADTCGTLGLAAKTLKDNGAKAVYAIVTHGILSGKAIKVINESALEKVIVTNTIPHDDKRSLCSKIETIDISGVLAECIRRIHHGESVSVLFSVAPA", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAFNNLIRNLAIGDNVYKYFDLNGLNDARYNELPISIKYLLEAAVRHCDEFHVLKKDVETILDWKNSQRNQAEIPFKPARVILQDFTGVPAVVDLAAMRDAVQNMGADPAKINPVCPVDLVIDHSVQVDHYGNLEALAKNQSIEFERNRERFNFLKWGSKAFDNLLIVPPGSGIVHQVNLEYLARTVFVGKDGVLYPDSVVGTDSHTTMIDGSGVLGWGVGGIEAEAVMLGQPISMVIPEVIGYELVGTLSDTVTSTDLVLTITKNLRDLGVVGKFVEFFGTGVASLSIADRATIANMCPEYGATIGFFPVDSRTIDYLTQTGRDTDYTQRVEQYLKSVGMFVNFTDDSYRPTYTTTLKLDLGSVVPSVSGPKRPHDRVELASLAQDFSKGLTDKISFKAFGLKPEDATKSVTITNHGRTAELTHGSVVIAAITSCTNTSNPSVMLAAGLVAKKAVELGLNVQPYVKTSLSPGSGVVTKYLEASGLLPYLEKIGFNIAGYGCMTCIGNSGPLDEPVTKAIEENNLVVAGVLSGNRNFEGRIHPHVRANYLASPPLAVLYSIIGNVNVDINGVLAVTPDGKEIRLADIWPTRKEVAKFEEEFVKPQFFREVYANIELGSTEWQQLECPAVKLYPWDDASTYIKKVPFFDGMTSELPSQSDIVNAHVLLNLGDSVTTDHISPAGSISKTSPAARFLAGRGVTPRDFNTYGARRGNDEIMARGTFANIRLVNKLASKVGPITLHVPSGEELDIFDAAQKYKDAGIPAIILAGKEYGCGSSRDWAAKGPFLQGVKAVIAESFERIHRSNLIGMGIIPFQYQAGQNADSLGLTGKEQFSIGVPDDLKPGQLIDVNVSNGSVFQVICRFDTEVELTYYRNGGILQYMIRKLIQ", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPSPTKAAEAATKATATSDCSCSAASVEQRAPSNAANPSSSLATSGKIGGKTQDQTAAINKQKEFAVPNETSDSGFISGPQSSQIFSEEIVPDSEEQDKDQQESAPQKEQPVVLDSGIIDEEEDQEEQEKEEEHQDTTTATADSMRLKHSADTGIPQWTVESHLVSRGEQLNNLGQSSSTQITGRSKVQSSTASTANANPSGSGATSSAPPSSINIMNAWEQFYQQNDDGDTPLHLACISGSVDVVAALIRMAPHPCLLNIQNDVAQTPLHLAALTAQPNIMRILLLAGAEPTVRDRHGNTALHLSCIAGEKQCVRALTEKFGATEIHEAHRQYGHRSNDKAVSSLSYACLPADLEIRNYDGERCVHLAAEAGHIDILRILVSHGADINAREGKSGRTPLHIAIEGCNEDLANFLLDECEKLNLETATYAGLTAYQFACIMNKSRMQNILEKRGAETVTPPDSDYDSSDIEDLDDTKMYDRFGDPRYFVSYNGGNPMTVA", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTLPESKDFSYLFSDETNARKPSPLKTCIHLFQDPNIIFLGGGLPLKDYFPWDNLSVDSPKPPFPQGIGAPIDEQNCIKYTVNKDYADKSANPSNDIPLSRALQYGFSAGQPELLNFIRDHTKIIHDLKYKDWDVLATAGNTNAWESTLRVFCNRGDVILVEAHSFSSSLASAEAQGVITFPVPIDADGIIPEKLAKVMENWTPGAPKPKLLYTIPTGQNPTGTSIADHRKEAIYKIAQKYDFLIVEDEPYYFLQMNPYIKDLKEREKAQSSPKQDHDEFLKSLANTFLSLDTEGRVIRMDSFSKVLAPGTRLGWITGSSKILKPYLSLHEMTIQAPAGFTQVLVNATLSRWGQKGYLDWLLGLRHEYTLKRDCAIDALYKYLPQSDAFVINPPIAGMFFTVNIDASVHPEFKTKYNSDPYQLEQSLYHKVVERGVLVVPGSWFKSEGETEPPQPAESKEVSNPNIIFFRGTYAAVSPEKLTEGLKRLGDTLYEEFGISK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPVQAAQWTEFLSCPICYNEFDENVHKPISLGCSHTVCKTCLNKLHRKACPFDQTAINTDIDVLPVNFALLQLVGAQVPDHQSIKLSNLGENKHYEVAKKCVEDLALYLKPLSGGKGVASLNQSALSRPMQRKLVTLVNCQLVEEEGRVRAMRAARSLGERTVTELILQHQNPQQLSANLWAAVRARGCQFLGPAMQEEALKLVLLALEDGSALSRKVLVLFVVQRLEPRFPQASKTSIGHVVQLLYRASCFKVTKRDEDSSLMQLKEEFRSYEALRREHDAQIVHIAMEAGLRISPEQWSSLLYGDLAHKSHMQSIIDKLQSPESFAKSVQELTIVLQRTGDPANLNRLRPHLELLANIDPNPDAVSPTWEQLENAMVAVKTVVHGLVDFIQNYSRKGHETPQPQPNSKYKTSMCRDLRQQGGCPRGTNCTFAHSQEELEKYRLRNKKMSATVRTFPLLNKVGVNSTVTTTAGNVISVIGSTETTGKIVASTNGISNTESSVSQLIPRGTDSAVRTLETVKKVGKVGTNAQNAGPSAESVSENKIGSPPKTPVSNAAATSAGPSNFGTELNSLPPKSSPFLTRVPVYPQHSESIQYFQDPRTQIPFEVPQYPQTGYYPPPPTVPAGVTPCVPRFVRSSNVPESSLPPASMPYADHYSTFSPRDRMNSSPYQPPPPQQYGPVPPVPSGMYAPVYDSRRIWRPAMYQRDDIIRSNSLPPMDVMHSSVYQTSLRERYNSLDGYYSVACQPPNDPRTTVPLPREPCGHLKTSCEEQLRRKPDQWTQYHTQKTPVSSTLPVATQSPTPPSPLFSVDFRSDFSESVSGAKFEEDHLSHYSPWSCGTIGSCINAIDSEPKDVIANSNAVLMDLDSGDVKRRVHLFEAQRRTKEEDPIIPFSDGPIISKWGAISRSSRTGYHTTDPVQATASQGSATKPISVSDYVPYVNAVDSRWSSYGNDATSSAHYIERDRFIVTDLSGHRKHSSTGDLLSIELQQAKSNSLLLQREANALAMQQKWNSLDEGRHLTLNLLSKEIELRNGENDYTEDTVDTKPDRDIELELSALDTDEPDGQSEQIEEILDIQLGISSQNDQLLNGTAVENGHPAQQHQKDPGKPKRQSLGEDHVILEEQKPILPVTSCFSQPRPMSISSASCLPITTSVSVGNLILKTHVMSEDKNDFLKPIANGKMVNS", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSEKETNYVENLLTQLENELNEDNLPEDINTLLRKCSLNLVTVVSLPDMDVKPLLATIKRFLTSNVSYDSLNYDYLLDVVDKLVPMADFDDVLEVYSAEDLVKALRSEIDPLKVAACRVIENSQPKGLFATSNIIDILLDILFDEKVENDKLITAIEKALERLSTDELIRRRLFDNNLPYLVSVKGRMETVSFVRLIDFLTIEFQFISGPEFKDIIFCFTKEEILKSVEDILVFIELVNYYTKFLLEIRNQDKYWALRHVKKILPVFAQLFEDTENYPDVRAFSTNCLLQLFAEVSRIEEDEYSLFKTMDKDSLKIGSEAKLITEWLELINPQYLVKYHKDVVENYFHVSGYSIGMLRNLSADEECFNAIRNKFSAEIVLRLPYLEQMQVVETLTRYEYTSKFLLNEMPKVMGSLIGDGSAGAIIDLETVHYRNSALRNLLDKGEEKLSVWYEPLLREYSKAVNGKNYSTGSETKIADCR", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSGVSEEDPEGLAPQGLPALGGACLATMDKKLNVLTEKVDRLLHFQEDVTEKLQCVCQGMDHLEQDLHRLEASRELSLAGSGSTPPTTAQAAWPEVLELVRAVRQEGAQHGARLEALFKMVVAVDRAITLVGSTFQNSKVADFIMQGTVPGRKGSLADGPEENKEQAEVAGVKPNHVLTTGGVQADASRTLWEESQKEDIPVRTVEGLPLIINTSLKGADLTQAGASLRQGVEVLGPGQVPLPTEAESRLPETASENTGATLELSVAIDRISEVLTSLKMSQGGGQETSSSKPDCWLSEEAMRLSSGPLPQPLGPLTPDSDIHSGDALPRIPINMQEMATPGELLETQSGSPIGSAEAPGLGTVLEDQIPKGARPFPPLPKRSSNNGGMSAEEEIGSGAEPMRGPSLATRDWRDETVGTTDLQQGIDPGAVSPEPGKDHAAQGPGRTEAGRLSSAAEAAIVVLDDSAAPPAPFEHRVVSIKDTLISAGYTVSQHEVLGGGRFGQVHRCTERSTGLALAAKIIKVKNVKDREDVKNEVNIMNQLSHVNLIQLYDAFESKSSFTLIMEYVDGGELFDRITDEKYHLTELDVVLFTRQICEGVHYLHQHYILHLDLKPENILCVSQTGHQIKIIDFGLARRYKPREKLKVNFGTPEFLAPEVVNYEFVSFPTDMWSVGVITYMLLSGLSPFLGETDAETMNFIVNCSWDFDADTFKGLSEEAKDFVSRLLVKEKSCRMSATQCLKHEWLSHLPAKASGSNVRLRSQQLLQKYMAQSKWKKHFHVVTAVNRLRKFPTCP", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDVPADSHIKYEDAIDYWTDVDATVDGVLGGYGEGTVVPTMDVLGSNNFLRKLKSRMLPQENNVKYAVDIGAGIGRVSKTMLHKHAAKIDLVEPVKPFIEQMHVELAELKDKGQIGQIYEVGMQDWTPDAGKYWLIWCQWCVGHLPDAELVAFLKRCIVGLQPNGTIVVKENNTPTDTDDFDETDSSVTRSDAKFRQIFEEAGLKLIASERQRGLPRELYPVRMYALKPMPN", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSSGVYTCNSCVLTFDSSDEQRAHMKSDWHRYNLKRRVAQLPPISFETFDSKVSAAAASTSKSAEKEKPVTKKELKRREKQALLEKKKKLLEIARANMLENMQKSQEGNTPDLSKLSLQENEENKEKEEPKKEEPEQLTEEEMAERVMQEKLRNRVDIPLEQCLFCEHNKHFKDVEENLEHMFRTHGFYIPEQKYLVDKIGLVKYMSEKIGLGNICIVCNYQGRTLTAVRQHMLAKRHCKIPYESEDERLEISEFYDFTSSYANFNSNTTPDNEDDWEDVGSDEAGSDDEDLPQEYLYNDGIELHLPTGIKVGHRSLQRYYKQDLKPEVILTEGQGTLVAAETRSFLPAFDKKGVQTQQRVWQTERFDKKRLDKRSAKFVNNQPHYRDQLLQ", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MACSIVQFCSFQDLQSARDFLFPQLREETPGALRRDPSKTSNWEDDSWGAWEETEPQEPEEEGNTSKTQKHSWLQECVLSLSPTSDLMVIAREQKAAFLVRKWKHSDKGKEEMQFAVGWSGSVSAEEGEYVTSALCIPLASQKRSSTGRPDWTCIVVGFTSGYVRFYTEGVLLLAQLLNEDRVLQLKCRTYEIPRHPGVTEQNEELSILYPAAIVTIDGFSLFQSLRACRNQVAKAAASGNENIQPPPLAYKKWGLQDIDTIIDHASIGIMTLSPFDQMKTASNIGGFNAAIKNSPPAMSQYITVGSSPFTGFFYALEGSTQPLLSHVALAVASKLTSALFSAASGWLGWKSKHEEETVQKQKPKMEPATPLAVRFGLPDSRRHGESICLSPCNTLAAVTDDFGRVILLDVARGIAIRMWKGYRDAQIGWIQIVEDLHERVPEKGDFSPFGNTQGPSRVAQFLVIYAPRRGILEVWSTQQGPRVGAFNVGKHCRLLYPGYKIMGLNNVTSQSWQPQTYQICLVDPVSASVKAVNVPFHLALSDKKSERAKDLHLVKKLAALLRAKSPRPDSFEAEIKELILDIKYPATKKQALESILASDRVSFSCLRNVTQTSMDTLKNQELESVDEGLLQFCASKLKLLHLYESVSQLNTLDFHSDTPFSDNDLAVLLRLDDKELLKLRALLEKYKQENTKATVRFSEDADGVLPVKTFLEYLDYEKDALSIRKTSEEECVALGSFFFWKCLHGESSTEDMCHTLESAGLSPQQLLSLLLSVWLSKEKDILDKPQSVCCLHTMLSLLSKMKVAIDETWDSQSVSPWWQQMRMACIQSENNGAALLSAHVGHSVAAQMSSSATDKKFSQMVLDADAEALTDSWEALSLDTEYWKLLLRQLEDCLILQTLLHSRASPPAAKASSPQTEPLPRLSVKKLLEGGKGGIADSVAKWIFKQDLSPELLKCANREKDVENPDEPREGIARSPPEVSEVETDLGAVPDLLRLAYEQFPCSLELDVLHAHCCWEYVVQWNKDPEEARFLVRSIEHLRHILNPHVQNGISLMMWNTFLVKRFSAATYLMDKVGKSPKDRLCRRDVGMSDTALTSFLGSCLDLLQTSLEADISRDEVQVPVLDTEDAWLSVEGPTSIVELALEQKPIHYPLVEHHSILCSILYAAMSFSLKSVKPLALFDSKGKNAFFKDLTSIQLLPSGEMDPNFISVRQQFLLKVVSAAVQAQHSKDKDPSARAADTHGQDLNWTALAVDLAHHLQVSEDVIRRHYVGELYSYGADLLGEEAILQVQDKEVLASQLLVLTGQRLAHALFHTQTKEGMELLARLPPTLCTWLKAMNPQDLQNTGVPVAATAKLVHKVMELLPEKHGQYSLALHLIEAVEAMATL", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MCSTSGCDLEEIPLDDDDLNTIEFKILAYYTRHHVFKSTPALFSPKLLRTRSLSQRGLGNCSANESWTEVSWPCRNSQSSEKAINLGKKKSSWKAFFGVVEKEDSQSTPAKVSAQGQRTLEYQDSHSQQWSRCLSNVEQCLEHEAVDPKVISIANRVAEIVYSWPPPQATQAGGFKSKEIFVTEGLSFQLQGHVPVASSSKKDEEEQILAKIVELLKYSGDQLERKLKKDKALMGHFQDGLSYSVFKTITDQVLMGVDPRGESEVKAQGFKAALVIDVTAKLTAIDNHPMNRVLGFGTKYLKENFSPWIQQHGGWEKILGISHEEVD", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTEKITLADALSNVEVLDELSLPDEQPCIEAQPCSIIYKANFDTNFEDRNGFVTGIAKYIEEATTHANLNVLLDEGQKHAVMLYTWRCCSRAIPQPKSNEQPNRVEIYEKTVEVLAPEVNKLLNFMYFQRKAIEAFSGEVKRLCHAEKRKDFVSEAYLLTLGKFINMFAVLDELKNMKSSVKNDYSTYRRAAQFLKVMSDSHTLQESQNLSMFLATQNKIRDTVKDTLEKIVGYEDLLSDVVNICVHMFETKMYLTPEEKHMLVKVMGFGLFLMDSDACNINKLDQKKKIRLDRIDRIFKNLEVVPLFGDMQIAPFNYIKRSKHFDSSKWPLSSSNAISPQADLMVHLPQIREDHVKYISELARYTNEVTTTVKENPSDAENRITADLALRGLQLLSEWTSVVTELYSWKLLHPTDHHQNKECPVEAEEYERATRYNYTSEEKFALIEVIAMIKGLQVLMARIETVLCEAIRRNIYSELQDFVQLSLREPLRKAVKNKKDLIRSIIMSVRETSADWQKGYEPTDDPVAKGKKDPDGGFRIQVPRLNVGPSSTQLYMVRTMLESLIADKSGGKRTLRKDIDGNCLLQIDTFHKTSFYWSYLLNFSDTLQKCCDLSQLWYREFYLEMTMGRKVNKCLVRHQHNEECKDLITMEKRIQFPIEMSMPWILTDHILQTKEPSMMEFVLYPLDLYNDSAYYALTVFRKQFLYDEVEAEVNLCFDQFVYKLSEQIFAHYKQLAGSIFLDKRFRLECEVLGFNFQSYPRNNRYETLLKQRHVQLLGRSIDLNKLITQRINANMHKSIELAISRFEGNDITGIVELEGLLEANRICHKLLSKYLALDNFDGMVKEANHNVLAPYGRITLHVFVELNYDFLVNYCYNAATNRFIRTKVNLSSSQAIQREKPPQMSHYYLWGSKQLNAAYSTQYGQYTGFVGSPHFHAMCRLLGYQGIAVVMDIILKDIVKPLIQGSLLQFTKTLMIAMPKSCKLPRCEYGSPGVLSYYQAHLTDIVQYPDAKTELFQSFREFGNSIIFCLLIEQALSQEEVCDLLHAALFQNIFPRPFCKENEKPEAKQKRLEAQFANLQIVSNVEKIGTAKQAMIAREGDLLTRERLCCGLSIFEVILNRVKSYLDDPVWCGPPPANGIIHVDECSEFHRLWSALQFVYCIPVRGTEYTIEELFGEGLNWAGCVMIVLLGQQRRFEALDFCYHILRVQRVDGKDEDVKGIQLKRMVDRIRRFQVLNSQIFSILNKYLKGGDGEGSNVEHVRCFPPPQHPSVISSSSHYQDPQKLRQSINN", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTTTAQDNSPKKRQRIINCVTQLPYKIQLGESNDDWKISATTGNSALFSSLEYLQFDSTEYEQHVVGWTGEITRTERNLFTREAKEKPQDLDDDPLYLTKEQINGLTTTLQDHMKSDKEAKTDTTQTAPVTNNVHPVWLLRKNQSRWRNYAEKVIWPTFHYILNPSNEGEQEKNWWYDYVKFNEAYAQKIGEVYRKGDIIWIHDYYLLLLPQLLRMKFNDESIIIGYFHHAPWPSNEYFRCLPRRKQILDGLVGANRICFQNESFSRHFVSSCKRLLDATAKKSKNSSNSDQYQVSVYGGDVLVDSLPIGVNTTQILKDAFTKDIDSKVLSIKQAYQNKKIIIGRDRLDSVRGVVQKLRAFETFLAMYPEWRDQVVLIQVSSPTANRNSPQTIRLEQQVNELVNSINSEYGNLNFSPVQHYYMRIPKDVYLSLLRVADLCLITSVRDGMNTTALEYVTVKSHMSNFLCYGNPLILSEFSGSSNVLKDAIVVNPWDSVAVAKSINMALKLDKEEKSNLESKLWKEVPTIQDWTNKFLSSLKEQASSNDDMERKMTPALNRPVLLENYKQAKRRLFLFDYDGTLTPIVKDPAAAIPSARLYTILQKLCADPHNQIWIISGRDQKFLNKWLGGKLPQLGLSAEHGCFMKDVSCQDWVNLTEKVDMSWQVRVNEVMEEFTTRTPGSFIERKKVALTWHYRRTVPELGEFHAKELKEKLLSFTDDFDLEVMDGKANIEVRPRFVNKGEIVKRLVWHQHGKPQDMLKGISEKLPKDEMPDFVLCLGDDFTDEDMFRQLNTIETCWKEKYPDQKNQWGNYGFYPVTVGSASKKTVAKAHLTDPQQVLETLGLLVGDVSLFQSAGTVDLDSRGHVKNSESSLKSKLASKAYVMKRSASYTGAKV", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAERTMAMPTQIPADGDTQKENNIRCLTTIGHFGFECLPNQLVSRSIRQGFTFNILCVGETGIGKSTLIDTLFNTNLKDNKSSHFYSNVGLQIQTYELQESNVQLKLTVVETVGYGDQIDKEASYQPIVDYIDAQFEAYLQEELKIKRSLFEYHDSRVHVCLYFISPTGHSLKSLDLLTMKNLDSKVNIIPLIAKADTISKNDLQTFKNKIMSELISNGIQIYQLPTDEETAAQANSSVSGLLPFAVVGSTDEVKVGKRMVRGRHYPWGVLQVENENHCDFVKLRDMLLCTNMENLKEKTHTQHYECYRYQKLQKMGFTDVGPNNQPVSFQEIFEAKRQEFYDQCQREEEELKQRFMQRVKEKEATFKEAEKELQDKFEHLKMIQQEEIRKLEEEKKQLEGEIIDFYKMKAASEALQTQLSTDTKKDKHRKK", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNTDSHNLSEPYNIGGQKYINMKKKEDLGVCQPGLTQKAFTVEDKFDYKAIIEKMEVYGLCVVKNFIETSRCDEILKEIEPHFYRYESWQGSPFPKETTVATRSVLHSSTVLKDVVCDRMFCDISKHFLNEENYFAAGKVINKCTSDIQLNSGIVYKVGAGASDQGYHREDIVHHTTHQACERFQYGTETMVGLGVAFTDMNKENGSTRMIVGSHLWGPHDSCGNFDKRMEFHVNVAKGDAVLFLGSLYHAASANRTSQDRVAGYFFMTKSYLKPEENLHLGTDLRVFKGLPLEALQLLGLGISEPFCGHIDYKSPGHLISSSLFENDIEKGYYGETIRVNYGSTQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAQPQLLQIKLSRFDAQPWGFRLQGGTDFAQPLLVQKVNAGSLSEQAGLQPGDAVVKINDVDVFNLRHKDAQDIVVRSGNNFVITVQRGGSTWRPHVTPTGNVPQPNSPYLQTVTKTSLAHKQQDSQHIGCGYNNAARPFSNGGDGGVKSIVNKQYNTPVGIYSDESIAETLSAQAEVLAGGVLGVNFKKNEKEYQGDRSEVLKFLREEETGQSTPAFGNSHYEHDAPQQLQQPQQQYNQHQQHYHQQQQQQQSSTTRHVSAPVNSPKPPSTGGLPTGQNICTECERLITGVFVRIKDKNLHVECFKCATCGTSLKNQGYYNFNNKLYCDIHAKQAAINNPPTGTEGYVPVPIKPNTKLSASTISSALNSHGYGGHSNGYSNGNSTPAPAPVASSQATATVATVAPSAATAATAAATPQAATATDSPAATASSSDNMSAYVADEPSSIYGQISAESVALAPPPPQPPTAGGGDQPFEYVTLTGNVIRSVQAPGKGACPSYKVNQGYARPFGAAAPKSPVSYPPQQQQQSPRPAPGGQNPYATLPRSNVGQQGGEAVEELQPEFEEEDCYEMDIEVALAASRQSQRGSSFTWPPPQDDSHLAPTAAPLYIPPPETQHVVVSNPVQQVPPLPPGGATARLDPQPVVGTSANGAPQWQSYSAPQLTTASARQLAEQESSSDSYTSTSTTTTTTSEEYQRMYAAQVQAYQMQEQSGSEFDYQVDYASTQDSVQDYPSGRRSAQECVDSLAVPLSTYKLVDMVREVTPSPVTTPTQTPAPAAPTTRRVVFNDEPEIKELPQLPAELETIPEASEAVEDREGLVIEQRCQILESERKFQPTPEIKIEIAPVRQIPPTKIPNPMPKEWINPMIRVLTTAPEVPFHLVECPFPRPCGDDFEAEAAAAEAAKTQEVPEPLPPQVSAAPPATVSVEPSPAPLRESPPRGSRLSQAMVTAPEFELKFAPPADQGIPLPEETEPYMPPPIDTKPYLREDYRPKSPFVSALTTAPDRPFEGHFDKDVPIHMIDLPTPKEHLSMCDALCTAPERGYTPLNPENAMHRVDEEQKQQELKKREFQVLDHEEELGIRPEPPQSVEYYETRRDQPRKSSAFAAMQAFQPSREPLSSNTVSNAGSVADTPRASIVSALKEETDLEYQKYLKAQQRNQKRLDYFHQKEEELSGLQGQQLTQLQRELSNQQQNLLSQQQLQQSKLLQLQQCVQSQELQQQVQHLTQKSQQQPPQANQQQQQQQQQRGTQQQQHSQVTQRTQQQQQQVPQQVTQQQQQEHSLLSQTTLAETQTLQANAQSQSSASYSSKATACSNSSSTVPPANTSTAFAPAPAPAPTSIPVRPSAIAVQSSYCSSQFDVHELIEETAEELEHSEVLFPPPSPLSHLTKQGKAVQSGLHKADSIPKYQRNWTVLPTQSPIRTPEPQELRENVPLAFVDAPKAPVTSDSSTVHRPIAQVAAPTTVVAPSREREKERRPQLSVPIIVEDRSGPVTMAFQPLDELVRPDQALTPTRPYTPSLTNKPAPIVPFYQTEEKLVFEECSATHARNYNELNASPFPDRTRSPAPGPPPNPLNAIRAPRMKEPETKSNILSVSGGPRLQTGSITTGQSYQGQLLAHSEQSSQSASQSYNQQPERITEQRVGNLNIQQREQSSQLQQQAQSQTQSQTRSQVGNTQIERRRKVTEEFERTQSAKTIEIRTGSQSVSQSKAQSQSISQAQTQAQSQSQNQSDTERRSSYGKTGFVASQAKRLSCMEEEISSLTSQSQAISARASALGEGCFPNLRSPTFDSKFPLKPAPAESIVPGYATVPAATKMLTAPPPGFLQQQQQQQQRSAFSGYQATTSSVQQSSFASSSKATTSSLSSSSASASASASVARSSQSLTQASAITTTTNNQATTAYRSSNGSITKPNLASRPSIASITAPGSASAPAPVPSAAPTKATAPFKAPIVPKSVIANAVNAAAPPAPAVFPPDLSDLNLNSNVDNSPGAGGKSAGAFGATSAPKRGRGILNKAAGPGVRIPLCNSCNVQIRGPFITALGRIWCPDHFICVNGNCRRPLQDIGFVEEKGDLYCEYCFEKYLAPTCSKCAGKIKGDCLNAIGKHFHPECFTCGQCGKIFGNRPFFLEDGNAYCEADWNELFTTKCFACGFPVEAGDRWVEALNHNYHSQCFNCTFCKQNLEGQSFYNKGGRPFCKNHAR", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAEGNKAVDLTSLSLEQLSEVIKQLDSELEYLSTSYGQLGRAQLKFRECLANVNDAVRAENDGKEVLVPLTSSLYVPGKLNLGNSKLLVDIGTGYYVEKSAGEATEYYKRKCEYLASSIENLNNAIDAKSVQIRAVQNIMQQKATATTAATKSS", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAEQEPTAEQLAQIAAENEEDEHSVNYKPPAQKSIQEIQELDKDDESLRKYKEALLGRVAVSADPNVPNVIVTRLTLVCSTAPGPLELDLTGDLESFKKQSFVLKEGVEYRIKISFRVNREIVSGMKYIQHTYRKGVKIDKTDYMVGSYGPRAEEYEFLTPMEEAPKGMLARGSYNIKSRFTDDDKTDHLSWEWNLTIKKEWKD", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKVTARLTWIEEKILEKLLGNASLTLLYQSSAHKNCVSEMTQKYSLQGSTMTVFHLEKDVVVGVFILENFPRLVSEKPCTCAWFSLKRNNSSGISALFLNTKVIVDSEELIIFSLDGLSLSVTPLRGFTLALNDTVMNGLELNLGHGFLPVECEIFRVDGIKKNPSFIKKMVTAEQHRGKLLSALRAYKPYKDLVSEVRILLVGPVGSGKSSFFNSVKSAFQGHLTRQAIVGSDESSITKQYRVYSIKDGKSGETLPFMLCDSMGLEEGEEAGLCIDDIPHILQGCVPDRYQFNPCEPMKPKHSPHAASPPLKDRIHCVAFVLHINSVNTLSDKMVAKLKKIRKDVVDCGIGYVALLTNVEEYDEVLDDSFANMTETVTSLSQVQNVQKWLNIPIANILMVSNYASERRLEPMKDILVFAALRQMLRAADDALEDLPLEDTGNLAPF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTEKHKTMGKFKVIVLAGTAGTGKSTIAGELIHEFKDIYPDLKFIEGDDLHPPANVEKMTRGIPLNDDDRWDWLKKVAVESTKAAASTKEHLSIVACSSLKKKYRDLIRHTCPESEFHFIFLYASKIEVLKRLKTRKGHFMKADMMESQFRDLELPDINDETDCDIVPLDFKTFYQIEKDVIQVVKSKVLNIE", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MMMFHNCRINNYLITSQIGEGAYGLVYRALDIRTDRQYAIKAVVQSYGVSKEADMGNDKIHKNSVKLQKKLAKLFKESKNVVRVPSIDLESIENMSEEDFKKLPHYKEISLHLRVHHHKNIVTIHEVLQSAVCTFIVMDYYPTDLFTSIVDNRHFVTNGLLVKKVFLQICSALNYCHEHGIYHCDIKPENLLLDTEDNVFLCDFGLSTTSTYIKPNVCIGSSYYMPPERISFDGRVSSSKSGGHKLGKVCPSCNGDLWSLGIILINLTCIRNPWLKADKTEDNTYYYFTKDPNILKQILPLSDDFYSLLSKILQVNPKNRMSLQELMKEVSSITSFTNEGPLSKVPPLSKSVYEKFVSPVDNTNENLSPKSYVYMHDSKAAKNLSYTSSSEEEDGIKEGIDDDNGSRSGSFGTLDTDTGLHSSFTSTSCESDNECSKISNKFSLFEKKFNELRMSSSSLTN", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MFDGFSNNKGKRRSFFRFGSESKNNDSEKSVRKPSVPSTIKKSTNIARTSTAETSAPDIPPRSPNRNAHSRSHSIQAPLQKETLKNTNPFLNAEDTLGDSLELTQSKEASGNDHKGIEYLQENNIIGQRTNPFTTSANSNAHFSKIKRSRPPPPPMDMKSITTSISNNTTKEEIESNNDSERDSIAISSTHNQHRRQRSEAEKLVDDIENYINEHKVSSGSSLSLDTSENSDTKASQDKLPVDVMEAPILRNVSAESSLSYVKPLIVDNEEVNKASNGNLVQSDHLKEFSSNLDDGDDKFSFSTSASGKSTKSLQQVSKDESSGFKAAHFDFAYKSNEHLGSDGSIASARKPLRITNEIDSGSSNEDDDDGLQEKGFVDSESKAFINYASDQGSSIKNDVSTQEPELPSHRRIFRVVNEDRPSFYLNSVNDTGSLTDKHSFDTASSGEYDAKSNFSSQSGLSISKGSKSTVLAALDSNGNTKSSNKTSELNSLNSISESLVPAAHSFNEHTVTIPATVDLPNPVHDAPSERSVKCSPLTSVVSNKSEKSVPLVSSYVEELRLKYYKTSNFLQAPPNLPVALKQKNNLIQPKNIKVKLRTSSKQIGIKHGKVKQKLLALETRNEESDGTATGLKNKINVDHTKEFHKLLGKENETGSISKKEGTDAEQAEDYLKDIPGDEAYNSDDIMAPLREKRGQNGSVDSVSRSNTVVSYYTRSQNRMRSGTLDNDYVNRQKLPTHISLQDYRDANARSNISRQDSVSTTNSDVVDLSYSLGHGLRVANPDSDPE", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MALTAEEAYLEELWREEDEQSEEATAMQQMLAHQHEQQHDALPSYQELMGQGSPAVTLRRAKAAAAANGTSSPGIRGSPSPARGPGGRLPSLAMGPTVGANVEQLKRRLQTVVAEVEGHQQRYDKVLLEANKATDLVHSMEAEIESLYVEAEELARRVPPAAERQRQVATWLAQRSAALEAQRQVLGGKQLELIEAEAALRAAETELDFMAEARNEIAAAQAAETAALSAAAATLRGKEDKAVAGYRRKQAAEAARLADVAAAAEDLAQRRIQAAEEAQAQAMERLKANTERIREGIASGRDLLAAEQSRRREAVLGLKESLAAVQADVAQQAELARVLQRQRRDLQEKEFGALVEAGMNPYEVFRRRDQEAAAARQHEAITSNIATRQLEVAAQLAREQEAYAQKLAARKREKKTREIFDREMGPAAQQARTEAYMQAHTVGGVSMLDPTGRVQPFPSEAVVVKTRRFGRGGAPEEVLQQQLQRLGDDTQPKQLLLPAKYRNTADTDAAAVAGLGRGGGSDDEDGMGSPPRSPSLTQQLAATQQSLTATASLAQQQQQAAGDRYKQLATRALTKHEQGLMEAAKTRHKGGIGAPQTQMGRTFGGDAFLPSPAVVEFKDFDVGRTVSASVQIINRSYKKNTFRVTGIPVEYCDVLDFAYKLPGYLAPGVSGEVVISFTPKAPVDIDTSLQLLADTGPFEVPIRCRTKRAALSVSPSAVDFGAGVTLGECATRTFTIANDGALEVEFRLDSPQLSEDERALLKSTANMAHLMTSATVAAAAAAAAATASGQGPRSARSFANMGVADGAAPETDTGPLVAPREERRLAHGGFTVFPCVGHLKGYSKVTFTVTFAPVVAAPAKLLLHASYKAPAMKRLALPHHEVTLTGTGRDVPVYVERPLIDFQCCMLGHLYRDVLVVRNGGKSAMKVMVVPRPELEGFFEFSPDFGFVQAGDSFPITIRFKPTPALLHACRKHVVEPEEEQILEIGMRLSVPDQSLPVPFTLRAQITNTDLLFQPPALDFGDCVMGERTAVKLLVRNPGRLPQTFGFVGLPSGLHFTPNDGFGYVLPGEALERLVSFQPPIAGPQTFSITAKTLAGRSFTLPCRANGVAPPVVLSSNRVRMPATPIGDTRTVSVVLINKTDQPQSYEFAVPADSDLTLSPHVGRVPPQSRLRVQIDYSPRPPVDGEQQGALPPPSAPNTARGPRDGGGGGAMANGNGSGGYEHYNDDGEPEDGEGDADGHTDTDSFQSPSARASPVQPLGRGGRGGRGGAAGEDEDEDEDAGELPVRGKSSSSSKASSGRRSSSPQLGGRQGLAMGRINEVPDDDDADAEAEAAAEAEQRTALAALKRIQSMGGGDPGWYRWREHAITCYIKPQSQNPTPSQSQSGQAPAASAPSDGASGAAAAAETAASSGPAAAPPPQEIHLQVATCAVLPDLVLLAPPDLPYVPLHQCHCLDFGPVPVGQRVVRRLELANEGEEPLVLGAESMDSREVFGLVNALRPVRPGASFRVMVSFTPQARTEYLEVLVLKSARTRLRLALKGAGIAPELQLGPEGVTATGLDMGDVLVGEAAARTLTVTNVCPFPLSFTMRLAGKASAADPNPTMRQAFSCHPAEGTLAQGESCEVAVSFTPFSQRPYFEDVLQVVVPNQQDQLLVPLRGRGWREAVFVAGPDYPEPQPDPFLLHHLAKQAGVALPPSVSGGGAAAGGAAVAAAAAGDKPSTPAPGIKPPATPPGGASKGKAAAAAAAAAAAAAAGASEPRELTLNFPHAIYPGEVATASFDVGSLKSTASGSAPGEVGVAELPAEAREAGWAVTDPPTAGAGGGGKVPLAAGERKPITLSYSAPAAPHPGMVAAYGHAEYRVLKLQLTLKGGLAALSGGPEGRKVVVAARCRLLPGSRPPGEPVPPGVTPAPEPVAASGPGAGAAGVKKLVPPPSPPKKAV", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGVSSFYPPLHVQRRRKLFKILQGGFPVRSLLDIGCGDARFLSYLVPCNDQVPIEFLAGIDINEQSIERATEALQVRTEDFLQLRWRPLHIELLLGNIKDFTHYKHVDAVVASEFIEHCQVAEILAFEKLVFGNLKPNVCVVSTPNFEFNTIFEKLSTLTSSISSRTSTNFRHPEHVFEWDRKEFAKWAYKICKRYPEYTVEFTGCGLLNDLIDGDDLLHFRPSSTYGFCTQIAVFHQSKNNAASHCFLKDQNSSILLYKKITYPFMEQLFPPTVQQFMNLLKKAFFDHLFGRHCLLLFQVIAGKCALSVKLPFLFIWESSPLIRHAFHYDDSIYLSYCPELKKSKHKGIALANSFSFRIAKVLKKSRIFIITFHHYV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPEPSISDLSFTSFVTNDDNLFEETFNFYTKLGFHATRSYVKDNRSDFELTGISTDSIKEIWLESFPLSEVVEASGGRELRKPLQESVGYESEALLGYSPYQSGGVVIKLRLSNHDLEKNNDLPGEVTFFTASIDKLKAKLIEIGAEIIPSKIDLVEFSTRDPMGDVISFSSYPSLNSKKITSPDFFLHPKKEVRSEESIVEQVKSEEGKKKIAIITSGGDAPGMNAAVRAVTRAGIFYGCKVYACYEGYTGLVKGGDMLKELQWQDVRGLLSIGGTIIGTARCKEFRERWGRLQACYNMVSNGIDALVVCGGDGSLTGADLFRKEWPELIKELLGEDKITKEQYETHRNLTIVGLVGSIDNDMCGTDSTIGAYSSLERIIELVDYIDATAASHSRAFVVEVMGRHCGWLGLMSGIATGADYIFIPERPPSESNWKDDLKKVCLRHREKGRRKTTVIVAEGAIDDQLNPITSEEVKDVLVEIGLDTRITRLGHVQRGGAPCAFDRFLATVQGVDAVRAVLESTPAIPSPVISILENKIVRQPLVESVAQTKTVSAAIEAKDFDKALQLRDQEFATSYENFLSVSKYDDGSYLVPESSRLNIAIIHVGAPTSALNPATRVATLNSLAKGHRVFAIRNGFAGLIRHGAVRELNWIDVEDWHNTGGSEIGTNRSLPSDDMGTVAYYFQQYKFDGLIIIGGFEAFTALYELDAARAQYPIFNIPMCCLPATVSNNVPGTEYSLGSDTCLNTLSGYCDAVKQSASASRRRTFVVEVQGGYSGYLASYAGLITGALAVYTPENPINLQTVQEDIELLTRTYEEDDGKNRSGKIFIHNEKASKVYTTDLIAAIIGEAGKGRFESRTAVPGHVQQGKSPSSIDRVNACRLAIKCCNFIEDANFQVKHNANLSADERHLRFFYDDGVKTSAVSGKSSVIDDNTSVVIGIQGSEVTFTPVKQLWENETHHKWRKGKNVHWEQLNIVSDLLSGRLSIRTT", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLSRYNRVIEINGGNADISLPIVKFPPFKLRAQLIEKDPVVWLHLIETYVTYFEYLMQGANVELLDESTLDHLRLFLRTYLHEIADEEGKLLSLGINHDVSEQLYLLKGWIFSLIKKCGLLHLQIFGDSLWNLIKVYVRRNPDSIRGLIDGSLKPRINTQRVQLDKSYQVQQHLKQLIESGKFKRIDLRCVEDLLSAKSMQPNKFAENFFTANWIEILEALWAKGQGRGHKEARELIIISLFSVSADRLLKITKELGISNFETLALYPLLGTMLINEGVHKRLPDLKSKLLFLNLGGLSMDEGDHMSYPTSSGTEVNEEQLSALMELFPQFSKYQLSQTLLAYDNNIELVTNKIFEDPTIIEAFSREPAEEEVEPVSDGDNASFTEELSILDRGDSSKNKELDKKIISEGVPDELRNKTLTRALKLLYEADEDERDDTYDEADVNRSDPSKRIGLQEDEESYDTKDDSNEVRQDHNYHIVEAYLWNLLKEDPKLFERSKRGTKVRKTMKEMTSWSDEKIEGWCRMLERSPTRARLLEKKFMFKGNSKTGKTSYVHNRDSQNDGNVVKEQAKQKKSENIKKHEPQSTEQKKRQHAKNEKRKGARANHNRKKGHDKKLARAGNNAI", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRLAAESSLVFFVSHFYFERFFSFVMVAYTLDTLFASDIDSATLFYSEGCGPIRLVALSAQMRRLVRLGPIRVAKACVEVRPMAPTKEFFEEGRQLQHIEAAGVGDFPDSERSGDTFVNPFTGRLTPTWGRVAKELFSLGFEHSIVNPFRLVWNPTKAALVSDAALQPFRTSTVTWRRNLAALLSRRDAADAVGEEIHRLYNEITSAYLPPKLDTMHDPRLSTMTMTPDQQNVIRCALRGYSMFIGGSAGTGKTVLLKAIHRKLTEMGLRVAMTATTGVASVQLGGCTFHLAFGVPIKGEEGTRKRWDSNAFRAVDVVIIDEVSLLDAELFETFEEEARMARLQQSPFGGLQVIACGDFLQLAMMDVSIGGPCYQSHAFRHLIPVCLVTSMRQAQGDPFCELLGQLRVGKFDKKAFKALDRPVSGDANNVTYIFPRRCDAQRLNDEKLCELRSEEMIFAPQRGPLQLVGNFTPAGLVDWGRKKDFPKREKIITVLSEEIKRITGVDIVDHNIVVMPAGGEKNAVLIRLRHSEDRNVLICKNGGSKEHGASNEGGAEESHWRAILEATAGRLKGKLHQIYNQDPHNFIPPSVSLMLADASLHPNAELISPLRLKLGCRVMINRNLSRTVSNGSVGIVEAFAAPNLDLFPRRHETSPKAFHTWSLERNGFQRLPIVRLLSGEVVQLPPLSVMIGGTPSTYFYGHELFVLPLQLGYGFTVHKVQGLTLEGTVVLDCKKFFECPHLVYVACSRVRSMDQLIVRNVRSDMIIVRQSALDFTNALRDASVMSSLDPPDGCTRASWVRRLSPLLVGLTD", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAQRLGKRVLSKLQSPSRARGPGGSPGGLQKRHARVTVKYDRRELQRRLDVEKWIDGRLEELYRGREADMPDEVNIDELLELESEEERSRKIQGLLKSCTNPTENFVQELLVKLRGLHKQPGLRQPSPSGDGSLSPRQDRARTAPP", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSAVSYSMHRTTTTTSSSSHGGVSAGHAAEEFVASAEREKQEMQQLNSRLEVYISRVRQLEDRNKELVIELDTLRGSLGNDIGQIKFKFNDSLVKVRREISEAHSGTIGVEVKVDRLRDDLNDYRHRYEEARREVEREKTTWGGAISQAQAELDTNKSRYAAILDEEKRLYAEQDQLYLQLAAAKDELDAAIVDRRRLQAEEDDLKIELEFLGRIHSQEITELRTLLAQAPADTREFFKNELALAIREIKAEYDKIIQTTRVDLETIFQSKISAVESSIVSKNEAAVFRQEEIRKMNESITTLRAKLSELEARNSALEREANTLQIQLGEDQRAYESELHKRDNALRFMREDCQTLIAELQALLNTKQTLDTEIAIYRKLVESEEGRFTHVGQGVVVAQQETTRLVPVEQDHWDSGEVQTRSSFKRHAKGNVSIVECDPQGKYIILENTSGSVAEDVSNFEIRRVIDGVQAFVFRLPSHLVIQQHGHLKIYGRNSGGINSPPDSIVMESHPSWGQGGQVETFLYNSHGIEKASHIQTTVASSR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVMQERNSNEDNKVNHGIDNIYVLELDLDGSVLFASTNFSQITGISSLELTGKPAALLSSDQEIFNAAIEQLLDDDSHSVKIHTVISKLPSLEENVFVQDEEMELQSKSVELDCVGVLIRDPLTSRPSHTLWVLQPLKPTRITRQEIGQQLTETLGFGAQLLAQHLEKLQTVPSTDSLPPFETVLCRVCDHEIQNWYFEHHTELCLLIHHAEARAQEANDLLNEQRNALQSLLDSLDDQIEPDVSYLGVPLAAVLPSSITSSAKSNSRSSLSQKIRNYISNMLFDAISYTDSCLAIHLPFIPESTTREDNQPFSEIRLLSPASEVFNAKTLSWCLPHIDDPGLQLMFENTDALVKKKLDAINRLSNIIYYSERVRCEIEDQVQTIIEQSIQVDGYDEPLSTTTPTLIEPIQETLMTQSPIIECEPFNTVKPSVSPEEVHDISQFNHRNDPPITAASVDSSNSFSVHRSSTNHSSTNSGSPNLSRRNNLAIPIASRRKSVSAVNTLYGVGSSYTSESFPFSKLTVPVERNSFRETESPKPFLSRQIGISTLSSNISSGKGTPSIQDYEIIKPISKGTFGTVYLSRKNTTGEIYAIKVLRKVDMISKNQVANVKAERAVLMAQEESAFVAKLYYAFQSRDYLYLVMEFMNGGDCASLLKSLYTIPESWAKIYIAEVALGLEHLHRLGIIHRDIKPDNILMSITGHLKLADFGLSQLGLTTRQLRLQKGKNNILSPPSFQSPTALGDPGDNIASSPLILPTSVSAFSYDEKSQKQKTELATFTTYKEDDTTTTTRTSIDSISSKYLESPVDSQKVNRTPNLQSVPFFRQPDAPKRFVGTPDYLAPETLRGSTQDDMVDWWALGCVLFEFLFGYPPFHAETPEKVFENILANNIAWPDLEMYPCSEEALDLINGFLQPNPERRLGFSDINEIKEHPFFNGINWDDIFSHEAPFIPAPETPLDTAYFDSRGAGAAESNMSSSVNSGEEVSKDNNVSQERGSQFLRSSHGRSRERSTSARRSRRFSEANSEFDEFGPFSYKNLSVLERANRNAIEKIRSEIAGKLHISPPDPHIGYTPGSDMPSAKLYDQQLTLSPSLMTNQGSNFSSTDSTPRKSINSSDVESRSKTDGPKSMHDLIKQLHMRKHSSHTNQSTGSSESDDLFNLDLPISNLETSYPFKIEEGQASPLSSPLSKTPPFFSSSVPLKALICVSKLNLFSELIKLLKSYKFQVSIVTDEDKMLRTLMADEKFSIIFLQLDLTRVSGVSILKIVRSSNCANRNTPAIALTPTRIDINAAIPRMFDGRLYLPINAFLLRGYIARLCNK", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSVVCSCLLPTPSTDFVNQRNMPIPIIAHIAQFKYEHLITHWAQYTKAAIAVSTIAAFKFWTSGRTTTWERKMNGMVVMVTGGSSGIGQVVVEKLASLGAQVVILLRTEPDQFTVDYIMDLRKRTKNQLIYTEVCDLSSMLSVRKFATKWIDCTPIRRLDMIVLCSGVLLPPFMDRQTTEEGVELQWATNFLGPYQLLRILRPVIYGQPGHREVRIVAATCSSYILGNIDFNDLDLSNHPYPRKSPWKVVGNAKLALMTYLYDFQKKAEAHERPDKMPCNLHTIMANPGVVRTPGFRRVVSFGKVWGLFLYLLLWPFWWLLLKGTIHGAQSFFHAICSPEFASITQPVLVNECSIVEYSRKEITDPEFAEKLIKAADAQIDEVEKQYKKKKIKKSKK", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEDTYIDSLDPEKLLQCPYDKNHQIRACRFPYHLIKCRKNHPDVANKLATCPFNARHQVPRAEISHHISSCDDKSCIEQDVVNQTRNLGQETLAESTWQCPPCDEDWDKDLWEQTSTPFVWGTASFCGNNSPANNIVMEHKSNLASGMRVPKSLPYVLPWKNNGNAQ", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKKTTIASSAVASQKQTQSKLGNTSSKITEQQTTHDPALSRSFRGHEDIITCADFDPKMRQVASCSKDSIVYVWNFKPQQRPFKFVGHKGAVYCVKYSPDGETIASCGQDRQIRLWQNTVQSKCSIIKAHCGAIRSMSFSADGGYLLSSSDDKTLKLWRLQDKKFMCSFAGHKNWVRSGVISPDMRLVASGSDDKTVMLWDLNFQKIVSKYSTLDDNVKISQINSYSDHMDTVSQVLFHPDGTCLISSSFDHKIKITDIRSNKLIQHYNAHDAQVNSISIHPTGYFLASAGSDSKIKIWDLRQGRQIYTLYSNDKDITTVQFNQSGDYFATGGSQNLCMVWKTNFDQNIQNIEQVQRSTVRQNDVEYSSGGLYAQTLFKTNKTASLSPSKKTISIIPPQQLQDTFNNRGDDELNNGRVHENKQSNSPTFSQQQQQQQLNLSENQYPGLEIVGNQFNLQQSTLSNNNNQNNLIKSQNDSIVSNKNAIPFHPSSEFQQSAVQQQFSQQPKLVVNNGTATYPSNFVPKVEEENIMTEAIAASMQQIYSRLDTMFQYMTNLENRVVQSEQLQKSFVSQNHQNTLDPKQQTMNFGYSNIQDHSLSASLNDRNVVNIFQAGALANTGSIEASLINKQQEE", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGKKQKNKSEDSTKDDTDLGALAAEIEGAGAAKEQEPQKSKGKKKKEKKKQDFDENDILRELEELSLEAQGIRADRDAAAVKPTENNEEESASKQDKKKKGQKGKKTSFDENDSEELEDKDSKSKKTARPNSEAPLSGSEDADDSNKLSKKGKKAQKSTKKRDGSEEDEDNSKRSKERSRVNSSGESGGESDEFLQSRKGQKKNQKNKSVPTVDSGNEDDDSSFKIKTVAQKKAEKKEREKKKRDEEKAKLRKMKEKEELEKGKKEQSKQREPQKRPEEEVLTLRGTPDTGAASEEKGDTAAALEDDNEGDKKKKDKKKKKTEKDEKEKEKKKGPSKSTVKAIQEALAKLKEEEERQKREEEERIKRLEELEAKRKEEERLEQEKRERKKQKEKERKERLKKEGKLLTKSQREARARAEVTLRHLQAQGVEVPSKDSLPKKRPVYEDKKKKKTPQQLESKEVSETLEISAPVEAVDQGGPEKEETPPSVEPEEEEDTEDAGLDDWEAMASDEEREKEGNMIHIEVEENPEEEEEEEEEEEEEESEDEEEEGDSEGSDGDEEDCKLSDEKDSGKAGDTKPSKDASSDSEYDSDDDRTKEERAYDKAKRRIEKRRLEHGKNVNTEKLRAPIICVLGHVDTGKTKILDKLRHTHVQDGEAGGITQQIGATNVPLEAINEQTKMIKNFDRENVRIPGMLIIDTPGHESFSNLRNRGSSLCDIAILVVDIMHGLEPQTIESINILKSKKCPFIVALNKIDRLYDWKKSPDSDVAVTLKKQKKNTKDEFEERAKAIIVEFAQQGLNAALFYENKDPRTFVSLVPTSAHTGDGMGSLIYLLVELTQTMLSKRLAHCEELRAQVMEVKALPGMGTTIDVILINGRLKEGDTIIVPGVEGPIVTQIRGLLLPPPMKELRVKNQYEKHKEVEAAQGVKILGKDLEKTLAGLPLLVAYKDDEIPVLKDELIHELKQTLNAIKLEEKGVYVQASTLGSLEALLEFLKTSEVPYAGINIGPVHKKDVMKASVMLEHDPQYAVILAFDVRIERDAQEMADSLGVRIFSAEIIYHLFDAFTKYRQDYKKQKQEEFKHIAVFPCKMKILPQYIFNSRDPIVIGVTVEAGQVKQGTPMCVPSKNFVDIGIVTSIEINHKQVDVAKKGQEVCVKIEPIPGESPKMFGRHFEATDILVSKISRQSIDALKDWFRDEMQKSDWQLIVELKKVFEII", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGESALEPGPVPETPAGGPVHAVTVVTLLEKLATMLEALRERQGGLAERQGGLAGSVRRIQSGLGALSRSHDTTSNTLTQLLAKAERVGSHADAAQERAVRRAAQVQRLEANHGLLVARGKLHVLLFKEETEIPARAFQKVPELLGPEDQLVLGPDQPEDEVGESSEEEPVESRAQRLRRTGLQKVQSLKRALSSRKAAQPTPVKPPRVGPVRSSEGPSEGQPAAQPEMESELETALEPEPPQPTKEDPEKPVLQIESAA", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDFCWKREMEGKLAHDHRGMTSPRRICVVTGPVIVGAGPSGLATAACLKERGITSVLLERSNCIASLWQLKTYDRLHLHLPKQFCELPIIPFPGDFPTYPTKQQFIEYLEDYARRFDIKPEFNQTVESAAFDENLGMWRVTSVGEEGTTEYVCRWLVAATGENAEPVVPRFEGMDKFAAAGVVKHTCHYKTGGDFAGKRVLVVGCGNSGMEVCLDLCNFGAQPSLVVRDAVHVLPREMLGTSTFGLSMFLLKWLPIRLVDRFLLVVSRFILGDTTLLGLNRPRLGPLELKNISGKTPVLDVGTLAKIKTGDIKVCSGIRRLKRHEVEFDNGKTERFDAIILATGYKSNVPSWLKENKMFSKKDGFPIQEFPEGWRGECGLYAVGFTKRGISGASMDAKRIAEDIHKCWKQDEQVKKI", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MERIEGASVGRCAASPYLRPLTLHYRQNGAQKSWDFMKTHDSVTVLLFNSSRRSLVLVKQFRPAVYAGEVERRFPGSLAAVDQDGPRELQPALPGSAGVTVELCAGLVDQPGLSLEEVACKEAWEECGYHLAPSDLRRVATYWSGVGLTGSRQTMFYTEVTDAQRSGPGGGLVEEGELIEVVHLPLEGAQAFADDPDIPKTLGVIFGVSWFLSQVAPNLDLQ", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MELDDFNSRILSQIFDKSWKVRFEAYESLLHALNRALDDSDVCFQPWIHDPALWKQGLCDSNVPTQEHAVKSLRCFLDKSRQKGVNSAKSFVVAPLLEKCLPSPRQSIRDASHQALLILAKSDALDYVLEGLFSAARVKHPKQAVASIKELNSLLENFGIPALSPIPFYKLIPTLFAQSDKNIRQEASNLSITLYAWVGNAFKTHVFPQLKQIQVSDLEASFQNVTSRTTTGGHISNSLNTQEVVLPSFSSNAKPKPHLSSKSSSQGNTLQRSTSSFSTPNRKVSQPSDFSASPSRSIVSPAKNIVGSTPVDVLSKLTPEFHTALSSPKWKDRKEALESMVPVCSNPVYQEGDYSELLRVIAKSLKDANVVVVGVAALLLTHIAKALRKGFLPYTGIVLPSLFDRFKERKSSLVHSLLDAANAIFESCGLNDIMDETLEFLKHKNPQVKTETLRWLNRCLQLTDVCPPRASLETLCSLCVTLINDTFEPVRMATTNVLATLVQIFSQPVLSKYIVGLDPKKLPKILELSKDITVNAHPNQPSRPRLPRVASPLKTSPVKLAVTPQAPSPLPSSNPSQASLTEESLSTRSSPTKPSTTSLRSQSLVNRFASSTLKAPSSSSKGVSNAASSKQSFPSSPSISKKLETSRLSTKKLPGSTMKAASALKEYPQQQSMKSGGEKQDNLVTITMSEKVELDLLREEKAIRQVQEAEDALERERLFREINDLQIQNAEMKEQVYEKESTISQKEVEITSLRNEKDRLSTRLQQVLLELEKQHETNEEAMDIDLKVPESGAIGRVTTRATATTAMDESGNAGMVSSGIHSVSTKPSSYGTRRSLAGSMLQKPTQFSRPSFMFSPEARDNWRESHDLSSHLWEQIQRMKKA", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MIQNEKKHLILYTGDVTKNLKSGIWNASRIKSNLELVKALENVKLTKFTGDGDENLKKNIKVLVPVNEKPQKLDGKQEEYEIIVKLFFLDGENIDIKKREETLSQVFYNLHMLFGIDFVSTLVVSFPHITFLKESGNSSSNEIYDSIDEIPPQEIQSWVDTWKLLEEKVGEGKIGTLGVSEFGVNELQRLISSVNVVPESTQINIGQNCKLPNDLLNFADRHHLKLFFHSDPSALLSESEITSVIHKACPEIPNPARVDWVIRYTILTRHTAVIHQKGYIVQSTYTE", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRRPPGNGEAASEGPGGWGLWGVQESRRLCCAGHDRCKQALLQIGINMMALPGGRHLDSVTLPGQRLHLMQVDSVQRWMEDLKLMTECECMCVLQAKPISLEEDAQGDLILAGGPGPGDPLQLLLKRGWVISTELRRIGQKLAQDRWARVHSMSVRLTCHARSMVSEYSAVSRNSLKEMGEIEKLLMEKCSELSAVTERCLQVENEHVLKSMKACVSETLSMLGQHFGQLLELALTREVQALVRKIDASDNIYTTESTTGNLFSLTQEGAPLCRIIAKEGGVVALFKVCRQDSFRCLYPQALRTLASICCVEEGVHQLEKVDGVLCLADILTDNSHSEATRAEAAAVVAQVTSPHLPVTQHLSSFLESMEEIVTALVKLCQEASSGEVFLLASAALANITFFDTMACEMLLQLNAIRVLLEACSDKQRVDTPYTRDQIVTILANMSVLEQCASDIIQENGVQLIMGMLSEKPRSGTPAEVAACERVQQKAAVTLARLSRDPDVAREAVRLSCMSRLIELCRSPSERNSSDAVLVACLAALRRLAGVCPEGLQDSDFQQLVQPRLVDSFLLCSNMEESFV", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSADEPSPEDEKYLESLRDLLKISQEFDASNAKQNDEPEKTAVEVESAETRTDESEKSIDIPREQQLLPSERVEPLKSMVEPEYVKKVIRQMDTMTAEQLKQALMKIKVSTGGNKKTLRKRVAQYYRKENALLNRKMEPNADKTARFFDYLIAIDFECTCVEIIYDYPHEIIELPAVLIDVREMKIISEFRTYVRPVRNPKLSEFCMQFTKIAQETVDAAPYFREALQRLYTWMRKFNLGQKNSRFAFVTDGPHDMWKFMQFQCLLSNIRMPHMFRSFINIKKTFKEKFNGLIKGNGKSGIENMLERLDLSFVGNKHSGLDDATNIAAIAIQMMKLKIELRINQKCSYKENQRSAARKDEERELEDAANVDLTSVDISRRDFQLWMRRLPLKLSSVTRREFINEEYLDCDSCDDLTDDKNDEAAFQEKMAIREYLENKQTEDFAKIAAERGIFKIGEIKSYQTARPIIEDDDVDVESEEEDYGTEFEMLEVVERMPPVSSTLHTEVDLDAVWERDGGSDSERENLSNAPSLHEFPSSSTSSPHATSEHVTSSSPLHIDDDVDRVLNAPPKNSLASSSNRSSF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGRVRTKTVKRASKALIERYYPKLTLDFQTNKRLCDEIATIQSKRLRNKIAGYTTHLMKRIQKGPVRGISFKLQEEERERKDQYVPEVSALDLSRSNGVLNVDNQTSDLVKSLGLKLPLSVINVSAQRDRRYRKRV", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKYLAAYLLLVQGGNAAPSAADIKAVVESVGAEVDEARINELLSSLEGKGSLEEIIAEGQKKFATVPTGGASSAAAGAAGAAAGGDAAEEEKEEEAKEESDDDMGFGLFD", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MADTLPSEFDVIVIGTGLPESIIAAACSRSGRRVLHVDSRSYYGGNWASFSFSGLLSWLKEYQENSDIVSDSPVWQDQILENEEAIALSRKDKTIQHVEVFCYASQDLHEDVEEAGALQKNHALVTSANSTEAADSAFLPTEDESLSTMSCEMLTEQTPSSDPENALEVNGAEVTGEKENHCDDKTCVPSTSAEDMSENVPIAEDTTEQPKKNRITYSQIIKEGRRFNIDLVSKLLYSRGLLIDLLIKSNVSRYAEFKNITRILAFREGRVEQVPCSRADVFNSKQLTMVEKRMLMKFLTFCMEYEKYPDEYKGYEEITFYEYLKTQKLTPNLQYIVMHSIAMTSETASSTIDGLKATKNFLHCLGRYGNTPFLFPLYGQGELPQCFCRMCAVFGGIYCLRHSVQCLVVDKESRKCKAIIDQFGQRIISEHFLVEDSYFPENMCSRVQYRQISRAVLITDRSVLKTDSDQQISILTVPAEEPGTFAVRVIELCSSTMTCMKGTYLVHLTCTSSKTAREDLESVVQKLFVPYTEMEIENEQVEKPRILWALYFNMRDSSDISRSCYNDLPSNVYVCSGPDCGLGNDNAVKQAETLFQEICPNEDFCPPPPNPEDIILDGDSLQPEASESSAIPEANSETFKESTNLGNLEESSE", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAADVGQALAFLQQVKTTQGASIYEGLKAALAKVLEDRPVNAVEALETSVLSTPPAANLSVPLVPAASAAAAAAAVAKASLFGDPEPVLDPESGEPIDPDAPNEFECEDVEGDGDLLDGLGVGLGRQEMYAAMLAVKRLGEDAKRGVSTVRFFGKFFGTQADYYVFETTLQSNPDMPEAPEGTIPLEPYGEGVNAYIYFVSNTLGGPLQQLPYVTPEQIKASRLLRRYLTGRLDAPVSAFPAFPGNEANYLRALIARISAATVCCPRGFFTADDDSAELSANDEWVPLKGREMALPVNWSHRYAHLKGQGRTVTHKRDPPDEEEEPEKNFWTAEEMEAGPPPLATLDTDAPLPAATGDKVPPPAWSPVFASASVTTRNQVAGVRSNRWPGAVCACAGRHFTSMYVGWGIKAGGEWSPCPPPPPVPQWGAPAAGVEGGQQLLLECNDLPPKPAPPEEEDE", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPGDEERGFLAAREELASALRWDSAQVFPLEQLMPLLATSLPPAARYLQLDAGRLVRCNAHGEPRNYLNTLSTALNILEKYGRNLLSPQRPRYWRSVKFNNPVFRSTVDAVQGGRDVLRLYGYTEERPDGLSFPEGQEEPDEYQVAVVTLEVLLLRTELSLLLQNTHPRQNALDQLLRESVEDGMLQLSEFHPLLREIVPGPRPSAQGSTPGPCFLCGSAPGTLHCPACNQVSCPACDILFHGHPSRAHHLRQALPGSHQTASLSSSLPASSQPRPPSSSLALGDSSLSSPDPANACLPWHCLTCATLNEPWAVFCAVCSQPKGCKVPGIEGSHGTGGLEPEPARDQWACQSCTFENEAAAVLCAICERPRLAQPPSLVVDSHDAGVCQQSLKQEDPLLTAAQPQVWYCDHCTFCNSGPVWVCAMCNRTRDPIPTQPALQSYPSSLEKGRPKPGSSQHLGSSLPASCGDPEKQRQDKMRKEGLQLVSMIQEGETAGASPEEVFSALQYSGTEVPLQWLRSELSYVLEMVAELAGQQDPELGAFSCQEARKAWLDRHGNLDEAVEECVRARRRKVHELQSLGFGPKEGSLQALFQHGGDVARALTELQRQRLEPFHQRLWDRDPEPTPCWDGLDRQSLVRRLLAVYTLPSWGRAELALALLQETPRNYELLDVVEAVRHSQDRAFLRRLLAQECAVCGWALPRNRMQALISCECTICPECFRQHFTIALKEKHITDMVCPACGRPDLTDDAQLLSYFSTLDIQLRESLDPDAYALFHKKLTEAVLMRDPKFLWCAQCSFGFIYEREQLEATCPQCHQTFCVRCKRQWEEQHRGRSCEDFQNWKRTNDPEYQAQGLAMYLQENGIDCPKCKFSYALARGGCMHFHCTQCRHQFCSGCYNAFYAKNKCPDPNCKVKKSLHGHHPRDCLFYLRDWTAARLQKLLQDNNVMFNTEPPAGTRAVPGGGCRVMEQKEVHSGFRDEACGKETPPGYAGLCQAHYKEYLVSLINAHSLDPATLYEVEELETATIRYLHLAPQPADGEDLPAYQARLLQKLREEVPLGQSIARRRK", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEVDLPVHNEYDASRFHQVTIRDPIAGADSTFTIPTRYVNLSFLNAGAQGTVVMADDLVTTQRVAIKKMQQPFVMTMSAKRAYREFILLTTIKHPNIIRLLNAFTPDTSLSTFREVYLVMELMTHNLHEVIHRLRLDHKTLSFFVYQSLCAIKHLHNSGVIHRDLKPSNIVVNDRCVLKVLDFGLARKKNVDTSMRMSDYVVTRYYRAPEVILGLPYSEKVDIWSVGCIFAEMINHTVLFPGKDRIDQWTKIYSVLGTPDDHFISQLGQSAAMYVRSLPRHQARAFSEIVPDTNFLPETENPRVHLTPHVARDLLFNMLKINPEERYSVEDALNHPYVKLWFKDDEVNAPASENRYDQEIDFADKTLIEWKELIFNEVQRYQADHDIFTG", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MALLSEGLDEVPAACLSPCGPPNPTELFSESRRLALEELVAGGPEAFAAFLRRERLARFLNPDEVHAILRAAERPGEEGAAAAAAAEDSFGSSHDCSSGTYFPEQSDLEPPLLELGWPAFYQGAYRGATRVETHFQPRGAGEGGPYGCKDALRQQLRSAREVIAVVMDVFTDIDIFRDLQEICRKQGVAVYILLDQALLSQFLDMCMDLKVHPEQEKLMTVRTITGNIYYARSGTKIIGKVHEKFTLIDGIRVATGSYSFTWTDGKLNSSNLVILSGQVVEHFDLEFRILYAQSKPISPKLLSHFQSSNKFDHLTNRKPQSKELTLGNLLRMRLARLSSTPRKADLDPEMPAEGKAERKPHDCESSTVSEEDYFSSHRDELQSRKAIDAATQTEPGEEMPGLSVSEVGTQTSITTACAGTQTAVITRIASSQTTIWSRSTTTQTDMDENILFPRGTQSTEGSPVSKMSVSRSSSLKSSSSVSSQGSVASSTGSPASIRTTDFHNPGYPKYLGTPHLELYLSDSLRNLNKERQFHFAGIRSRLNHMLAMLSRRTLFTENHLGLHSGNFSRVNLLAVRDVALYPSYQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAGAACCFSDEQFREACAELQKPALTGADWQLLVEASGITIYRLLDQPSGLYEYKVFGVLEGCSPALLTDVYMDLDYRKQWDQYVKELYEKESDEQMVAYWEVKYPFPLSNRDYVYTRQRRDLDVDRRKIYVVLAQSISAPQFPEKSGVIRVKQYKQSLAIESDGKKGSRVFMYYFDNPGGQIPSWLINWAAKNGVPNFLKDMVKACQNYHKKT", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAETSLPELGGEDKATPCPSILELEELLRAGKSSCSRVDEVWPNLFIGDAATANNRFELWKLGITHVLNAAHKGLYCQGGPDFYGSSVSYLGVPAHDLPDFDISAYFSSAADFIHRALNTPGAKVLVHCVVGVSRSATLVLAYLMLHQRLSLRQAVITVRQHRWVFPNRGFLHQLCRLDQQLRGAGQS", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNNNSKRKTRPTGGGASGGISRYNSNDNSLRPTNNKAGAGGGNGGAAVRPSAQGVYNNTFFMHSATALVGSVVEVRLRSGNIYEGVFRTFSGNFDIALELPACIKSKNLPEEGKVPKHIIFPADTVVTIVAKDFDSQYATAGAFQTDGAISDKCNGARPDEKELEPWDSGANGDIDIELDSAANGWDPNEMFRKNENTFGVTSTFDDSLASYTVPLDKGDSLEFKEAEAKAEKLAAEIENNPTCRDRLDLENGDEEALFAAVERPSTEQDQRGDRGDRERNDRDREREERDRDRDRDRGNKPRGAGDFQLRETMSSDRYITKQTRSITGPQLSHVGMSSQGSGRDRDTRGDGSMMMQSGGGSGQGGSTQSTAALMLAGGLKGVGPAPSANASADSSSKYSGGSMVKRKTVPQGGKVMRNNVPTGGSNVSVSQGGNGNSVGQNKGGYQPSMGMPSQYSYQGNSQIMHGSSQYRNQSHMGGANKLNGDSNANTNKPLPQRQMRQYQGSQSNSSLNYGGEPQSLGKPVHGSHGGHPGQNSNSPPLQTAGPQQQQQQQQQQQQQQQQQQPPQQQQHQNIQPQGQNTQPARQVRTRDNQMQELRQFGQDFQLAPSNTSPPQQQQQQQQQQQQHQVQQQQQRALQQSASPPQQQQQQQQQQQHVVLHQVPQTHLHQAALSQPHYVPQQQPQQAPLPQQQHVPHHMQQKAQQQQLVETQHQHVQKQHQSQPQVQQPPPQLLQDPSQQPLPIYHTMPPPQTSPVVVTSPVLLEQPPPQPMPVVQQQQTQQLATPKPEVSPAPPSSNTTTPTGIASTPTAGVIASAGSEKTTPAAPTPTSNSATVPTGTAATAGGATGTTPVVKKHVLNPSAKPFTPRGPSTPNPSRPHTPQTPVPMTNIYTTTGGHVPPAANQPIYVMQPQHPFPPQTHPQAGQPPRLRRSNYPPMAASQMHVSASAATGQPLITAGPIPQFIQYGHAPHQQQFQSHTYAPMQMRVYPDQPQQLQFMTQTPQSTTPSPGQPHQQFHPPPQPSPAGGGPQPAFTPPTQAATYQLMCVHPQSLLANHYFPPPTPQHPQQNQQQYQIVMQQHQPQ", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDDDYKLIGQIGEGSFGKVYKYRKKFTGQLVACKVISKKGKNEEDILSLRQEIDILKNLSHPNIIQFISCFENKNEFTLVTEYADGDLSQIISEEKTLSVDLIQSICYQLVIALNYLHYKKVIHRDIKPQNILITSGGQIKVCDFGFAKTISSNSILLTSLKGTPLYLAPEIIQEQPYDYKADLWSLGIILYQILVGSPPFSANSLADLVHMTLESNIEIPKELNKYPDLVSLFRQLLCKNPDKRIGWPDLLYHPFVKSYNGAHVINNNNLNYNNNNNNNNNNNNNNNNGNRPITSAGGNYLNDNSSSPSISSPIQSLPLISADSLINSLNNNINNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNGNINTNGYYQPLQSPTSQNFVNGIPIPILNGISNPFSVYNNNNNMNNNNNNKFNPTSPKHHQTLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQIPPPQSPKTPKVLSPKAVGISIQQQQQQQSQQQQMQQQQQQQQQQQQQQQQQQIPPQSPKVPQSPRVAPPPQSPRVLSSPRIAHSPKNSPRQTNPTSPSPSPRRNSLKSQQTPSLTNLNQALVNPSSSSSSLNSSIPTSSSTNKQIPPLSSNLNQVNLSNNINDNNNIINNNSNNNSNNINNNINNNNNNNSNNINNNINNNINNINNNNNNNSNINNINNINNNNNINSSNNNSSNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNIINSSNNNINNINNSNNNINNSNNNININNSNNNINGNRPTSGGNNDLILNNMLMNNISLSSSIESIASNNNSILVQQQLQQQQQQIQQQQQQIQLLQQQQQIQIQQQQQIQQQQQLQIQLMQQQQQLNIIQQQNSVVQNGMVVSVLNKKAPPTPITRPQTPTSFRPQTPQYRTCTPRTPQPINTPASRNNFQSNVLPSWPNLYYQQLNVNDPHQLQQLQQQQQQLQLQQQQQQQQQQQLPLSSSQQQIHYYNQMNHNGNSQNERLDEISEASESLESLEFWRFHESISFKSEENASKLRSDKQFLHKIISHLSASSFSMIERLPIGLILRTFSNIMKYGTLTIQSSNNTTLQSTRTPPQTPPLYPSFNNNGNNSNNNNNSNNSGGGNNSSEIYKFSNFQILVNVLSNILATTLHITNGNGNSGIIGSTSSNGMVLLNNPYTNLLDCLNTITMFLETFQPSLSVLFNVNGLNERHGSSSTPNQSSPCPTSPNGGSSNISINNINGGKRIHSQPQQSNQTQQINTQLMFLSILNHLTKNIFIYNQGIQIAVIKIISRLFSRLGENPYLFGEIYRKIIEQTDILQNICSYFLLLLNQSYQSSSSSTISNTSSLSSSSTSPPTSSSSSTSSSTTTPLSSSTTTAATATATTSAATTSTATNSSQQQQQQPIPSSIEVEVEIVLQCFHNILYTSPQHAFEFPLEPKRNYQTNTSIQTYLMNYYQACVIIGDGLSSEIIINLLLCSISSYPHLRKIILQLSLHCLRGSKLFAESISKEEKSLIYPINQNNNNNNNNNNNNQNKNNNNSNSNPSTPNLQFQNSYNSPYNTINNNKPRSFFDYLSIKNINQSNNNNNNNNNNNNNNNNNNNNNLNTESTIEFNQEQKSLVLLILSAILIHSKESIDWMIHYDLVDLSIRYFQNTDIRISSSASYFLSGFLTVSINYEKEFDNFNNNLNNSNNNLIRPTSPNSNNLIINGTNSLIDNQLTIIIDSIIQVIPIKNIRKLFSAKRVEGNTMRDLEGGCFGKPNIGMLDGVVCILLRMLKRGGQEFLETMLESGIWEALCHQISSTTSEIELSPHGIIHSLRVIYEVLSSDTDHIPYLVKNNLLTSLCNLLDSGHLERIKEWPSLQMGSVSGISALANQIFFILYLPLSSNAKDRIGDPMIELIRHIMLAQELVRNIIMLLPQIPSDSIDLPLGLLSNIILEDSKFANQFIEFGGLEPNTAQLILNPDRISPSTLVDSLIIFSQLARISQDNYKAMHRSDLYGLLKKLLAHPEATVRAKTCNLIGNMFKYNGYFYQHFQKSGILPILISRCIDVDLNTRKFACFALGNAAFHSSDLYDELDDSIPILKKLLNNEYPFSGQQQQQQSQQQQQSQQQQQNDLLLQIEEDEKTRSNVIGALGNLVRNSSQLCQKMIDSGILDALTSYLDEYKSHTNILKSVLFSLGNFSVYEQCRYILIEDYDLDSTLDDLFEYLKQNQQQIDPTIIKYINRIKKILRSPST", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRRSKADVERYVASVLGLTPSPRQKSMKGFYFAKLYYEAKEYDLAKKYICTYINVQERDPKAHRFLGLLYELEENTEKAVECYRRSVELNPTQKDLVLKIAELLCKNDVTDGRAKYWVERAAKLFPGSPAIYKLKEQLLDCEGEDGWNKLFDLIQSELYVRPDDVHVNIRLVELYRSTKRLKDAVAHCHEAERNIALRSSLEWNSCVVQTLKEYLESLQCLESDKSDWQATNTDLLLAYANLMLLTLSTRDVQENRELLESFDSALQSAKSSLGGNDELSATFLEMKGHFYMYAGSLLLKMGQHGNNVQWRALSELAALCYLIAFQVPRPKIKLREGKAGQNLLEMMACDRLSQSGHMLLSLSRGKQDFLKEVVETFANKIGQSALYDALFSSQSPKDTSFLGSDDIGKIDVQEPELEDLARYDVGAIRAHNGSLQHLTWLGLQWNSLPALPGIRKWLKQLFHRLPHETSRLETNAPESICILDLEVFLLGVVYTSHLQLKEKCNSHHSSYQPLCLPFPVCKQLCTERQKSWWDAVCTLIHRKAVPGNLAKLRLLVQHEINTLRAQEKHGLQPALLVHWAKYLQKTGSGLNSFYGQLEYIGRSVHYWKKVLPLLKIIKKNSIPEPIDPLFKHFHSVDIQASEIVEYEEDAHITFAMLDAVNGNIEDAVTAFESIKSVVSYWNLALIFHRKAEDIENDALSPEEQEECRNYLTKTRDYLIKIIDDGDSNLSVVKKLPVPLESVKQMLNSVMQELEDYSEGGPLYKNGSLRNADSEIKHSTPSPTKYSLSPSKSYKYSPETPPRWTEDRNSLLNMICQQVEAIKKEMQELKLNSSKSASRHRWPTENYGPDSVPDGYQGSQTFHGAPLTVATTGPSVYYSQSPAYNSQYLLRPAANVTPTKGSSNTEFKSTKEGFSIPVSADGFKFGISEPGNQEKKREKPLENDTGFQAQDISGRKKGRGVIFGQTSSTFTFADVAKSTSGEGFQFGKKDLNFKGFSGAGEKLFSSRYGKMANKANTSGDFEKDDDAYKTEDSDDIHFEPVVQMPEKVELVTGEEGEKVLYSQGVKLFRFDAEVRQWKERGLGNLKILKNEVNGKLRMLMRREQVLKVCANHWITTTMNLKPLSGSDRAWMWSASDFSDGDAKLERLAAKFKTPELAEEFKQKFEECQRLLLDIPLQTPHKLVDTGRAAKLIQRAEEMKSGLKDFKTFLTNDQTKVTEEENKGSGTGAAGASDTTIKPNAENTGPTLEWDNYDLREDALDDSVSSSSVHASPLASSPVRKNLFRFDESTTGSNFSFKSALSLSKSPAKLNQSGTSVGTDEESVVTQEEERDGQYFEPVVPLPDLVEVSSGEENEQVVFSHRAEIYRYDKDVGQWKERGIGDIKILQNYDNKQVRIVMRRDQVLKLCANHRITPDMSLQNMKGTERVWVWTACDFADGERKVEHLAVRFKLQDVADSFKKIFDEAKTAQEKDSLITPHVSRSSTPRESPCGKIAVAILEETTRERTDVIQGDDVADAASEVEVSSTSETTTKAVVSPPKFVFVSESVKRIFSSEKSKPFVFGNSSATGSLFGFSFNAPLKSNNSETSSVAQSGSESKVEPKKCELSKNSDIEQSSDSKVKNLSASFPTEESSINYTFKTPEKEPPLWHAEFTKEELVQKLRSTTKSADHLNGLLREIEATNAVLMEQIKLLKSEIRRLERNQEREKSAANLEYLKNVLLQFIFLKPGSERERLLPVINTMLQLSPEEKGKLAAVAQDEEENASRSSG", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGTTASTAQQTVSAGTPFEGLQGSGTMDSRHSVSIHSFQSTSLHNSKAKSIIPNKVAPVVITYNCKEEFQIHDELLKAHYTLGRLSDNTPEHYLVQGRYFLVRDVTEKMDVLGTVGSCGAPNFRQVQGGLTVFGMGQPSLSGFRRVLQKLQKDGHRECVIFCVREEPVLFLRADEDFVSYTPRDKQNLHENLQGLGPGVRVESLELAIRKEIHDFAQLSENTYHVYHNTEDLWGEPHAVAIHGEDDLHVTEEVYKRPLFLQPTYRYHRLPLPEQGSPLEAQLDAFVSVLRETPSLLQLRDAHGPPPALVFSCQMGVGRTNLGMVLGTLILLHRSGTTSQPEAAPTQAKPLPMEQFQVIQSFLRMVPQGRRMVEEVDRAITACAELHDLKEVVLENQKKLEGIRPESPAQGSGSRHSVWQRALWSLERYFYLILFNYYLHEQYPLAFALSFSRWLCAHPELYRLPVTLSSAGPVAPRDLIARGSLREDDLVSPDALSTVREMDVANFRRVPRMPIYGTAQPSAKALGSILAYLTDAKRRLRKVVWVSLREEAVLECDGHTYSLRWPGPPVAPDQLETLEAQLKAHLSEPPPGKEGPLTYRFQTCLTMQEVFSQHRRACPGLTYHRIPMPDFCAPREEDFDQLLEALRAALSKDPGTGFVFSCLSGQGRTTTAMVVAVLAFWHIQGFPEVGEEELVSVPDAKFTKGEFQVVMKVVQLLPDGHRVKKEVDAALDTVSETMTPMHYHLREIIICTYRQAKAAKEAQEMRRLQLRSLQYLERYVCLILFNAYLHLEKADSWQRPFSTWMQEVASKAGIYEILNELGFPELESGEDQPFSRLRYRWQEQSCSLEPSAPEDLL", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MATGQLFSRTTQALFYNYKQLPVQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHAAIEAACAAHPTADVFINFASFRSAAASSMAALKQPTIKVVAIIAEGVPESDTKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTVARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQIKMMVVLGELGGRDEYSLVEALKEGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALIDAGAIVPTSFEALESAIKETFEKLVEEGKVSPIKEVIPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIIEQGYGVGDVISLLWFKRSLPRYCTKFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDACDRNLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVELLQKFARSNFPSVKYMEYAVTVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVQIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSNKEKGVNVQVLLRCRPFSNDELRNNAPQVVTCNDYQREVAVSQNIAGKHIDRIFTFDKVFGPSAQQRDLYDQAIVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRSKSGPNGELPQEAGVIPRAVKQVFDTLESQNAEYSVKVTFLELYNEEITDLLAPEDLKVALEDRQKKQLPLMEDGKGGVLVRGLEEEIVTSANEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSTLIKDLYGEIERLKAEVYAAREKNGVYIPKERYYQEENERKAMADQIEQMGVSIENHQKQFEELQSRHDSQVQQCSDLTCKLDVTQKQLNQTSKLLAYTEEQLRQSQYTLKERDFIISEQKKAENALAHQACVLRADLEKSIQENASLFQKIAREDKLSTDNRSLVNNFQAELAKQLGSLSSTLATSVCRQTEHLQCVEKFCHNFLDSHDKAVLDLKRKINSSMALYISHFEAMQNVVRLHKATSNATLEEVSTLASSNSISTKEFLDAEAVEANSMFDELQSTLSTHQGEMAHFARELRQRFNDSTEHLTNISAIIQRFFDKLLDESKRLEKHATTVDEIQTNSIAEFEKAYEEQSKSDAEKLIADVTSLVSNHMRRQKELVGARLVDLRETVSGNRTFLDGHVSSMEGITTDAKRKWQDFYMQAEGETKENADFSAAKHCRMESLMQKCVSTAETALKRWQSTHELVNDMGNQHVLTMHSVVRNICDNNEQHVTDFDSTRESAEEDVKRNSEDIIKSIDSLSGEERGSISGVLDTTSAHSETLDVLKKDHCMQSTSIEQIALETFQQKYMDYEPTGATPIRSEPDVPSKVTIESLRAMPMEVLLEEFRENNSFESFQVKEVKPSLIPRSPFSQINN", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSNWDYLDEVDILPKLPPNFDELRESKKWQERKEALEALLKVLTDNERLSTKASYAELIGHLQMVLAKDANINCQALAAKCIGKFATGLRAKFSSFAGPLLPVIFEKMKEKKPMLREPLVDCSNEVGRTMQSLETGQEDILAALAKPNPQIKQQTALFVARQLDLVVPAKQPKGFIKAVVPVFGKLTGDADQDVREASLQGLGAVQRIIGDKNVKNLLGDASSDEGKMKKIGEYAEKSTASFAEEQAKNAPPVAPTSSTPSASAASGDPSGGTATAVVSSGAPVAEADPWDFLDAFDVLSKMPDGFDTNIESKKWQERKEALEGLLQLITANPKLDPKANYGALVERLQKVLEKDANINVAALAANCITGIANGLRTKFQPFAVSVTPIIFEKFKEKKPTLRDPLVACIDAVVATTNLEAVGEIVLAALGKPNPSIKTQTDLFLQRCFMKLNSQTMPKKTLKTLIPSLIKHSGDSDSEVREASYAAMGAMMRAIGEKPSLQLLADIASDNLKMSKIKEFHQKALDEAGPAEIAEMVKSIHKADAPPAAAPPKKTAPPKKQPEDEEVVEEEDEPLKPPPGDKKKKVPVKENEENEPPVVAPKAELLLSDNEDKKQRIKEEKQLKLVKWNFQAPTDEHISQLQTLLGNQAKVSLMSQLFHKDFKQHLAALDSLVRLADTSPRSLLSNSDLLLKWCTLRFFETNPAALIKVLELCKVIVELIRDTETPMSQEEVSAFVPYLLLKTGEAKDNMRTSVRDIVNVLSDVVGPLKMTPMLLDALKSKNARQRSECLLVIEYYITNAGISPLKSLSVEKTVAPFVGDKDVNVRNAAINVLVACFKFEGDQMWKAAGRMADKDKSLVEERIKRTGVKPGSGVVTSPPTGGPKILVPQQQGSVVRRPASRSRTREPEPEEVQSDTFTIRQDTMPPKTSSRYALRDDVFSSAMGRLDGTQVITPPQPVNGWSNNTFQMKRTNSSSSISSIDTSDQIQRSINNISSSLADVAQDAMFQVTYVLNQPEQRHLVDRRADLVFRASAAQLDLVIEEFNAGRDVSGTMDACTQMLFILMGGVETEHGLEPLNASPDTVKAIISSVLRCIIQIGNTESGYGMARSLNRLAMRLIYRVELSNLLCGLILAMTESLQMNTGITELVSKLSSKWCDELEKRRAQLRASDIVDSFNAFYVCALTELKMDISDSHILIVDNYLERVILQQGDVVLDAARRLSRPHMHLTSMINKILQMMRERKIDPIMPGTLEARMPQEDEAVVVRSGVQVSIDNILRDTSMAVKHIEQLNILIASSDRSWNEYMEYLKNNPMGELIKELVGECSRKKRIDFNLSHVVKSSMAVFKAMAATGPVQEEGRITPTDINRMDTMIVGTPLSRGDATITRARGNMIRPKRTTLSRDQMANIRHTLDRVKNH", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAVKHLIVLKFKDEITEAQKEEFFKTYVNLVNIIPAMKDVYWGKDVTQKNKEEGYTHIVEVTFESVETIQDYIIHPAHVGFGDVYRSFWEKLLIFDYTPRK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSSSSKPVNTGLVTPRRYSTMTGIRTGPSQSGTGSIPYSPTSPLSRNFSNYSIPMLRSNSTQTNVNGPTAFDLGVTEKLMSPGTLDRYTRPALYPSKDLDYLKNEYVNYESTTSQQTNSKGLKESNFVGSGIITSIRIRPIGKNQGVWSHGKLSNDPYGREYIRQQTSTSSSTIQQEYLFNNVFGMESKNYDIYKRSVKSVVRNVFSGYNGIVFAYGMTGTGKTYSMQGTENEPGIIPLAMNDLFEMVENNSDDDTFQIRISYLEIYNERIRDLIGNSDEEPRIRENASGEVNVTPLTRVLVTSPEEVSQVIEQCNAIRKTAATDFNTYSSRSHAILQVFLIRNNPTAHTSQISSLSLVDLAGSERASAHHERRKEGAFINKSLLTLGTVISRLSAAANPSLTSNSGHIPYRESKLTRLLQQSLSGQSQISLLATISIESNHTMETTNTLKFASRAQNLPQDIRQAEAVTNVQAELASLHSALEKNAQEVEYYASLVKQLTSDLEERDTYIAMLEAERSQGTAISRARLRMEELLSDHNFEIADLRDELQDKEQIIYALRYAQKQRDIADFNQSLAKFPHKILKKNVTRGSRSSSDQFSNETKTEILPDDQQQSKKDSVTQETQLLS", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAQGLIEVERKFVPGPSTEERLQELGGTLEHRVTFRDSYYDTPELSLMRADYWLRQREGSGWELKCPGAAGVSGPHTEYTELTAEPSIVAQLCEVLGAEVPGAGGVAAVLGPLGLQLVASFVTKRSAWKLVLSGADGEERLLRVDLDTADFGYAVGEVEALVHKEAEVPAALEKIHHLSSLLGVLEQGRAPAKLIVYLQRFRPQDYQRLLEVYGSKEKP", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDEYSSIYSQPKTPRLKQEGFPDSIGDQHEKALIDENGEEDKKMASTEGTTGDSRSTPLTVSIPTFENVQALPTPMTYTPLSPGNLSMSPIDQSSLNIPKRRSHARLLDDMLSVTQPNQRVVSELIAPANLSPQRVVSLPTVTEEALVNDSVDSDNYTKEPYFPESSSSTEKCDDDIFQGFLLDHWDRPLLWKKVRPIGSGNFSTVLLYELMDQSNPKLKQVAVKRLKYPEELSNVEQINTSLRYKETLSRLENSLTRELQVLKSLNHPCIVKLLGINNPIFVTSKKPLCDLIIKTPRALPPCDMIMSYCPAGDLLAAVMARNGRLEAWLIQRIFTEVVLAVKYLHENSIIHRDLKLENILLKYSFDDINSFRDSPIYCKQNFIELADFGLCKKIENNEMCTARCGSEDYVSPEILMGVPYDGHLSDTWALGVILYSLFEDRLPFDPPPNASARQRSRATSHRIARFDWRWYRLSDYKTNVGKQIVENTLTRKNQRWSINEIYESPFVKTIADTLSFS", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSADSPRRHPSGVVGSGIGLGSGSGTGLGSGSTGGSKSGAAVPAIVPPQTVSDRSILDSAIGFINDVTLANQPVQDPKDTITWARFETCADVSDPRFGDDWELEGNAAPPLLLILGYGLGVQVWAIPANGEAVEVLSWRHGVVTALRVLPTPATAAALDENGRADEPVDSFAEKRPLVAFVDGGSAAASGLLAGSSGLGLGGGGGGVTTVGGSVGGVSGIGVSASAQFSAVNFMSLKTGVQVKTIKFKNAVLDIQANRSAVVITFHERIAVFDARTLEDRLTITTCYPSPGINPNPIALGPRWLAYAEHKLLHSKRSGGGCDGEGVPSYTATVLNAAKSLSKGLREFGEQVAAGLTGTTAGSGASSKSSSFDSASGGPDAKQSGVVTIIDVKHPVKDYSPTSGTPLSSTAGSQGGGDPIVAHFVAHSEALVAMEFDSSGMLLLTADRRGHDFHVFRVQPHPVGPSLAAVHHLYVLHRGDTSAKVQHIAFSLDSRWAAVSTLRGTTHVFPITPYGGAMGVRTHTSLHVVNKLSRFHRSAGLGADGRSSSPISHSESTTFVQSLQPYHNPTLPPYPRPSVVQPLAQLRQPFTLGSPPGSAGLGGGVGGGGVMGSGVSAGGHGVGVGVGSNSQRQRQRLSSLSDDSGKPLSVCSIFAKSRSWLLEPPMATREQPHRVQRKAVDSLFVMAGHGALIQYDLDTKLASHVAKEKICDDTPIELEVEARAQWNLGRRKDGSQEIAPPLGLDNWLIKDRHASLLLDSANQFDDPDERTESWLAQVEIITHAGPHRRLWMGPQFVFKNYNTPSGSNLNHVDAEAVEIGVSKTTTTTLPSTAASSALGLGTIIGKDRSSPLNMPLSAATSVGGAGIGSSAVTGRSGAGVPVLIESGSYSSIEQSPKLMDRFRHGHLDSDYGHGDTRLKEDLADAMRESPSTAAARRETTGNYFTTDQLDGLALNNNNNINNNIIPTKDNASPNPNTNTNPNAIPSSNKVQKAEVTDAVDYPIRHSYGDHGELSTVVNIEVFDDQLSMSSISTNSRLSLEGPPSQSSPPLSLTNGLMDTNLMHFSESVTGTGVAQAQVHGRGANRFEVDDDDEEEEEEEEELDEEAEPDDDEREDRPLGRRNL", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEEFLQRAKSKLNRSKRLEKVHVVIGPKSCDLDSLISTFTYAYFLDKVSPPGVLCLPVLNIPRTEFNYFTETRFILEELNISESFHIFRDEINLHQLNDEGKLSITLVGSSVLASEDKTLESAVVKVINPVEQSDANVEFRESSSSLVLKEILQEAPELITEQLAHRLRGSILFKWMTMESEKISEKQEEILSILEEKFPNLPPREDIINVLQETQFSAQGLSIEQTMLKDLKELSDGEIKVAISTVSMNLENCLFHSNITSDLKAFTDKFGFDVLILFSSYLSEEQQPRRQIAVYSENMELCSQICCELEECQNPCLELEPFDCGCDEILVYQQEDPSVTCDQVVLVVKEVINRRCPEMVSNSRTSSTEAVAGSAPLSQGSSGIMELYGSDIEPQPSSVNFIENPPDLNDSNQAQVDANVDLVSPDSGLATIRSSRSSKESSVFLSDDSPVGEGAGPHHTLLPGLDSYSPIPEGAVAEEHAWSGEHGEHFDLFNFDPAPMASGQSQQSSHSADYSPADDFFPNSDLSEGQLPAGPEGLDGMGTNMSNYSSSSLLSGAGKDSLVEHDEEFVQRQDSPRDNSERNLSLTDFVGDESPSPERLKNTGKRIPPTPMNSLVESSPSTEEPASLYTEDMTQKATDTGHMGPPQTHARCSSWWGGLEIDSKNIADAWSSSEQESVFQSPESWKEHKPSSIDRRASDSVFQPKSLEFTKSGPWESEFGQPELGSNDIQDKNEESLPFQNLPMEKSPLPNTSPQGTNHLIEDFASLWHSGRSPTAMPEPWGNPTDDGEPAAVAPFPAWSAFGKEDHDEALKNTWNLHPTSSKTPSVRDPNEWAMAKSGFAFSSSELLDNSPSEINNEAAPEIWGKKNNDSRDHIFAPGNPSSDLDHTWTNSKPPKEDQNGLVDPKTRGKVYEKVDSWNLFEENMKKGGSDVLVPWEDSFLSYKCSDYSASNLGEDSVPSPLDTNYSTSDSYTSPTFAGDEKETEHKPFAKEEGFESKDGNSTAEETDIPPQSLQQSSRNRISSGPGNLDMWASPHTDNSSEINTTHNLDENELKTEHTDGKNISMEDDVGESSQSSYDDPSMMQLYNETNRQLTLLHSSTNSRQTAPDSLDLWNRVILEDTQSTATISDMDNDLDWDDCSGGAAIPSDGQTEGYMAEGSEPETRFTVRQLEPWGLEYQEANQVDWELPASDEHTKDSAPSEHHTLNEKSGQLIANSIWDSVMRDKDMSSFMLPGSSHITDSEQRELPPEIPSHSANVKDTHSPDAPAASGTSESEALISHLDKQDTERETLQSDAASLATRLENPGYFPHPDPWKGHGDGQSESEKEAQGATDRGHLDEEEVIASGVENASGISEKGQSDQELSSLVASEHQEICIKSGKISSLAVTFSPQTEEPEEVLEYEEGSYNLDSRDVQTGMSADNLQPKDTHEKHLMSQRNSGETTETSDGMNFTKYVSVPEKDLEKTEECNFLEPENVGGGPPHRVPRSLDFGDVPIDSDVHVSSTCSEITKNLDVKGSENSLPGAGSSGNFDRDTISSEYTHSSASSPELNDSSVALSSWGQQPSSGYQEENQGNWSEQNHQESELITTDGQVEIVTKVKDLEKNRINEFEKSFDRKTPTFLEIWNDSVDGDSFSSLSSPETGKYSEHSGTHQESNLIASYQEKNEHDISATVQPEDARVISTSSGSDDDSVGGEESIEEEIQVANCHVAEDESRAWDSLNESNKFLVTADPKSENIYDYLDSSEPAENENKSNPFCDNQQSSPDPWTFSPLTETEMQITAVEKEKRSSPETGTTGDVAWQISPKASFPKNEDNSQLEMLGFSADSTEWWKASPQEGRLIESPFERELSDSSGVLEINSSVHQNASPWGVPVQGDIEPVETHYTNPFSDNHQSPFLEGNGKNSHEQLWNIQPRQPDPDADKFSQLVKLDQIKEKDSREQTFVSAAGDELTPETPTQEQCQDTMLPVCDHPDTAFTHAEENSCVTSNVSTNEGQETNQWEQEKSYLGEMTNSSIATENFPAVSSPTQLIMKPGSEWDGSTPSEDSRGTFVPDILHGNFQEGGQLASAAPDLWIDAKKPFSLKADGENPDILTHCEHDSNSQASDSPDICHDSEAKQETEKHLSACMGPEVESSELCLTEPEIDEEPIYEPGREFVPSNAELDSENATVLPPIGYQADIKGSSQPASHKGSPEPSEINGDNSTGLQVSEKGASPDMAPILEPVDRRIPRIENVATSIFVTHQEPTPEGDGSWISDSFSPESQPGARALFDGDPHLSTENPALVPDALLASDTCLDISEAAFDHSFSDASGLNTSTGTIDDMSKLTLSEGHPETPVDGDLGKQDICSSEASWGDFEYDVMGQNIDEDLLREPEHFLYGGDPPLEEDSLKQSLAPYTPPFDLSYLTEPAQSAETIEEAGSPEDESLGCRAAEIVLSALPDRRSEGNQAETKNRLPGSQLAVLHIREDPESVYLPVGAGSNILSPSNVDWEVETDNSDLPAGGDIGPPNGASKEISELEEEKTIPTKEPEQIKSEYKEERCTEKNEDRHALHMDYILVNREENSHSKPETCEERESIAELELYVGSKETGLQGTQLASFPDTCQPASLNERKGLSAEKMSSKSDTRSSFESPAQDQSWMFLGHSEVGDPSLDARDSGPGWSGKTVEPFSELGLGEGPQLQILEEMKPLESLALEEASGPVSQSQKSKSRGRAGPDAVTLQAVTHDNEWEMLSPQPVQKNMIPDTEMEEETEFLELGTRISRPNGLLSEDVGMDIPFEEGVLSPSAADMRPEPPNSLDLNDTHPRRIKLTAPNINLSLDQSEGSILSDDNLDSPDEIDINVDELDTPDEADSFEYTGHDPTANKDSGQESESIPEYTAEEEREDNRLWRTVVIGEQEQRIDMKVIEPYRRVISHGGYYGDGLNAIIVFAACFLPDSSRADYHYVMENLFLYVISTLELMVAEDYMIVYLNGATPRRRMPGLGWMKKCYQMIDRRLRKNLKSFIIVHPSWFIRTILAVTRPFISSKFSSKIKYVNSLSELSGLIPMDCIHIPESIIKLDEELREASEAAKTSCLYNDPEMSSMEKDIDLKLKEKP", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAERSRSPVDSPVPASMFAPEPSSPGAARAAAAAARLHGGFDSDCSEDGEALNGEPELDLTSKLVLVSPTSEQYDSLLRQMWERMDEGCGETIYVIGQGSDGTEYGLSEADMEASYATVKSMAEQIEADVILLRERQEAGGRVRDYLVRKRVGDNDFLEVRVAVVGNVDAGKSTLLGVLTHGELDNGRGFARQKLFRHKHEIESGRTSSVGNDILGFDSEGNVVNKPDSHGGSLEWTKICEKSSKVITFIDLAGHEKYLKTTVFGMTGHLPDFCMLMVGSNAGIVGMTKEHLGLALALNVPVFVVVTKIDMCPANILQETLKLLQRLLKSPGCRKIPVLVQSKDDVIVTASNFSSERMCPIFQISNVTGENLDLLKMFLNLLSPRTSYREEEPAEFQIDDTYSVPGVGTVVSGTTLRGLIKLNDTLLLGPDPLGNFLSIAVKSIHRKRMPVKEVRGGQTASFALKKIKRSSIRKGMVMVSPRLNPQASWEFEAEILVLHHPTTISPRYQAMVHCGSIRQTATILSMDKDCLRTGDKATVHFRFIKTPEYLHIDQRLVFREGRTKAVGTITKLLQTTNNSPMNSKPQQIKMQSTKKGPLSKREEGGPCGVPAAGGPPTGDEASSLGTAQAASTSGLQPQPKPSSGGRRRGGQRHKVKSGACVTPASGC", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDDATVLRKKGYIVGINLGKGSYAKVKSAYSERLKFNVAVKIIDRKKTPTDFVERFLPREMDILATVNHGSIIKTYEIFETSDGRIYIIMELGVQGDLLEFIKCQGALHEDVARKMFRQLSSAVKYCHDLDIVHRDLKCENLLLDKDFNIKLSDFGFSKRCLRDSNGRIILSKTFCGSAAYAAPEVLQSIPYQPKVYDIWSLGVILYIMVCGSMPYDDSDIRKMLRIQKEHRVDFPRSKNLTCECKDLIYRMLQPDVSQRLHIDEILSHSWLQPPKPKATSSASFKREGEGKYRAECKLDTKTGLRPDHRPDHKLGAKTQHRLLVVPENENRMEDRLAETSRAKDHHISGAEVGKAST", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVLFMKTVQRPEHISLKSCIPFKSLQRQGIVFRLSVRMVMLADDHSISDSALSDSDKNAFKDKNNDFWKAIKNAKNASDINFSDYSIFFAAGGHGTLFDFPSATNLHKGAAKIYSMGGVIAAVCHGPVILPCIKDSTGFSIVKGKTVTAFNEIAEQQMNLMPTFEKYHFKTLNKLFQEAGSNFVDPQEPFDDFVKTDGKLVTGANPASAASTAKAALNSLNS", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNLERLRKRVRQYLDQQQYQSALFWADKVASLSREEPQDIYWLAQCLYLTAQYHRAAHALRSRKLDKLYEACRYLAARCHYAAKEHQQALDVLDMEEPINKRLFEKYLKDESGFKDPSSDWEMSQSSIKSSICLLRGKIYDALDNRTLATYSYKEALKLDVYCFEAFDLLTSHHMLTAQEEKELLESLPLSKLCNEEQELLRFLFENKLKKYNKPSETVIPESVDGLQENLDVVVSLAERHYYNCDFKMCYKLTSVVMEKDPFHASCLPVHIGTLVELNKANELFYLSHKLVDLYPSNPVSWFAVGCYYLMVGHKNEHARRYLSKATTLEKTYGPAWIAYGHSFAVESEHDQAMAAYFTAAQLMKGCHLPMLYIGLEYGLTNNSKLAERFFSQALSIAPEDPFVMHEVGVVAFQNGEWKTAEKWFLDALEKIKAIGNEVTVDKWEPLLNNLGHVCRKLKKYAEALDYHRQALVLIPQNASTYSAIGYIHSLMGNFENAVDYFHTALGLRRDDTFSVTMLGHCIEMYIGDSEAYIGADIKDKLKCYDFDVHTMKTLKNIISPPWDFREFEVEKQTAEETGLTPLETSRKTPDSRPSLEETFEIEMNESDMMLETSMSDHST", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVKAMEQEQETYKSRLFHFKNMNENSASRHVKSWSSDCAMRMDGSDNLDDDDNDMMMFRSQPGKCGSVDRPSLPIGGVTPNRNDKLPRVSSSDSMEALIILQAAMEQMKEKFSKLLLGEDMSGGGKGVSSALALSNAITNLAASAFGEQRRLEPMAVDRKTRWRREIGWLISVADYIVEFAPTQQTNKDGTSMEVMSTRQRTDLLCNIPALKKLDAMLLDCLDKFKDQDEFYYVKKDSPDSCETRNDEKWWLPAVKVPPNGLSEISRRFLQSQKECVNQVLKAAMAINAQVLSEMEIPESYLESLPKNGRASLGDVIYRMITVEMFDADQFLIEMDLSSEHKILDLKNRIEASIVIWKRKMVQKDTKSPWGSTVSIEKREQFEERAETILLLLKQGFPGISQSSLDISKIQFNRDVGLAILESYSRVLESLAHTVMSRIEDVLYADQLTQEPTNNAPSKNRYSLKENEKLREERLSFTEDMASGTLSDVMQWGNKNNEMKKESFFGDREKPLLSKVTGIMTNNKKSSYLDNLGAMRSPTARYS", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MARRPARCYRYQKNKPYPKSRYNRAVPDSKIRIYDLGKKKATVDEFPLCVHLVSNELEQLSSEALEAARICANKYMTTVSGRDAFHLRVRVHPFHVLRINKMLSCAGADRLQQGMRGAWGKPHGLAARVDIGQIIFSVRTKDSNKDVVVEGLRRARYKFPGQQKIILSKKWGFTNLDRPEYLKKREAGEVKDDGAFVKFLSKKGSLENNIREFPEYFAAQA", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSQPLHARFATRAVKNPMILEKERQLTDSKYHILVAATGSVAAIKLTLIVKSLLTYKGVDVQVVLTDPARNFVEKEDLTALGVNVYNNADDWKNWDGLECPITHIELRRWAHLLLIAPLSANTMAKMANGLCDNLLTSLIRAWAPLKPILLAPAMNTLMWTNPITQEHLSAISRIYKNSEFIMPIEKVLACGDIGMGGMAEWRNIVGRVADKLQLEQKSVLPNAVKNIDGQDDDSSEQTAAFEEYDDDDDDDVDDNEQSNSMIETSANADITPKASLLPSTTESSISKDHETSQAPLGSESVDTQASENVTTKPEPPVPFTSSEYRNTEEEQYLNLIRYILENGQSRPDRTGTGTRSVFAPPQLRFSLRNNTLPLLTTKRVFLRGVLEELLWFIHGDTNANHLSEKGIHIWDGNGSREFLDSRGLTDRKVGDLGPIYGFQWRHFGAQYVDCDTDYTNKGVDQLAQVISTLKLNPYDRRIILSAWNPLAIPEMALPPCHIFCQFYVSEPCKPGGKPQLSSMMYQRSADMGLGVPFNIASYSLLTHMIAHMCGYEAAEFVHVMGDCHIYNDHLEALQTQLERVPKAFPKLFFKRDAKDIGSIDSFSVDDFAVEGYNPYGPIKMKMSV", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPREIITLQLGQCGNQIGFEFWKQLCAEHGISPEGIVEEFATEGTDRKDVFFYQADDEHYIPRAVLLDLEPRVIHSILNSPYAKLYNPENIYLSEHGGGAGNNWASGFSQGEKIHEDIFDIIDREADGSDSLEGFVLCHSIAGGTGSGLGSYLLERLNDRYPKKLVQTYSVFPNQDEMSDVVVQPYNSLLTLKRLTQNADCVVVLDNTALNRIATDRLHIQNPSFSQINQLVSTIMSASTTTLRYPGYMNNDLIGLIASLIPTPRLHFLMTGYTPLTTDQSVASVRKTTVLDVMRRLLQPKNVMVSTGRDRQTNHCYIAILNIIQGEVDPTQVHKSLQRIRERKLANFIPWGPASIQVALSRKSPYLPSAHRVSGLMMANHTSISSLFERTCRQYDKLRKREAFLEQFRKEDMFKDNFDEMDTSREIVQQLIDEYHAATRPDYISWGTQEQ", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSAAPLDYKKALEHLKTYSSKDGLSVQELMDSTTRGGLTYNDFLVLPGLVNFPSSAVSLQTKLTKKITLNTPFVSSPMDTVTEADMAIYMALLGGIGFIHHNCTPKEQASMVKKVKMFENGFINSPIVISPTTTVGEVKVMKRKFGFSGFPVTEDGKCPGKLVGLVTSRDIQFLEDDSLVVSEVMTKNPVTGIKGITLKEGNEILKQTKKGKLLIVDDNGNLVSMLSRADLMKNQNYPLASKSATTKQLLCGAAIGTIEADKERLRLLVEAGLDVVILDSSQGNSVFQLNMIKWIKETFPDLEIIAGNVATREQAANLIAAGADGLRIGMGSGSICITQEVMACGRPQGTAVYNVCQFANQFGVPCMADGGVQNIGHITKALALGSSTVMMGGMLAGTTESPGEYFYKDGKRLKAYRGMGSIDAMQKTGNKGNASTSRYFSESDSVLVAQGVSGAVVDKGSIKKFIPYLYNGLQHSCQDIGCESLTSLKENVQNGEVRFEFRTASAQLEGGVHNLHSYEKRLYN", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVTPCPTSPSSPAARAGRRDNDQNLRAPVKKSRRPRLRRKQPLHPLNPCPLPGDSGICDLFESPSSGSDGAESPSAARGGSPLPGPAQPVAQLDLQTFRDYGQSCYAFRKAQESHFHPREALARQPQVTAESRCKLLSWLIPVHRQFGLSFESLCLTVNTLDRFLTTTPVAADCFQLLGVTSLLIACKQVEVHPPRVKQLLALCCGAFSRQQLCNLECIVLHKLHFTLGAPTISFFLEHFTHARVEAGQAEASEALEAQALARGVAELSLADYAFTSYSPSLLAICCLALADRMLRVSRPVDLRLGDHPEAALEDCMGKLQLLVAINSTSLTHMLPVQICEKCSLPPSSK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSQIKDRVEKLIQTNPVMMFSKSFCPYCKKAKATLKELNVEPGICELDEDSEGRAIQDYLKEKTSQNTVPNIFIKGQHVGGCDDLLAAKDNGSLSKMIAAL", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSKYEVLQGFYAVHDELGSGGFGKVRLATHLLTNQKVAIKIIDKKQLGHDLPRVQTEMDALRNLSHQNICRLYHYIETEDKFFIVMEYCSGGEMFDYIVRKERLEESEARHFFRQLVSAIAFVHSQGYAHRDLKPENLLLTEDLHLKLIDFGLCAKTEKGRIDKHNLDTCCGSPAYAAPELIQGLQYKGNEADVWSMGILLYTLLVGALPFEDDNMQIMYKKIQSGCFYEPEFLSPLSKQLLRAMLQVVPERRISVKKLLEHDWLNHKYTQPVKWNTIYDKNFIDRDVARVMSKYYGFESTDKMIEKIKEWNFDYMTSTYYALLHRKRNGMEIILPMVRNSTNTAPPNVQNILCSPTIHASLENNLDKSGLEDDDSDPSSISSSSDISARLKKNCVVSDESSSSRFVKPMSPAAEKDKKMSYVNAMLTMPSQFTGRSPLRIPESPMSVRSSDSASLGSAATPSRGGVKDNDKENASTGKNYRMGASTCKSRGPLKITGVEEGTMKSVYTTPNTRPTLRGLFSPGNAEHKKRQRARSSDRASIGMPPGSPVSIGSAHSANNELLADGRTPRSRIKTNRLPQRVFTSLERKKEKLITLLTPRKMQRDSPQVLKDVKNMVNVSMTASQDPEEVRNLLKKVFDDERMRYELNGWKFLATQETVHGWMTVELEIVRLQMFDKVGIRRKRLKGDAFMYKKVCEKILQMAKIE", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSLFGALMGDFDDDLGLMNNHMNHTMNAMNMQMRSMNRLMNSFMPDPFMQVSPFDQGFQQNALMERPQMPAMPAMGLFGMPMMPNFNRLLNADIGGNSGASFCQSTVMTMSSGPDGRPQIYQASTSTKTGPGGVRETRRTVQDSRTGVKKMAIGHHIGERAHIIEKEQDMRSGQLEERQEFINLEEGEAEQFDREFTSRASRGAVQSRHHAGGMQAIMPARPAAHTSTLTIEPVEDDDDDDDDCVIQEQQPVRSSAGRHYSSAPTAPQNSGNIATAAAPTPTSSPTTYDTSNGNNNNYVSSRRSYLRNGHGHSLATPRRPLRTPPSSPLATVSTSPSIHPHPYAANPRRQQRAVKHFHTEDEAASSYKAVKRGKKK", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSSIVEHVVLFKLNDDDVDSGKINSMVNGINELVNLDQVLHLYCGSIHRLITTTASDFTHVLHSRYRSKEDLNAYAIHPDHVRVVKESESIREDIMAVDWIAEQAPEALAPPLGSIGKITLLKLKENVMEEAKLEIMEVMKEKFEGIDQITVGENFSPGRSKDFSIGSISYFRDLGEIEAVDDQMKLQNDKIRDYVDDTIVVEFNVPSSS", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTEKAPEPHVEEDDDELDGKLNYKPPPQKSLKELQEMDKDDESLTKYKKTLLGDGPVVADPTAPNVTVTRLTLVCESAPGPITMDLTGDLEALKKETFVLKEGVEYRVKINFKVNKDIVSGLKYVQHTYRTGVKVDKATFMVGSYGPRPEEYEFLTPIEEAPKGMLARGTYHNKSFFTDDDKHDHLTWEWNLSIKKDWTE", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLVKTISRTIESESGFLQPTLDVIATLPADDRSKKIPISLVVGFKQEASLNSSSSLSCYYYAIPLMRDRHINLKSGGSNVVGIPLLDTKDDRIRDMARHMATIISERFNRPCYVTWSSLPSEDPSMLVANHLYILKKCLDLLKTELGE", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKGSRIELGDVTPHNIKQLKRLNQVIFPVSYNDKFYKDVLEVGELAKLAYFNDIAVGAVCCRVDHSQNQKRLYIMTLGCLAPYRRLGIGTKMLNHVLNICEKDGTFDNIYLHVQISNESAIDFYRKFGFEIIETKKNYYKRIEPADAHVLQKNLKVPSGQNADVQKTDN", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGDVDKWIEDVKKCKYLPENELKKLCEMVCDILLEETNILPVSTPVTVCGDIHGQFYDLEQLFRTGGQVPHTNYIFMGDFVDRGYYSLETFTRLLTLKARYPSRITLLRGNHETRQITKVYGFFDECFSKYGNANGWKYCCKVFDLLTIAAIIDEEVLCVHGGLSPEIITLDQIRTIDRNGEIPYKGAFCDLVWSDPEDMEYWGQSPRGAGWLFGHNVTKDFMAINNLNLICRAHQLVNEGIKYMFDGKLVTVWSAPNYCYRCGNVAAILSFETAEKRQTKIFLAVPDAERVIPKQNTTPYFL", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTEPLDWRFSQTFGGKGNEDASDANVVPAIEFDQTGDFIAVGDKGGKVLLLKRTHDKQSSKKSKLPEYRFYSEFQSHEPEFDYLKSLEIEEKINKIKWCPKQNDAQFLLTTNDKTIKLWKVYEKKIKQVSTSATTTGPSYNGSLASNNTRSPSHTTYIYNSSGAHNMNNNMNNSNNNNNLNNFKIPKLTTRETVVTATPRKIFQNAHAYHINSISLNSDGETYISSDDLRIHLWNLNINTECFNVVDIKPTNMEDLTEVITSAEFHPTSCNIFMYSSSKGTIKLGDLRSSALCDNHAKVFEEYEDPSNKSFFSEIISSISDIKFSRDGRYILSRDFLTLKLWDINMENKPVKTIQIHDYLKPKLCDLYENDCIFDKFECTLNHDGTQMLTGSYHNYLHIYDRNSKQDVCLEASKQATKSKTKTLTTKMKLRSSKKEPKKPEDIHPDAIEYTKKTLHCAWHPKDNLIAVGAANTVYLYAATENK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASATEDPVLERYFKGHKAAITSLDLSPNGKQLATASWDTFLMLWNFKPHARAYRYVGHKDVVTSVQFSPHGNLLASASRDRTVRLWIPDKRGKFSEFKAHTAPVRSVDFSADGQFLATASEDKSIKVWSMYRQRFLYSLYRHTHWVRCAKFSPDGRLIVSCSEDKTIKIWDTTNKQCVNNFSDSVGFANFVDFNPSGTCIASAGSDQTVKVWDVRVNKLLQHYQVHSGGVNCISFHPSGNYLITASSDGTLKILDLLEGRLIYTLQGHTGPVFTVSFSKGGELFASGGADTQVLLWRTNFDELHCKGLTKRNLKRLHFDSPPHLLDIYPRTPHPHEEKVETVEINPKLEVIDLQISTPPVMDILSFDSTTTTETSGRTLPDKGEEACGYFLNPSLMSPECLPTTTKKKTEDMSDLPCESQRSIPLAVTDALEHIMEQLNVLTQTVSILEQRLTLTEDKLKDCLENQQKLFSAVQQKS", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEIGSAGPAGAQPLLMVPRRPGYGTMGKPIKLLANCFQVEIPKIDVYLYEVDIKPDKCPRRVNREVVDSMVQHFKVTIFGDRRPVYDGKRSLYTANPLPVATTGVDLDVTLPGEGGKDRPFKVSIKFVSRVSWHLLHEVLTGRTLPEPLELDKPISTNPVHAVDVVLRHLPSMKYTPVGRSFFSAPEGYDHPLGGGREVWFGFHQSVRPAMWKMMLNIDVSATAFYKAQPVIQFMCEVLDIHNIDEQPRPLTDSHRVKFTKEIKGLKVEVTHCGTMRRKYRVCNVTRRPASHQTFPLQLENGQTVERTVAQYFREKYTLQLKYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDNQTSTMIKATARSAPDRQEEISRLVRSANYETDPFVQEFQFKVRDEMAHVTGRVLPAPMLQYGGRNRTVATPSHGVWDMRGKQFHTGVEIKMWAIACFATQRQCREEILKGFTDQLRKISKDAGMPIQGQPCFCKYAQGADSVEPMFRHLKNTYSGLQLIIVILPGKTPVYAEVKRVGDTLLGMATQCVQVKNVIKTSPQTLSNLCLKINVKLGGINNILVPHQRPSVFQQPVIFLGADVTHPPAGDGKKPSIAAVVGSMDAHPSRYCATVRVQRPRQEIIQDLASMVRELLIQFYKSTRFKPTRIIFYRDGVSEGQFRQVLYYELLAIREACISLEKDYQPGITYIVVQKRHHTRLFCADRTERVGRSGNIPAGTTVDTDITHPYEFDFYLCSHAGIQGTSRPSHYHVLWDDNCFTADELQLLTYQLCHTYVRCTRSVSIPAPAYYAHLVAFRARYHLVDKEHDSAEGSHVSGQSNGRDPQALAKAVQIHQDTLRTMYFA", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAMQKIFAREILDSRGNPTVEVDLHTAKGRFRAAVPSGASTGIYEALELRDGDKSRYLGKGVLKAVEHINKTLGPALLEKKLSVVDQEKVDKFMIELDGTENKSKFGANAILGVSLAVCKAGAAEKGVPLYRHIADLAGNHDLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFREAMRIGAEVYHHLKGVIKAKYGKDATNVGDEGGFAPNILENNEALELLKTAIQAAGYPDKVVIGMDVAASEFHRNGKYDLDFKSPDDPARHITGQKLGELYKSFIKNYPVVSIEDPFDQDDWATWTSFLSGVDIQIVGDDLTVTNPKRIAQAVEKKACNCLLLKVNQIGSVTESIQACKLAQSNGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTGAPCRSERLAKYNQLMRIEEALGDKAVFAGRKFRNPKAK", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MALHVPKAPGFAQMLKDGAKHFSGLEEAVYRNIQACKELAQTTRTAYGPNGMNKMVINRLEKLFVTNDAATILRELEVQHPAAKMIVMASHMQEQEVGDGTNFVLVFAGALLELAEELLRIGLSVSEVISGYEIACKKAHEILPELVCCSAKNLRDVDEVSSLLRTSIMSKQYGSETFLAKLIAQACVSIFPDSGNFNVDNIRVCKILGSGIYSSSVLHGMVFKKETEGDVTSVKDAKIAVYSCPFDGMITETKGTVLIKTAEELMNFSKGEENLMDAQVKAIAGTGANVIVTGGKVADIALHYANKYNIMLVRLNSKWDLRRLCKTVGATALPKLTPPVQEEMGHCDSVYLSEVGDTQVVVFKHEKEDGAISTIVLRGSTDNLMDDIERAVDDGVNTFKVLTRDKRLVPGGGATEIELAKQITSYGETCPGLEQYAIKKFAEAFEAIPRALAENSGVKANEVISKLYSVHQEGNKNVGLDIEAEVPAVKDMLEASILDTYLGKYWAIKLATNAAVTVLRVDQIIMAKPAGGPKPPSGKKDWDDDQND", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSLEISSSCFSLETKLPLSPPLVEDSAFEPSRKDMDEVEEKSKDVINFTAEKLSVDEVSQLVISPLCGAISLFVGTTRNNFEGKKVISLEYEAYLPMAENEVRKICSDIRQKWPVKHIAVFHRLGLVPVSEASIIIAVSSAHRAASLEAVSYAIDTLKAKVPIWKKEIYEESSTWKGNKECFWASNS", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVREEQEEDDNNNNNNGGGERKLLVADETVPSLLDETGLVCVTGGSGFVASWLIMRLLQRGYSVRATVRTNSEGNKKDISYLTELPFASERLQIFTADLNEPESFKPAIEGCKAVFHVAHPMDPNSNETEETVTKRTVQGLMGILKSCLDAKTVKRFFYTSSAVTVFYSGGNGGGGGEVDESVWSDVEVFRNQKEKRVSSSYVVSKMAAETAALEFGGKNGLEVVTLVIPLVVGPFISSSLPSSVFISLAMLFGNYKEKYLFDTYNMVHIDDVARAMIFLLEKPVAKGRYICSSVEMKIDEVFEFLSTKFPQFQLPSIDLNKYKVEKRMGLSSKKLKSAGFEFKYGAEEIFSGAIRSCQARGFL", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEQLSTANTHFAVDLFRALNESDPTGNIFISPLSISSALAMIFLGTRGNTAAQVSKALYFDTVEDIHSRFQSLNADINKPGAPYILKLANRLYGEKTYNFLADFLASTQKMYGAELASVDFQQAPEDARKEINEWVKGQTEGKIPELLVKGMVDNMTKLVLVNAIYFKGNWQEKFMKEATRDAPFRLNKKDTKTVKMMYQKKKFPYNYIEDLKCRVLELPYQGKELSMIILLPDDIEDESTGLEKIEKQLTLEKLREWTKPENLYLAEVNVHLPRFKLEESYDLTSHLARLGVQDLFNRGKADLSGMSGARDLFVSKIIHKSFVDLNEEGTEAAAATAGTIMLAMLMPEENFNADHPFIFFIRHNPSANILFLGRFSSP", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRNSGTPVNIIVGSHVWIEDSDVAWIDGLVEKINGQDVEVQATNGKKITAKLSKIYPKDMEAPAGGVDDMTKLSYLHEPGVLQNLKIRYELNEIYTYTGNILIAINPFQRLPHIYDAHMMQQYKGAPFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAVTEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCAAPQEELEKYKLGHPKTFHYLNQSKCFELVGISDAHDYIATRRAMDIVGMSEKEQEAIFRVVAAILHLGNVEFTKGKEVDSSVPKDDKSKFHLNTVAELLMCDVKALEDALCKRVMVTPEEVIKRSLDPQSALISRDGLAKTIYSRLFDWLVEKINVSIGQDATSRSLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEAIDWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKSTHETFANKLYQTFKTHKRFIKPKLSRTDFAVAHYAGEVQYQSDLFLDKNKDYVIPEHQDLLGASKCPFVVGLFPPLPEETSKSSKFSSIGSRFKLQLQQLMETLNSTEPHYIRCVKPNNLLKPAVFENVNIMQQLRCGGVLEAIRISCAGYPTRKPFFEFINRFGLLYPRALEGNYEEKAAAQKILDNIGLKGYQVGKTKVFLRAGQMAELDARRTMVLSAAAKKIQRRIRTHQAQRRFILLRKATISLQALCRGRLSSKIFDNLRRQAAAVKIQKNARRLHSRKSYKNLHVAALVVQTGLRAMAAHKQFRFRKQTKAATTIQAQFRCHRATLYFKKLKKGVILSQTRWRGKLARRELRQLKMASRETGALKEAKDMLEKKVEELTYRAQLEKRSRVDLEEEKNQEIKKLQSSLEEMRKKVDETNGLLVKEREAAKKAIEEAPPVVTETQVLVEDTQKIEALTEEVEGLKANLEQEKQRADDATRKFDEAQESSEDRKKKLEDTEKKAQQLQESVTRLEEKCNNLESENKVLRQQAVSIAPNKFLSGRSRSILQRGSESGHLSVDARPSLDLHSHSINRRDLSEVDDKPQKSLNEKQQENQELLIRCIVQHLGFQGKRPVTACIIYKCLLQWRSFEVERTSVFDRIIQTIGQAIETQDNNNILAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLAMINGGVDTLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGASRSVGNTAAQQALIAHWQGIVKSLTNFLNNLKSNHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYNATDEYAGSSWDELKHIRQAIGFLVIHQKPKKTLDEISHELCPVLSIQQLYRISTMYWDDKYGTHSVSPDVIANMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDLSKSMERIEIGDVEPPPLIRENSGFSFLLPCSD", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSAAWRKKITNAHRNECLQVYRSLLRSCDEIQNRPLGEKLSKIVKARTRHYQNVGNAYKAEALLKDAKKQCETLKSALNGKLPEVNIESHMLSKYRKYLNEGGKQGDVGITKPHTKTKKLKSNSIFNYVRNGEVLYHLVSTTGGDTFLRPKFWPQSQRISGMLKKRITMKENKHRLIQSMSCMLRHAKLEDEFMKAYISEEDVGYADEIHKCIQMVLSDLDSIYKRESKNWHIVSQFYNMLELEAARQKLENRYLNFWKVSRRSSP", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNYQQQLANSAAIRAEIQRFESVHPNIYSIYELLERVEEPVLQNQIREHVIAIEDAFVNSQEWTLSRSVPELKVGIVGNLASGKSALVHRYLTGTYVQEESPEGGRFKKEIVVDGQSYLLLIRDEGGPPEAQFAMWVDAVIFVFSLEDEISFQTVYHYYSRMANYRNTSEIPLVLVGTQDAISSANPRVIDDARARKLSNDLKRCTYYETCATYGLNVERVFQDVAQKIVATRKKQQLSIGPCKSLPNSPSHSSVCSAQVSAVHISQTSNGGGSLSDYSSSVPSTPSTSQKELRIDVPPTANTPTPVRKQSKRRSNLFTSRKGSDPDKEKKGLESRADSIGSGRAIPIKQGMLLKRSGKSLNKEWKKKYVTLCDNGVLTYHPSLHDYMQNVHGKEIDLLRTTVKVPGKRPPRATSACAPISSPKTNGLSKDMSSLHISPNSGNVTSASGSQMASGISLVSFNSRPDGMHQRSYSVSSADQWSEATVIANSAISSDTGLGDSVCSSPSISSTTSPKLDPPPSPHANRKKHRRKKSTSNFKADGLSGTAEEQEENFEFIIVSLTGQTWHFEATTYEERDAWVQAIESQILASLQSCESSKNKSRLTSQSEAMALQSIRNMRGNSHCVDCETQNPNWASLNLGALMCIECSGIHRNLGTHLSRVRSLDLDDWPVELIKVMSSIGNELANSVWEESSQGRTKPSVDSTREEKERWIRAKYEQKLFLAPLPCTELSLGQHLLRATADEDLRTAILLLAHGSRDEVNETCGEGDGRTALHLACRKGNVVLAQLLIWYGVDVTARDAHGNTALAYARQASSQECIDVLLQYGCPDERFVLMATPNLSRRNNNRNNSSGRVPTII", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MYKQPRQELEAYYFEPNSVEKLRYLPVNNSRKRFCTLEPFPDSPPYNALSTATYDDTCGSCVTDELNDFKHKIREIETVMMGPDSLDLLVDCTDSFDSTASQEINGWRSTLEAISRRDLRADLVSCAKAMSENDLMMAHSMMEKLRQMVSVSGEPIQRLGAYLLEGLVAQLASSGSSIYKALNRCPEPASTELLSYMHILYEVCPYFKFGYMSANGAIAEAMKEENRVHIIDFQIGQGSQWVTLIQAFAARPGGPPRIRITGIDDMTSAYARGGGLSIVGNRLAKLAKQFNVPFEFNSVSVSVSEVKPKNLGVRPGEALAVNFAFVLHHMPDESVSTENHRDRLLRMVKSLSPKVVTLVEQESNTNTAAFFPRFMETMNYYAAMFESIDVTLPRDHKQRINVEQHCLARDVVNIIACEGADRVERHELLGKWRSRFGMAGFTPYPLSPLVNSTIKSLLRNYSDKYRLEERDGALYLGWMHRDLVASCAWK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNVERKLESLSLQQQQQEEQQDESEQPNQGVEDEEEEEYDEEEYEEEEEDINFSKETLEAAMATKVSIEQYYTSLFKSLKERDDRRCFLEKKMEELNLREEQKSVKRRELDKKETEYIKSRRIRLTGHSFESIRIIGRGAFGEVRLVKMKKNNKFFAMKKLDKSKMIEKHQTIHVRSERDILADSNNIHGSNPWIVSLYYSFQDANFLYLIMEYVPGGDMMTQLIKYDTFTEDATRFYIAETVLALHSIHKLSYIHRDIKPDNLLIDQKGHIKVSDFGLCTGLQTNRVPTLAEIYKKYEGDNNIREEDQTPQSRSARFDSWKRQRRVLAYSNVGTPDYTAPEVLMKDGYSAECDWWSVGVIMFEMLVGYPPFCSESIRETYHKIMNWKQTLPKIMEEAKAEVNLSPEAQDLIERFLTDPMTRIGFNGVEEIQSHPFFKGVDWRRLRETRPPIIPQLSSPTDTSNFDHYEEEQQPEPMQPVQSKSRRKITSFDIPFIGYTYRNFDAMRDAFGSVNSRDAFGSINSREAFGSINNRDSLQGANM", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGPGQPASTCVHLAPRTQLDGRSDPKVLQTQNQLQFNRNVPTHSSNLAIRYSCPHAIRIEKLKHSYNESYHCKDADCRVGPDLGSSVSFSVISQERLSYAVHLARRDVKRRQFEKHIKEHHLRSQPQSSQKCGHTKYKIPDHRVERKESKSQAACQCSHQPSKVEISSSGAKVYLYSSHPGQSDLTVPNSPPTHDPGLQPHPRIGDHKNISEQKSLLEVQRLQKELSSCIHKIEEVTKKDRLEEALDPDEERRIRIRRQEQAARSARMLYVLQQQVKEIQEELDKLSPHKIKHTKKSWAMSKLAAAHRGAIRALQMFVTQFTDRGEHPLPARCKELGSLIRQLSLCSVKLDADPSVPDVVIDILQQIEALESLLEKKLSPKKVKKCFSEIRSRFPIGSQKALERWPSTSPKGERRPLTAKDTFPQETSRPSVAKQLLADKYQPDTELPETQRLQSELDVLDADIVLEEGPFILDQSASFKDEVLAVAKTKAGKKKPVTENVPFRKKDTLAPARQQGLRKAERGRQSQPHSKSRVQQTTVSSRLKMNRQPVKDRKAPWIPPNPTSPPASPKCAAWLKVKTSPRDATKEPLQQEDPQEESHLTGAVEHEAARLAWLDAETSKRLKELEELKAKEIDSMQKQRLDWLDAETSRRTKELNELKAEEMYRLQQLSVSATHLADKVEEAVLDRLKPLLVKAQRVNSTTEANIHLKDGSSVNTAKAQPAQEVAAVDFESNNIRQLDDFLEDCASELWAVTHAKILGSETLATVEDSKDSPDLEIMMRRMEEMEKYQESVRQRYNKIAYADPRLWMQEENNDQKISAISEKPLSPHPIRITKTVDRKDPAVNIMLERPCNGNSLDESVGTEEGSEKREAPLLSLAEDSQQKEGRAPLFVPPGMQHSIGDYCSRFEQYLRIISHEAVGSFNPWLIAESFSEELVDEALGAVAAELQDMCEDYAEAVFTSEFLEAAT", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MWDGFSNSFWSRDYITGINRAQRLINEGVEQNEKLLQLLHIRAKSASSCSDLLFKSFSKFNKHHPLNEESNDLPSDAAIHQLYESYLMEASLHKKLGEQLNILVINPFANWSKKYSRRVDEIVSAAIVKINNYNSRFSHVNSKKSNLTDRKPIPTSRKSNKSDSLASALSQLDINPSNVNKFDGLINIVDHPYTAEEFANVLLKLMKASTVKRKSYSHLGDYELVTNCSLLFEAIKTTFGLEKDSYVVKAGNQLIQHGLIRLLGIRRVFENDPEIDAQFTTKSQQLLKSYHLSILEVDPYFQVNDPITATNDDPVLQKYNLAYDNLEQCRHELELYLFMVFKDLEQAELDRLNAVKSVLVECSNYSGNFIPSLNSIFIDNLNSFKNLDSLRDMSTQINKHYTGYFIPVSNNELSTKDEYLFLQKSSLTEDNLIVSLVPKILAYLLDAYSYERDEEVLSCVWTTEVPLKDAFDLKSVLRKTDNVESVLNACVEKYTLSSITCSLRLCLLEFPDSLIRSSFYDYFKAIYTTYTDFEDLDHRLYSIKKCLLHLHSTPLHILEEIIRHLSAYAISIRMKDGQIRHLAKIISPCVLRPPDDLNIIPVEDTHPTLLVIDLINEFENLFADLERPSTPPVEIERALTPITTSPQKLKLPRSSSPCKNPSPTRRFRPF", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPLMSNSERDKLESTLRRRFFYTPSFEIYGGVSGLFDLGPPGCQLQNNLIRLWREHFIMEENMLQVDGPMLTPYDVLKTSGHVDKFTDWMCRNPKTGEYYRADHLIEQTLKKRLLDKDVNPQDMKNMEKILTTIDGFSGPELNLVMQEYNINDPVTNDVLDALTSFNLMFETKIGASGQLKAFLRPETAQGQFLNFNKLLEINQGKIPFASASIGKSFRNEISPRSGLLRVREFLMAEIEHFVDPLNKSHAKFNEVLNEEIPLLSRRLQESGEVQLPVKMTIGEAVNSGMVENETLGYFMARVHQFLLNIGINKDKFRFRQHLKNEMAHYATDCWDGEILTSYGWIECVGCADRAAFDLTVHSKKTGRSLTVKQKLDTPKERTEWVVEVNKKFFGSKFKQKAKLIESVLSKFSQDELIRRHEELEKNGEFTCQVNGQIVKLDSSLVTIKMKTTLQHIREYIPNVIEPSFGLGRIIYCIFDHCFQVRVDSESRGFFSFPLQIAPIKVFVTTISNNDGFPAILKRISQALRKREIYFKIDDSNTSIGKKYARNDELGTPFGITIDFETIKDQTVTLRERNSMRQVRGTITDVISTIDKMLHNPDESDWDKSTFGLSPVKI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MARQFFVGGNFKANGTKQQITSIIDNLNKADLPKDVEVVICPPALYLGLAVEQNKQPTVAIGAQNVFDKSCGAFTGETCASQILDVGASWTLTGHSERRTIIKESDEFIAEKTKFALDTGVKVILCIGETLEERKGGVTLDVCARQLDAVSKIVSDWSNIVVAYEPVWAIGTGLAATPEDAEETHKGIRAHLAKSIGAEQAEKTRILYGGSVNGKNAKDFKDKANVDGFLVGGASLKPEFVDIIKSRL", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGISRDSRHKRSATGAKRAQFRKKRKFELGRQPANTKIGAKRIHSVRTRGGNKKYRALRIETGNFSWASEGISKKTRIAGVVYHPSNNELVRTNTLTKAAIVQIDATPFRQWFEAHYGQTLGKKKNVKEEETVAKSKNAERKWAARAASAKIESSVESQFSAGRLYACISSRPGQSGRCDGYILEGEELAFYLRRLTAKK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSISLKINIVGANTVKTLRFAPDMCIQECCTHIFEKTNEGGPDHGLYQAHIEGKQSARWLAMEKTLQFYDINSDQQLDYKKKHRPQKFKLLDGTIKTQLVDESQNVSEIVNSICKKMGIKNPEEYSLMNSAGAWLNNTQILSEQGISENDITVLMKKFFFNDANIDRNDPVQLHLLFVQCRDGIIEGKYPTQREESLALSALQCQVQLGDYNPTKHEPGFLTLKDYLPLQWLKSKGVEKDIFKEHKKLVSMTEVNAKYRYVQLCRSLKTYGMTSFDVKIREYGKKKMVDHILGITREQMLLMLTETKEVIMTHPLKHIKRWAATDKSFTLDFGDHETEYLILQTPNPEQISQLIGGYIEIIMKARKDSSKVIEKEDTAMGVEEVMAVKKGSVANSSSYMGYGAGGGGANQLQPSQQIPITDLKSALRATDLLIGELGGFRSSTGATPQNFTRSFTTLTPQQFKHQLISHTNAMAIAAQGLFQDMTTPPPTGGIAAFQQAITKRAQIIMAELNTVGTAAKNAGYFPDMASFSDEIIGVATKLSESMARLLAIGSTIQGTDCDEKSQKAAQTEIFNVQSLVTLMMAACDNEYVTDSSSKLLIECAKNVSAAIADMLVVGNSKVEFIDDELLLGQIQNTLKSTSLTSDELLSTTENLASTSCHPESRKQITNITQSALNQSNALLTAFKSGEIPEQDYNLLNARVSDIIESVNLINYAMDCSEREYKISITSNGVEVGEGEILAGTNLTEEFATVANDLTNAIMTMRSNLKNPDTVMESYKMVAGHANRLITCTKAVASRADTQSQQRLFNSTNAVFESVANLSNHCRSYIKNPEQEAHTFQIVETAGHLQFLTQNMSTDAGKIACITSLRDYSKEMIAQVSSLISTSRTSSQYLPDANGITLLKGAKDVSDALSKLMVGIKKVVLDPKSEATQMELLTLAQKQSLPPMNLVSTCKRFAPKISDPNQKQRLIFSSDAAAQSVQKLMKAGEAYKRICGHIEIEEALEVFDSTIADLETTEIAIAGGFLDAVSGTTREGAAELLMVAIKDLNKVNNELVTDIRVNPARLGDLVKSATESASSVAISAKTLICATTGKQVQTKLMGITKQLMIDMEQLIRASRSVRSNPNDRRSELLLDRRSNDVSISTAALVGSTANVDCKELDEASADISNLLSLKMGSLESILSQPTEEFAFYVEEIASSTKALNAASQQVVAMARNKNLKGLGASAKITASALSTLVSHAQNAIVLTENEATKNAILASTVALGGQIIGLLDFSKARIANYKDPIYDQNLINQAKSVEDHLVKVGRSLGGDGNNTICDEAVDRIIEATRSLDKTILPDTSGLQTNAHLEMLHQQSLLAITQASKKLGSITSNLVNSKNNSDLVGSGSTDAERIIEMIEAAKHVVHCSISTYNPDILLPAKSILDASQMLTANQADVNHVLSHAATIAACTQQLLGITRERASQFNEQDEQQVQVRDGIVKSTQQLAHATSSLARAVKSVTSKEPGAKAMISQSLKDLESAINNLLITSSVPASERGIGIADFNKLMSTCRSVSTASSQLIISASSCSQKPKDIELSSILSENAVLMTNSLKDIIKVTSSMMPGVNFCEEAIEIAQRAISDLSSVALSVAVGSFDSSANNKEGLSHVESQERLVDVTKKIGTGINDLLKASRQSPEAIGISAKALSFIAPSLVNTTKPALATAPDADAQNDLVTESKNVGDSILKLCQASLIASSNPSKETYQIIVNKCVDASEAMSKLVAQISSGVNLYKELDESLDRIRKSVVQTSAKDAPKDSENRGYQEYKEELSNLTKNLALSLKTIVATDGNNLVSISTISKDIANYISDIAHVSSAILATTSDQKIRDSIITSSRQVIVSTGDIVNHIKVNSTDKANSSQAKVNDSYRATNDNITRFLQSLKQGAIGEILSDAAIDQIRKVISDLDGYSLFAAAGQLENDQSSQSTMNEVTKQQHLKNLQKDTITQAKLLIVSSSQLVGSSRGTQEHLGSATTKVANTVSSLVKTAKDIASVLADTTSQQDILSASKALSISSQQMVLATKDAQRFKKDATAFRSLGKSAEAVAEAVGQFLTSVYTAISDAGKGIKELEKSIVQVANYHEKPDTVLSNKDATAEIFAQSARDLAKSSIEIVTSYTSSQDSLVKSSQAVVSNVQSFISNSKGVIALLGNGNDDLKSKVLENVKQTTGDMLALLQCVKDQDKNGSTSIADATRSISDRVHSVVTLSKSLPGGQNIVVEEDNVLEDLEALAEDELSACARSIEEATAKLIAARPQSKSKNGKLDAEGVAATIVDASSAIAKAVAKLVNSAAVAQSKRREDQIASGSVYKADPTWSNGLISAAKGVGAATHRLVEAAMKSATGKAEEEELIATARSVAAATALLVSASRAKSGDDYQSQAAHSHLSTAARQVASATSDLVAAAKAATIFDEQQQEEEQEQFNFTGSKVKELEQQMKILKLEKELETARRQMLNSRKQNYNKN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSRKQSQKDSSGFIFDLQSNTVLAQGGTFENMKEKINAVRAIVPNKSNNEIILVLQHFDNCVDKTVQAFMEGSASEVLKEWIVTGKKKNKKKKSKPKPASEASGSAPDSSKSAPIQEEQPASSEKGSINGYHVNGAINDAESVDSLSEGLETLSIDARELEDPEFAAAETLDRTGSVLENGVSDFEPKSLTAHSISNVQQSRNAAKSLSRTTPGAQVSNLGMENVPLSSTNKKLGSNIEKSVKDLQRCTVSLARYRVVVKEEMDASIKKMKQAFAELQSCLMDREVALLAEMDKVKAEAMEILLSRQKKAELLKKMTDVAVRMSEEQLVELRADIKHFVSERKYDEDLGRVARFTCDVETLKQSIDSFGQVSHPKNSYSTRSRCSLVAPVSLSGPSDGSAASSSPDASVPSLPGANKRNCAPREASAAMTNSSDRPCQAHREVFPGNRRGGQGYRAQSQKTADPSNPGRHDSVGRYRNSSWYSSGPRYQGVPPQAPGNAGERSRPYSAGTNGTGAISEPSPPKPSFKKGLPQRKPRASQAEAANS", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MIDTLRPVPFASEMAISKTVAWLNEQLELGNERLLLMDCRPQELYESSHIESAINVAIPGIMLRRLQKGNLPVRALFTRGEDRDRFTRRCGTDTVVLYDESSSDWNENTGGESVLGLLLKKLKDEGCRAFYLEGGFSKFQAEFSLHCETNLDGSCSSSSPPLPVLGLGGLRISSDSSSDIESDLDRDPNSATDSDGSPLSNSQPSFPVEILPFLYLGCAKDSTNLDVLEEFGIKYILNVTPNLPNLFENAGEFKYKQIPISDHWSQNLSQFFPEAISFIDEARGKNCGVLVHCLAGISRSVTVTVAYLMQKLNLSMNDAYDIVKMKKSNISPNFNFMGQLLDFERTLGLSSPCDNRVPAQQLYFTTPSNQNVYQVDSLQST", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPLQTIHKLKHQYTHNKSSSHQKKHTMINPKRLVHLAKKWQHMAALGRRRLTITGATKEGNLRCSSAIADKGHCIIYTADGERFGVPLTYLSTTVFGELLRLSEDEFGFTGEEKITLPCEAAVMEYVMCLLRRKPSEEVEQAVVSSVVMPCNYKSSTSMVSVNLSQSLAIF", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPSAPRKQSKTYKVPRRPFESARLDAELKLAGEYGLRNKHEIWRVALTLSKIRRAARELLTLDEKDPKRLFEGNAIIRRLVRLGILDESRMKLDYVLALRIEDFLERRLQTQVFKLGLAKSIHHARVLIFQRHIRVGKQIVNVPSFVVRLDAQKHIDFALSSPYGGGRPGRCKRKRLRSQQEGGEGEEAEEE", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVVSSPSVSLLHSPVEKLSAQLEKTTLLQDIPPGSLSENDNSTTFIKPPLETASSSTPIPSSSSSGVLNPSSVRGKPVACLFVASLNSSRSEEELTATVKDYFQQWGPLLHVKVLKDWLQRPYSFVQFQNTDDASKALSEAQNTILDGRHIRIERAKVNRTIRISSAPHQPYITKKDIDNLLEPYGEVEDVTEIPDQSAFLVRFVYRDEAIAAYTALKHSAWPVLWAENVTYQNGHYKKKGSSPFSPPNAHSRRRKSQGKDQSNTPVIKAPAPIPFSVSSDPPSTMGRSNSAVQSPSYFAHSLVNSTEFSTPNESLSSLPSILPSIPSLESGKAELPTDGSFEQPGYPMNPSMMFAAMPPPIDPYSIFVGQLDPVNCTHYLLVDLFSKYGKVIDCKIIHQSKKPAFAFLRFDSQQAAYAAVCGKTRSPHQKKPLRVEFRQLRPMQQFSPQYQYPSYPYPMFPAPFSPPRNAMMPIPAPMDQFSTFHQSMATLPPGAVPTSIPQSYYPIYSPEMAMPQSYSPMYYTHNPPMDGN", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPDASLFNGTSFITLFAPNISLLQASIDFYTNFLGFAIRKNSNQKLFWLQLEEDQNNVSIQLILDPEHAASVSQIDQNIRNLTRSLYRKDWRSIQSNIAFKSSSLSKLVKLLKDGGHPVQQSPNEISPFEVYTVDPLGSLIGFSGFKNPFAVNERSLLPKVSEEKAYRAEDDSEKLLNPVRKTIGVMTSGGDSPGMNPFVRAVVRAGIYKGCKVFCIHEGYEGLVRGGEKYIKETQWHDVRGWLVEGGTNIGTARCKEFRERSGRLKACKNMIDMGIDALIVCGGDGSLTGADRFRSEWPSLIEELLQTEQISQQQFNTHQNLNICGAVGSIDNDMSSTDATIGAFSSLDRICRAIDYIDATANSHSRAFIVEVMGRHCGWLGLLAGLATSADYILIPEKPASSREWQDQMCDIVGKHRARGKRKTIVIVAEGAISNDLSPISCDQVKDVLVNRLGLDTRVTTLGHVQRGGTAVAFDRIYATLQGVEAVNAVLECDADTPSPMIAIKEDQITRVPLVDAVELTQQVAKSIESRNFKKAISLRDSEFVEHMKNFISTNSADHVPPSLPLEKRKKIAIINVGAPAGGMNSAVYSMATYCMSRGHVPYAIHNGFSGLARHESVRSINWLDIEGWGSLGGSEIGTNRTLPNDADIGMIAYFFEKYGFDGLILVGGFEAFISLHQLERARINYPSLRIPLVLIPATISNNVPGTEYSLGSDTCLNSFMEYCDVIKQSAAATRNRVFVVEVQGGNSGYIATHAQLACGAQISYVPEEGISLAQLEMDINSLKESFANDQGKTKSGRLILKSENASKVLTTEVISTIIDDEASGRFDSKTAIPGHVQQGGIPSPMDRVRASRFAIRAVSFIERHSDRCQTFKNSISFRQTDEITSTAVVLGIHKSQLRFTPIRQLYDFESDVPRRMRKNIFWSNVREISDMLSGRTSL", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTEVETTHMNAGTESQQEPAELAEKQKAIGNAFYKEKKYAEAIKAYTEAIDLGSDSALAIYYSNRAATYMQIGEFELALCDAKQSDRIKPDVPKTQSRIRQAYEGLSILNEAEVYLKNKQAGLALNALDRLQRRIDSTTQPPMSWMYLKAQVYIFQNDMDRAQKIAHDVLRLNPKNVEALVLRGKVMYYSGENAKAITHFQEALKLDPDCTTAKTLFKQVRKLENTKNQGNDLFRQGNYQDAYEKYSEALQIDPDNKETVAKLYMNRATVLLRLKRPEEALSDSDNALAIDSSYLKGLKVRAKAHEALEKWEEAVRDVQSAIELDASDANLRQELRRLQLELKKSKRKDHYKILGVSKEATDIEIKKAYRKLALVYHPDKNAGNLEAEARFKEVGEAYTILSDPESRRRFDSGVDLEPGMEGGAGMDPFDILRAYQAGGSFPGGGFPGGGFPGGSYNSQGFGMGGGFPGFTSFQFS", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSQTAPSDTEYNQRLERWSERLKSQTISHLPTDYSRPVPSRLVEAVFERTLPEDAKTALIKVYVAAQAKGILVTPFNILLTIFIILVSRMTGDEDISIGTSSENAIPFVLRTFIQPSDSFLDLLAKVCDLEKEGSSDAVDFSDLINFLNAKLSKKDDPRKTLVHLRFYNAPDAPSENFLSTTGLDVDLTVLVSVKKPSDQLTSLRSQFTFPDLQLKLIYNQLLFSESRVNIVADQLLKLVVSASKDVTGPIGALDLMTPTQMNVLPDPTVDLDWSGYRGAIQDIFASNAAKFPDRECIVVTPSVTIDAPVTSYTYRQIDESSNILAHHLVKNGIERGDVVMVYAYRGVDLVVAVMGVLKAGATFSVIDPAYPPARQIIYLSVAKPRALVVLEDAGVLSPTVVEYVEKSLELKTYVPALKLAKDGSLTGGSVSKGADDILQHVLHLKSEQTGVVVGPDSTPTLSFTSGSEGIPKGVKGRHFSLAYYFDWMAQEFNLSESDRFTMLSGIAHDPIQRDIFTPLFLGASLIVPTAEDIGTPGQLAQWANKYKVTVTHLTPAMGQLLAAQADEPIPSLHHAFFVGDILTKRDCLRLQVLANNVNVVNMYGTTETQRSVSYFVVPARSQDQTFLESQKDVIPAGRGMKNVQLLVINRFDTNKICGIGEVGEIYLRAGGLAEGYLGNDELTSKKFLKSWFADPSKFVDRTPENAPWKPYWFGIRDRMYRSGDLGRYLPTGNVECSGRADDQIKIRGFRIELGEINTHLSRHPNVRENITLVRRDKDEEPTLVAYIVPQGLNKDDFDSATESEDIVVNGLKKYRKLIHDIREYLKTKLPSYAIPSVIVPLHKMPLNPNGKIDKPALPFPDTSQLAAASRSHSKHGVDETLTATERDIRDIWLRIIPHATDVNKKASFFDIGGHSILATRLIFELRKKFAVNVPLGLVFSEPTIEGLAKEIERMKSGEMISVMDIGKEETREPEIEYGKDALDLVDLIPKEFPTSKDLGIDEPKTVFLTGANGYLGVFILRDLMTRSSNLKVIALVRASSEEHGLKRLKDSCTAYGVWDESWAQKISVVNGDLALENWGIEERKWNKLTEVVDYVIHNGALVHWVYPYSKLRGPNVMGTITALKLCSLGKGKSLSFVSSTSTVDTEYYVNLSNEITSKGGNGIPESDPLQGSSKDLHTGYGQSKWVSEYLVRQAGLRGLRGVVVRPGYILGDSKSGAINTDDFLVRMVKGCIELGLYPNINNTVNMVPADHVARVVTASAFHPEQGVIVAHVTSHPRLRFNQFLGTLSTFGFNTKLSEYVNWRIALERFVINESHDSALYPLLHFVLDNLPANTKAPELDDTNTREILKRDASWTNVDVSNGAAILEHEMGLYLSYLVAIGFLPKPTLEGKKLPEVKINEATLEKLASAGGRGGAPTH", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSAPPPLQIREANAHLAAVHRRAAELERRLLAAERTMRAQAERLACQDQQLRAALDELGRAKDREIFTLQEQLLSSEATVRSLQAAVEQRDQMIQELQPRADLLQDITRQRPPLAALLATLEEAEELGPLPSSHSHGAQLLPDGPGPPLGNSMREEEGQDDQQPAVFGTTV", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MERPSLSRRTSSSTVSTDGEGVYSRSTKERKRNFIVNSRLKRGGGHVRVNRSGRLGSVTMRPSALTRAHSQNPNSSLVNNSSAVSHLTKQRSLNDLHELNGPKHVAKNGLIPLTQRKPNCVWDDAPVDNDSTAGNLDSDSALPTPSVTTNEAADSSRASSPVTRVVAVHDNKKKIINSNISNAPPFNNTDVQASARPPAAGQDDSAADASTTKSSPVHNEVMAEPLPHSNNREVTQATNQPKWQIHSGSDIASEPPTLSRGNSLSLLANRKVPSTNVKKSQAELYDLGSSTSRTQQKLLIQRASSKFDIVEDDMDTNPSKRFSNPHTKHIMDLVRTQYRNVLRTRELIPEFLEKIRSSYSNNQNFDSQNAFNTSAAGTAGTREETISNGQNGIVASAETSKKDDGVQSASLNASMSARSHARQRSIHVPKTRKDTDYESIHQKLLQLWSQG", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNIDNMDLEMTTASDFAEKKESVDVKRENPSFTNSIKALPIQSELSAMMSKDNTLNHVKQEPSDGFSSVKWASTSFDSTVTAHKEETPYSSSLGSHDSSSLPSSTNNRYSSVLKELCNTYLPSILSTYGSLPIRRLLHHLSLMLPSFNELTPTQQRRLLTRALESKKGIQFEKIGWGRWVLRDSTIPANSHPQSLPSNSIPKTEPLDTPSLSNSQERFSKSPSDGQNVRSRAKKIPSGMSAEESDELLSSSGRKTRSFNTPFSSFFASLEETPGSYTAHLGGVLSPREQTPALFTGQYPDNGVYYEEEEEEEDDNDLFDEHEYGYGTLPPFVFDEDQMLDGGESTDEEDWRAIGTEALLRKVNTKKRRPSRVLVHRDQVAVEAMLMLSGSV", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MQQNQVKKGTKEMEFFTEYGDANRYRILEVIGKGSYGVVCAAIDTHTGEKVAIKKINDVFEHISDALRILREVKLLRLLRHPDIVEIKSIMLPPSKREFKDIYVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRALKFMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDVWSIGCIFAEVLTGKPLFPGKSVVHQLELITDLLGTPKSETISGVRNDKARKYLTEMRKKNPVTFSQKFSKADPLALRLLQRLLAFDPKDRPTPAEALADPYFKGLSKIEREPSSQQISKMEFEFERRRLTKDDIRELIYREILEYHPQLLKDYMSGSEGSNFVYPSAIGHLRQQFTYLEENSSRNGPVIPLERKHASLPRSTVHSTVVHSTSQPNLGATDSRRVSFEPSKNGASSAGHPSTSAYPTKSIGPPPRVPPSGRPGRVVESSVSYENGRNLKEAYFRSAVSSPHCYFRPNTMTNPENRNIEASSFPPKPQNPVHQFSPTEPPAATTNQADVETMNHPNPYFQPQLPKTDQLNNNTHMAIDAKLLQAQSQFGPAGAAAVAVAAHRNIGTISYSAAS", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAAEPSPRRVGFVGAGRMAGAIAQGLIRAGKVEAQHILASAPTDRNLCHFQALGCRTTHSNQEVLQSCLLVIFATKPHVLPAVLAEVAPVVTTEHILVSVAAGVSLSTLEELLPPNTRVLRVLPNLPCVVQEGAIVMARGRHVGSSETKLLQHLLEACGRCEEVPEAYVDIHTGLSGSGVAFVCAFSEALAEGAVKMGMPSSLAHRIAAQTLLGTAKMLLHEGQHPAQLRSDVCTPGGTTIYGLHALEQGGLRAATMSAVEAATCRAKELSRK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPQASTFGSHSLEAHPLHIQPAVHIKLSKEERSHYREQYDSLKYISNYVSVFDQALSDNIDSRIRKENEALLKKYYESRKPFTFTSFRQGSVISSSDSSTGFTERTKTYCFLNDFVSNCVNEVDPYTLKMTVRNRNTALNMENLDDERKSKDDIYDFEDNTDDECNAKCHGAFHYSSERLEILRSRSTISYFKYYKKLLTVDLRDSDVLKRHNLWMPMITRRFRFLLVSSSKPEDVRLTTPIPTFSESDLDIFKNKTCPLFINGTDCVPRSYDTFSGSSVIASIFSEYKLPSLSYHCSVELNDQLFIVGGLMACHRYDEEAPDLKDFYVDGIKNLPPPLIPELINNPSMIPNPHLYCFSLTSSRLTRPDISGYIPPPLVCTQGCKLTERHIFLYGGFEIKSETQVDDKGRYFIRKRAFLNNTGYILDTVTFNFSKIELVAPPYQFAIYNNFSPRFGHMQASISNSNNNVSNENTTTSAKGRRSISPYRQGNGDHKIDDLVGSPGSTDYLEDDAIPPVTNPRSTDSLSSKHCSTATHICSSVNTILIFGGYSQTGDDKYEAMNDMWKINIPVVSRGKRNYYKFADTVTATKIPIIDDPELWPSRRAFSACCVPDYFTKDVEPIETRLLRNLKNDFSIDLEIRPGNKPSQPLFPNIPHSRKEKKSGRDSMHISNSNNSTSEDTSSKSTRNTTSSPPTSPKHTPPLNPSKKCASIGRTIAFHGGSDGYDVCSDMWWFDFDSETWTKIDLYAKTQEESDGLVPINLCMVGHSMTTVGHKVVLIGGLRQGDVDRIYRDETLPEEVISGVPLGSGVINVVDLNTQCLQGCKLIRNDGDTKESVIMDPHVGTPHQVLAVAGTIELVKGTMTLIGGVVAGREDISSLYLRGAVLQFILPSMNLAN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAKSAEVKLAIFGRAGVGKSALVVRFLTKRFIWEYDPTLESTYRHQATIDDEVVSMEILDTAGQEDTIQREGHMRWGEGFVLVYDITDRGSFEEVLPLKNILDEIKKPKNVTLILVGNKADLDHSRQVSTEEGEKLATELACAFYECSACTGEGNITEIFYELCREVRRRRMVQGKTRRRSSTTHVKQAINKMLTKISS", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSAETAAANTATAPVPEVQEQESSKSKQVNLTPAPLPTSSPWKLAPTEIPVSTISIEDLDATRKKKNRTPTPKSSTATKWVPIKASITVSGTKRSGSKNGASNGNSNKSKNNKTAASSTSSSNANRKKKHHQHNAKKQQQMKKDGFESAVGEEDSKDATSQENGQSTQQQQPPHHRNHHHSHHHNSNGPQRRKFHNSNNAGMPQNQGFPPQFKPYQGRNARNNNNNRSKYHNHFHHNQQHPQQPMVKLQQQFYPVQPVLMAINNIARQIEYYFSEENLTVDNYLRSKLSKDGFAPLSLISKFYRVVNMSFGGDTNLILAALREIVANEAATVNVAEGTLAAKEGDNVTGEAKEPSPLDKYFVRSKSWSNWLPETFETEINIEKELVGDALDQFMISLPPVPQQEEESSTELASQEQETKEDSAPVAAGESESSL", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDVLVSECSARLLQQEEEIKSLTAEIDRLKNCGCLGASPNLEQLQEENLKLKYRLNILRKSLQAERNKPTKNMINIISRLQEVFGHAIKAAYPDLENPPLLVTPSQQAKFGDYQCNSAMGISQMLKTKEQKVNPREIAENITKHLPDNECIEKVEIAGPGFINVHLRKDFVSEQLTSLLVNGVQLPALGENKKVIVDFSSPNIAKEMHVGHLRSTIIGESISRLFEFAGYDVLRLNHVGDWGTQFGMLIAHLQDKFPDYLTVSPPIGDLQVFYKESKKRFDTEEEFKKRAYQCVVLLQGKNPDITKAWKLICDVSRQELNKIYDALDVSLIERGESFYQDRMNDIVKEFEDRGFVQVDDGRKIVFVPGCSIPLTIVKSDGGYTYDTSDLAAIKQRLFEEKADMIIYVVDNGQSVHFQTIFAAAQMIGWYDPKVTRVFHAGFGVVLGEDKKKFKTRSGETVRLMDLLGEGLKRSMDKLKEKERDKVLTAEELNAAQTSVAYGCIKYADLSHNRLNDYIFSFDKMLDDRGNTAAYLLYAFTRIRSIARLANIDEEMLQKAARETKILLDHEKEWKLGRCILRFPEILQKILDDLFLHTLCDYIYELATAFTEFYDSCYCVEKDRQTGKILKVNMWRMLLCEAVAAVMAKGFDILGIKPVQRM", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFTSQRQLRQNGSPMSSSRSSQHSSGTASPISDSPASNRSYGRDLRGLMGIDIPANEPAFNRANSSDTIYFRPKKIYKMEHEHPSRSTLVQLQTRSQPDDVASSQVNPEGGTDDLELGDPCGNQSLYTIGAEYVPDLDFTKLVNEWQKSTEDLYEFRSSATPQVQIKDSGKGNYELWSSPDAILTQNKLRRDSFSQENSDSLSPEDSILSRNLHSKVKPIPLPRNSQQIFTPLSNLEAERRSSYTTSSNNNSITQNNKFSFAKLKYSLPTQSSAVPASFDSNASSLNFLPTTTLSTLSELQISPNDMMDLIQKLPRNFLNLPYTQRKKVIIEHAPSHDYKAMMSLVKKFMLTSSRSNFSLAGFANNASVSQATANDDNINSRNTPNNSNDTYVNTRPLQRSRHGSIASQFLSSFSPSMTSIAKMNSNPLSGSAGGSARPDDKGMEILGHRLGKIIGFGAWGIIRECFDIETGVGRVIKIVKFKGHQNIKKHVLREVAIWRTLKHNRILPLLDWKLDDNYAMYCLTERINDGTLYDLVISWDEFKRSKIPFAERCRLTIFLSLQLLSALKYMHSKTIVHGDIKLENCLLQKEGKKSDWKVFLCDFGMSCHFDEKHVYRNDTFDENLSSGNSHRKRKSIEQTNLIKYPTTNFLPDDRTNDFDASENLKYQFENRKHQPFTPKGMVSSSSHSLKHLNQPSSSSSSNLFHKPASQPQPQHRSPFHGRHKTTDFSNLEPEPSKYIGSLPYASPELLRYSDARRSKSVEMHIYDSPDSSQSEISAASSSSSNLSSLSSSTKASAVTNSGVTTSSPSGSSTDFPCIVSPLGPASDIWALGVMLYTMLVGKLPFNHEFEPRLRSLIKVGEFDRFSLAQVCKFDRKKNEGTIGQGLYDTVIGCLTIDLDKRWKLKRIEEVLQNEMNLSEAIHDNNGS", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAVIKKGARRKDVKEPKKRSAKIKKATFDANKKKEVGISDLTLLSKISDESINENLKKRFKNGIIYTYIGHVLISVNPFRDLGIYTNAVLESYKGKNRLEVPPHVFAIAESMYYNLKSYNENQCVIISGESGAGKTEAAKRIMQYIAAASNSHSESIGKIKDMVLATNPLLESFGCAKTLRNNNSSRHGKYLEIKFNSQFEPCAGNITNYLLEKQRVVGQIKNERNFHIFYQFTKGASDTYKQMFGVQMPEQYIYTAAAGCTTADTIDDVKDYEGTLEAMRTIGLVQEEQDQIFRMLAAILWIGNISFIENEEGNAQVGDTSVTDFVAYLLQVDASLLVKCLVERIMQTSHGMKRGSVYHVPLNPVQATAVRDALAKAIYNNLFDWIVDRVNVSLQAFPGADKSIGILDIYGFEIFEHNSFEQICINYVNEKLQQIFIQLTLKAEQETYEREKIKWTPIKYFDNKVVCDLIEAKNPPGILAAMNDSIATAHADSNAADQAFAQRLNLFNSNPYFELRANKFVIKHYAGDVTYDINGITDKNKDQLQKDLIELIGTTTNTFLSTIFPDDVDKDSKRRPPTAGDKIIKSANELVETLSKAEPSYIRTIKPNQTKSPNDYDDHQVLHQVKYLGLQENVRIRRAGFAYRQTFEKFVERFYLLSPDCSYAGDYTWDGDTLEAVKLILRDAMIPEKEFQLGVTSVFIKTPESLFALEDMRDKYWYNMAARIQRAWRRFLQRRIDAAIKIQRTIREKKGGNKYVKLRDYGTKLLAGKKERRSMSLLGYRAFMGDYLSCNESKTKGSYIRRQVGIKDKVVFSIKGECLHSKFGRSAQRLKKVFILTKKTFYIIGQTREQNAMKYTQDYKIDVGKIKQVSLTNLQDDWMGVILVNSTQSDPLINTPFKTELMTRLKKLNEKIMIKVGPTIEYHKQPNKLHTVRSKISDSAPKYGDIYKSSTIYVRRGHPANSKSNKKPKNPGGLSGKPIKSKKSKHKSTHKHTHSHRSHRDAAKKQPLPSQKPVNPLSLAATAAQAAYNPKPDKTVPIKSSAIPAAKVSSKHSSKPSSKEKVAVKKASSSHKSSSAKQNQVSMPPSKGVEKNKEPLKETTATATANIPIPPPPPPMGQPKDPKFEAAYDFPGSGSSSELPLKKGDIVFISRDEPSGWSLAKLLDGSKEGWVPTAYMTPYKDTRNTVPVAATGAVNDVTNQKSSQIDNTISSAQEGVQFGSATVGPTSDNQSNPVGTFSDGLASALAARANKMRAESADDDDNDDGDDDDDW", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVMQEEKKRQQPVTRRVRSFSESFKNLFRPPRSRDSSPINVTRIPYRSSSTSPKRSSEPPRRSTVSAQILDPKNSPIRQRSYTLKCCTPGLSHPFRQTGSGASNSPTRHRSISGEEQEIVNSLPEYKRSASHTFHGIRRPRSRSSSVSSCDSSNGTTSSSDSQWAMDSLLDDSDNDLTPYRGSNKDILKSKDRAPYNYIDDYNKKALRRATSYPNPLPSKQFYNERLYTRRSHPDEESLESLPRFAGADVQCIIEQNGFKVYEDGSHEHNIKLSGVIAKLEKGNSLPAHRQGSLSRPRLGITLSGLFKHHKNECDIENALSLLPNVEKSQTNHEKRTGQSPNDSNRSSPTQGREDYLKIVNPDASLGSDELKLINSLSSRIHKSLQNYLQEKNLKPAECIGEQAPTFQDNYGHPVGLVGAGAYGEVKLCARLRNEKDSPPFETYHDSKYIYYAVKELKPKPDSDLEKFCTKITSEFIIGHSLSHYHKNGKKPAPNILNVFDILEDSSSFIEVMEFCPAGDLYGMLVGKSKLKGRLHPLEADCFMKQLLHGVKFMHDHGIAHCDLKPENILFYPHGLLKICDFGTSSVFQTAWERRVHAQKGIIGSEPYVAPEEFVDGEYYDPRLIDCWSCGVVYITMILGHYLWKVASREKDMSYDEFYKEMQRKNQFRVFEELKHVNSELATNRKIALYRIFQWEPRKRISVGKLLDMQWMKSTNCCLIYDST", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "METSSFENAPPAAINDAQDNNINTETNDQETNQQSIETRDAIDKENGVQTETGENSAKNAEQNVSSTNLNNAPTNGALDDDVIPNAIVIKNIPFAIKKEQLLDIIEEMDLPLPYAFNYHFDNGIFRGLAFANFTTPEETTQVITSLNGKEISGRKLKVEYKKMLPQAERERIEREKREKRGQLEEQHRSSSNLSLDSLSKMSGSGNNNTSNNQLFSTLMNGINANSMMNSPMNNTINNNSSNNNNSGNIILNQPSLSAQHTSSSLYQTNVNNQAQMSTERFYAPLPSTSTLPLPPQQLDFNDPDTLEIYSQLLLFKDREKYYYELAYPMGISASHKRIINVLCSYLGLVEVYDPRFIIIRRKILDHANLQSHLQQQGQMTSAHPLQPNSTGGSMNRSQSYTSLLQAHAAAAANSISNQAVNNSSNSNTINSNNGNGNNVIINNNSASSTPKISSQGQFSMQPTLTSPKMNIHHSSQYNSADQPQQPQPQTQQNVQSAAQQQQSFLRQQATLTPSSRIPSGYSANHYQINSVNPLLRNSQISPPNSQIPINSQTLSQAQPPAQSQTQQRVPVAYQNASLSSQQLYNLNGPSSANSQSQLLPQHTNGSVHSNFSYQSYHDESMLSAHNLNSADLIYKSLSHSGLDDGLEQGLNRSLSGLDLQNQNKKNLW", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNQSISSLIKLKFLQSHSNDKNGNKKGGSNVSTGIDKLRESESYRSPFLQLAEIQEHTNNDDDKLDVKECEPTKKHSKLSRIRRKMGRLDLNFRSANEKGSEDDEILVAQHLRNGQDPEEMPFKSENNIDSIEKVPKPDGERVTLTSSGSDNVKRNSKHAPFIPVKPALEKFPSSNRLSRDYRKSQEPTLFNGDRLVPTLPTVSRISTSSSVGSSTAASRYFNPSKRAVVASSSSSSSSIKFNSLHAIPLDATPQIELAKQQDEISKRRFGRRRSRTVDVFDYINKNNTAKNKPPLSPSSFIRTIDEKNTNSLMQDPMGSRGPLLPDDANIISNDTDGAEASHPDHQVLSRSRSQSTSFVQGKGGKRKSIEDEGYHNKLGLPHGSGPTSVYNNKSNANSTITGMSRRSSSIVNALSSFVNLRSSSLSSSRQQHLQQQQQLQQKLDVSLEDLPPVPAPEFSDSCKDFLIKLAPYGKFIGIILTEKDDEFKKNCLNYLLTNCFEFKNDPLDIALRKLLMFLELPKETQQIDRLIMAFSFAYYKAQKSYSKKKGIECPWSNADQVYFIAFSLLMLHTDYFNPNNKSKMTKHDFVDLVHNDKYSGGNEIPMAVLTYFYENVTAKESPKFNYFLMSPMALDDSILDKDAFDTNFAITLSSNSMYSPIDMIKRGSIIPKEASLSPIFYPLTNSISASGIAPSTAASCPPSTSGTINGANLGTANSNSNRPASNSISSYFSYNPSSSSSGNATLVQDDINVYSHIINDTLNEVNLFPEVSKYWNKNALKANLLRNEEHKYEKYYSIMNDTKGGYLRFHKSQLNKLNLPNFEILNDNSRSGCKNSDYKYCKILQMGAIMNLGMPSRKFSIVNSAKIHWKKEFAILTSLGLLICDKMDWINPQMMKDPKSGTTNYIIDFKSGFSFVPGSTIDVYNGLFADRERDSLGKSHFASLVLAYTEHHSTGSHTSNTTAASSSAKHNEGVFEPSSDEEDSITNSTDGTSSVSNGESDNDSVSSSDNQLSSNDSNEDYHSIKDEYPIFEDENADCLLYLHTCHRNFIWKCANKYERDNWIDSINLFSAYDGCYVEIGSIANTICNKRKLTILQRMERLRSIKSAKWEKLKKFESTLMLMGKCVPISTKTKTDMINRIRQLAVRMDWLIYEIKRSELFVSIIKEVTRKQAEKNILEHGKGEEEGQGNNDDSDGIDDIEESFLFNENSLQVCVSDSSYDEYSNE", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAASGSVLQRCIVSPAGRHSASLIFLHGSGDSGQGLRMWIKQVLNQDLTFQHIKIIYPTAPPRFKITNDCPEHLESIDVMCQVLTDLIDEEVKSGIKKNRILIGGFSMGGCMAIHLAYRNHQDVAGVFALSSFLNKASAVYQALQKSNGVLPELFQCHGTADELVLHSWAEETNSMLKSLGVTTKFHSFPNVYHELSKTELDILKLWILTKLPGEMEKQK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPVHTLSPGAPSAPALPCRLRTRVPGYLLRGPADGGARKPSAVERLEADKAKYVKSLHVANTRQEPVQPLLSKQPLFSPETRRTVLTPSRRALPGPCRRPQLDLDILSSLIDLCDSPVSPAEASRTPGRAEGAGRPPPATPPRPPPSTSAVRRVDVRPLPASPARPCPSPGPAAASSPARPPGLQRSKSDLSERFSRAAADLERFFNFCGLDPEEARGLGVAHLARASSDIVSLAGPSAGPGSSEGGCSRRSSVTVEERARERVPYGVSVVERNARVIKWLYGLRQARESPAAEG", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGQQVGRVGEAPGLQQPQPRGIRGSSAARPSGRRRDPAGRTADAGFNVFTQHDHFASCVEDGFEGDKTGGSSPEVLHRPFGCDAESQALNEAIRWSSKENLLGATESDPNLFVALYDFVASGDNTLSITKGEKLRVLGYNQNGEWSEVRSKNGQGWVPSNYITPVNSLEKHSWYHGPVSRSAAEYLLSSLINGSFLVRESESSPGQLSISLRYEGRVYHYRINTTTDSKVYVTAESRFSTLAELVHHHSTVADGLVTTLHYPAPKCNKPTVYGVSPIHDKWEMERTDITMKHKLGGGQYGEVYVGVWKKYSLTVAVKTFKEDTMEVEEFLKEAAVMKEIKHPNLVQLLGVCTLEPPFYIVTEYMPYGNLLDYLRECSREEVTAVVLLYMATQISSAMEYLEKKNFIHRDLAARNCLVGENHVVKVADFGLSRLMTGDTYTAHAGAKFPIKWTAPESLAYNTFSIKSDVWAFGVLLWEIATYGMSPYPGIDLSQVYDLLEKGYRMEQPEGCPPKVYELMRACWKWSPADRPSFAETHQAFETMFHDSSISEEVAEELGRTASSSSVVPYLPRLPLLPSKTRTLRKQGENKENLDGGLDAAESLASSSAPAGFIRSTQASSGSPALPRKQRDKSPSSLLEDAKETCFTRDRKGGFFSSFMKKRNAPTPPKRSSSFREMENQPHKKYELTGNFSPVASLQNADGFSVAPSQQEPNLVPAKCYGGSFAQRNLCADDDSGGGGGSGTAGGGWSGITGFFTPRLIKKTLGLRAGKPTASDDTSKPFPRSNSTSSMSSGLPEQDRMAMTLPRNCQRSKLQLERTVSTSSQPEENVDRANDMLPKKSEEGAAPARERPKAKLLPRGATALPLRAPDPAITESDSPGVGVAGVAAAPKGKERNGGTRLGVAGVPEDGEQLGWSSPAKAVAVLPTTHNHKVPVLISPTLKHTPADVQLIGTDSQGNKFKLLSEHQVTSSGDKDRPRRVKPKCAPPPPPVMRLLQHPSTCSDPEEEPTAPPAGQHTPETQEGGKKAAPGPVPSSGKPGRPVMPPPQVPLPTSSISPAKMANGTAGTKVALRKTKQAAEKISADKISKEALLECADLLSSAITEPVPNSQLVDTGHQLLDYCSGYVDSIPQTRNKFAFREAVSKLELSLQELQVSSTAAGVPGTNPVLNNLLSCVQEISDVVQR", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDHENLMKYLEEFRSIRFQPDFQKVDAERNVRYCEITDFPISNISFELLETGVSQQWRNCDQNLFNEYLKTYKNGGYSQFEDLLFKIWGYSEEKERFDLPALKSFYRKMSEIVGEDEVLEKLARLVRITKSACEVLPEKIYRLVGDIESATFSHIQCASLIAWMFFSDTPRLSFIIILQKTTCVAVEKLKFLFTYFDKMSIDPPIGAVSFRKMRITHKQYLENWKLRETNLLPDVQVFDKMSIEETALCTQIDFANKRLGGGVLKGGAVQEEIRFMMCPEMMVAILLNDVTQDLEAISIVGAYVFSSYTGYSNTLKWAKITPKHSAQNNNSFRDQFGRLQTETVAIDAVRNAGTPLECLLNQLTTEKLTREVRKAAIGFLSAGDGFSKIPVVSGWWGCGAFRGNKPLKFLIQVIACGISDRPLQFCTFGDTELAKKCEEMMTLFRNNNVRTGQLFLIINSIGPPLNYSEQYVFDAIRAKINSTKA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAVRLCDVASLLRSGSWAAEPWTGQVIAAMETQLSNGPTCNNTANCPNTINCSSPVESNNTEDSKTNLIVNYLPQNMTQEELKSLFGSIGEIESCKLVRDKITEGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTKTIKVSYARPSSASIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGVSRGVGFIRFDKRIEAEEAIKGLNGQKPPGATEPITVKFANNPSQKVNHTILSQLYQSPNRRYPGPLAQQAQRFRLDNLLNMAYGGIKSRFSPMAIDGMTSLAGINFPGHAGTGWCIFVYNLAPDADESILWQMFGPFGAVTNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASLNGYRLGDRVLQVSFKTSKTHKA", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGTKREAILKVLENLTPEELKKFKMKLGTVPLREGFERIPRGALGQLDIVDLTDKLVASYYEDYAAELVVAVLRDMRMLEEAARLQRAA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSVDKAELCGSLLTWLQTFQVSPPCASPQDLSSGLAIAHVLNQIDPSWFNNEWLQGISEDSSPSWRLKVRKLEKILQSLVEYSKNVLGHPVSDQHLPDVSLIGEFSNPAELGKLLQLVLGCAISCEKKQEYIQRIMTLEESVQHVVMEAIQELMTKDTPDSLSPENYGNFDTQSRRYYFLSEEVEEGDHLQQHYLDLERQLLLLSEEKQNLAQENAALRERVGRSEVESAPGLTAKKLLLLQSQLEQLQEENFRLESSREDDRLRCLELEREVAELQQRNQALTSLSQEAQALKDEMDELRQSSERARQLEATLNSCRRRLGELQELRRQVRQLEERNAGHAERTRQLEEELRRAGSLRAQLEAQRRQVQELQGQWQEEAMKAEKWLFECRNLEEKCDLVTKEKERLLTERDSLREANEELRCAQLQPRGLAQADLSLDPTPSGLENLAAEILPAELRETLVRLQLENKRLCQQEAADRERQEELQRHLEEANRARHGLEAQQRLNQQQLSELRAQVEELQKALQEQGGKTEDPTLLKRKLEDHLQKLHEADLELQRKREYIEELEPPTDSSTARRIEELQDSLQKKDADLRAMEERYRRYVDKARTVIQTLEPKQRPPTVVSPEFHTLRSQLWERNLRIRQMEMDYEKSRRRQEQEEKLLISAWYSMGMALEHRAGEEHAPAHAQSFLAQQRLATNARRGPLGRQALSLRPTDKH", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSQKQEEENPAEETGEEKQDTQEKEGILPEKAEEAKLKAKYPSLGQKPGGSDFLMKRLQKGQKYFDSGDYNMAKAKMKNKQLPSAGADKNLVTGDHIPTPQDLPQRKSSLVTSKLAGGQVE", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDEERALYIVRAGEAGAIERVLRDYSDKHRATFKFESTDEDKRKKLCEGIFKVLIKDIPTTCQVSCLEVLRILSRDKKVLVPVTTKENMQILLRLAKLNELDDSLEKVSEFPVIVESLKCLCNIVFNSQMAQQLSLELNLAAKLCNLLRKCKDRKFINDIKCFDLRLLFLLSLLHTDIRSQLRYELQGLPLLTQILESAFSIKWTDEYESAIDHNGPPLSPQETDCAIEALKALFNVTVDSWKVHKESDSHQFRVMAAVLRHCLLIVGPTEDKTEELHSNAVNLLSNVPVSCLDVLICPLTHEETAQEATTLDELPSNKTAEKETVLKNNTMVYNGMNMEAIHVLLNFMEKRIDKGSSYREGLTPVLSLLTECSRAHRNIRKFLKDQVLPPLRDVTNRPEVGSTVRNKLVRLMTHVDLGVKQIAAEFLFVLCKERVDSLLKYTGYGNAAGLLAARGLLAGGRGDNWYSEDEDTDTEEYKNAKPKEELLKPMGLKPDGTITPLEEALNQYSVIEETSSDTD", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MFSTRISGDMKIFAADVAESSVTATCNTSVQQQQSQQLEFRTRMSAGSPSSKSGQCHLKFGKYNNKTANLLRQVNSCHSSNSSSNTSNNNNEAIKGQQQQPLHYCNSNNSHSWARKKYFGNGNSNNSLLQQQQQPSSFFQRQQQQHQMQMQQEKQATNNNDALMKNQNVVNAHVSDCKSSDSNNNSTSSSNNNSTISSNNNNTSSASNNNTGSSSSCSNRTKPAKWLNENSSSSSSSNNNNISCRNNNTSSIDTKRRNSSAGATAAYYRKSESESGSSEGAAESTETEATRTGGCNSNRTAESSSADGGTQATMGKSQDQEQDQTVKQRPRQQPLSFWKTNYPQTSATQLKDKETVAAVVSAAAVAAAAAAASASEQQQQQQSLSIEHRRNSGYQQHQQHNYYPYYYSQPKQLTIASFLQKEMLPDSTEKSSSNTGGSNMIRSSSNGNSNFSRHQYGHQSTGSGYQQQQQRYRNAQNVYQQYQHQQQHHAQQHTHPHFRRKHSDNGSGINKKMHYSPPGKSGDPADRSASGQQQHHHPHQQQKTIEILASSHFNAMHRRMQGGNNKNGYYQHSYNPMTGEVGSTPTRSEHQNIYNLTYIHVDTEATGEAASAAGSTPVVKPSLLSKPNISITPASSTTPTTVDRALLPAVRSVSAPASGSALPAPANHVRNMFPPPPLAMLGGHGLLSPVTTTTPTKMISCAQLDEAITAAAASGDKLSTSPSYNQAGHYIMPPQQQQQQQLSSHPIPTGTSSHPPPPPPPHMFFHFADGFCNPGQGHQAPPATMWPHSSSPCYPASYGSSCGSGTGAGTSPHNNDGNAGALRPASPALSSSSLGSESQWSGTSNRSRLGHNGHPSISPTPSALGSAQLSPHLAEMRVQHPLHQQHPPSHASHRPHGQMGGHAMSSYVPHRPPPPPHPSISSPNPTPVATGAGGPWYEMILPPDRYLAQARNIEVTVQPEKLICMCKYDNLSAEIWKRFRGAQQTHNKFKLKMRLWRYLYLWMHQPMFERYRICLVGSTITGFGTDSSDIDMCLLPEQGVHPHQHQYHQHHHFHNEKRTEALIILTLFNAVLKDTEVFQDFNLIEARVPILRFKDISNGIEVDLNFNNCVGIKNTYLLQLYAQMDWRTRPLVVIVKLWAQYHDINDAKRMTISSYSLVLMVLHYLQHACVPHVLPCLHSLYPEKFQLGQQDCLDLDLIEPIEPYQALNTQTLGEHLLGFFKYYSTFDFRNFAISIRTGGVLPVSTCRMAKSPKNDVYQWKELNIEEPFDLSNTARSVYDGPTFERVKAVFLISARRLDHTLDLATIFRPIHHVPEHFPQLQQHQQQFEQQLHHPISGQQRSAGGGGDGANPVPSTLNPDAASTFAETTAAHVA", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSERVSEEPGLDKGDGAEECELDDPELKAIRMRVREMEEEAERLKGLSGQDKSIGVSPRPCMKLIHSKMTAGEYTEGPPRPLSAEEKKEIDKRSVYVGNVDYGGTAQDLEAHFSSCGSINRITILCDKFSGHPKGYAYIEFAERNSVDAAVTMDETVFRGRTIKVLPKRTNMPGISSTDRGGFRGRPRGNRGNYQRGQRPRGRPFRGCGRPGPLNHPY", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MATVEPETTPTPNPPTTEEEKTESNQEVANPEHYIKHPLQNRWALWFFKNDKSKTWQANLRLISKFDTVEDFWALYNHIQLSSNLMPGCDYSLFKDGIEPMWEDEKNKRGGRWLITLNKQQRRSDLDRFWLETLLCLIGESFDDYSDDVCGAVVNVRAKGDKIAIWTTECENREAVTHIGRVYKERLGLPPKIVIGYQSHADTATKSGSTTKNRFVV", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSGITLTKLTQERISIPNNNVITNGVENNIDSDTLSGTLTHLMEEHRTRVGAVTGPEAATTSTDGLISNGAERLRLQGSRLQTSRFACFRCCGNIITYLVRLRSTPEELEQRYKSKEIDKFLEKEKHTFRRQVKLLLLGAGESGKSTFLKQMRIIHGVNFDYELLLEYQSVIYQNVIRGMQVLLDAREKLNIAWGSDGREQDAYDAKLMECNSLDVPKFMEYAPPISRLWQDRGIRRAFERRREFQISDSVSYFLDEIQRLATPDYVPTHKDILHCRKATKGVYEFCVKVQNIPFVFVDVGGQRTQRQKWTRCFDSSVTSIIFLVSSSEFDQVLAEDRKTNRLEESKNIFDTIVNNATFKGISIILFLNKTDLLEQKVCNPETDIRWYYPHFNGNPHSVLDVQNFILQMFMSVRRSSSISRIYHHFTTAIDTRNINVVFNSVKDTILQRNLNALMLQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSFLQDPSFFTMGMWSIGAGALGAAALALLLANTDVFLSKPQKAALEYLEDIDLKTLEKEPRTFKAKELWEKNGAVIMAVRRPGCFLCREEAADLSSLKSMLDQLGVPLYAVVKEHIRTEVKDFQPYFKGEIFLDEKKKFYGPQRRKMMFMGFIRLGVWYNFFRAWNGGFSGNLEGEGFILGGVFVVGSGKQGILLEHREKEFGDKVNLLSVLEAAKMIKPQTLASEKK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MARETEFNDKSPSSTDDGMSQSHFSDKLKNLFHFRRNRAATVSTSVRNDQRDNDSDDSTFDINVNQLNELDLNDSSDQLDSRPSLRRVSSAPDSHKGVEAPPPRPLINMSNIRKAQVKILKNPGNYIFGRTEYGKRTYSGNSTKISRVEVTPHSFEKIRLLGQGDVGKVYLVRQKSNHRLFAMKILNKREMIKRHKVNRVLAEQEILTKSKHPFIVTLYHSFQSRDYLYLCMEYCAGGEFFRALHSLPKHILPEKDACFYAAEVTAALEYLHLMGFIYRDLKPENILLHQSGHIMLSDFDLSKPISIVTHPTVVLPKHSTFSQEKPALDTNSYFSNFRTNSFVGTEEYIAPEVIRSCGHTVAVDWWTLGIFIYEILYGTTPFKGKNRHATFSNILYSDVSFPEYHGAPNVSSTCKSLIRRLLVKDESKRCGSVAGASDIKQHPFFRHIQWALLRSMKPPIIPKIEDGMEAVEPSDNDNEEEDFLNSQYLISANLPAVDMHSSTPVNEQSNPFDSFSSVTLHHAGDE", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALIVASLFLPYQPQFELDTSLPENSQVDSSLVNIQAMANDQQQQRALSNNISQESLVAPAPEQGVPPAISRSATRSPSAFNRASSTTNTATLDDLVSSDIFMENLTANATTSHTPTSKTMLKPRKNGSVERFFSPSSNIPTDRIASPIQHEHDSGSRIASPIQQQQQDPTTNLLKNVNKSLLVHSLLNNTSQTSLEGPNNHIVTPKSRAGNRPTSAATSLVNRTKQGSASSGSSGSSAPPSIKRITPHLTASAAKQRPLLAKQPSNLKYSELADISSSETSSQHNESDPDDLTTAPDEEYVSDLEMDDAKQDYKVPKFGGYSNKSKLKKYALLRSSQELFSRLPWSIVPSIKGNGAMKNAINTAVLENIIPHRHVKWVGTVGIPTDEIPENILANISDSLKDKYDSYPVLTDDDTFKAAYKNYCKQILWPTLHYQIPDNPNSKAFEDHSWKFYRNLNQRFADAIVKIYKKGDTIWIHDYHLMLVPQMVRDVLPFAKIGFTLHVSFPSSEVFRCLAQREKILEGLTGADFVGFQTREYARHFLQTSNRLLMADVVHDEELKYNGRVVSVRFTPVGIDAFDLQSQLKDGSVMQWRQLIRERWQGKKLIVCRDQFDRIRGIHKKLLAYEKFLVENPEYVEKSTLIQICIGSSKDVELERQIMIVVDRINSLSTNISISQPVVFLHQDLDFSQYLALSSEADLFVVSSLREGMNLTCHEFIVCSEDKNAPLLLSEFTGSASLLNDGAIIINPWDTKNFSQAILKGLEMPFDKRRPQWKKLMKDIINNDSTNWIKTSLQDIHISWQFNQEGSKIFKLNTKTLMEDYQSSKKRMFVFNIAEPPSSRMISILNDMTSKGNIVYIMNSFPKPILENLYSRVQNIGLIAENGAYVSLNGVWYNIVDQVDWRNDVAKILEDKVERLPGSYYKINESMIKFHTENAEDQDRVASVIGDAITHINTVFDHRGIHAYVYKNVVSVQQVGLSLSAAQFLFRFYNSASDPLDTSSGQITNIQTPSQQNPSDQEQQPPASPTVSMNHIDFACVSGSSSPVLEPLFKLVNDEASEGQVKAGHAIVYGDATSTYAKEHVNGLNELFTIISRIIED", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTIIVASLFLPYTPQFEADVTNSDTAKLVESSMIKVDCNNQELSNNKQERSSSVTSASSHYIGLPQEAQINGEPLQRANVGSPATGVNYHNEMEMLSSEQFLEELTANATHAANSGIPPANNPVSSGSTAQRPSVEEFFSAPSARVCSPSQEASASSISASRSSAHHNDLSSSLMKNPNLSFDSHPPRVRSSSKSAVITPVSKSVPDVDPAVVDVAKVREEFQQQASLPSMKRVSGSTAGDSSIASSSSNLRYSQQFQDNFIEDTDSEDDIDSDLETDATKKYNVPKFGGYSNNAKLRASLMRNSYELFKHLPWTIVDSDKGNGSLKNAVNIAVAEKTVKEPVSWVGTMGIPTDELPHEVCHKISKKLEQDFSSFPVVTDDITFKGAYKNYAKQILWPTLHYQIPDNPNSKAFEDHSWDYYQKVNQKFSDRIVSVYKPGDTIWIHDYHLMLVPQMVREKLPKAKIGFFLHVSFPSSEVFRCLANRERILEGIIGANFVGFQTKEYKRHFLQTCNRLLAADVSNDEVKYHCNIVSVMYAPIGIDYYHLTSQLRNGSVLEWRQLIKERWRNKKLIVCRDQFDRIRGLQKKMLAYERFLIENPEYIEKVVLIQICIGKSSDPEYERQIMVVVDRINSLSSNISISQPVVFLHQDLDFAQYLALNCEADVFLVDALREGMNLTCHEFIVSSFEKNAPLLLSEFTGSSSVLKEGAILINPWDINHVAQSIKRSLEMSPEEKRRRWKKLFKSVIEHDSDNWITKCFEYINNAWESNQETSTVFNLAPEKFCADYKASKKHLFIFKISEPPTSRMLSLLSELSSNNIVYVLSSFTKNTFESLYNGVLNIGLIAENGAYVRVNGSWYNIVEELDWMKEVAKIFDEKVERLPGSYYKIADSMIRFHTENADDQDRVPTVIGEAITHINTLFDDRDIHAYVHKDIVFVQQTGLALAAAEFLMKFYNSGVSPTDNSRISLSRTSSSMSVGNNKKHFQNQVDFVCVSGSTSPIIEPLFKLVKQEVEKNNLKFGYTILYGSSRSTYAKEHINGVNELFTILHDLTAA", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSVASKNLFDLLGEETPAATTTEKKTAASRDKKRSDSPPVPRELVAQSTTSRKRDPNQPTPRERTVNKKADQPRRRRQAPQGNEAFAREGKEARANNAAHPVDATGAPSNRRNARARRGREFDRHSQTGRVDTKKATERGWGDLVNSAANPDVAENEGNTPSGAQTPAAEEENVKTLDEYLSERKSAAKPVGRTVEKLENATKVEKSAPEELFASLKKSASQKKSAAKESKPKKVLLDIEQTFTARPARGGRPNRAPRRGPSETASKTQQAPPTLSETDFPALA", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEGQTRSGSARPGTRTGLGPLPGTHGVLQAEIPSKKVNSSFQLPAKNSGPASSEPRLTLAPVGPRAAVSPPSERPRLVLSSPRPVLAPLSIAGEQKRPPPPHSSNRAAKSVGQLVVSAAAASKPPPVASVSILAPKSLGQLVISASAMPRPSPAPLGSVLTPTSRDQKQLSPTSVGPKPALATSGLSLALASQEQPPQSPSSPSPVPSPVLSPSQEGHLAAASVTSTPASERQLPARQKDTAVPRPTPPADKCLYTPERAAGPATSPPRAQAFSDPRLSPSFRARPEAPRHSPEDPVLPPPPQTLPLDVSPGLPESGTRSPGLLSPTFRPGIPSSQTVPPPLPKPPRSPSRSPSRSPNRSPCLPPAPEVALPKPVTQAAGSGRCPSPNLQAQESPAAATTTTSPTSSWSAQPTCKSDPGFRITVVTWNVGTAMPPDDVTSLLHLGSGHDNDGADMIAIGLQEVNSMINKRLKDALFTDQWSELFMDALGPFNFVLVSTVRMQGVILLLFAKYYHLPFLRDVQTDCTRTGLGGYWGNKGGVSVRLAAFGHMLCFLNCHLPAHMDKAEQRKDNFQTILSLQQFQGPGAHGILDHDLVFWFGDLNFRIESYDLHFVKFAIDSNQLHQLWEKDQLNMAKNTWPILKGFQEGPLNFAPTFKFDVGTNKYDTSAKKRKPAWTDRILWKVKAPSGGPSPSGRESHRLQVTQHSYRSHMEYTVSDHKPVAAQFILQFAFRDDVPLVRLEVADEWARPEQAVVRYRVETVFARSSWDWIGLYRVGFRHCKDYVAYVWAKHEEVDGNIYQVTFSEESLPKGHGDFILGYYSHHHSILIGVTEPFQISLPTSESASSSTDSSGTSSEGEDDSTLELLAPKSRSPSPGKSKRHRSRSPGLARFPSLALHPSSRERRGGSRSPSPQSRQLPRVAPDRGHSSSSRGSSEEGPSGLPGPWAFPPSVPRSLGLLPALRLETVDPGGGGSWGADQEAPDPNSLSPSPQGRLGLEEGGLGP", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKRREAVCAHRHFLGTGKPPHPLGRSIPVEPCPGLPAFAEVDLLSLLVPIKISSTPPSGSRLDPQIASSAFPGLGSLGGQDSSGSLVQRASCELESPYEL", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MESNGVPMITLSSGIRMPALGMGTVETMEKGTEREKLAFLKAIEVGYRHFDTAAAYQTEECLGEAIAEALQLGLIKSRDELFITSKLWCADAHADLVLPALQNSLRNLKLDYLDLYLIHHPVSLKPGKFVNEIPKDHILPMDYKSVWAAMEECQTLGFTRAIGVCNFSCKKLQELMATANSPPVVNQVEMSPTLHQKNLREYCKANNIMITAHSVLGAVGAAWGTKAVMHSKVLHQIAVARGKSVAQVSMRWVYQQGASLVVKSFNEARMKENLKIFDWELTAEDMEKISEIPQSRTSSAAFLLSPTGPFKTEEEFWDEKD", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAQFYERNTSGMNADRFMTRLTDESTVNTMQRHYWTARQFIRTKLGKKEDEHLEASDNELDTCLNLYRSVHGTSFQLLNNVDNYANFLLDETLVQNVLGKYLKEKGKIDKTEAVGRILIAVGRSLLFSSHRLNAARIGVSTFYNKLSVFVERAIGDCSQTIEAVQMCRTEYRGSLLWMKKTSEELDPEVDGSMEKFREAQTTVKSNKERLDRLKTDTLQKVDLLSASRSNLLSYVLTHYQNELYEYYSKTSRAFETLAENINCYNNYDFEILSHLATGTKPERERKSEKEESAKTSQPRGNEEELKNLLFGRESPQFGEEEVQDESRSQCDSPLIEDVDDERRKTGDLLDLESAASIAFPIGPLATLFDTSSFVPPILPPPKPNAVSDDILSLFDGNKANSSGKEASATTMDWQSLIDGFDRENEDNLL", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MMSLSVRPQRRLLSARVSRSQSFAGVLGSHERGPRSFTVFSPPGPPRKPLVLSRVSRMFSVAHPAPKVPQPERLDLVYTALKRGLTAYLEVHQQEQEKLQRQIKESKRNSRLGFLYDLDKQVKSIERFLRRLEFHASKIDELYEAYCVQRRLRDGAYNMVRAYSTGSPGSREARDSLAEATRGHREYTESMCLLENELEAQLGEFHLRMKGLAGFARLCVGDQYEICMKYGRQRWKLRGRIESSGKQVWDSEETVFLPLLTEFLSIKVTELKGLANHVVVGSVSCETKDLFAALPQVVAVDINDLGTIKLSLEVIWSPFDKDDQPSAASTVNKASTVTKRFSTYSQSPPDTPSLREQAFYNMLRRQEELENGTAWSLSSESSDDSSSPQLSGTARHSTPKPLVQQPEPLPVQVAFRRPESLTSGSMDEEPAMTPSLVNGHAPYSRTLSHISEASVDAALTEAVEAVDSQSPIPGPSPLVYPDSTHVERVSSVLPVLNNGHSATSPALSTTGPAPTFIDPAPTTQLDLVHKTTDSAPSELPSITHTTTSSAYSAVSLVNSVPSLTSTTIGSAHTTTPSPLTSTGSVPNATDSTQATPSPTHSTPSPTHTTIRLTHTTVSPTHSSPSPIHTTPSPTHTTVSPTCTTPSSGHSTTSPTQEAKMSTHTTGAVGPVQTTTSPISTTESPSPSTDVAIISSSSAESTGPGTEPLPCSHPASPPYTKADPTASCTSYQSLASSGSKPLTSPAPDSPEQIPKSPSSSPSSSAPEPQHSEHNLAAVAQAPVPEATGGAGDRRLEEALGTLMSALDDYRGQFPELQGLEQEVTRLESLLMQRQGLTRSRASSLSITVEHALESFSFLNDDEDEDNDGPGDRHTSSPEVVAEDRLDSSNGQSLSTGCSALDATLVQHLYHCSRLLLKLGTFGPLRCQEAWALERLLREARVFQEVCERSKLWGNSATSAQEVVQFSASRPGFLTFWDQCTEGLSPFICSVERVLLTFCSQYGARLSLRQPGLAEAVCVKFLEDALGQKLPRRPQPGPGEQFTIFQFWSYVEALDSPSMDAYVTETAEEVLLVQNLNSDDQAVVLKALRLAPEGRLRKDGLRALSSLLVHGNNKVMAAVSTQLRSLSLGPVFRERALLCFLDQLEDGDVQTRVAGCLALGCIKAPEGIEPLVYLCQTDTEAVREAARQSLQQCGEEGQSAHRQLEESLDALPCIFGPSSMASTAF", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MERKQTQMILGRRLAKDSPEVKHFQRKSSVVPFGRDGRAATNFTCWTADCPAVKADPTLVFAKCIVVGGSMDTQLELEQVDPPARGTFTVAPTDVFNANELIEPETVDDIGYLPHTNVACVLDVLKSRFLRSIIYTTAEPLLVAINPFKDLGNTTDAWISTYRNASKPEMLPPHVFKTARAALEDLEGYKKNQSIIVSGESGAGKTEATKQIMRFFASASSEVRTTIQDTIMAGNPILEAFGNAKTIRNNNSSRFGRFMMLDVSSHRGIQHGSISNFLLEKVRVVSQEANERSYHIFYQLLKGATSEMRAKYHLRSLKEYAYLNGKNGGCYDVPGIDDKADFEEVLQSLDAMQITGSKRHSVFSILSGLLLIGNVSIEGKDAQGVPDAAYISPQSEEILEEACQLLSVDDAALKKEILVKSTKVGPQVIEGVRTKDEAKTSVLSLSKNVYDKLFDWLVRQLNSLIDAPDGMPNFIGILDIFGFEVLEVNSLEQVLINITNEYLQKHFIDVVFDMETKLYQAEGVPTEALEYTDNLALVGALCGKNDSFFALLEDACLGIRSTDEGFCGTILRRLEPSGFFLESRRDKRLKFIIRHTIADIEYTCEGMLEKNKDFLRKEVMDVMKASTDPVTKALFEGIEIEAGKIGKGTLIASRFLKNLEEMIGIVAQTEAHFIRCLKPNEEKKPLGWNGSKVLNQLFSLSILEALQLRQVGYAYRRNFSEFCSHFRWLDLGLVNSDRDRKEVAQLLLEQSGIPESSWVIGKTMVFVKPDAAKELSILQREKLMCFQPLIAVLGPMWRKVLLRKKMARVIHFLTRLESNARRHLEPDSINISPEEREALLSGMERPRNPCVVVKKRVEPERAPPTKVLSLSRARLSLSKELPRNYAASNEALDVDDTMSVDTDAFLRLKMKRSPNENYLRQTALARLKERRPSHVCMEEAYHVWRSVELLFREPLSDKRLQNICTVIRNDMDQHYGFFWQVIINRTPNFGMAATHIHGSLHVVEQEGMYRDGRQFLFHLIMYKTRKPRKEEIRLHERAAEKTYGICRKRDFSGIVRVMNSKVPPYMQKDVSYLIGMLFQRYQYTRDWTNFATCIQSYLIGRYSEPFGGAWNVVAQEGAFFLSRLWTKHSRFLRVEIDFPALAEQASSEPCPGCPTPVLTVVCFEACAPDRP", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MQQKTKLFLQALKYSIPHLGKCMQKQHLNHYNFADHCYNRIKLKKYHLTKCLQNKPKISELARNIPSRSFSCKDLQPVKQENEKPLPENMDAFEKVRTKLETQPQEEYEIINVEVKHGGFVYYQEGCCLVRSKDEEADNDNYEVLFNLEELKLDQPFIDCIRVAPDEKYVAAKIRTEDSEASTCVIIKLSDQPVMEASFPNVSSFEWVKDEEDEDVLFYTFQRNLRCHDVYRATFGDNKRNERFYTEKDPSYFVFLYLTKDSRFLTINIMNKTTSEVWLIDGLSPWDPPVLIQKRIHGVLYYVEHRDDELYILTNVGEPTEFKLMRTAADTPAIMNWDLFFTMKRNTKVIDLDMFKDHCVLFLKHSNLLYVNVIGLADDSVRSLKLPPWACGFIMDTNSDPKNCPFQLCSPIRPPKYYTYKFAEGKLFEETGHEDPITKTSRVLRLEAKSKDGKLVPMTVFHKTDSEDLQKKPLLVHVYGAYGMDLKMNFRPERRVLVDDGWILAYCHVRGGGELGLQWHADGRLTKKLNGLADLEACIKTLHGQGFSQPSLTTLTAFSAGGVLAGALCNSNPELVRAVTLEAPFLDVLNTMMDTTLPLTLEELEEWGNPSSDEKHKNYIKRYCPYQNIKPQHYPSIHITAYENDERVPLKGIVSYTEKLKEAIAEHAKDTGEGYQTPNIILDIQPGGNHVIEDSHKKITAQIKFLYEELGLDSTSVFEDLKKYLKF", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVTSLNEDSESITVEWIENGDTKGKEIDLESIFSLNHDLAPDEEIDPGPEMPPPPAPTTKVNKIVKNRRTVAPVKNETPAKDNRVAAVGSARARPIQPIEQSASRQQNGSVSDISPDQPGKKDFGLASRRKSNCVKEVEKLQEKRERRRLQQQELREKKAQDFDATNPNYEIMCMIKDFRGSLDYRPLTTSDPIDEHRICVCVRKRPLNKKETTIKDLDVITIPIKDVVMVHEPKQKVDLTRFLENQTFRFDYAFDETAPNETVYRFTARPLVETIFERGMATCFAYGQTGSGKTHTMGGDFSGKNQDCSKGIYALAARDVFQMLKKPNYKKLELQVYATFFEIYSGKVFDLLNRKTKLRVLEDGKQQVQVVGLQEREVKCVEDVLKLIEIGNSCRTSGQTSANAHSSRSHAVFQIILRKKGKMHGKFSLIDLAGNERGADTSSADRQTRLEGAEINKSLLALKECIRALGRNKPHTPFRASKLTQVLRDSFIGENSRTCMIATISPGMASCENTLNTLRYANRVKELDPSRCRRPPPHDTSCPQTSWMTWKQCGEWGVAPQRDDLKLLCEQNEEEVSPQLFTFHEAVSQMVEMEEQVVEDHRAVFPGTSIRWLEGLKKCLLEMTEEVDYDADSYATQLEAILEKKIDILTELRDKVKSFRAALQEEEHASKQINPKRPRAL", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSTYAAYTTSTYQGRGLASGTYASGFGQLVSGMSSAGAICTTQIRDAREREKREIGLLNDRLADYIEKVRFLEAQNRCLSHDIDILRNGFSGGGHVSGLFDAEINQAKHILEQTTAHRSTFERDITGLSAEIEVFRKKWLDAVNAVKAHREDHDVDLDRLAKIEAEISLFKRKIRIVEEDVIRIRRENDGIYNEIARIKQLTHNEIALKNERSLNVSDLLQRINLLQTENNVRIEQELVFIRRDTTADNRDYFRHELQAAIRDIRADYEAISIRNRQDIEVWYREQIRKIQDESVRVNPDLYKEELISIRTTVTNVRSRLAEVEGRNFFLERLIDDLKNNEEAKFFEISLAERDAQIATLRDQCTELSIQMEKLCDNEISLRAEIERYRILLNGANVTTYTSNTHGSGSGIAVGGVVGGSTRVISQTTRTHSSSNTSYSNVPASRGGYSISGNVGGISVGGTIGSHGASAHATGGIIGSGVQAHRGSVSSLITDKPRDRVHDEKGVDQSGRHFHSWYLGTISINQVTPSYIELKNICKIRRVDVGGFRIEQSVNGQVLGSAQINVPLILDPQEVVRFNHRHGKYLGQFFMDVDAFDNSTVARTSMYNYTEPHEERAWFVYLD", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MADPDSYRSSITSRPAFNRTVTSSTQNYGTPASGNRVLKIVTETHTSSVASGLSPYGQGAASTIRDDREREKKEITELNDRLASYIGKVRFLAAQNRKLEADLNVLQSRFGKSTGSVKIMYEMEITTATNVVKETGKDHEEAEKEIGKIKDQLDELRKKFEEAQKGRAEDRLKIDELLVTLSNLEAEINLLKRRIALLEEEVARLKKENFRLTSELQRVRSELDQETLLRIDNQNKVTTILEEIDFMKRGFETELKDLQAQAARDTTSENREYFKNELMNSIRDIRAEYDRFMAGNRNDLESWSQIRVQEINTQTNRQNAEINHKRDEVKRLHSQVSELKSKHAELAARNGLLEKQLEDLNYQLEDDQRSYEAALNDKDAQVRKLREECQALLVELQMLLDTKQTLDGELKVYRRMLEGNSEENGLRQLVEKVVRTSAINEEVDTETMRVVKGEHSSRTSYQRSAKGNVSIKEVSPEGKFVILENTHRDKEEPLGDWKLKRKIDGKREIVFTFPSDYILHPVQTVKIFARGNGVANPPEVLVFEGDDTFGAGANVQTILYNNSGEERATHMQRQSQQTTTS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSDSTGRINSKASDSSSISDHQTADLSIFNGSFDGGAFSSSNIPLFNFMGTGNQRFQYSPHPFAKSSDPCRLAALTPSTPKGPLNLTPADFGLADFSVGNESFADFTANNTSFVGNVQSNVRSTRLLPAWAVDNSGNIRDDLTLQDVVSNGSLIDFAMDRTGVKFLERHFPEDHDNEMHFVLFDKLTEQGAVFTSLCRSAAGNFIIQKFVEHATLDEQERLVRKMCDNGLIEMCLDKFACRVVQMSIQKFDVSIAMKLVEKISSLDFLPLCTDQCAIHVLQKVVKLLPISAWSFFVKFLCRDDNLMTVCQDKYGCRLVQQTIDKLSDNPKLHCFNTRLQLLHGLMTSVARNCFRLSSNEFANYVVQYVIKSSGVMEMYRDTIIEKCLLRNILSMSQDKYASHVVEGAFLFAPPLLLSEMMDEIFDGYVKDQETNRDALDILLFHQYGNYVVQQMISICISALLGKEERKMVASEMRLYAKWFDRIKNRVNRHSGRLERFSSGKKIIESLQKLNVPMTMTNEPMPYWAMPTPLMDISAHFMNKLNFQKNSVFDE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MALGEEKAEAEASEDTKAQSYGRGSCRERELDIPGPMSGEQPPRLEAEGGLISPVWGAEGIPAPTCWIGTDPGGPSRAHQPQASDANREPVAERSEPALSGLPPATMGSGDLLLSGESQVEKTKLSSSEEFPQTLSLPRTTTICSGHDADTEDDPSLADLPQALDLSQQPHSSGLSCLSQWKSVLSPGSAAQPSSCSISASSTGSSLQGHQERAEPRGGSLAKVSSSLEPVVPQEPSSVVGLGPRPQWSPQPVFSGGDASGLGRRRLSFQAEYWACVLPDSLPPSPDRHSPLWNPNKEYEDLLDYTYPLRPGPQLPKHLDSRVPADPVLQDSGVDLDSFSVSPASTLKSPTNVSPNCPPAEATALPFSGPREPSLKQWPSRVPQKQGGMGLASWSQLASTPRAPGSRDARWERREPALRGAKDRLTIGKHLDMGSPQLRTRDRGWPSPRPEREKRTSQSARRPTCTESRWKSEEEVESDDEYLALPARLTQVSSLVSYLGSISTLVTLPTGDIKGQSPLEVSDSDGPASFPSSSSQSQLPPGAALQGSGDPEGQNPCFLRSFVRAHDSAGEGSLGSSQALGVSSGLLKTRPSLPARLDRWPFSDPDVEGQLPRKGGEQGKESLVQCVKTFCCQLEELICWLYNVADVTDHGTAARSNLTSLKSSLQLYRQFKKDIDEHQSLTESVLQKGEILLQCLLENTPVLEDVLGRIAKQSGELESHADRLYDSILASLDMLAGCTLIPDKKPMAAMEHPCEGV", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAEEQEFTQLCKLPAQPSHPHCVNNTYRSAQHSQALLRGLLALRDSGILFDVVLVVEGRHIEAHRILLAASCDYFRGMFAGGLKEMEQEEVLIHGVSYNAMCQILHFIYTSELELSLSNVQETLVAACQLQIPEIIHFCCDFLMSWVDEENILDVYRLAELFDLSRLTEQLDTYILKNFVAFSRTDKYRQLPLEKVYSLLSSNRLEVSCETEVYEGALLYHYSLEQVQADQISLHEPPKLLETVRFPLMEAEVLQRLHDKLDPSPLRDTVASALMYHRNESLQPSLQSPQTELRSDFQCVVGFGGIHSTPSTVLSDQAKYLNPLLGEWKHFTASLAPRMSNQGIAVLNNFVYLIGGDNNVQGFRAESRCWRYDPRHNRWFQIQSLQQEHADLSVCVVGRYIYAVAGRDYHNDLNAVERYDPATNSWAYVAPLKREVYAHAGATLEGKMYITCGRRGEDYLKETHCYDPGSNTWHTLADGPVRRAWHGMATLLNKLYVIGGSNNDAGYRRDVHQVACYSCTSGQWSSVCPLPAGHGEPGIAVLDNRIYVLGGRSHNRGSRTGYVHIYDVEKDCWEEGPQLDNSISGLAACVLTLPRSLLLEPPRGTPDRSQADPDFASEVMSVSDWEEFDNSSED", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRPTHWSSNQCEVDFTAEALTTLSLSNRNHEEDPIQPVLKNSNLFLLNRDIWSLIINYLDAFDILRLMHSSRQFYYWLRKSAVDECCFNNNFLNLQPYQRTVPVASDLEWATEVDLYGNPPILKLQLRDSFVWSMLAKFQGLQTIALDGTGVTISSVTNILLNIPTVKTLSIRWCVGVCSLSLIEFLQNSKSRTFSLENLYVLGVKGLELLKPVLLDGSEDDTLTSNWHSRVILFQNALDALPTTHGNPVECDISRCPLNACKIAGQETELADLFSLQKVPACIYCLREWKKPICRYCIDLRSCLVCDSFVCPSCISLDFDLQIQAFARQHRVISTLGVVYPEREDSCFHKIKAAQWHQISPRSLLFQFQEQNHIHHKKIRRKLLAAGWKWPQSQI", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSRLFYGVKAGPGVGAPRRSGHTFTVNPRRSVPPATPATPTSPATVDAAVPGAGKKRYPTAEEILVLGGYLRLSRSCLAKGSPERHHKQLKISFSETALETTYQYPSESSVLEELGPEPEVPSAPNPPAAQPDDEEDEEELLLLQPELQGGLRTKALIVDESCRR", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSTAMNFGTKSFQPRPPDKGSFPLDHLGECKSFKEKFMKCLHNNNFENALCRKESKEYLECRMERKLMLQEPLEKLGFGDLTSGKSEAKK", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEETQPPPQPKLPLCDSLMIWLQTFNTASPCQDVKQLTSGVAMAQVLHQIDAAWFNESWLSRIKEDVGDNWRIKASNVKKVLQGIMSYYHEFLGQQISEALIPDLNQITECSDPVELGRLLQLILGCAINCEKKQEHIQNIMTLEESVQHVVMTAIQELMSKEILSSPPNDAVGELEQQLKRALEELQEALAEKEELRQRCEELDMQVTTLQDEKNSLVSENEMMNEKLDQLDGSFDDPNTVVAKKYFHAQLQLEQLQEENFRLEAAKDDYRVHCEELEKQLIEFQHRNDELTSLAEETRALKDEIDVLRATSDKANKLESTVEIYRQKLQDLNDLRKQVKTLQETNMMYMHNTVSLEEELKKANAARTQLETYKRQVQDLHVKLSSESKRADTLAFEMKRLEEKHEALLKEKERLIEQRDTLKETNEELRCSQVQQDHLNQTDASATKSYENLAAEIMPVEYREVFIRLQHENKMLRLQQEGSENERIEELQEQLEQKHRKMNELETEQRLSKERIRELQQQIEDLQKSLQEQGSKSEGESSSKLKQKLEAHMEKLTEVHEELQKKQELIEDLQPDINQNVQKINELEAALQKKDEDMKAMEERYKMYLEKARNVIKTLDPKLNPASAEIMLLRKQLAEKERRIEILESECKVAKFRDYEEKLIVSAWYNKSLAFQKLGMESRLVSGGGACSDTGACTPARSFLAQQRHITNTRRNLSVKVPATTSD", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNSSPPFLLKISAPSTSPQADCPNNYSFPPESPSSCRKGFTPVLTLEVPVAPGKDFNDHLSCNAGLSPNAGNRFTNPPYSREPFSCLTISSPCLPRRIPTPPPPPPVLSSPPPPERCPFEPFSPLLGRLYRQEPAGSSSPCFDRFSLQGSPSPHQRNLCCNYIDSPESQRSCPPSPRLCYVTSPPLIHQAPRASPVTSPELTHITLETGPVISTPLMPGSQGNYSIISPLLTHRPLRPGLAISPPLAHRSVETRPLTPASISHRGPHCPSRRSYNDPPLSSASSPPSGNPYHDNPMPPNSCEPKPQLDVPLGKNGCGPPLSSQAGMSGSPISPQEGCIHYSHLCPDSQISAPRSPFCVINLPPESAGSPSSSLPQALQKPCVGSFLWEPGGNSYLLLTPGTIISGPSCTTGPPLPQCPNPSPYFPSPLNNQCVAPPQSPRGYNEPRPPTSAPPQMKSPKSPESRRNPYKCRSLDNTPHHTPPSHSKSHKTNTCPQPPSQSFGLFSPCMEPAITTTSNSCPKEPPPETAVLKTVAPTSCPHSSPCNPALPSRYPKSSPHVPPPVSPCNTHMYSVVPPTSHLSPLSSPLNQSIPLPQPAVLPCGTYSAPRGPPSHIKSVAPPCSTHIYSFIPLRTPFDPRCLPVVPRARFCPTTVPCGIHTYAVTSPVPLNNPSQIPYSCSLPPSKTSSTCSTSVSSTIVCSDYQSSDSQINHQNKSQSPNKNSSLHNQSKSPLRRGAFQSRSRSRSSSPLQSSTQDRNESTNMGVKHHKRSRKQSQSPADGKIESQSKSLQHRKSVGQIKSPHSKKK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAAAALGSSSGSASPAVAELCQNTPETFLEASKLLLTYADNILRNPNDEKYRSIRIGNTAFSTRLLPVRGAVECLFEMGFEEGETHLIFPKKASVEQLQKIRDLIAIERSSRLDGSNKSHKVKSSQQPAASTQLPTTPSSNPSGLNQHTRNRQGQSSDPPSASTVAADSAILEVLQSNIQHVLVYENPALQEKALACIPVQELKRKSQEKLSRARKLDKGINISDEDFLLLELLHWFKEEFFHWVNNVLCSKCGGQTRSRDRSLLPSDDELKWGAKEVEDHYCDACQFSNRFPRYNNPEKLLETRCGRCGEWANCFTLCCRAVGFEARYVWDYTDHVWTEVYSPSQQRWLHCDACEDVCDKPLLYEIGWGKKLSYVIAFSKDEVVDVTWRYSCKHEEVIARRTKVKEALLRDTINGLNKQRQLFLSENRRKELLQRIIVELVEFISPKTPKPGELGGRISGSVAWRVARGEMGLQRKETLFIPCENEKISKQLHLCYNIVKDRYVRVSNNNQTISGWENGVWKMESIFRKVETDWHMVYLARKEGSSFAYISWKFECGSVGLKVDSISIRTSSQTFQTGTVEWKLRSDTAQVELTGDNSLHSYADFSGATEVILEAELSRGDGDVAWQHTQLFRQSLNDHEENCLEIIIKFSDL", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MESENAEAENVVTGNLEAKSKEGKNMQFVTLSSAWSLQALSSLLNPEEEDGFDFEQGQCSFTIGAMGPGNIGPPKAKESKAIPEPRSDESENIWNPEEVPEGAEHDDIWDVREIPDYEIVFQQTVGTEDVYLGLTRKDPSTACCQELVVKIKLPNTNPSEIQIDIQEMLLDLRTPRKKLLVNFPQPVERNSARASYIWEAETLEVRMTVQRDLDFNIS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRRPNLDRLRLKSRQGFETSVSKPSTPSYSTYSLSPTFSDKSVLSPSTMSDSYALSSTYTAGSSYQNGESDYFGSLPTPSSDKSSTSPFPYLKGSFDDRFSSTHSLTRQPSPRSPLTPLKGNTRASPEIRYVSDFTPPSSPFEDMQASVHSLPLSGCSIGPVRTNHSSSLSNSSNSLLQTESSLRPNSSFVNSPFFPLPSSDDLFLNDRVINLFLFYEKFSYLFTHLLSAIKSRDALSIPSLVLSLQEELFNLCQQTGTFYLLQHNLLQNFEFENPIKLHFDKIIPYFSRLTVLTFSNRAFIFPDHTFPRLQQSAEDFLYHLQFFFTLCANNSLYLSRFCYYPSFVPNTPFGGKWTNNGLSAVSSAYRTRLLEPCLPELDKCVWFLLKNCDEFIENFSDFADEEYVFEICSTITSHSEQIFNKLESWDMSIYFDKDLSECEQATNFAVQSYFVTKQRCYDLLTDLVCSSQDLMMEHSNDFSTMPTMIASIAVAFQTLFENVCDFLKVRAALVDEMQELATKEFENKFSNANTAKDDEPARQTNKGTTRISRSSDFTAVSEMSKDTLTLGRNSLQSILMLDNLLTNKVVQSDNNVKGGTLPALVHYLVQNVHLNKDFRHSFLLTYKTFTTPQELFTLLVILFHELPPPGLDATAYSSWEKGDNFVTKKNVCTVMNLWVQKYFFEDLKARNTLYLISEMRTFLRDHVVPSFHIGSVILSEIDNLWTEEPPDSLTQRLLSSPMATFISLNVYAYTPEEFASQMTLLEFDYLKQIPSREWIFRSWVSRDSRSAVRNYINFSNCFTYWIINCILEKKNTKARTAVISFFIQTAYKCLSLQNFSTLMSIVSALNSAPIYRLHAAYKLVKAEDIICLSGLREIVETKKNFSTYRALLRKAELPCVPFLGVILSDLTFIDEGNPDVLDSSPHLLSFNKRHRLADVVADVCRFQSSSYEMQSNTDLQSYILHRCRFVNQDLSYLFDKSLSLEPRSS", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSGGTPYIGSKISLISKAEIRYEGILYTIDTENSTVVLAKFALLGTEDRPTDRPIPPRDEVFEYIIFRGSDIKDLTVCEPPKPQCSLPQDPAIVQSSLGSSSTSFQSVSSYGPFSRMPTYSQFNQKSFRSTKFGRGRFRQLNKILRTFETTTSASLSQSSAVGSSFTSDARSLKTQLSQGRSSPHLDTLRKSPTMEQSVQTTPASHLPPPGPVGRRSPPPARPLPSVNQRTIESQEQKRVEAHRLSRPEADQLRNENKDANKRQAAPGAPPARRGRGGHRGGRGRFGIRRDGPMKFEKDFDFESANAQFTKEEIDREFHNKLKLKDDKPEKVEKPVNGEDKGDSGIDTQNSEGNADEEEALASNCYYDKTKSFFDNISCDDNRERRQTWAEERRINAETFGLPLRSNRGRGGYRGRGSGMGFRGARGRGGQRGGFGAPRGFRGGFRGTRGGREFADFEYRKDNKVAA", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTLTETSLSHNAEEGKDEGGRLQQYKNLTKHEELRRRRTECSVEIRKQKGADMMMKRRNIVDVDEGGNSESELEEPEKISHQQSSTRLSNDEIRAILSNNPSEDDMVRCFESLRKSLSKTKNPPIDEVIHCGLLQALVQALSVENERVQYEAAWALTNIVSGSTEQTIAAVEAGVTIPLIHLSVHQSAQISEQALWAVANIAGDSSQLRDYVIKCHGVEALMHLMEKVDQLGDSHVRTIAWAFSNMCRHKNPHAPLEVLRVLSKGLVKLVQHTDRQVRQDACWAVSYLTDGPDEQIELARESGVLPHVVAFFKEAENLVAPALRTLGNVATGNDSLTQAVIDLGSLDEILPLMEKTRSSSIVKECCWLVSNIIAGTQKQIQAVLDANLLPVLINVLKSGDHKCQFEASWALSNLAQGGTNRQVVAMLEDNVVPALCQALLQTNTDMLNNTLETLYTLMLTVQNGYPHKVDILHDQVEENGGLDSLERLQESQSEQIYTQAYRIITQFFTDDDAGEKESHENADPQDNKWSF", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTFSMRDLDQALQGAGQKSGIEIWRIENFKPVTVPQESHGKFFTGDSYIVLKTTASRSGSLHHDIHYWLGKDSSQDEAGAVAVMTVELDSALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFNHVKPEEHQTRLYICKGKHVVRVKEVPFVRSTLNHEDVFILDTESKIFQFSGSKSSIQERAKALEVVQYIKDTYHDGKCDIAAVEDGRMMADAEAGEFWGLFGGFAPLPKKPAVNDDETAASDGIKLFSVEKGQTDAVEAECLTKELLDTNKCYILDCGLELFVWKGRSTSIDQRKSATEAAEEFFRSSEPPKSNLVSVMEGYETVMFRSKFDSWPASSTIAEPQQGRGKVAALLQRQGVNVQGLVKTSSSSSKDEPKPYIDGTGNLQVWRINCEEKILLEAAEQSKFYSGDCYILQYSYPGEDREEHLVGTWFGKQSVEEDRASAISLANKMVESMKFVPAQARINEGKEPIQFFVIMQSFITFKGGVSDAFKKYIAENDIPDTTYEAEGVALFRVQGSGPENMQAIQIEAASAGLNSSHCYILHGDSTVFTWCGNLTSSEDQELMERMLDLIKPNEPTKAQKEGSESEQFWELLGGKSEYPSQKIKRDGESDPHLFSCTYTNESLKATEIFNFTQDDLMTEDIFILDCHTEVFVWVGQQVDPKKKPQALDIGENFLKHDFLLENLASETPIYIVTEGNEPPFFTRFFTWDSSKSGMHGDSFQRKLAILTNKGKPLLDKPKRRVPAYSSRSTVPDKSQPRSRSMTFSPDRARVRGRSPAFNALAANFEKLNIRNQSTPPPMVSPMVRKLYPKSHAPDLSKIAPKSAIAARTALFEKPTPTSQEPPTSPSSSEATNQAEAPKSTSETNEEEAMSSINEDSKEEEAEEESSLPTFPYERLKTDSEDPVSDVDLTRREAYLTSVEFKEKFEMTKNEFYKLPKWKQNKLKMSVNLF", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAAAAAKIAPSMLSSDFANLAAEADRMVRLGADWLHMDIMDGHFVPNLTIGAPVIQSLRKHTKAYLDCHLMVTNPSDYVEPLAKAGASGFTFHIEVSRDNWQELIQSIKAKGMRPGVSLRPGTPVEEVFPLVEAENPVELVLVMTVEPGFGGQKFMPEMMEKVRALRKKYPSLDIEVDGGLGPSTIDVAASAGANCIVAGSSIFGAAEPGEVISALRKSVEGSQNKS", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MQSLSSSAPTPEAILEWLQKEMGYRQLGPYNGSSKSHVPSIDAIRKICRGNMIPIWNFLINRVKSEKTVERIRRNITVHGGSSNASIGSSVNPGKEESKSKGRRKDKTVTGESSSYAEDREAALQERELAAKEVERLRNIVRRQRKDLKARMLEVSREEAERKRMLDERANYRHKQALLEAYDQQCDEATRIFAEYHKRLQVYVNQANDAQRSVNSSNEVLSSLSANSEREAVYSTVKGTKSADDVILMETTRERNIRIVCDLLASRMIERIRNSFPAYEGNGICSLPELETAKLGFEYDGEITDEMKTVIVNSLRGPPLLLQAIAAYTLRIKTLISREMEKIDVRADAEMLRYKFENNRVTDNSSSDVSSPLSYQFNGNGKIGTDTHFQGSNNQLLERQKAHVQQFLATEDALNKAAEARDLCHKFINRLHGSADTATHSFVGGTTQSGSNLRQFELDVWGKEREAAGLRASLNTLLSEIQRLNKLCAERKEAEDSLKKKWKKIEEFDARRSELETIYTTLLKANMDAVAFWNQQPLAAREYASATVIPASEVVVDISNSAKDFIEKEVSAFFQSPDNSLYMLPATPQGLLESMGANGSTGPEAVAYAEKNAALLTARAGARDPSAIPSICRISAALQYPAGLEGSDASLASVLESLEFCLRVRGSEACVLEDLAKAIDLVHIRQDLVESGHSLLDHAFRAQQKYERTTNYCLDLASEQENTISDQWLPELRTAVQNAQASSEHCKYVRGLLDEWWEQPASTVVDWVTVDGQSVAAWQNHVKQLLAFYDKESLRT", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGHSRRPAGGEKKSRFGRSKAAADVGDGRQAGGKPQVRKAVFESTKKKEIGVSDLTLLSKISNEAINDNLKLRFQHDEIYTYIGHVLVSVNPFRDLGIYTDSVLNSYRGKNRLEVPPHVFAVAESAYYNMKSYKDNQCVIISGESGAGKTEAAKRIMQYIASVSGGSDSSIQQTKDMVLATNPLLESFGNAKTLRNNNSSRFGKYLELEFNAQGEPVGANITNYLLEKSRVVGQITNERNFHIFYQFAKGAPQKYRDSFGVQQPQSYLYTSRSKCFDVPGVDDVAEFQDTLNAMSVIGMSEAEQDNVFRMLAAILWMGNIQFAEDDSGNAAITDQSVVDFVAYLLEVDAGQVNQALTIRMMETSRGGRRGSVYEVPLNTTQALAVRDALAKAIYFNLFDWIVGRVNQSLTAKGAVANSIGILDIYGFEIFEKNSFEQLCINYVNEKLQQIFIQLTLKAEQDEYEREQITWTPIKYFDNKVVCSLIEDKRPPGVFAALNDACATAHADSGAADNTFVGRLNFLGQNPNFENRQGQFIIKHYAGDVSYAVQGMTDKNKDQLLKDLLNLVQSSSNHFVHTLFPEQVNQDDKRRPPTASDKIKASANDLVATLMKAQPSYIRTIKPNDNKAPKEFNESNVLHQIKYLGLQENVRIRRAGFAYRQTFDKFVERFYLLSPKTSYAGDYTWTGDVETGARQILKDTRIPAEEYQMGITKVFIKTPETLFALEAMRDRYWHNMAIRIQRAWRNYLRYRTECAIRIQRFWRRMNGGLELLKLRDQGHTILGGRKERRRMSILGSRRFLGDYVGISNKGGPGEMIRSGAAISTSDDVLFSCRGEVLVSKFGRSSKPSPRIFVLTNRHVYIVSQNFVNNQLVISSERTIPIGAIKTVSASSYRDDWFSLVVGGQEPDPLCNCVFKTEFFTHLHNALRGQLNLKIGPEIEYNKKPGKLATVKVVKDGSQVDSYKSGTIHTGPGEPPNSVSKPTPRGKQVAARPVTKGKLLRPGGPGGGPSKLASRPVPERRPIPQPTPQTAAAQPTPASRPVPQPVAAVAASHSRTSSTASARAPPPPPPAPPAAAGPKKAKALYDFSSDNNGMLSISAGQIVEIVSKEGNGWWLCMNLETSAQGWTPEAYLEEQVAPTPKPAPPPPPPVAPRASPAPVNGSAAVAAAKAKAAPPPPAKRPNMAGRKTAPAPPPAPRDSAVSMNSQGDSSGASGRGTPSSVSNASLAGGLAEALRARQSAMQGKQDDDDDW", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPDSKYTMQGYNLVKLLKRLEEATARLEDVTIYQEGYIQNKLEASKNNKPSDSGADANTTNEPSAENAPEVEQDPKCITAFQSYIGENIDPLVELSGKIDTVVLDALQLLKGGFQSQLTFLRAAVRSRKPDYSSQTFADSLRPINENIIKLGQLKESNRQSKYFAYLSALSEGAPLFSWVAVDTPVSMVTDFKDAAQFWTNRILKEYRESDPNAVEWVKKFLASFDNLKAYIKEYHTTGVSWKKDGMDFADAMAQSTKNTGATSSPSPASATAAPAPPPPPPAPPASVFEISNDTPATSSDANKGGIGAVFAELNQGENITKGLKKVDKSQQTHKNPELRQSSTVSSTGSKSGPPPRPKKPSTLKTKRPPRKELVGNKWFIENYENETESLVIDANKDESIFIGKCSQVLVQIKGKVNAISLSETESCSVVLDSSISGMDVIKSNKFGIQVNHSLPQISIDKSDGGNIYLSKESLNTEIYTSCSTAINVNLPIGEDDDYVEFPIPEQMKHSFADGKFKSAVFEHAG", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAAGAAEAAVAAVEEVGSAGQFEELLRLKAKSLLVVHFWAPWAPQCAQMNEVMAELAKELPQVSFVKLEAEGVPEVSEKYEISSVPTFLFFKNSQKIDRLDGAHAPELTKKVQRHASSGSFLPSANEHLKEDLNLRLKKLTHAAPCMLFMKGTPQEPRCGFSKQMVEILHKHNIQFSSFDIFSDEEVRQGLKAYSSWPTYPQLYVSGELIGGLDIIKELEASEELDTICPKAPKLEERLKVLTNKASVMLFMKGNKQEAKCGFSKQILEILNSTGVEYETFDILEDEEVRQGLKAYSNWPTYPQLYVKGELVGGLDIVKELKENGELLPILRGEN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "AHAWGYGPTDGPDKWVSNFPIADGPRQSPIDILPGGASYDSGLKPLSLKYDPSNCLEILNNGHSFQVTFADDSDSSTLKEGPISGVYRLKQFHFHWGASNDKGSEHTVAGTKYPAELHLVHWNTKYPSFGEAASKPDGLAVVGVFLKIGDANASLQKVLDAFNDIRAKGKQTSFADFDPSTLLPGCLDYWTYDGSLTTPPLLESVTWIVCKEPISVSCEQMAKFRSLLFSAEGEPECCMVDNYRPPQPLKGRHVRASFQ", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSLYEHAALPLASSPSILGPISGGRNRGNIQLQSIPIEFQAVVFAGFGNSLYPLTGSDALPKALLPIGNKPMLHYPLYWLEAAGFTSAILICMEEAEAHINAWLRSGYEGHMRIHVEAPTILDDSKSSADALRAVSHLIKNDFVCLSCDSIVGLPPIYGLDKFRLDNPSALAVYSPVLKYEHITSQSKEIDAKQLIGIEEKTSRLLYAKSSADVGSDFTFRMSLLWKHPRVTLNTNLSDAHIFVFKHWVIDLIREKESISSIRGDLIPYLVKCQYQKSFTVRENIQRFLSSPNNIDNYDGGLSSQEIKINALIAKDGIICSRANNLPNYFELNKCIAKLTPEQRLVDVTVSERALVGADCMVNEGTTIKDNSNIKKSIIGKNCVIGKGVVVSNSILMDNIVVEDGVRLESCIVASGAQIGAKSKLRECEIGVDHRVEAGRIARGERLVDMEKIETDMD", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSGGAAEKQSSTPGSLFLSPPAPAPKNGSSSDSSVGEKLGAAAADAVTGRTEEYRRRRHTMDKDSRGAAATTTTTEHRFFRRSVICDSNATALELPGLPLSLPQPSIPAAVPQSAPPEPHREETVTATATSQVAQQPPAAAAPGEQAVAGPAPSTVPSSTSKDRPVSQPSLVGSKEEPPPARSGSGGGSAKEPQEERSQQQDDIEELETKAVGMSNDGRFLKFDIEIGRGSFKTVYKGLDTETTVEVAWCELQDRKLTKSERQRFKEEAEMLKGLQHPNIVRFYDSWESTVKGKKCIVLVTELMTSGTLKTYLKRFKVMKIKVLRSWCRQILKGLQFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLKRASFAKSVIGTPEFMAPEMYEEKYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRRVTSGVKPASFDKVAIPEVKEIIEGCIRQNKDERYSIKDLLNHAFFQEETGVRVELAEEDDGEKIAIKLWLRIEDIKKLKGKYKDNEAIEFSFDLERDVPEDVAQEMVESGYVCEGDHKTMAKAIKDRVSLIKRKREQRQLVREEQEKKKQEESSLKQQVEQSSASQTGIKQLPSASTGIPTASTTSASVSTQVEPEEPEADQHQQLQYQQPSISVLSDGTVDSGQGSSVFTESRVSSQQTVSYGSQHEQAHSTGTVPGHIPSTVQAQSQPHGVYPPSSVAQGQSQGQPSSSSLTGVSSSQPIQHPQQQQGIQQTAPPQQTVQYSLSQTSTSSEATTAQPVSQPQAPQVLPQVSAGKQLPVSQPVPTIQGEPQIPVATQPSVVPVHSGAHFLPVGQPLPTPLLPQYPVSQIPISTPHVSTAQTGFSSLPITMAAGITQPLLTLASSATTAAIPGVSTVVPSQLPTLLQPVTQLPSQVHPQLLQPAVQSMGIPANLGQAAEVPLSSGDVLYQGFPPRLPPQYPGDSNIAPSSNVASVCIHSTVLSPPMPTEVLATPGYFPTVVQPYVESNLLVPMGGVGGQVQVSQPGGSLAQAPTTSSQQAVLESTQGVSQVAPAEPVAVAQTQATQPTTLASSVDSAHSDVASGMSDGNENVPSSSGRHEGRTTKRHYRKSVRSRSRHEKTSRPKLRILNVSNKGDRVVECQLETHNRKMVTFKFDLDGDNPEEIATIMVNNDFILAIERESFVDQVREIIEKADEMLSEDVSVEPEGDQGLESLQGKDDYGFSGSQKLEGEFKQPIPASSMPQQIGIPTSSLTQVVHSAGRRFIVSPVPESRLRESKVFPSEITDTVAASTAQSPGMNLSHSASSLSLQQAFSELRRAQMTEGPNTAPPNFSHTGPTFPVVPPFLSSIAGVPTTAAATAPVPATSSPPNDISTSVIQSEVTVPTEEGIAGVATSTGVVTSGGLPIPPVSESPVLSSVVSSITIPAVVSISTTSPSLQVPTSTSEIVVSSTALYPSVTVSATSASAGGSTATPGPKPPAVVSQQAAGSTTVGATLTSVSTTTSFPSTASQLCIQLSSSTSTPTLAETVVVSAHSLDKTSHSSTTGLAFSLSAPSSSSSPGAGVSSYISQPGGLHPLVIPSVIASTPILPQAAGPTSTPLLPQVPSIPPLVQPVANVPAVQQTLIHSQPQPALLPNQPHTHCPEVDSDTQPKAPGIDDIKTLEEKLRSLFSEHSSSGAQHASVSLETSLVIESTVTPGIPTTAVAPSKLLTSTTSTCLPPTNLPLGTVALPVTPVVTPGQVSTPVSTTTSGVKPGTAPSKPPLTKAPVLPVGTELPAGTLPSEQLPPFPGPSLTQSQQPLEDLDAQLRRTLSPEMITVTSAVGPVSMAAPTAITEAGTQPQKGVSQVKEGPVLATSSGAGVFKMGRFQVSVAADGAQKEGKNKSEDAKSVHFESSTSESSVLSSSSPESTLVKPEPNGITIPGISSDVPESAHKTTASEAKSDTGQPTKVGRFQVTTTANKVGRFSVSKTEDKITDTKKEGPVASPPFMDLEQAVLPAVIPKKEKPELSEPSHLNGPSSDPEAAFLSRDVDDGSGSPHSPHQLSSKSLPSQNLSQSLSNSFNSSYMSSDNESDIEDEDLKLELRRLRDKHLKEIQDLQSRQKHEIESLYTKLGKVPPAVIIPPAAPLSGRRRRPTKSKGSKSSRSSSLGNKSPQLSGNLSGQSAASVLHPQQTLHPPGNIPESGQNQLLQPLKPSPSSDNLYSAFTSDGAISVPSLSAPGQGTSSTNTVGATVNSQAAQAQPPAMTSSRKGTFTDDLHKLVDNWARDAMNLSGRRGSKGHMNYEGPGMARKFSAPGQLCISMTSNLGGSAPISAASATSLGHFTKSMCPPQQYGFPATPFGAQWSGTGGPAPQPLGQFQPVGTASLQNFNISNLQKSISNPPGSNLRTT", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKKSYSGVTRTSSGRLRRLADPTGPALKRSFEVEEIEPPNSTPPRRVQTPLLRATVASSSQKFQDLGVKNSEPAARLVDSLSQRSPKPSLRRVELAGAKAPEPMSRRTEISIDISSKQVESTASAAGPSRFGLKRAEVLGHKTPEPVPRRTEITIVKPQESVLRRVETPASKIPEGSAVPATDAAPKRVEIQVPKPAEAPNCPLPSQTLENSEAPMSQLQSRLEPRPSVAEVPYRNQEDSEVTPSCVGDMADNPRDAMLKQAPASRNEKAPMEFGYVGIDSILEQMRRKAMKQGFEFNIMVVGQSGLGKSTLINTLFKSKISRKSVQPTSEERIPKTIEIKSITHDIEEKGVRMKLTVIDTPGFGDHINNENCWQPIMKFINDQYEKYLQEEVNINRKKRIPDTRVHCCLYFIPATGHSLRPLDIEFMKRLSKVVNIVPVIAKADTLTLEERVYFKQRITADLLSNGIDVYPQKEFDEDAEDRLVNEKFREMIPFAVVGSDHEYQVNGKRILGRKTKWGTIEVENTTHCEFAYLRDLLIRTHMQNIKDITSNIHFEAYRVKRLNEGNSAMANGIEKEPEAQEM", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MENYQKVEKIGEGTYGVVYKARHKLSGRIVAMKKIRLEDESEGVPSTAIREISLLKEVNDENNRSNCVRLLDILHAESKLYLVFEFLDMDLKKYMDRISETGATSLDPRLVQKFTYQLVNGVNFCHSRRIIHRDLKPQNLLIDKEGNLKLADFGLARSFGVPLRNYTHEIVTLWYRAPEVLLGSRHYSTGVDIWSVGCIFAEMIRRSPLFPGDSEIDEIFKIFQVLGTPNEEVWPGVTLLQDYKSTFPRWKRMDLHKVVPNGEEDAIELLSAMLVYDPAHRISAKRALQQNYLRDFH", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MHQDAMQGSIYEGSRRNTISKPSNNNPPLDMSSLNNDFGQQLDSLATGVGSGSLNGTTNPSSNFNDSNRSNISSSLRSEMEMSNNLLKNTQNDTWTSTVGLSVPENQEAMNFNEGPTGISSIASKNNSSITSKLQNNSNLSVTSSANRGRTSSVSSSYDPSFPWGPRMSSVSSGKQHLSSLSLHTHFNPSSSSTVSSDSLESSQQKAPSSSSTATPASAASEIISNKDPVVEPTHSASNAANSGSNTIRARQTTRTRSNTLPWSPRVFGPTLGYNTPPFGYPPTTSSALPNASGSSSSFFGLPTAVSASAGTSFSDISPAAPKASLENNIASNASSLLNPVGLDHFSAASGWSRDFNHLPASSLATARSSLTGNAKSGIDSSVTGMPSDNYARVVESSTAEFFDPSLASSFGLTNYRTKPLTTGFNHPRPQGHGLNTSLFNTSSGGSLKSPTFEVSNRLGDVDTVPDLPPLGSLSSRPKPSSSSRRRSQSLSAMLKTSNPYMPSPSLLSGSLANSSEHSSSPRLRGSPIHNQPVSSSKSTASLNTNNNGLRASTPEMANISTRSSSESNNTNSWPTVGDATIENLTQHEPTHALWVGNLPSGVSATTVATTFSAYGTVSSIRMLSHKHSAFLNFDSVETAKHVLEELNGKRIFFGSDPVCISFAKVASSSSESSHSAVDGLNKAFSNVSFVPSLREVYDDLINVVQSFGFKDLSKIYQILNAACELTDFAAQIPSISKAFSSRRLNAPKLRQVRKRIDNGLCTQEEVEDIAINWLDEVSDLSSDHLGNTVVQKLFDYCSDPVKEMMLERIAPHLAQIGIHKNGTWAAQKIVDVASTEAQMRLIAKHLQPYIPLLFADQFGNYVVQTCLKFGAPMNDFVFEAILNQFWVIAQSRYGSRAVRACLESPDVTEEQRVLVAAAITVYSVHLAMNGNGTLLLTYLVENMNYPHIPILLTRRFVQDIVRVCTHRLAYNSLLKIISISQGDTACGDLVVDAILDTQNDLNPNSLEKILFEQTYGPSFICKLLTHENISASHRQQLQSAVRNVLGTMEDRGSSELKKLAEVCA", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKLTSEKLPKNPFYASVSQYAAKNQKFFQWKKEKTDYTHANLVDKALQLLKERILKGDTLAYFLRGQLYFEEGWYEEALEQFEEIKEKDHQATYQLGVMYYDGLGTTLDAEKGVDYMKKILDSPCPKARHLKFAAAYNLGRAYYEGKGVKRSNEEAERLWLIAADNGNPKASVKAQSMLGLYYSTKEPKELEKAFYWHSEACGNGNLESQGALGLMYLYGQGIRQDTEAALQCLREAAERGNVYAQGNLVEYYYKMKFFTKCVAFSKRIADYDEVHDIPMIAQVTDCLPEFIGRGMAMASFYHARCLQLGLGITRDETTAKHYYSKACRLNPALADELHSLLIRQRI", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MATSELSCEVSEENCERREAFWAEWKDLTLSTRPEEGCSLHEEDTQRHETYHQQGQCQVLVQRSPWLMMRMGILGRGLQEYQLPYQRVLPLPIFTPAKMGATKEEREDTPIQLQELLALETALGGQCVDRQEVAEITKQLPPVVPVSKPGALRRSLSRSMSQEAQRG", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MQCLLREKPRSLALVNKDHALMFHSVPQNKNSLSVCVAEFTALSEKPLEGFRKISSHRIYGTLGLIELEGSNFLCVISGASEVARVRDKERVFRIMEVCFYSVNRSNWDHIRQENYSPDIPDGYDTDTQGYDSYKYAAEPFSSLRKLLTNGSFYFSLDFDITTRLQLRTSQTMTEPQYDSMHTQFMWNEFMLRQLIKFRSHLNGDEKSALDGCRFFTCAIRGFASTEQFKLGIQTIRLSLISRLSSLRAGTRFLSRGVDDDGNVANFVETETILDSSKYCVSYCQVRGSIPIFWEQEGVQMFGQKIDITRSLEATRAAFEKHFTSLIEEYGPVHIINLLGTGSGERSLSERLRQHIQLSPEKDLIHLTEFDYHSQIRSFEDANKIRPMIYSDAETFGFYFENNEGQSIVVQDGVFRTNCLDCLDRTNVIQNLVSRVFLEQVMIYTRQNAGYDFWQVHSTIWANNGDALARIYTGTGALKSSFTRKGKLSIAGALNDLSKSVGRMYINNFQDKGRQETIDLLLGRLIDQHPVILYDPIHEYVNHELRKRENEFSEHKNVKIFVASYNLNGCSATTKLENWLFPENTPLADIYVVGFQEIVQLTPQQVISADPAKRREWESCVKRLLNGKCTSGPGYVQLRSGQLVGTALMIFCKESCLPSIKNVEGTVKKTGLGGVSGNKGAVAIRFDYEDTGLCFITSHLAAGYTNYDERDHDYRTIASGLRFRRGRSIFNHDYVVWFGDFNYRISLTYEEVVPCIAQGKLSYLFEYDQLNKQMLTGKVFPFFSELPITFPPTYKFDIGTDIYDTSDKHRVPAWTDRILYRGELVPHSYQSVPLYYSDHRPIYATYEANIVKVDREKKKILFEELYNQRKQEVRDASQTSYTLIDIAGSVAGKPNLIPHLPANGVDKIKQPSSERSKWWFDDGLPAKSIAAPPGPEYRLNPSRPINPFEPTAEPDWISNTKQSFDKKSSLIDSIPALSPAPSSLARSSVSSQRSSTSIIPIKPNKPTKPDHLVAPRVKPLLPPRSGSSSSGVPAPNLTPVNVPPTPPPRKSSASQRSGDLLASSPEESSISWKPLV", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSVVASGRVAANLQRLGIKLPAAAAPATNYVSYVCSGMQLHVSGQLPKNDVGGCMTGQLGASLTVTEGQAAARACALQVVSQMQAALGDLDRVKRVVKLNVFVNSSPSFTEQSYVANGASDLILSVFGEEVGRHARCAVGVAQLPFGAAVEVDALVELNN", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPSVSESDELIFFVNGKKVIEKNPDPEKNLLFYTRKVLNLTGTKYSCGTGGCGACTVMVSRYNPKTRKIHHYPATACLVPICWLHGAAITTVEGVGSIKKRVHPVQERLAKCHGTQCGFCSPGMVMSIYTLLRNHPEPTPDQITEALGGNLCRCTGYRPIVESGKTFSQKSTVCQMKGSGKCCMDPDEKCLESREKKMCTKLYNEDEFQPFDPSQEPIFPPELIRMAEDPNKRRLTFQGKRTTWIIPVTLNDLLELKASYPEAPLVMGNTTVGPGIKFNDEFYPVFISPLGVPELNLMDTTNNGVTIGAGYSLAQLKDTLDFLVSEQPKEKTKTFHALQKHLRTLAGPQIRNMATLGGHTASRPNFSDLNPILAAGNATINVVSREGKDRQLPLNGPFLEKLPEADLKPEEVILSIFIPYTAQWQFVSGLRLAQRQENAFAIVNAGMSVEFEEGTNTIKDLKMFFGSVAPTVVSASQTCKQLIGRQWDDQMLSDACQLVLQEIRIPPDAEGGMVEYRRTLIISLLFKFYLKVQRWLNEMDPQKFPDIPGKFVSALDDFPIETPQGIQMFQCVDPKQPQKDPVGHPIMHQSGIKHATGEAIFIDDMPPIDQELCLAVVTSTRAHAKITSLDVSEALACPGVVDVITAEDVPGENDHNGEILYAQSEVICVGQIICTVAADTYIHAKEAAKRVKIAYDDIEPTIITIEEALEHNSFLSPEKKIEQGNVDYAFKHVDQIVEGEIHVEGQEHFYMETQTILAIPQTEDKEMVLHLGTQFPTHVQEFVSAALNVPRSRIACHMKRAGGAFGGKVTKPALLGAVCAVAANKTGRPIRFILERSDDMLITAGRHPLLGKYKIGFMNNGEIRAADVEYYTNGGCTPDESELVIEFVVLKSENTYHIPNFRCRGRACKTNLPSNTAFRGFGFPQATVVVEAYIAAVASKCNLLPEEVREINMYKKTSKTAYKQTFNPEPLRRCWKECLEKSSFFARKKAAEEFNGNNYWKKRGLAVVPMKFSVAVPIAFYNQAAALVHIFLDGSVLLTHGGCELGQGLHTKMIQVASRELNVPKSYVHFSETSTTTVPNSAFTAGSMGADINGKAVQNACQILMDRLRPIIRKNPKGKWEEWIKMAFEESISLSATGYFKGYQTNMDWKKEEGDPYPYYVYGAACSEVEVDCLTGAHKLLRTDIFVDAAFSINPALDIGQVEGAFIQGMGFYTTEELKYSPKGVLYSRGPEDYKIPTITEIPEEFYVTLVHSRNPIAIYSSKGLGEAGMFLGSSVLFAIYDAVTTARKERGLSDIFPLNSPATPEVIRMACTDQFTEMIPRDDPSTFTPWSIHVS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MENGYTYEDYKNTAEWLLSHTKHRPQVAIICGSGLGGLTDKLTQAQIFDYGEIPNFPRSTVPGHAGRLVFGFLNGRACVMMQGRFHMYEGYPLWKVTFPVRVFHLLGVDTLVVTNAAGGLNPKFEVGDIMLIRDHINLPGFSGQNPLRGPNDERFGDRFPAMSDAYDRTMRQRALSTWKQMGEQRELQEGTYVMVAGPSFETVAECRVLQKLGADAVGMSTVPEVIVARHCGLRVFGFSLITNKVIMDYESLEKANHEEVLAAGKQAAQKLEQFVSILMASIPLPDKAS", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAPTLFQKLFSKRTGLGAPGRDARDPDCGFSWPLPEFDPSQIRLIVYQDCERRGRNVLFDSSVKRRNEDISVSKLGSDAQVKVFGKCCQLKPGGDSSSSLDSSVTSSSDIKDQCLKYQGSRCSSDANMLGEMMFGSVAMSYKGSTLKIHQIRSPPQLMLSKVFTARTGSSICGSLNTLQDSLEFINQDNNTLKADNNTVINGLLGNIGLSQFCSPRRAFSEQGPLRLIRSASFFAVHSNPMDMPGRELNEDRDSGIARSASLSSLLITPFPSPNSSLTRSCASSYQRRWRRSQTTSLENGVFPRWSIEESFNLSDESCGPNPGIVRKKKIAIGVIFSLSKDEDENNKFNEFFFSHFPLFESHMNKLKSAIEQAMKMSRRSADASQRSLAYNRIVDALNEFRTTICNLYTMPRIGEPVWLTMMSGTPEKNHLCYRFMKEFTFLMENASKNQFLPALITAVLTNHLAWVPTVMPNGQPPIKIFLEKHSSQSVDMLAKTHPYNPLWAQLGDLYGAIGSPVRLARTVVVGKRQDMVQRLLYFLTYFIRCSELQETHLLENGEDEAIVMPGTVITTTLEKGEIEESEYVLVTMHRNKSSLLFKESEEIRTPNCNCKYCSHPLLGQNVENISQQEREDIQNSSKELLGISDECQMISPSDCQEENAVDVKQYRDKLRTCFDAKLETVVCTGSVPVDKCALSESGLESTEETWQSEKLLDSDSHTGKAMRSTGMVVEKKPPDKIVPASFSCEAAQTKVTFLIGDSMSPDSDTELRSQAVVDQITRHHTKPLKEERGAIDQHQETKQTTKDQSGESDTQNMVSEEPCELPCWNHSDPESMSLFDEYFNDDSIETRTIDDVPFKTSTDSKDHCCMLEFSKILCTKNNKQNNEFCKCIETVPQDSCKTCFPQQDQRDTLSILVPHGDKESSDKKIAVGTEWDIPRNESSDSALGDSESEDTGHDMTRQVSSYYGGEQEDWAEEDEIPFPGSKLIEVSAVQPNIANFGRSLLGGYCSSYVPDFVLQGIGSDERFRQCLMSDLSHAVQHPVLDEPIAEAVCIIADMDKWTVQVASSQRRVTDNKLGKEVLVSSLVSNLLHSTLQLYKHNLSPNFCVMHLEDRLQELYFKSKMLSEYLRGQMRVHVKELGVVLGIESSDLPLLAAVASTHSPYVAQILL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MISSFSNGFWSKDYATGVKKLFDCLDNGVEENEQVKNLLKLYKEANEEFGEKLQEITKECLKGKKPENTEDGATSNKAFEGLRSEIANQGKQHIRIAKDLETLIIAPFSKMSIDHSQKLQTSQQVLTNQIKSYEKKYYTLKKTKSAYYNKCRNLEDYEEESKESNETTSEAITDLTTVSSPQQQSLLENDDDLIQLGFMEFRPEELKEVLAQVLQEIPLQDYRVPILGTYPNTCSGNIIVSWLQENLPVPTLVAAEAFGQDLIAQGFLRHMGVGGSFVNSTNFHYQWKDKAFQFAGLNSVDSLVENAKALPLVGEYLSDYISHRKLYSSETQSQRLKREVLDANKIYSESVVDLDKCRTLVEETIADHLQFLQKCETDRVLYYKDFFMDLSTIISNFLPSMKLLADQIVVYQEIIQPESDIRYILESAATGPFLPRVEIYEDYYNDIKDQIFGVDVEFLSHRDKKRVPIIVSTILSYLDLLYPTLASDEVRQNIWLVNSPLSSVHQLREALNHSSSVTKEVLSQYTPSVVIGVLKLYFLELPDSIVPSSAFELIRSIYMNHSNDTPYRLRLLQNLLSQLRRVNLATLSAIITHLNRLITLTPNKETFTINLANSLSLCISRPATWNLGIQHDKHPTKFMEDLLTYGPSIFEELRKLNSSKRVSDRVLYQSSATPRSTDVSPTRPDSISSVRSHTAVESPRSSFEELQPSEIPAESEFTLENVPTSLIRSSYALNTRKTRRNFSHSSASNESAAIFIDQDAKIVNEAVASRDSSLSGS", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDQSRVLLWVKAEPFIVGALQVPPPSKFSLHYLRKISTYVQIRATEGAYPRLYWSTWRHIACGKLQLAKDLAWLYFEIFDSLSMKTPEERLEWSEVLSNCMSEEEVEKQRNQLSVDTLQFLLFLYIQQLNKVSLRTSLIGEEWPSPRNKSQSPDLTEKSNCHNKNWNDYSHQAFVYDHLSDLLELLLDPKQLTASFHSTHSSLVSREAVVALSFLIEGTISRARKIYPLHELALWQPLHADSGFSKISKTFSFYKLETWLRSCLTGNPFGTSACLKSGKKLAWAHQVEGTTKRAKIACNTHVAPRMHRLVVMSQVYKQTLAKSSDTLAGAHVKIHRCNESFIYLLSPLRSVTIEKCRNSIFVLGPVGTTLHLHSCDNVKVIAVCHRLSISSTTGCIFHVLTPTRPLILSGNQTVTFAPFHTHYPMLEDHMARTGLATVPNYWDNPMVVCRENSDTRVFQLLPPCEFYVFIIPFEMEGDTTEIPGGLPSVYQKALGQREQKIQIWQKTVKEAHLTKDQRKQFQVLVENKFYEWLINTGHRQQLDSLVPPAAGSKQAAG", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAKAAASSSLEDLDLSGEEVQRLTSAFQDPEFRRMFSQYAEELTDPENRRRYEAEITALERERGVEVRFVHPEPGHVLRTSLDGARRCFVNVCSNALVGAPSSRPGSGGDRGAAPGSHWSLPYSLAPGREYAGRSSSRYMVYDVVFHPDALALARRHEGFRQMLDATALEAVEKQFGVKLDRRNAKTLKAKYKGTPEAAVLRTPLPGVIPARPDGEPKGPLPDFPYPYQYPAAPGPRAPSPPEAALQPAPTEPRYSVVQRHHVDLQDYRCSRDSAPSPVPHELVITIELPLLRSAEQAALEVTRKLLCLDSRKPDYRLRLSLPYPVDDGRGKAQFNKARRQLVVTLPVVLPAARREPAVAVAAAAPEESADRSGTDGQACASAREGEAGPARSRAEDGGHDTCVAGAAGSGVTTLGDPEVAPPPAAAGEERVPKPGEQDLSRHAGSPPGSVEEPSPGGENSPGGGGSPCLSSRSLAWGSSAGRESARGDSSVETREESEGTGGQRSACAMGGPGTKSGEPLCPPLLCNQDKETLTLLIQVPRIQPQSLQGDLNPLWYKLRFSAQDLVYSFFLQFAPENKLSTTEPVISISSNNAVIELAKSPESHGHWREWYYGVNNDSLEERLFVNEENVNEFLEEVLSSPFKQSMSLTPPLIEVLQVTDNKIQINAKLQECSNSDQLQGKEERVNEESHLTEKEYIEHCNTPTTDSDSSIAVKALQIDSFGLVTCFQQESLDVSQMILGKSQQPESKMQSEFIKEKSATCSNEEKDNLNESVITEEKETDGDHLSSLLNKTTVHNIPGFDSIKETNMQDGSVQVIKDHVTNCAFSFQNSLLYDLD", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSTGEAIPRVAVVVFILNGNSILLGRRRSSIGNSTFALPGGHLEFGESFEECAAREVMEETGLKIEKMKLLTVTNNVFKEAPTPSHYVSVSIRAVLVDPSQEPKNMEPEKCEGWDWYDWENLPKPLFWPLEKLFGSGFNPFTHGGGD", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDLLGDIVEKDTSDSVESNDNGTLSTNNCGTGFPELYKPKKISSWKERLREKRAQKKKTSGKDAEKQQTSTDAPLSEAKSIHNENIKVLQGMSDEQIVQEREDLYNSLDPKLIAKLLKNINKRAKDENNTPLFAEIEGASGTWVGGNKQGIYDLPPLDDEDVDVALEIRPMLGKDAKHVQFEEAGKEKDVEEEAKTNDDVDDIAPLDFQMAQCIDHMKNEELFKDVHFIKEESQNEINLEKLDINDPNFNDKLHEKYFPDLPKEVDKLKWMQPVQQKTDKNYIIEDVSECRFDFNGDLVPPTRQIDSTIHSGLHHHSDSPELAGYTIVELEHLARSTFPSQRCIAIQTLGRILYKLGQKSYYQLVPEIDADTYKEDGSISNVMDKIYSMFWDLIKDGKVIESLEISSDEKFTRNLSVRNYAIDALWLWKQGGGDFRTKK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MQENLRFASSGDDIKIWDASSMTLVDKFNPHTSPHGISSICWSSNNNFLVTASSSGDKIVVSSCKCKPVPLLELAEGQKQTCVNLNSTSMYLVSGGLNNTVNIWDLKSKRVHRSLKDHKDQVTCVTYNWNDCYIASGSLSGEIILHSVTTNLSSTPFGHGSNQSVRHLKYSLFKKSLLGSVSDNGIVTLWDVNSQSPYHNFDSVHKAPASGICFSPVNELLFVTIGLDKRIILYDTSSKKLVKTLVADTPLTAVDFMPDGATLAIGSSRGKIYQYDLRMLKSPVKTISAHKTSVQCIAFQYSTVLTKSSLNKGCSNKPTTVNKRSVNVNAASGGVQNSGIVREAPATSIATVLPQPMTSAMGKGTVAVQEKAGLPRSINTDTLSKETDSGKNQDFSSFDDTGKSSLGDMFSPIRDDAVVNKGSDESIGKGDGFDFLPQLNSVFPPRKNPVTSSTSVLHSSPLNVFMGSPGKEENENRDLTAESKKIYMGKQESKDSFKQLAKLVTSGAESGNLNTSPSSNQTRNSEKFEKPENEIEAQLICEPPINGSSTPNPKIASSVTAGVASSLSEKIADSIGNNRQNAPLTSIQIRFIQNMIQETLDDFREACHRDIVNLQVEMIKQFHMQLNEMHSLLERYSVNEGLVAEIERLREENKRLRAHF", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDFYTTDINKNVVPLFSKGTVARTASKAQYPSWCNNALKLTNILLKSLRCKFQTNRCEDDRGFEVYCVILKSIALLMAAKESLILLQIPPSLPSGFPFRSPQLSFTYLSTRLSGSQHKSTHSHHINHQTHPIHSSSSNSNSNNRIPTKTDSSKQHTQHFSFANAGASNRDELLSIVRKIDKSNLKCCDCGSTATVEWVSINLLCILCIKCSGVHRSLGSHISKIRSLTLDNFTSLELMHLLQNNVSNSNVNAIYESNLRNFPVKKITANSDDSERSKFIIDKYQFKKFVIDSNQGREASLKSLIKAIHLDSVFMMQRAIAQSKYSLRELTASEKEQNDLNHSSIFQYSLKHYEIVDGTPIFFITEFLLCNGIHIDNLPKITTNWSPKVLEYWETKLEMYGTFQAVNTSRPRSGPHLNMHSNVDSASSYNKKHDLRVNIPERSASASKRWSLSSIPKSSQNLMSPTNLLTMHKSLKLAKKDKK", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSIRVVCRFRPQNKLELAQGGDSIVSIAPENDSVTINGSESNHSFSFDYVFPSNTTQRDVYDHAAKPVIEDIMAGYNGTLFVYGQTGSGKTFSMTGINDPNGDQELRGIVPRMIETVFEFISNADENIEFIVKASYIEIYMERIRDLLDTRKDNLKVREEKGKGVWVEGTSEVYIYREEDILDVINTGISNRAIAETRMNAESSRSHSIFILTIQQKNLKVGSIKTGKLYLVDLAGSEKISKTGAQGTTLDEAKMINKSLSSLGNVINALTDGKSTHIPYRDSKLTRVLQESLGGNSRTTLIINCSPSSYNEAETISTLRFGSRAKNIKNKAKINQERSAAELKILLSKAENEIENLKGYIKELETVSGVTVSNLKSSGSGSGSGSGSSSSSSGSSGGSGSGGSSNLSNSVNSTSNLNTSSNTSSSNVNANANVITTSVSAPTSPKDTELIKVLQEKCIQLEKQLFKKEEEKKEILEQLEQQQEQIQDKDQEIEGLNSMIESSNNINSLYQNSTNENSVLNVQLSELKLALEKSRFEATEQSLTIEGLNEENQSIKSQLEILKDRIAQSGDSSIASLVPSTPKSSAEMDPLATASKHADEWNEKAEQLKLLQRTPSKAVGSSKSNTATSSPIISLNISESDNIGSGATTTTNNNNATITPATSSNNNVEQQQQQVEELLPSVNEQLLESENQKLQKRIQEIELEFETYKIAKENLTMQKDLEIEQLLESQRISSSFVVDPRNLDDELPAEMMLQAEQIRKLIAENSEQKVHFEATKNENSKLKNRIEMIEEETRQRMEEELNVLREQTNQKLSEFGSLKESLIRDLENRCQKVIDLELVLDELQDRIVTLNERLKRVNKPGGGDQEAAFVQSKLDEITAVKHQLVIENNKHKTEVERLKKLLSHRGEHILILEKTMAINQESLFKLALNHNALTIEHDRAKNELEKLNNLLSQVGVDAQNTGGARVARVIRGGGGNNQLKKFNHSSSSSTSSSSALNHSSINNNHTTPTPLSANLYSNTKRSTKELNTGVKAEPLSLSGSPFNTSIPSSPNHTSSNNINNNSNNNNNNNNNNNIGNSGNIGGVGNNNSSISNSNNNSSSNLNANLNGNTPVKITSENSSSSLWNIFKKKSPSSTPPSSTNNLSPQSPQTPSHLSADGSGNISPNLSPPIPVNFSYTPAVVTSSTINKDQQKD", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MIRIAINGFGRIGRLVLRLALQRKDIEVVAVNDPFISNDYAAYMVKYDSTHGRYKGTVSHDDKHIIIDGVKIATYQERDPANLPWGSLKIDVAVDSTGVFKELDTAQKHIDAGAKKVVITAPSSSAPMFVVGVNHTKYTPDKKIVSNASCTTNCLAPLAKVINDAFGIEEGLMTTVHSMTATQKTVDGPSHKDWRGGRTASGNIIPSSTGAAKAVGKVLPELQGKLTGMAFRVPTVDVSVVDLTVKLEKEATYDQIKKAVKAAAEGPMKGVLGYTEDAVVSSDFLGDTHASIFDASAGIQLSPKFVKLISWYDNEYGYSARVVDLIEYVAKA", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPYKINRMKNSLEINETGGTLLVDERKRLRIGELYRYKFSVNKDVIKEQGLDVSHLFLRIKNEESALLRPLYLTGPYSFYIDVRPHNYNENRKFPGKEIIPFVENLKPDERFKVKILLNENSRVGDTSLYSWTIDIISQLAVTTIPKLEFSFRIGTTRKVVKKSNGLFKSIEGVSLEMWDTETLWDLPPKFPEKPVHLVIMTHGIFSNIGCDMLYMKDKIEEMTFPMDESINPNIIVRGFMGNVGKSGHGIHCLGVRVGKYVLETVDKLNKKYKVDRISFIGHSLGGPTQSMAVRYISIKRPDFFDAVKGVKPVNFITLASPFIGVIGDFPFYLSVPLDMGALGLTGRDLNLKYTPLTSKDGLYADDEVYPEHSKYILEILPQAPAKKVFESFKRRTIYANVMDDGIVPLRTAALLYLDWRSIHKVQKIRKKNKNSPTSSEFVSSDSPESSGASSPSNENGNNVGEIPAESPNKKATLQWTLPQAVIHGSKINKYKRGQTNEANSDSDNEQGVFLDGQKFEPPKEANTVLSALSVLTAAIPDQEYIKNPAVRKDEVIHDKLYHPEELPPPHYENRPIVKKLIYPNESVNRIQERIAREWQETMTWRKVLVQIQPDSHNNIVVRRRFVNLYGYVAVEHMVEHHFGSKVCSELAEDANEPKDEPNQSSQADRSNEYNEGEISKGAENAT", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAPTTATKDDSGYGDERRRELQAFDDTKLGVKGLVDSGVKSIPSIFHHPPEALSDIISPAPLPSSPPSGAAIPVVDLSVTRREDLVEQVRHAAGTVGFFWLVNHGVAEELMGGMLRGVRQFNEGPVEAKQALYSRDLARNLRFASNFDLFKAAAADWRDTLFCEVAPNPPPREELPEPLRNVMLEYGAAVTKLARFVFELLSESLGMPSDHLYEMECMQNLNVVCQYYPPCPEPHRTVGVKRHTDPGFFTILLQDGMGGLQVRLGNNGQSGGCWVDIAPRPGALMVNIGDLLQLVTNDRFRSVEHRVPANKSSDTARVSVASFFNTDVRRSERMYGPIPDPSKPPLYRSVRARDFIAKFNTIGLDGRALDHFRL", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEVVKSPMQELQQAKEPFDTMSPLLLKSLVEEPKKRTEVPNHLLESRVYAKLLNNKVIQARPGIVHFGGYEIESKHQQILNIANISDEDTHLHILPPQTKYFQINFEKKEHRLIPGLSLTVTITFSPDEWRYYYDCIRIHCKGDDTLLVPIHAYPVLNNLDFPTFINLSDVFLGESKSYVIPLQCSCPVDFEFHITLLRSHQAFTIEPKSGIIPANGKAKVTVKFTPIQYGMAQIKIQLWISQFNSQPYECVFTGTCYPNMALPLEEFKRLNTRSKKVNVPLEKTTYVQFYPAPAKAKPQKLKEIDYQDLRFPADLSNPFAVATVLNQEPGKLKIKELKQVLDQGDEISKTRQMKEAIFEQKVRQDILTEIENHLKWQVHLGKEHTTYRFKRELTEEWKKARAKYKQNRGDPVEGEELQRLQTEQSQKRIVRDLKGKRQEFHPNFDPLVNNVWLTRHRAQRRFQQAARKIMLERRLLSMLGAIRGMDKESILRKIIQVNGKLIQGENPSRGRRAHLKQEDNIWRYSLESEEVLHFAFPTDSESYNELALDGLGLVPIKSPEIQIKHSYPYFTLKVPQLYKIKGYHPFSVNKSSTNYRLQKLARPLKHGAEDEVTTIITIPKKDTTPLSAKPSILSMKPPEGLAMSVEYDPLYIFNPSPGLFAVKHPLTYAETLIDYHLCSHPKYKYTQESHMGSSIPLTQRQFLHHTDIIPGIMNWKKFQPLVFSSMSDPSMVEATQRSDWYSSVMLPIDVPAPLEDLPEEDRLETTERDLCDQGIEVMLTPEMVQVEFPMLIHRDSKKEKDFKDSTQLPEKVGERVQEEMKNLRSKALNTYLILD", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEMVNAEAKQSVPLLTPYKMGRFNLSHRVVLAPLTRQKSYGSVPQPHAILYYSQRTSPGGFLIAEATGVSDTAQGYPDTPGIWTKEHVEAWKPIVDAVHAKGGIFFCQIWHVGRVSNRGFQPRRQAPISCTGKPIMPQMRANGIDEARFTPPRRLSIEEIPGIVNDFRLAARNAMEAGFDGVEIHGAHGYLIDQFMKDKVNDRTDEYGGSLQNRCKFALEVVDAVAKEIGPDRVGIRLSPFADYMESGDTNPEALGLYMVESLNKYGILYCHMIEPRMKTVGEIAACSHTLMPMREAFKGTFISAGGFTREDGNEAVAKGRTDLVAYGRWFLANPDLPKRFQLDAPLNKYNRSTFYTSDPVVGYTDYPSLESTA", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSLSSKLSVQDLDLKDKRVFIRVDFNVPLDGKKITSNQRIVAALPTIKYVLEHHPRYVVLASHLGRPNGERNEKYSLAPVAKELQSLLGKDVTFLNDCVGPEVEAAVKASAPGSVILLENLRYHIEEEGSRKVDGQKVKASKEDVQKFRHELSSLADVYINDAFGTAHRAHSSMVGFDLPQRAAGFLLEKELKYFGKALENPTRPFLAILGGAKVADKIQLIDNLLDKVDSIIIGGGMAFTFKKVLENTEIGDSIFDKAGAEIVPKLMEKAKAKGVEVVLPVDFIIADAFSADANTKTVTDKEGIPAGWQGLDNGPESRKLFAATVAKAKTIVWNGPPGVFEFEKFAAGTKALLDEVVKSSAAGNTVIIGGGDTATVAKKYGVTDKISHVSTGGGASLELLEGKELPGVAFLSEKK", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVGPGPTASAAAEERWQKLQEYLAAKGKLKDPNAKPYLKAKNICPKPPPSKYTPGPKKDVSNHVLPSKTTRPINIKFQTKPASITASQKPESKPPKLPSRGLTSRCFSSNTDCKQSSKPQQQPRAVSFTAGLSRNPRQCPDIQELKTKQQQQAHGGNAKCTHPETNTHAAKQPVDGFPDETNKENLPQALPKPEKPDPELHSIRKPNTGSSNQTQKGLAPKQILSKSSVTQTALKDRANKQFIRNTQIRTQAVKSRPRPTVADSTRPREKPPQTAPSHSVPAHNKTQTSKKPMTKNTQDITVNRVRYGKPNETKIESCPATEQKVKHTKPSSQLNVLQGGHNSRHPNMRQDQKPVQPHLGPQTSCVLQKSRAISQRPNLTARNFNSVIPSTPNMRANKTLNNKYNNIFQQKAQTLDSKFRKFPPQSHFLNKTAPRTQASTAAASRKGAPSATQTHPHGKKPEGEDRRKQLEEWQKSKGKTYKRPPMKFKTKRKVIEEMNTSFWKSIEREEEEKKAQLELSKKIDSTLTECLRLIEEGVLPNEIFTIVSSIPEAEKFAKFWVCKAKLLASKGTFDAIGLYEEAIQNGATPVQELQEVLNVLQDPCRSTEAVTSDTSAAGTNTTSAEELAKEESEQPCPSLTEMEPIAAAAPRIPVSEWDNHGIKLQVAPIPRICGMPEVQDMKLITPVRRSARIERTVARYPEMLQEHDVVVASLNELLEVDKTECFIFRENEALPVTLGFEVLES", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASASTQPAALSAEQAKVVLAEVIQAFSAPENAVRMDEARDNACNDMGKMLQFVLPVATQIQQEVIKAYGFSCDGEGVLKFARLVKSYEAQDPEIASLSGKLKALFLPPMTLPPHGPAAGGSVAAS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVGHLHLQAMGDTREQSRDGLLDSPDSGLPPSPSPSPPFYALSPGTLDTRTTTEAPAAPSLFQTPPALEMRSRLLPVFFGESIEVDPEPAHEIRCNSEITYASERYFRDKIFYAPVPTVTAYSETIVAAPNCTWRSYRSQLTLEPRPRALRFGSTAIIFPKLARSSFRTTLHCSLGQPRHWYSSSLQLRRCGDPTPGPSCPDVL", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MINSHHTSQQTLNKESKSQMDKSYNIDDSIVDAKFENYTKKYQDDKLNKILHTYIKNPQILMDEEKEIKERFLAKQMKDVAATKQKFDTKNKLQQLELENENSQNNSYKTSNNLSKEEVIAVLQKQNRKSQVNSVLADNSNIQNDFYPQYRKPKNPTTKKRQSTDYTKRNTFQLENLNIQQQLNNKDSLNQQNQQQQDLAKNRVDNSQNQDIQARLYERTELQKLRIKQKEEEQAALKNKRQTNRSQSIEQNVNFVQNDNQKLIDRHKEYLKQLKQQVTIEKETQKQLKKKDEENKIRLRNSVLASIKNDLTEQRKLIQENHNLFLSKSTVSQSAKQISLPEINQSLTICLEKQKSEVEQEKKAVLTSQAFFRQSSLREIEETNEKIESKTNLSKVKKLRPLPFISSMVEWKKKQRIPADSKIFIVMGGYKDFKKALLKRGWIENPQTNSPCFDLKWTLLGKDIDYDNILPNQIVNHFENNSKICSKIGLLNSLKNLYWFDNADLNCFFPQCFDMNDPDEFNDFVKNFKLSKAVSVLKKYLRLYLEKDEKYNNCKIQAQVALQVLTRYYNDINNVIDDEKQASEYFKSIPDDEWEILASDEMSNEDLAKKKHFEWIKKIKLAYQGIKVKAQVKKKKKKSLAMIKKMISNEAIKRKQDGEKQQIDSSDSEDEEVEMDDFTSAVNQFLNQREKCDPQFNLKGEDNIWIVKPAGLSRGRGITCYKNLVEIIDHAKSMELQMIVQKYIENPVLIKQRKFDIRIWVLVTDWNPLAIWYFDECYVRFSADSYSTKNLSNKFQHLTNNAISKKKAQQGQDEITLQGNMYTQEQLENFFIETEGYNVFQQKIKPQIINIIKWSILSCSDTVESRKNSMELFGYDIMIDTNFNPWLLEVNTSPSLEYSTEITKKLVKQVLEDVAKVVVDYGMAQKSGIKKSELQKIGTGKFIKIYQGLEIQDKGINSIQKNFICEGSKMKIRKPKKQKKNTKLDKKQNLQQDLTINNQINHDQKQFSSQQANNIETYSRPQTAKSQTQSSKKL", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDEAFGDSLSTDYRWLGHSHFDSHPSAGDSIYFDSLDEDADPSRTARIDRIAELLDGLNDEQISELVNGVNSTTIKENTKKEISNPNDSTRLPLEQIPGSNNLFLDPRHQLGDNSQNAQRHHEPSFNSEKASYTSTPYKNVAPKVIDSPSARHMHSNSPSFPPSQSHTSSYDQSPKGQLRDNISVPNQQDGLDPEVFNQQSKETKKSLQVPPSRNVPPPVTRPNQYNPEPNFSLSSGYPQQHFSQPELQNRNVHLETVPESYPVPPSGYPLTSSTCVSSISQPIQSTDCQKAQENLSNNKQMSSNDQDIDPFKQAITDLPPSFVNIVLEMNATIQSLSNQCQQRDKQIENITKQLLMNQQDYCPTTMSTTVSTPLCPPKRFPKSTKDFKEQKPDTKQVRSATISNDFNLKGNGRYNEKSQIAVPSEIRVQLSTLDAILLQFEHLRKELTQARKEIQILRHTSQNGDQESNESSKNAITTKTTDKGNNKENTMLNDGSTAPAKNDIRNVINTNNLDAKLSDESELMIEKNKSYSTPASSTIPTFHTSQPLTSLNMPDSRFNLAKEKQLYYRLGLQHIDQQCSVETANMLKTVLVQLNIPFAIFPSTIGQVRRQLQQGRRLYQWARNIHYLIYEENMRDGLVSKQCLADMLKKIRELKKRSL", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MYFSWAAGSRKPRRLKAGTSGIELLQAASGEHHSLLLFSNHRVYSCGDNSWGQLGQRRDQSTERPEPIQALNDLHVDLVSCGKEHSVAVCHKGKVFAWGAGSEGQLGIGEFKEISFMPTKIKALAGIKIIQVSCGHYHSLALSEDGHVFSWGRNSEGQLGLGKNSRSQAIPQKVKSLEGIPLAQVAAGGTHSFALSLTGTSFGWGSNRSGQLALSGNKVKEQIYKPHSIGALKNLSVIYISCGYEHTAVLTEEGQVFTFGGNSSGQLQPSPRSGQRGPQLIEGIGGRVSQIECASYHTIAYVYTTGQVVSLGRGPSHTSNPTHQEAPAENSDITCLLAAEDLVDIEVKDIFAGAHANFVTTRRVSHTRSTGVSMKILPEIIRINQSLVKKWRAANKRKDREGAKREISLIFSSSACLTASFLKKRDAGENNLIDVDLKLARDVFKKLTTEKWISSLITTCLEEYLLRDLPYSSPHQEALLVFLLLPECSIMQDPKNWKTLAFEFAKAIHKMGPQSLAFLRTCWASLEVSSLNILVQMLKKAIISQIQYGVATEQYITNIKVLLEVIKEVHKANCQLPESAFIINELSGIFNFDAEAGRMFIRHNDLDCTESSDMVVFSDFLFVFDLPSKIKLMKCDSFVKLMSEVMAFPEKMSSPPYLILKVRRSHLVEDTLRQLRQVEDFDLRKQLSVGFINEIRPEAGGVSSEFFHCIFEEMTDPKYEMFIYPEKGSSMWFPVNPKFEKSSYFLFGILCGLSLHNLKVINLPFPLALYKKLLNQKPSLEDLKELSLPLGRNLQEVLNCEAGDIEELHMYFSIYWDQKDVDLIPDGISVPVNETNKRDYVSKYVDYIFNISIKTIYEEFHRGFYKVCNWDIIRQFQPEELMTAIIGNATCDWKQFENNSKYKDGYDKSHPTILLFWKAFHDLTLDEKKKFLLFLTGCDRLHVKGLQNEGIVFRCSETFSEEDNPRSLTCHRMLDLPKYSSMRRMKEALQVAINNSTGFVSQE", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKSATIIGGGSHPELLHLISNRLGITPCDVSLKRFANGETSVEIRESVRDKDVFILQSGSSTVNDSLMELLIIISACKGGSAKRITAVMPYFPYSKQSKMRKYRDAITARMVANLLTVAGVDHIITLDLHASQMQGFFTRPVDNLYAEPNIAEWIRRNVDDWEEAVVVSKNPGGAKRVTSLADTLNLDFALINTDRQRSSHFSQNFEDSIMDETEATETHVTNCSVYLDRPRIHTAKYLLGHIIDDEEIITTPASVCSEDYAQEVNLYSQGGCPSDDDEEENIMSASIYAERMITLVGDVNGKTALLIDDTIENPTAFIVASEHLVKRCGAKRVIVIGTHGIFQNKCLKDLQSCEYIEQIVVTNTYPIKPQAVLECDKLTVIDISGVLAEAIRRTHNGESISFLFKKAF", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAGVSVRDVAAQDFINAYASFLQRQGKLEVPGYVDIVKTSSGNEMPPQDAEGWFYKRAASVARHIYMRKQVGVGKLNKLYGGAKSRGVRPYKHIDASGSINRKVLQALEKIGIVEISPKGGRRISENGQRDLDRIAAQTLEEDE", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPGVSVRDVAAQDFINAYASFLQRQGKLEVPGYVDIVKTSSGNEMPPQDAEGWFYKRAASVARHIYMRKQVGVGKLNKLYGGAKSRGVRPYKHIDASGSINRKVLQALEKIGIVEISPKGGRRISENGQRDLDRIAAQTLEEDE", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASATSNNPASSSMSPRRISGNHGSPTASVAQSPRRPSRQVSSPWTQIVRGESEPIAAAAAVAGPSSPQSRAPIEPIASVSVAAPTAAVLTVEAAAGDEKSEASGGQDNAGKKPVWKRPSNGASEVGPVMGASSWPALSETTKAPSNKSSSDSLKSLGDVPSSSSASSSVPVTQGIANASVPAPKQAGRANPNPTPNHSRQRSFKQRNGASGSANGTVSQPSAQGSFTELPSHNPSPRGQNQKNGFASQNHGGTENPSQRDSYRNQNGNHHQSHGGRRNQEHGNQNWTFQRSFNGREGNAQSQRGTPAFVRHPSPTVQPIPQFMAAQPFPSHIPFPTELAQSSYYPRMPYMTPIPHGPQFFYHYQDPPLHMKLHKQIQYYFSDENLITDIYLRGFMNNEGFVPLRVVAGFKKVAELTDNIQQIVEALQNSPHVEVQGDFIRKRDNWQNWVLRRNPTGSGPQSVDRADAVAKRLGNLSVDQSSADPIGGSSSQLQPTEALSDDQQQSSSTAPVSNHNAPDGANR", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MFGKRKKRVEISAPSNFEHRVHTGFDQHEQKFTGLPRQWQSLIEESARRPKPLVDPACITSIQPGAPKTIVRGSKGAKDGALTLLLDEFENMSVTRSNSLRRDSPPPPARARQENGMPEEPATTARGGPGKAGSRGRFAGHSEAGGGSGDRRRAGPEKRPKSSREGSGGPQESSRDKRPLSGPDVGTPQPAGLASGAKLAAGRPFNTYPRADTDHPSRGAQGEPHDVAPNGPSAGGLAIPQSSSSSSRPPTRARGAPSPGVLGPHASEPQLAPPACTPAAPAVPGPPGPRSPQREPQRVSHEQFRAALQLVVDPGDPRSYLDNFIKIGEGSTGIVCIATVRSSGKLVAVKKMDLRKQQRRELLFNEVVIMRDYQHENVVEMYNSYLVGDELWVVMEFLEGGALTDIVTHTRMNEEQIAAVCLAVLQALSVLHAQGVIHRDIKSDSILLTHDGRVKLSDFGFCAQVSKEVPRRKSLVGTPYWMAPELISRLPYGPEVDIWSLGIMVIEMVDGEPPYFNEPPLKAMKMIRDNLPPRLKNLHKVSPSLKGFLDRLLVRDPAQRATAAELLKHPFLAKAGPPASIVPLMRQNRTR", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAELVRPKKPKHRERAQSDYTPPIPDRPAIVNGLRLPAAPSHTIEDLPERSASPEPEDQDISLTEDSLKREEASEPLKDVRSSPVRPAPPPPRVSQEREAPPIPPRSMIFPRSTSMVAESRKESTTAVAPKRSVAVASYPAVPELAELPSYTDALQHPQVYPSINGGLQHSHSATAIPEKTRFSAPVERERVREGEAPPMYPSIKTYERNEHGLMTEENLVTFYHNPLYEHAEMFVDQFIKTEEVPTQSGSLFPLLARLRTVCDLMTVSEVKGKENTEELQKCLRECWVQQSLSVDAKGKCGDNNDGTGRASYFSFELQQAVLDQMKKLLSTNRSNLMDHSVCEETSFRSIALQIQWQVIIINNNFMAENGLSTNCPPSLIASVPMTPGRVALRTALSDIFYHLRYPRLSKRFIDTLVGWIKELTCVLNMRQSCDDGIFLLCHLLRLPSPIDQWASPFVQTFIQSQSAPKLKLDYCVALLTHLLNPIKARESFLRHVAQSEKEESTWEILADDDDGEANEFSFVTINESDLTAFLDQFPISELYSIAYLAFTSYSDKGSQFTAMIAFQLLLMKILDNGLTSYSQPGYKMFCKQIGISLKHSVRELCSNWRLIRDQVRPGEEHHLQKEVDRVVLLALNYLIHQDTLGLFQFVVSLPYAVVSEECRSRCEYALRSNKKMSIHEIYDTPICEVRARISSQGISKRIGALGAQDSEFLVNSLASIGSYSNSDVSQLLKELIDVCFCDEDTRDDLYKCGGEAIGQILIKRPETLHQLLTIIDRNLQHMDSYAINVLSSSRLFECRLTEPMISIIGKWLINNPPEHGANRLARRVLSGLHWGLAVDGHNLWIDVDVHTIAADTVVKAHSVHCSRSNSMISKSINKISKLASKVGDAESLFQQFCWDLLVKLKLPTIPSSLVQNDLTAHYVRIVQNCEDDVVVYLEKGVPLLSDLVTSGSSVASVVLLSRLIAQHYQNVNLMAADKNFMTTFERLLHIDQLPYAVQWLSGPSSTPTPIVKLICSAISYYSAKLPPRDYLRAWITLLCAARTGWNEDAVTYQIVGTIARIAFVNDTHKLYEITGIIFQAYQQQLAAEKNQSKGIMSMFSSDNTVSPLIPDSMLSISPFASYVMLRVEQKSFNTFYGHFFETLTKKDKYTLDNAVKKASSKCSITVPVERLAIFRWAKLVTVCNDHQLLPILLQQLSGSAYRLRKANNLNLCYARRLIDDPQMQDVMAACRKAIEESTIETKGLSKAVVGWLFTKHEVTRTGFDFSVFDLDYLLQLILAGDKNMWLDFVNMPYFNSEEFSERKLYSVTCQLSPKNRESPLPPEIGSPRSRSSAKPFPVLPVHSGLPQAPLIDPSILFQQHTVLQLASPFINTIKQLSKQFAQSGDRMSMDDDSYCKQIKALYQPTQQTIPVEIRCSYCSKPKACTMSIKPNVLNSEIDLQMTQNRTKRFEFWNELYASIVDKAAVATASIEHLSVLVAKMTSALHPGTRNNVQLTGHSLFYLITSSVGENELLFSVASDSFCNSLRSLGEEYVKFRPEEQMDVMQLALDGFVLSEPLVEVFTPEVLNSDDLCTAYRKLSDAVRMPERSKMALQLLGKQ", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTSEKGPSTGDPTLRRRIEPWEFDVFYDPRELRKEACLLYEIKWGMSRKIWRSSGKNTTNHVEVNFIKKFTSERDFHPSMSCSITWFLSWSPCWECSQAIREFLSRHPGVTLVIYVARLFWHMDQQNRQGLRDLVNSGVTIQIMRASEYYHCWRNFVNYPPGDEAHWPQYPPLWMMLYALELHCIILSLPPCLKISRRWQNHLTFFRLHLQNCHYQTIPPHILLATGLIHPSVAWR", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEDNSVLNEDSNLEHVEGQPRRSMSQPVLNVEGDKRTSSTSATQQQVLSGAFSSADVRSIPIIQTWEENKALKTKITILRGELQMYQRRYSEAKEASQKRVKEVMDDYVDLKLGQENVQEKMEQYKLMEEDLLAMQSRIETSEDNFARQMKEFEAQKHAMEERIKELELSATDANNTTVGSFRGTLDDILKKNDPDFTLTSGYEERKINDLEAKLLSEIDKVAELEDHIQQLRQELDDQSARLADSENVRAQLEAATGQGILGAAGNAMVPNSTFMIGNGRESQTRDQLNYIDDLETKLADAKKENDKARQALVEYMNKCSKLEHEIRTMVKNSTFDSSSMLLGGQTSDELKIQIGKVNGELNVLRAENRELRIRCDQLTGGDGNLSISLGQSRLMAGIATNDVDSIGQGNETGGTSMRILPRESQLDDLEESKLPLMDTSSAVRNQQQFASMWEDFESVKDSLQNNHNDTLEGSFNSSMPPPGRDATQSFLSQKSFKNSPIVMQKPKSLHLHLKSHQSEGAGEQIQNNSFSTKTASPHVSQSHIPILHDMQQILDSSAMFLEGQHDVAVNVEQMQEKMSQIREALARLFERLKSSAALFEEILERMGSSDPNADKIKKMKLAFETSINDKLNVSAILEAAEKDLHNMSLNFSILEKSIVSQAAEASRRFTIAPDAEDVASSSLLNASYSPLFKFTSNSDIVEKLQNEVSELKNELEMARTRDMRSPLNGSSGRLSDVQINTNRMFEDLEVSEATLQKAKEENSTLKSQFAELEANLHQVNSKLGEVRCELNEALARVDGEQETRVKAENALEEARQLISSLKHEENELKKTITDMGMRLNEAKKSDEFLKSELSTALEEEKKSQNLADELSEELNGWRMRTKEAENKVEHASSEKSEMLERIVHLETEMEKLSTSEIAADYCSTKMTERKKEIELAKYREDFENAAIVGLERISKEISELTKKTLKAKIIPSNISSIQLVCDELCRRLSREREQQHEYAKVMRDVNEKIEKLQLEKDALEHELKMMSSNNENVPPVGTSVSGMPTKTSNQKCAQPHYTSPTRQLLHESTMAVDAIVQKLKKTHNMSGMGPELKETIGNVINESRVLRDFLHQKLILFKGIDMSNWKNETVDQLITDLGQLHQDNLMLEEQIKKYKKELKLTKSAIPTLGVEFQDRIKTEIGKIATDMGGAVKEIRKK", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNQLTVSYGLISPDYCTSQDAHILPITKILYPDIPGKNYFLTSGRDGSIILHKNTQLSNEPETAATTIKNDAIRMQVHSDWASDLIHVNMKNSDPSAGDTFISVSHDFSIVLISVNAQLTTWDKKIIGDHDDYIKCIVPIHYEMSNDYELEEQEGGPDNVHDGINNGIVVDEQNNFLFVTGGLDRKIKLWCLSSGPEKMATLLHTFDNAQSNDTGSIYSMSPIIPKYSFDDNQTSRPFDFVAGDCNGDLIFYSCKYRKEVIRIQNAHRTNIKVVRTLDDSTRLISTSSDGVINVWDLNCRHDQTTGALQLPKKIGSWSWDSSIWCVQGTSLDKLYFGDSQGNVMRANLSSYEDAKLTRIFKPDHHHHHHHHHEHEEQNISTTDAKVKKYGGILDIALLPNEKLLFSFCTDSNLNVLDLTNNHFSVNEGGFALTRSSLLTNRRHVITENTKGQMQRWDIVSCELLNTFDSSEGSFDDIVMKYTSKEILSHWCTVSVKVGMLFVKINPKFLKTEVYGSALKDYQVVNNIEINSDERYNLGKIVINSLFNEFISYEVQKDKLLRKKIFSLKKKDLTNSLTLDTGYNSESKKNNKDKKRKSTFKISSTLSIGNTNSSGTPPNSAPATPVMAETIVLEEQPLLQSASDKAIDDSLELVQPLPASKKPYFRTQSSGSLLSRKFKSFRSTSGRATTGLNTPEEPKGILPDTPHVINDDSAFPQAINTTQQSKDATPESMLWNHPFKLEQKLSAISSQDLPSNNTHNKLRSSENSRANSTSTLEGNEKKKPEFMPDLLEQIQESYKQQYMNTSSLKYLTKRLPVTKIIKASSCPIIRVKSATLVLVHLWKEGSCGGRVLFSTLLPPSHVDNETVSGGKENSKPPDDEEVDLQAVDDDKLGKYDLIDGELGSRLNRRQIFEQLEENLPYWFAKALFRDIKTVEEQPKLNFLIMPWSSVGGSEAAGNENKKKFISASDTTESSGNDSSDSSLGNGNEAVSPSTQQQFHNMLKFGRPKTSEQELNPTDLPRISEANVKLVAPGMIRVKKIKLYVADRFETKTPEMKAKMEPSLWLDLLCRGQVLDNDMTLNTVRTLYWKSQGDIVLEYRRKVHNSPLVHEVNGNEGK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAEYGTLLQDLTNNITLEDLEQLKSACKEDIPSEKSEEITTGSAWFSFLESHNKLDKDNLSYIEHIFEISRRPDLLTMVVDYRTRVLKISEEEELDTKLTRIPSAKKYKDIIRQPSEEEIIKLAPPPKKA", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNENEGISLGELETRPFSEGITRFTKEQAAYYAEQKEKDRIKALKIPYEDSKVREYLRRYGEPITYFGEDALARRQRLQQLMIEKSLEGDNPLDVDQGASENIEKETYVQGSHELLVARKKIALYSLEKAKLRLKKEREISEIPVPEIVLSGKSSIEHLQKAELMGSQIGGERPIAIVRFSNNGNHFASGSWGGQVKVWNSDNLSEVQLFRGHTDRVSGLDWYPLCQAWDADSEQLTLATGAADNTVCLWKASQSTPLLRLEGHLARVGRVAFHPSGDYLVSASFDTTWRLWDVHTGVELLMQEGHSEGIFSIACQPDGSLVSSGGNDAIGRIWDLRSGKSIMVLDEHIRQIVAMAWSPNGYQLATSSADDTVKIWDLRKVSLAHTIPAHSSLVSDVRYIESGVNRFIATSGYDGCVKLWNPLNCSLIKSMVGHEEKVMSVDGYGDRFISSGYDRTIKLWYP", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEQPWPPPGPWSLPRAEGEAEEESDFDVFPSSPRCPQLPGGGAQMYSHGIELACQKQKEFVKSSVACKWNLAEAQQKLGSLALHNSESLDQEHAKAQTAVSELRQREEEWRQKEEALVQREKMCLWSTDAISKDVFNKSFINQDKRKDTEDEDKSESFMQKYEQKIRHFGMLSRWDDSQRFLSDHPYLVCEETAKYLILWCFHLEAEKKGALMEQIAHQAVVMQFIMEMAKNCNVDPRGCFRLFFQKAKAEEEGYFEAFKNELEAFKSRVRLYSQSQSFQPMTVQNHVPHSGVGSIGLLESLPQNPDYLQYSISTALCSLNSVVHKEDDEPKMMDTV", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSEETKDNQRLQRPAPRLNERILSSLSRRSVAAHPWHDLEIGPGAPQIFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFVPRTLCEDNDPIDVLVIMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYKHYTDIKELPPHRLSEIRRFFEDYKKNENKEVAVNDFLPSESAVEAIQYSMDLYAEYILHTLRR", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATKRAWKLQELVAHSSNVNCLALGPMSGRVMVTGGEDKKVNLWAVGKQNCIISLSGHTSPVDSVKFNSSEELVVAGSQSGTMKIYDLEPAKIVRTLTGHRNSIRCMDFHPFGEFVASGSTDTNVKLWDVRRKGCIYTYKGHSDQVNMIKFSPDGKWLVTASEDTTIKLWDLTMGKLFQEFKNHTGGVTGIEFHPNEFLLASGSSDRTVQFWDLETFQLVSSTSPGASAVRSISFHPDGSYLFCSSQDMLHAFGWEPIRCFDTFSVGWGKVADTVIASTQLIGASFNATNVSVYVADLSRMSTTGIAQEPQSQPSKTPSGGAEEVPSKPLTASGRKNFVRERPHTTSSKQRQPDVKSEPERQSPTQDEGVKDDDATDIKDPDSYAKIFSPKTRVDHSPERNAQPFPAPLDVPGAQEPEPFKHPPKPAAAAAVAPVSRAPAPSASDWQPAQANPAPNRVPAATKPVPAQEVAPSRKPDPISTIIPSDRNKPANLDMDAFLPPAHAQQAPRVNAPASRKQSDSERIEGLRKGHDSMCQVLSSRHRNLDVVRAIWTAGDAKTSVESVVNMKDQAILVDILNIMLLKKSLWNLDMCVVVLPRLKELLSSKYENYVHTSCACLKLILKNFTSLFNQNIKCPPSGIDITREERYNKCSKCYSYLIATRGYVEEKQHVSGKLGSSFRELHLLLDQLE", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVTGCVDLHQSFKSADSSSVPIPPPLPSKSDGLKKKLGHSSVSTATRDMWDRLFNDGYKADVVIYTDNGSIIYAHANILGTASTVIKGMLKQAKRHGKWHTISIRGVPHDAVRVFIRFLYSSCYEKEEMNEFIMHLLLLSHAYVVPQLKRVCEWHLEHGLLTTENVVDVFQLALLCDFPRLSLISHRMIMKHFNELSATEAWTAMKKSHPFLEKEVRDSVIIEANTRKERMRKRNDQRIYSQLYEAMEALVHICRDGCKTIGPHDKDFKPNHATCNYEACKGLESLIRHFAGCKLRVPGGCVHCKRMWQLLELHSRVCAGSDQCRVPLCRNLKEKMEKQSKKDESRWKLLVKNVLGSKKIGGSPFFLPVTNC", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTSNENFENGFDLPPPDDSAEDLKLFIKKFERSLNSALLEFDENNQETIENFRQAKEHKMRFETECDQKLRNWKRLAIEREVSEEQSGEVQFPRWIDEWANTKLGGIFERIFSKMDSMQNDMNSRFDAMQTEMSVMKNGIASIKGEMAEMKGEMTVMKNDIASIKGEMAEMKGEMAVMKNDIASIKGEMAEMKGEMTVMKNDIASIKGEMAEMKGEMTIMKSDIDSVKGETTTLKGEVTAMKDSISQLDRKIDLLDQRTEERFNNMAQTMQKIDDRSCKSMMLTRKYENMVRSDMHYSAVPVPFLNGDEPRDYELPPLASFEDIDNLTKEQCIQYLHGYGVNKFSPLETVKLKERLQEAIGLWSKGHESHKYHTF", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDNLKKKYQHHLSLESASREYGPFQMLGRIQSDSDIKMLSFAGGEPNPSKFPIHKLSVSFPEVNSWEKDTNKDATVSYELSNNANEGSLDLLGALQYGQCQGIPELVKFIKDHVGQIHMPQYKDWDIKITNGNTIGLEYCLRLLVNRGDCILIEKYTYPAAITAMRPLGVKFIPIDMDENGMLPESFEKVMETWDSSLGARPHVLYTIPTGQNPTGSTLTLERRKKFLTLAKKYDIIIVEDEPYYFLQMEKYDANWKPDKQAFNISSFKKKLIPSLLHLDTDGRVLRVDSFSKLIVPGLRLGWITGNSLFIDRITRYAEVCTESPSGVSQVVLYAILNRWGQNGFLEWLQDLQNSYTMRRNALLLAADKHLPKSVCKYHSPKAGLFLWVELDKNRLICSNMDKSISEIEMEIFVELVNNGVKPVCGQLFMGEPNSADKIFFRFAYSLADLSTFEAGLERFTSTIQKYFQL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMPYNTPPNIQEPMNFASSNPFGIIPDALSFQNFKYDRLQQQQQQQQQQQQNRTASSLQQPQQQQPISPPLFLVGAGTSENSNLNKNANTSTIPPLLFSRSSQHYVVPDIDHSSIIYKNNICKSFKDDLFFCPRSLLSLEEQQACEKMDRLTAEQMSLYHQNTQSSSNPGSMSSSPPNSASSIFNSRPKFNPYTSQSFNPLESVQE", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDQSKMRYTNQFRKTPQKPTSTEVGNHHTPAHSPMAQHETSMWNFNSLNPYFSMLNMNDGMNYARHQQNHIVTSRPPTPLTDLMSLRSFQSFPNVFMPVSRSRTSSFIQSDTDSSRLEPDDFSQNVRYCSTEIDRNNSSSKNDHLKYSRPALSRNSRSFTRSNNVLPTWSLDSNGEMRSRLSLSEVLDSGDLMKFAVDKTGCQFLEKAVKGSLTSYQKFQLFEQVIGRKDDFLKLSTNIFGNYFVQEIIGMSLTTYDDDNIKRQEKLKNFISSQMTDMCLDKFACRVIQSSLQNMDLSLACKLVQALPRDARLIAICVDQNANHVIQKVVAVIPLKNWEFIVDFVATPEHLRQICFDKYGCRVVQTIIEKLTADSINVDLTSAAQHLRERALQRLMTSVTNRCQELATNEYANYIIQHIVSNDDLAVYRECIIEKCLMRNLLSLSQEKFASHVVEKAFLHAPMELLAEMMDEIFDGYMPHPGTGKDALDIMMFHQFGNYVVQCMLTICCDAVSGRRQTKEGSYDHANSFQVWLKKLHSRVTKERHRLSRFSSGKKMIETLAHLRSTHPIYGLQSSGHESFKTDCFSTASEHDGLELEKNGIEEGNLRLMRTFSP", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSEITIGVLSLQGDFEPHINHFIKLQIPSLNIIQVRNVHDLGLCDGLVIPGGESTTVRRCCAYENDTLYNALVHFIHVLKKPIWGTCAGCILLSKNVENIKLYSNFGNKFSFGGLDITICRNFYGSQNDSFICSLNIISDSSAFKKDLTAACIRAPYIREILSDEVKVLATFSHESYGPNIIAAVEQNNCLGTVFHPELLPHTAFQQYFYEKVKNYKYS", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNLPQQQPPAAAPQQPQSRRSPVSPQLQQQHQAAAAAFLQQRNSYNHHQPLLKQSPWSNHQNSGWGTASMSWGAMHGRDHRRSGNMGIPGTMNQISPLKKPFSGNVIAPPKFTRSTPSLTPKSWIEDNVFRTDNNSNTLLPLQVRSSLQLPAWGSDSLQDSWCTAAGTSRIDQDRSRMYDSLNMHSLENSLIDIMRAEHDPLKGRLSYPHPGTDNLLMLNGRSSLFPIDDSLLDDGHSDQVGVLNSPTCYSAHQNGERIERFSRKVFVGGLPPDIDEDEITASFRRFGPLVVDWPHKAESKSYFPPKGYAFLLFQEESSVQALIDACIEEDGKLYLCVSSPTIKDKPVQIRPWNLSDSDFVMDGSQPLDPRKTIFVGGVPRPLRAVELAMIMDRLYGGVCYAGIDTDPELKYPKGAGRVAFSNQQSYIAAISARFVQLQHGDIDKRVEVKPYVLDDQMCDECQGARCGGKFAPFFCANVTCLQYYCEFCWANIHSRAGREFHKPLVKEGADRPRQIHFRWN", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MATIQSETDCYDIIEVLGKGTFGEVAKGWRRSTGEMVAIKILKNDAYRSRIIKNELKLLRCVRGLDPDEAHVIRFLEFFHDALKFYLVFELLEQNLFEFQKENNFAPLPARHIRTVTLQVLRALARLKELAIIHADLKPENIMLVDQTRCPFRVKVIDFGSASIFSEVRYVKEPYIQSRFYRAPEILLGLPFCEKVDVWSLGCVMAELHLGWPLYPGNNEYDQVRYICETQGLPKPHLLHAARKAHHFFKRNPHPDATNPWQLKSSADYLAETKVRPLERRKYMLKSLDQIETVNGGGAVSRLSFPDREALAEHADLKSMVELIKRMLTWESHERISPSAALRHPFVSMQQLRSAHEATRYYQLSLRGCRLSLQVDGKPPPPVIASAEDGPPYYRLAEEEETAGLGGVTGSGSFFREDKAPGMQRAIDQLDDLSLQEARRGLWSDTRADMVSDMLVPLKVASTSHRVPDSGPEPILAFYGSRLTGRHKARKAPAGSKSDSNFSNLIRLSQASPEDAGPCRGSGWEEGEGRTTSTEPSVIPQREGDGPGIKDRPMDAERPGPELFDPSSCPGEWLSEPEWTLEGIRGSRAQGLPAHHPHPHGPPRTTSFLQHVGGHH", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASLKRFQTLVPLDHKQGTLFEIIGEPKLPKWFHVECLEDPKRLYVEPRLLEIMFGKDGEHIPHLESMLHTLIHVNVWGPERRAEIWIFGPPPFRRDVDRMLTDLAHYCRMKLMEIEALEAGVERRRMAAHKAATQPAPVKVREAAPRPASVKVPETATQPAPVKVREAAPQPAPVQEVREAAPQQASVQEEVREAATEQAPVQEVREAATEQAPVQEVSEAATEQAPVQEVNEAATEQASVQAVREAATRPAPGKVRKAATQPAPVQVCQEATQLAPVKVREAATQPASGKVREAATQLAPVKVRKAATQLAPVKVHEAATQPAPGKVSDAATQSASVQVREAATQLSPVEATDTSQLAQVKADEAFAQHTSGEAHQVANGQSPIEVCETATGQHSLDVSRALSQKCPEVFEWETQSCLDGSYVIVQPPRDAWESFIIL", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEQPRKAVVVTGFGPFGEHAVNASWIAVQELEKLGLGDSVDLHVYEIPVEYQTVQRLIPALWEKHSPQLVVHVGVSGMATTVTLEKCGHNKGYKGLDNCRFCPGSQCCVEDGPESIDSIIDMDAVCKRVTTLGLDVSVTISQDAGRYLCDFTYYTSLYRGRGRSAFVHVPPLGKPYNADQLGRALRAIIEEMLGVLEQAEGDISCCHQL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAPEENAGTELLLQSFERRFLAARTLRSFPWQSLEAKLRDSSDSELLRDILHKTVKHPVCVKHPPSVKYARCFLSELIKKHEAVHTEPLDELYEALAETLMAKESTQGHRSYLLPSGGSVTLSESTAIISYGTTGLVTWDAALYLAEWAIENPAVFTNRTVLELGSGAGLTGLAICKMCRPRAYIFSDCHSRVLEQLRGNVLLNGLSLEADITAKLDSPRVTVAQLDWDVATVHQLSAFQPDVVIAADVLYCPEAIMSLVGVLRRLAACREHQRAPEVYVAFTVRNPETCQLFTTELGRAGIRWEVEPRHEQKLFPYEEHLEMAMLNLTL", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSDQQLDCALDLMRRLPPQQIEKNLSDLIDLVPSLCEDLLSSVDQPLKIARDKVVGKDYLLCDYNRDGDSYRSPWSNKYDPPLEDGAMPSARLRKLEVEANNAFDQYRDLYFEGGVSSVYLWDLDHGFAGVILIKKAGDGSKKIKGCWDSIHVVEVQEKSSGRTAHYKLTSTVMLWLQTNKSGSGTMNLGGSLTRQMEKDETVSDCSPHIANIGRLVEDMENKIRSTLNEIYFGKTKDIVNGLRSVQTFADKSKQEALKNDLVEALKRKQQC", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPGVKLTTQAYCKMVLHGAKYPHCAVNGLLVAEKQKPRKEHLPLGGPGAHHTLFVDCIPLFHGTLALAPMLEVALTLIDSWCKDHSYVIAGYYQANERVKDASPNQVAEKVASRIAEGFSDTALIMVDNTKFTMDCVAPTIHVYEHHENRWRCRDPHHDYCEDWPEAQRISASLLDSRSYETLVDFDNHLDDIRNDWTNPEINKAVLHLC", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSVGCPEPEPLHSLPCCGPGAAPVPGAGVPLLTEDMQALTLRTLAASDVTKHYELVRELGKGTYGKVDLVAYKGTGTKMALKFVNKSKTKLKNFLREVSITNSLSSSPFIIKVFDVVFETEECYVFAQEYAPAGDLFDIIPPQVGLPEDTVKRCVQQLGLALDFMHSRQLVHRDIKPENVLLFDRECRRVKLADFGMTRRVGCRVKRVSGTIPYTAPEVCQAGRADGFAVDTGVDVWAFGVLIFCVLTGNFPWEAASGADAFFEEFVRWQRGRLPGLPSQWRRFTEPALRMFQRLLALEPERRGPAKEVFRFLKHELTSELRRRPSHRARKPPGDRLPGPLRLEAPGPLKRTVLTESGSGSRPSPPSVGPVVPVPVPVPVPVPEAGLAPPAPPGRTDGRADKSKGQVVLATAIEICV", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSQTVVLRVAMTCEGCVGAVKRVLGKMEGVESFDVDIKEQKVTVKGNVQPDAVLQTVTKTGKKTAFWEAEGETAKA", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVDYSVWDHIEVSDDEDETHPNIDTASLFRWRHQARVERMEQFQKEKEELDRGCRECKRKVAECQRKLKELEVAEGGKAELERLQAEAQQLRKEERSWEQKLEEMRKKEKSMPWNVDTLSKDGFSKSMVNTKPEKTEEDSEEVREQKHKTFVEKYEKQIKHFGMLRRWDDSQKYLSDNVHLVCEETANYLVIWCIDLEVEEKCALMEQVAHQTIVMQFILELAKSLKVDPRACFRQFFTKIKTADRQYMEGFNDELEAFKERVRGRAKLRIEKAMKEYEEEERKKRLGPGGLDPVEVYESLPEELQKCFDVKDVQMLQDAISKMDPTDAKYHMQRCIDSGLWVPNSKASEAKEGEEAGPGDPLLEAVPKTGDEKDVSV", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSDKIQEEILGLVSRSNFKQCYAKLGQLQKQFPNALYFKILETYVKFKQSPGKFDYNKLLEEPYGLKGTTITGDTRSLEFLHNFFVELGKYDEALHVYERGNFKFPSYELSYHWFMKALEDSNYNQMSKASLQLAKYSDSGNLPKRAYYFWNAISILAVSRFQENTLSDPKKILLSRLARQSLLDLKPFQNVQEIIVYCLVLDELFPQSREISEEIVAITFANFDTSVNLYLKNFILKHTKLLNSPQKLFEVCSKLIEKGLDDYELITNLIDAAYKLSKSKDEVKQWIDENLGDSRNTRLARLKLDIMYTDSVSESSLSYYLSKYHNKPCCSIDLNHYSGHINIDMLKSIMSKYDPEDKDLIHHCNILELGLIGSDSINNYNKFKGTLEKKSVTDYSSCSTFLLEIVKDKCKKTNPELKDVLLCITILENYQAKDPHNFDTMCWLIVLYMYLGLVPDAYFHFINLKIKNVQTDSLDYMIFSRFSTLFPNKQSDFYSKTFHEHNNLYDTSLANLPRYIQVAFERNSYSKILGMLEMRDKLMKSYTRWTKTLENLQFSRLCNDKRGHLLQKLHEDWRSLEMTQSVSFSDNRDFSILDENFAQFLNRGKILEYANLNEESIFLTLIRELIIEALPNGEKTEQISALLKKLPSINLEELLNNNLTEVESASFLIFFEIYENNGKNLHDLISRLMKVPINAKQNWMVSHTYLTKMATLKTLDSLKRIKDKEIQKLIKNSLKELRSCCDDVFKGYSKALVQAYEELKKDECGNLLKELDVKAENVKNIKNSLLGIQKSVRNL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLPEKRLLTPDDMKLWEESPTRAHFTKFIIDLAESVKGHENSQYKEPISESINSMMNLLSQIKDITQKHPVIKDADSSRFGKVEFRDFYDEVSRNSRKILRSEFPSLTDEQLEQLSIYLDESWGNKRRIDYGSGHELNFMCLLYGLYSYGIFNLSNDSTNLVLKVFIEYLKIMRILETKYWLEPAGSHGVWGLDDYHFLPFLFGAFQLTTHKHLKPISIHNNELVEMFAHRYLYFGCIAFINKVKSSASLRWHSPMLDDISGVKTWSKVAEGMIKMYKAEVLSKLPIMQHFYFSEFLPCPDGVSPPRGHIHDGTDKDDECNFEGHVHTTWGDCCGIKLPSAIAATEMNKKHHKPIPFD", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTATPFSPPLYMQRYQFVIDYVKTYRPRKVIDFGCAECCLLKKLKFHRNGIQLLVGVDINSVVLLKRMHSLAPLVSDYLQPSDGPLTIELYQGSVMEREPCTKGFDLVTCVELIEHLELEEVERFSEVVFGYMAPGAVIVTTPNAEFNPLLPGLRGFRNYDHKFEWTRAEFQTWAHRVCREHGYSVQFTGVGEAAGHWRDVGFCTQIAVFQRNFDGVNRSMSNAEHLEPSVYRLLYRVVYPSLCDNNIYQKTLINEVLYEAQHLRQQWLIRENMNNNAHFYSPPLMEALHHGAEGNACEQQPVYQQGGIICVPLARVWSCPRVQALCGSLQRLREKLLEDERVRMSADGSALNLPADDDDDNVEEEEEEEEEENQQNVKAVSGAVNNMEEDWDRELGSYGDE", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSLNLSAEEQTAFDQLFKIADKQDIGVITGEEAVPFLEKSGLAPQVLGQIWQIADAENRGFLTFSGFVIAMRLVALAQEKLPFDYKKSGKIPYFADIHISGVDSSKFVQLNRPNNVSSGDGSDGSFLPPISSDEMTRYQQMFTTVCPTDGLMDGDRASSIFGRAPLSTEILARVWNLVDTHKRGALDIREFNTGMHIINLLLNGSLKSPPVSISPSFIASAASTSSVSAPSQYPGLSRSPPVQAPNIPVSDPWAIPSQDLTSFCQLFSNVDKAHKGYVSGSEAYSFFLASKLPEDVLAQIWDLSDTNSNGKLNIGEFCISLYLIKLKLSGKELPKVLPSSMLSSVAPLMQKSKSVPTSIPSVVPANISSPNPNPTLAPNPTGPSRVTSGTEDLLSLDATPFSPTLAPQHTSSNATKHSAPPVTKSAPFPVVSPLQLNHTPGFPTSPAAKPNSPTSTFFPQSSFGQTIAKNTMDKPSAVRTSVPSQLAAPIPQVASAEQLKLAAEVPKLESQLSQVKKSNDDLQKSSRDVAANLSDVKAKVSEIRKAYDEELAKAKQISLDIETNKAQTEQVNREYSILEATLNALQKQNKQKGEVLEQVVAESEAAKNMVESSNASIQQLKSEVADKEQTLAQLHLQLDEMTQRLVSLDEESKAVSQRKLDLEYKINNSKTQLATATEEYHEHSKQLEAEKQELSKLEDGLKSVNLTEEAPKPEVDSTPRFPSFTSNGITTDKPTLPDTTSSVPTQHNSFDAMHNTLRSPSLNSNNSSAHASTVSRNPFHNLKISGASSPVSNFWESEFASAVFPRSISKTTSLSVNNSSVNPSLDSEPVQLSNMEEPQHQDSSVVDVSTSASQRGSPVLSDLSKLTGSARNTAEPVENTSAEPIENTSAPTPFEIANKQQATEPISAPFATETISTPAPVKPPVPPSRRDRSAQDGVVQQATPHIQDEFPPIQFNEIDDDESSSDEEPPMSNLSPQISIGSVTNYTSAVTELPDPNHQLEMSTTTHVQHPNSETIPSSTENQYFDTTSGAFEANSNTEVTVNSNEVSQPFDFDTANESDNDDDELPVQQVVSGSLANDAFNVDDEFDNQFANLQAAEIKDDDNSSTDEEEHAGHH", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSTARTENPVIMGLSSQNGQLRGPVKPTGGPGGGGTQTQQQMNQLKNTNTINNGTQQQAQSMTTTIKPGDDWKKTLKLPPKDLRIKTSDVTSTKGNEFEDYCLKRELLMGIFEMGWEKPSPIQEESIPIALSGRDILARAKNGTGKSGAYLIPLLERLDLKKDNIQAMVIVPTRELALQVSQICIQVSKHMGGAKVMATTGGTNLRDDIMRLDDTVHVVIATPGRILDLIKKGVAKVDHVQMIVLDEADKLLSQDFVQIMEDIILTLPKNRQILLYSATFPLSVQKFMNSHLQKPYEINLMEELTLKGVTQYYAYVTERQKVHCLNTLFSRLQINQSIIFCNSSQRVELLAKKISQLGYSCFYIHAKMRQEHRNRVFHDFRNGLCRNLVCTDLFTRGIDIQAVNVVINFDFPKLAETYLHRIGRSGRFGHLGLAINLITYDDRFNLKSIEEQLGTEIKPIPSNIDKSLYVAEYHSEPVEDEKP", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDAKIKRAEGRLREDPYEGHQMLRTLVNRQVKAKKHDDAVALLYSGAKTLFEIEQTGSAADLAIYMLDVYEKASYAASLDNKARALTLLGLFPAEEGARKQYVKRLLEWSKSAGPQGDKDVHFAVATMFVKWKEPASAEKHFVLGNEKSARAYGETMYYWFTSDSSISPDTFAGRPVLNYLLAENLISAWNSLETFTKHFTKSNAPDVENMSFDGKDFPVFKEYPQMNFLHLLIFTAYRKDKETYLSLVQKYPKKQDWEAALAKIEEIYFGIRPVSNQPNILANLMSSLFSGPPAATNQLDLE", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDSCCVSVNNKTHFNDSTSSSDAADVLIHSTRAGRDEIQDKSQNKVNIYIRRLSDSEHVHSADERRTEIPPVNLPTASDTAGPEEPLAGIKSNQFAGLFGKTSRKEKSKAQSTIMQTEQLKSKGEDVQISTFSADGRGVVSAALRKRSQGAPIRRNVTVQVLDQDRSQTPAGVQPDPAFPLGDPGTSASVAAITAATLAATAPLMKAQSEMEAQISRVSAELKRLQAAEGSVPPGRTARTDSSSAGRAAHLEEQLNILIQQRLQHLETIQCQQIQLQNRLLGSALDVVASRGNSSGVSQTDSLPLQISGNRSIRLSATDNPSAGRPASVSMDVYRERQTGGHKSPLETPAPRKVIPKPTHWTSSTSTNRPPKSSFKNQGNGRLQDQSPNNRRSPERCALQSVGVERFAMATADNSQPEQSRESQMPSKASVTRSAQEDGSSFVNGQNEQERQGEPTNVSSSSTTVQKASEMLQDLGRLKNEMRSLLQTADAFPVPNAKSTQSSRSRHLAPVATAPPPATAPISMPPEPVDVIAVRAAALNRASVLKSIQPPTSMFEDAGLVLRQVRQSKKTLEENLEAILRAKDGEVLHTQLEALSKNRDVREELRIKKTVDAWINTLSKEIQDDLARESSERIVDAAVSRREAGQRTRAEASAAAKKTSVRAQAGNTRAHTRQPAPAVRNKPAVKQEATQPIVLKSQDDEEYLARLYGKAIYDGQRRTLKKSPYLRFNSPTPKSKPQRPKIVETVKGVKMKSSKTQTSQYVGDVSAMQHSVSEPHFIFSPSDPDKQQQQPGSPVRGYLIPMAIPLGKPRVDCQPPVPSRVIITDKPAIVTTSFPPITVVESKPTPAIRKPNAILLEVQSAPKKRTPQLQIQVQPGVNIESALCSSRQASPTPVIPAESILPPPSIHATEDPHAHEDQQENIFPGTNFLAQADISQETNGGLPDSPIEFKGLPSPPADLYHGPVFPPVPTQSTPLTEPILNTIQQRETLENRLVDWVEQQIMARVITGMFPQPAQADPVHQSEPENSVASDIVEAAGGSGLQLFVDTGVPVDSEVIRHYVNEVLTEIIASMLGQREAQGTPATLVQTQDAQKEDTTVPTPAPTPEPSLKDPPSPVRTPDLSEHLSTATSPEKPPQESASPGPDRIPVGTPITTPIPSPTRVATPSPPTPANQSPEPGSLQIHLWEGSELPLEEEEQPELQPAPVVISVPRVDDQEESVIHPSSPVLSKPQSPPAPPLPPVIQKSESSSSSSSSSSESSCSSSVTVTETETAARHISEGELLLNHGQMAAVRVLEQEGVLLPNFMTSLNGSLHGVQDMDYDPPSEGQVIRAPHLPAHHDPVLSLLARMELGPISQSQQPEGWWEEESSGEVSEGQRPVLTAAEEIVLTGHSLMDQQTIRQSRNTQISPHATLTSPGQVIAEHTGAVVEDGGLSVNLRSSDEQKEAMVYQADTVSSPQPESSQMAQTVHRPAPILVRQYEEEPDFPQLRRLSDDAFFGADEKGEDTFLHTGEGGGGGRGSDVRVMSVRLPSVKQDQESVSLSSVEGDTDSSANDVF", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDPHVKLSTSLVIIAFRYLPNNFNDSRLGCDNLSTVGLCLKNGTGEVLFSGLIKQMANFYIFPLLLEARSDHFYEGNEYIKYLSHRIHGLRKSLHITQRGGKPKSSVDKRYAEILLFNADRAFQQFVFLRSSQRRHALRRLKRADQFGKELVSFTNAFDCNDHIFYLEAIAFAKYIEGTLNYEKRDWEGSLSAFSISRLSFLVLQNKIDTLAEHEKSVLGELQNQIDSNLRYVAQRTGLQNQTKSLDILMLSSIPKDEEVIQHVNSVDSEILQMTGDEQDSLQTITVIEWRDQRVKIEHPDVVLALYAIHDVKNSPGTIDSKRDRLLAAWARAEEITKSVLDNTGLEDEQKFQTLSICYTYLAYNVVLLRIQRDLAVENDSELVASQAQLRSRQSLYDSIIKNIEIAKELPGIARDTGMTAQLEAQISLAKSKRCQAIADAYQAQDKLASLAMCVRAASYLQQVNDILRNFEEKPHLIAFDIIPELKKDEKELKKKILLLQSLASMGNINQPPKNLSLVETLDSYQTLAELEPSWNLTDADIRAIPAKPLFFDLAITYLGQQTSFDKKKAQPEKPVTSVSKEPKQKNKGFFSSLLGR", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDKINPDWAKDIPCRNITIYGYCKKEKEGCPFKHSDNTTATTINDVPPPIDVGEATTPTMTSVPKFNAKVSASFTPMTVGSDSLTTVTNTTSAATNATGNIAMAATSATASTVNPMINPIVNSSLVNNNNNNSNISISIPTTASSSNYDPFNAPIFTPSSTSSIHTNANAHSFPFPSIANSGGININATDDNSNNMSMANNVPPPMQPPPIESSNLKYPRIYPPPHSLLQYHLYAPEQPSSLKSLLKPNERSADQLFIPNNIREDLTKKNLSILQVFPSSGKVIPSIVQDYFNLVPLNFNNNDFLNKTTLFKVFSNYDGKAYVLKRLPNIDKSMNPNKISKIYQIWSKINCTNLIKFRDIFQTTKFGDLSICLVFDYYPNSLSLYDYHFVNFPKFPITNNYLWIYLVQLTNVINSIHSQNLSIGNTLNWRKVLITGDPGRIKLSHCNFMDLLFNDDTDTVVSSGGSTIEGQQQLDYKYLGELLFNLSINIENSNNNTAPKEYRLEEITPQSIDDMRQIDDKFKDVLKYLISDNGDSKKSIHDLTSHFYDKMFMVLESSQTYTEYMESVLSRELENGRLFRLVNKLNCIFGRIESRIDINWSESGTKFPIILFYDYVFHQVDSNGKPIMDLTHVLRCLNKLDAGIQEKLMLVTPDELNCIIISYKELKDLIESTFRSITQ", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNNDAKNHESDDLNVRSTAYFNQQTTTNQPKAPATSKNNTGSGSGSNNNNNNTNQNPNRQLNHNLPRIAAARQSIAAALLKNSGRKILTAKNEPLTTTESSGVLTNTPLPSNSRLKVNNNNNTNNTAKMSGTSSSQSSATPTPPTASSSTTTTTTTNISTGGGGSGSSGGGGGSTTVIANPASVTNTGAGSAAKFRAAVASAPSPALPATNAPANATAAAAIAAIATAPAPSSSSSSSSSSKKTRAAVAALKRQVALQQQQPVTGNAPNMTSKDSAHLKFATTTLLMGAAAAAADSNAGAALGGSGAGGSGSSSSVGAVGGARMALNPAVDMANAAVLLKQKLKDAAAAASASASNRSATSSMSSTASSLSSSAGIVNAISSALQNIITPDTDTDTEFYPQPVTTDLSESEEESVSEDDIPESDPDSCPHEGEVREDEDETEEESEDSDESEGEEEEEDEEEIDVLQDNDADDEEIDDEDEEEDAPEVSSFLLDANNKRSSNISALLEAAANEKAPVLRHATHAIDETKQALTKMRCASSPRDKNSGFSRSLVAACTDNDVNTVKRLLCKGNVNLNDAAASTDDGESLLSMACSAGYYELAQVLLAMSAAQVEDKGQKDSTPLMEAASAGHLDIVKLLLNHNADVNAHCATGNTPLMFACAGGQVDVVKVLLKHGANVEEQNENGHTPLMEAASAGHVEVAKVLLEHGAGINTHSNEFKESALTLACYKGHLDMVRFLLQAGADQEHKTDEMHTALMEASMDGHVEVARLLLDSGAQVNMPTDSFESPLTLAACGGHVELATLLIERGANIEEVNDEGYTPLMEAAREGHEEMVALLLSKGANINATTEETQETALTLACCGGFMEVAAFLIKEGANLELGASTPLMEASQEGHTDLVSFLLKKKANVHAETQTGDTALTHACENGHTDAAGVLLSYGAELEHESEGGRTPLMKACRAGHLCTVKFLIQKGANVNKQTTSNDHTALSLACAGGHQSVVELLLKNNADPFHKLKDNSTMLIEASKGGHTRVVELLFRYPNISPTENAASANVTQAAPTSNQPGPNQMRQKIMKQQLQHQLQQLNAPPGLHELSEAARASNQQHFHQQQFSSAGNGSSNIVAMGTGDFLDAGELQLTATAGMSAGAGTSTTGSETGMEEYGEVGGIDLTTLGAQQQEGLIAKSRLFHLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPAAGQHQLVPCKHFDLDMEHINSLQPPQKAPPAPPVLFHTVCQQPVMQQQQQQLQPGQLKLKAMLPNRNRALKTAEVVEFIDCPVDQQQPGEQVRTQPLGEDGKTPQFACAGEDPRLQRRRGFMPELKKGELPPESSSSDPNELALKGADNNQPVPTALDNSACAQIPARNSGGAITHSSEVLQSTAISDRPKVKATNKNNRKQAAAAAAAAAAAAAAAAAAAQHAQQVLPNPMVSIYNNLHLQHLQHPHLQFQQQLQLHHQRVAGLDNAAAAAAAAASSANMAYSISPASPLPSPTGSGNYVDQQLQQQSMDVALQRKTAMDDFRGMLETAVNGPRGRKDLALNTPQLNFFKDGWHMVGVHNFFGDQPKSPTETPPEMEETTMSSPTEADRLGSEPRAEMKNLATLCSAAAAAAAVAAVNKDQVEISSDLESECEDDAEGGAGADCEENTLPPEPIELAAALREDGIIVEEEEDDEEEDDDDEEQDTNSGEVDKLNYDDEDAEVDNDGEVDYIDEDEGGGEGEEEEDDADDDEFFLDEPDSDQGTGNNNNNSKSGASSLPLKQRKMATRLENLILNSQTVCDFPPELSNSELVHVLPQISNLKAAANSNAALNSVLQQQLAAASAAAAHAKASVVHQKQQHGEGDQQCEDDGSASASELYSGLEHFANDGEMEDIFQELASSLNYPELAEFSLNQMCKGRFAGNWAQSSGKWTGQEQLVGVVRSPGLINPGDVPQDAQRQANLVLLDYPMQQNIQLEQRLLDAEEMHLQQHQQTPLSLLPFTDEQQQQLHHQALSNASDFQQHQQLALENDPELKQQLQQNSNARIIKAVAAQHQQQPPTNFVYNVESGDKNAPPVQLLFQLPPHMAQHQAQQQQGVGEPLTEQQQQQLHAEQAHLFQHRTGGQRPPTQSELEQVAQELLLQRSGQVPAGAPVVGVQAIPLKQKHFNLHPPPCPPTCVQHQVATQTHPASVVVPQPAVGYTQFALQASQQQQMQQNELSIWPMATPTPAPSSGVSSTKSMPGGIAKKAIDKQSRKERRCVVRQTPAGIQENTKLHLQPQVATAQQQFLVQNQLAVATTVSLDKTIEIDSETESNHDTALTLACAGGHEELVELLINRGANIEHRDKKGFTPLILAATAGHDKVVDILLKHSAELEAQSERTKDTPLSLACSGGRYEVVELLLSVGANKEHRNVSDYTPLSLAASGGYVNIIKLLLSHGAEINSRTGSKLGISPLMLAAMNGHTPAVKLLLDQGSDINAQIETNRNTALTLACFQGRHEVVSLLLDRRANVEHRAKTGLTPLMEAASGGYIEVGRVLLDKGADVNAAPVPTSRDTALTIAADKGHQKFVELLLSRNASVEVKNKKGNSPLWLAAHGGHLSVVELLYDHNADIDSQDNRRVSCLMAAFRKGHTKIVKWMVQYVSQFPSDQEMIRFIGTISDKELIDKCFDCMKILRSAKEAQAVKANKNASILLEELDLERTREESRKAAAARRRERKKKKKMEKKEEKRRQQQGNGPGGDDMQGDDDDASDKDDDSDKDDEDEEAAPAAAREEGDSGIDQGSCSSGDTKGARFGGSQSAQAAEAAANSVSTNSQGKKNKKQAKNKVLISVEPTQPVITSNSVLKGVCAKKHPAVEVVKQPPATQQAAPLKRQLDVKKEEPALKKKEEKNSSSSSSSKREKENLAPKEVALPAKQQPSSSSKLQSSESASNINSSTATNTSSANTTRKEVAKPASQTASATTLNPAKRTEVDGWKEVVRKSSAQQTTAVGASGAPLPVTATSSATSVQHHPHHHLANSSSNSSSSLTTSTTTAASSVPEMTCKKVQVPVNAISRVIGRGGSNINAIRATTGAHIEVEKQGKNQSERCITIKGLTDATKQAHMLILALIKDPDVDILQMLPRINSSIKQASSGGASTPMSVGTWDNRTAAGVNAYTFSSAASTTSTSSSSSASSTTPAGASYSNAHKQHQQQPQSVKGPSGRSSTSVKSNGSSTKVSASSGSGSRSGRAGSSYLAQQQPGRSSGGGSSNGVIKSKSESSSKSLPAAQKSSTTLGKSSTVSPGAQNFAKAAAIGQSSPKKAEGGATSAVVTSAGGRSSGVVAPFGRGKPVAGQGGPAATAASNVAQLGSVSGNSNILAGPIGTFNVADVAAVNAAAAAGAAAATNSNVKPIAPIAPPSKRVGSPTQVQQQHQTQQQQQQQLPQPAPVPGPQPQQQPLQQQQQQQAPQQQPQQPNQQQQPQTSQQNLVINTNLLNDLMAASAANTTSDSFSAQLAAKLSSAYSLFSDYQQSQWGKLGDPGIGGGAGAVGDGLPQADASKAPGYNRNILSSPVGSSKASSNHSTSPPVGNVIQQQQQQQPQSSQQALNIITSGPGGPATAPARSPMVSANEGNPAVGQPSMNGTQGLGETAPAHSPGVIKPPTATVPIQRHVPMPISAPEAGAPPTFGAIGSNPASGNNSAAAQAAAAAAASAMIDRQQQNLQNLQTLQNLQRMVGASQQQQPQQQLNYPMDPTSSFIVDANNVLRLNPRVIFPQGNTKPPQPPPQGGTQSNVFGGNPGRQPPGTGARQPGGAAAQRWYGGTLEYPSYTGRDMLHLENGAGGMAGMGSPSAMSPNHDDIRKMPRPIGTERAASWKYNNFNVGGPSLNMEDALASVLPPWAHELKAQPPGLQQPPPPPQSQQQQQQPLNWLKQQPQQQQYRAYNNGPYPQQQQQHEPMNMPMDYHNMQAPPNMSQQQQQHVNLMPSYGYQHFVGAPGAVDISAHMPDKMEVWDHHDKHMPWTNYTTNWSN", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSTDSIVKASNWRLVEVGRVVLIKKGQSAGKLAAIVEIIDQKKVLIDGPKAGVPRQAINLGQVVLTPLTFALPRGARTATVSKKWAAAAVCEKWAASSWAKKIAQRERRAALTDFERFQVMVLRKQKRYTVKKALAKA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSEAPRFFVGPEDTEINPGNYRHFFHHADEDDEEEDDSPPERQIVVGICSMAKKSKSKPMKEILERISLFKYITVVVFEEEVILNEPVENWPLCDCLISFHSKGFPLDKAVAYAKLRNPFVINDLNMQYLIQDRREVYSILQAEGILLPRYAILNRDPNNPKECNLIEGEDHVEVNGEVFQKPFVEKPVSAEDHNVYIYYPTSAGGGSQRLFRKIGSRSSVYSPESNVRKTGSYIYEEFMPTDGTDVKVYTVGPDYAHAEARKSPALDGKVERDSEGKEVRYPVILNAREKLIAWKVCLAFKQTVCGFDLLRANGQSYVCDVNGFSFVKNSMKYYDDCAKILGNIVMRELAPQFHIPWSIPLEAEDIPIVPTTSGTMMELRCVIAVIRHGDRTPKQKMKMEVRHQKFFDLFEKCDGYKSGKLKLKKPKQLQEVLDIARQLLMELGQNNDSEIEENKPKLEQLKTVLEMYGHFSGINRKVQLTYLPHGCPKTSSEEEDSRREEPSLLLVLKWGGELTPAGRVQAEELGRAFRCMYPGGQGDYAGFPGCGLLRLHSTYRHDLKIYASDEGRVQMTAAAFAKGLLALEGELTPILVQMVKSANMNGLLDSDSDSLSSCQQRVKARLHEILQKDRDFTAEDYEKLTPSGSISLIKSMHLIKNPVKTCDKVYSLIQSLTSQIRHRMEDPKSSDIQLYHSETLELMLRRWSKLEKDFKTKNGRYDISKIPDIYDCIKYDVQHNGSLKLENTMELYRLSKALADIVIPQEYGITKAEKLEIAKGYCTPLVRKIRSDLQRTQDDDTVNKLHPVYSRGVLSPERHVRTRLYFTSESHVHSLLSILRYGALCNESKDEQWKRAMDYLNVVNELNYMTQIVIMLYEDPNKDLSSEERFHVELHFSPGAKGCEEDKNLPSGYGYRPASRENEGRRPFKIDNDDEPHTSKRDEVDRAVILFKPMVSEPIHIHRKSPLPRSRKTATNDEESPLSVSSPEGTGTWLHYTSGVGTGRRRRRSGEQITSSPVSPKSLAFTSSIFGSWQQVVSENANYLRTPRTLVEQKQNPTVGSHCAGLFSTSVLGGSSSAPNLQDYARTHRKKLTSSGCIDDATRGSAVKRFSISFARHPTNGFELYSMVPSICPLETLHNALSLKQVDEFLASIASPSSDVPRKTAEISSTALRSSPIMRKKVSLNTYTPAKILPTPPATLKSTKASSKPATSGPSSAVVPNTSSRKKNITSKTETHEHKKNTGKKK", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLQFNTENDTVAPVFPMEQDINAAPDAVPLVQTTTLQVFVKLAEPIVFLKGFETNGLSEIAPSILRGSLIVRVLKPNKLKSISITFKGISRTEWPEGIPPKREEFSDVETVVNHTWPFYQADDGMNSFTLEHHSSNNSSNRPSMSDEDYLLEKSGASVYIPPTAEPPKDNSNLSLDAYERNSLSSDNLSNKPVSSDVSHDDSKLLAIQKTPLPSSSRRGSVPANFHGNSLSPHTFISDLFTKTFSNSGATPSPEQEDNYLTPSKDSKEVFIFRPGDYIYTFEQPISQSYPESIKANFGSVEYKLSIDIERFGAFKSTIHTQLPIKVVRLPSDGSVEETEAIAISKDWKDLLHYDVVIFSKEIVLNAFLPIDFHFAPLDKVTLHRIRIYLTESMEYTCNSNGNHEKARRLEPTKKFLLAEHNGPKLPHIPAGSNPLKAKNRGNILLDEKSGDLVNKDFQFEVFVPSKFTNSIRLHPDTNYDKIKAHHWIKICLRLSKKYGDNRKHFEISIDSPIHILNQLCSHANTLLPSYESHFQYCDEDGNFAPAADQQNYASHHDSNIFFPKEVLSSPVLSPNVQKMNIRIPSDLPVVRNRAESVKKSKSDNTSKKNDQSSNVFASKQLVANIYKPNQIPRELTSPQALPLSPITSPILNYQPLSNSPPPDFDFDLAKRGAADSHAIPVDPPSYFDVLKADGIELPYYDTSSSKIPELKLNKSRETLASIEEDSFNGWSQIDDLSDEDDNDGDIASGFNFKLSTSAPSENVNSHTPILQSLNMSLDGRKKNRASLHATSVLPSTIRQNNQHFNDINQMLGSSDEDAFPKSQSLNFNKKLPILKINDNVIQSNSNSNNRVDNPEDTVDSSVDITAFYDPRMSSDSKFDWEVSKNHVDPAAYSVNVASENRVLDDFKKAFREKRK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTSPVLVDIREEVTCPICLELLTEPLSIDCGHSFCQACITPNGRESVIGQEGERSCPVCQTSYQPGNLRPNRHLANIVRRLREVVLGPGKQLKAVLCADHGEKLQLFCQEDGKVICWLCERSQEHRGHHTFLVEEVAQEYQEKFQESLKKLKNEEQEAEKLTAFIREKKTSWKNQMEPERCRIQTEFNQLRNILDRVEQRELKKLEQEEKKGLRIIEEAENDLVHQTQSLRELISDLERRCQGSTMELLQDVSDVTERSEFWTLRKPEALPTKLRSMFRAPDLKRMLRVCRELTDVQSYWVDVTLNPHTANLNLVLAKNRRQVRFVGAKVSGPSCLEKHYDCSVLGSQHFSSGKHYWEVDVAKKTAWILGVCSNSLGPTFSFNHFAQNHSAYSRYQPQSGYWVIGLQHNHEYRAYEDSSPSLLLSMTVPPRRVGVFLDYEAGTVSFYNVTNHGFPIYTFSKYYFPTTLCPYFNPCNCVIPMTLRRPSS", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDPSEKKISVWICQEEKLVSGLSRRTTCSDVVRVLLEDGCRRRRRQRRSRRLGSAGDPHGPGELPEPPNEDDEDDDEALPQGMLCGPPQCYCIVEKWRGFERILPNKTRILRLWAAWGEEQENVRFVLVRSEASLPNAGPRSAEARVVLSRERPCPARGAPARPSLAMTQEKQRRVVRKAFRKLAKLNRRRQQQTPSSCSSTSSSTASSCSSSPRTHESASVERMETLVHLVLSQDHTIRQQVQRLHELDREIDHYEAKVHLDRMRRHGVNYVQDTYLVGAGIELDGSRPGEEPEEVAAEAEEAAAAPPLAGEAQAAALEELARRCDDLLRLQEQRVQQEELLERLSAEIQEELNQRWMRRRQEELAAREEPLEPDGGPDGELLLEQERVRTQLSTSLYIGLRLNTDLEAVKSDLDYSQQQWDSKKRELQGLLQTLHTLELTVAPDGAPGSGSPSREPGPQACADMWVDQARGLAKSGPGNDEDSDTGLSSMHSQDSDSLPMCESLV", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEPEAPRRRHTHQRGYLLTRNPHLNKDLAFTLEERQQLNIHGLLPPSFNSQEIQVLRVVKNFEHLNSDFDRYLLLMDLQDRNEKLFYRVLTSDIEKFMPIVYTPTVGLACQQYSLVFRKPRGLFITIHDRGHIASVLNAWPEDVIKAIVVTDGERILGLGDLGCNGMGIPVGKLALYTACGGMNPQECLPVILDVGTENEELLKDPLYIGLRQRRVRGSEYDDFLDEFMEAVSSKYGMNCLIQFEDFANVNAFRLLNKYRNQYCTFNDDIQGTASVAVAGLLAALRITKNKLSDQTILFQGAGEAALGIAHLIVMALEKEGLPKEKAIKKIWLVDSKGLIVKGRASLTQEKEKFAHEHEEMKNLEAIVQEIKPTALIGVAAIGGAFSEQILKDMAAFNERPIIFALSNPTSKAECSAEQCYKITKGRAIFASGSPFDPVTLPNGQTLYPGQGNNSYVFPGVALGVVACGLRQITDNIFLTTAEVIAQQVSDKHLEEGRLYPPLNTIRDVSLKIAEKIVKDAYQEKTATVYPEPQNKEAFVRSQMYSTDYDQILPDCYSWPEEVQKIQTKVDQ", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPSYNHTSNGVNMANFRALGGKNTGRGGTYRAPYEWTLESVEQMGLGATENRMSSTLRDASSQLQAVASATVANDISIVDRALTTKLSQTESLKNMLETCLAEVISEIAELLSTKKRLEERNGKVQAKIGVNSNRMQVRSSRPPREMTMDEVEKGLIKQQGMLGSFSDRVARAVAQVDREVAQLEAVRAKLEADLRDKTEALRVDEAVLSIPTDPTVEGTLSPTFRRGAADCPPKTPHTWVRNTEDNLRNAHHWLADSARLRKAIAHAVANSRATEHDVANRLNENMLAKVAATRNLREDLQAQLEKVREEQARAKGQRSALTSALDDKRGPLAQARERLAVRKARPCRENVNDEVEAALAKEVAHLAAVTQQLSVKVAAVDREIAALDATAAQLESNIADKDDALRVDERVVLLDGRINLAQRPPSSVASFAMSDMSAPRTQTLARIRELEASLTSARREREAMESSIRQLRDTMGGGGAF", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MENKVVEEKQVDKIPLMSPCKMGKFELCHRVVLAPLTRQRSYGYIPQPHAILHYSQRSTNGGLLIGEATVISETGIGYKDVPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRVSNKDFQPNGEDPISCTDRGLTPQIRSNGIDIAHFTRPRRLTTDEIPQIVNEFRVAARNAIEAGFDGVEIHGAHGYLIDQFMKDQVNDRSDKYGGSLENRCRFALEIVEAVANEIGSDRVGIRISPFAHYNEAGDTNPTALGLYMVESLNKYDLAYCHVVEPRMKTAWEKIECTESLVPMRKAYKGTFIVAGGYDREDGNRALIEDRADLVAYGRLFISNPDLPKRFELNAPLNKYNRDTFYTSDPIVGYTDYPFLETMT", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASYTPKNILITGAAGFIASHVANRLIRSYPDYKIVVLDKLDYCSNLKNLNPSKHSPNFKFVKGDIASADLVNHLLITEGIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDALVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGQVLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTKKERRVNDVAKDICKLFNMDPEANIKFVDNRPFNDQRYFLDDQKLKKLGWSERTTWEEGLKKTMDWYTQNPEWWGDVSGALLPHPRMLMMPGGRHFDGSEDNSLAATLSEKPSQTHMVVPSQRSNGTPQKPSLKFLIYGKTGWIGGLLGKICDKQGIAYEYGKGRLEDRSSLLQDIQSVKPTHVFNSAGVTGRPNVDWCESHKTETIRANVAGTLTLADVCREHGLLMMNFATGCIFEYDDKHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNLKGIWNFTNPGVVSHNEILEMYRDYINPEFKWANFTLEEQAKVIVAPRSNNEMDASKLKKEFPELLSIKESLIKYAYGPNKKT", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAATSNSGEDPTLSYHHRSPFRFELLQSISSSDPRYSSLTPSSTNRPFSVSQSLPNSQLSPLISPHWDDSYSQITQKVQKSRKNHRIQLGSIANMSGGESIDIAKVIVKQESSPQNVKRVYNKSKGGTKLLKAGKRMANGEVQNGGLNGASINCRYDSSLGLLTKKFVKLIQEAEDGTLDLNYCAVVLEVQKRRIYDITNVLEGIGLIEKTTKNHIRWKGADNLGQKDLGDQISRLKSEVESMQSEESRLDDLIRERQEALRSLEEDDYCRRYMFMTEEDITSLPRFQNQTLLAIKAPTASYIEVPDPDEMSFPQQYRMVIRSRMGPIDVYLLSKYKGDSAETSDKLGNESDQKAPVGVDTPSLKIVTSDTDLKADYWFESDAEVSLTDLWSNFNS", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MENHKDDAVLLKHGWCEMLKGGVIMDVKSVEQAKIAEEAGAIGVMVLENIPSELRNKEGVARSVDPSKVEEIKKCVSINVLAKVRIGHFVEAQILEELKIDMIDESEVLTIADEMHHIDKHKFKTPFVCGCTNLGEALRRISEGASMIRTKGEAGTGNIIEAIKHIRTVNNEIKYLCSLSDSEVYHFAKKINAPIDLVLLTKKLKRLPVVNFAAGGVATPADAAMCMQLGMDGVFVGSGIFESENPRKMAASIVSAVSNFNNPKILLDVSMNLGKAMCGSTRVSDKWKNKNEEHTKFLTPQ", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MATYKVQIPASFKTSYSFAESLKVSIAISAFSVKVPCEGAANCNSVRLVNSKEPEKYVSDANAIVSFLYWKQEEDLFNSFISSKLSILDWEALQFTPKAYTAKTKEDFAYLLSQLETIFKENEILNEFTPVEVALASDIYFCVLNGAPVREYPLLSAWYLKIEKQKPFVQALKLTFEKTLGQPAVTSTEKIPVSETTRNVNSQHLMRERVPGEKILPKSNERNILITSALPYVNNVPHLGNIVGSTLSADVFARYHRARNHNTLYICGTDEYGTATETKALEEGVSPKELCDKYHALHKEVYDWFEIDFDHFGRTTTPKQTGIAQHIFTKLYNNDYMAIDTMTQLYCEVHQGYLADRYVEGTCPKCGYDDARGDQCDGCGGLLNAFELIDPKCKLDRATPVKRETKHVFLSLDKLQPAVESWAMQSAVEGKWSNNGRSITESWLKEGLRPRCITRDLKWGTPVPLEEFKGKVLYVWFDATIGYISITANYTDEWEKWWRNPEQVKLYQFMGKDNVPFHTVIFPSSLLGTGEKWTMLHHINTTDYLNYETGKFSKSRGVGVFGNTAQDIGLSPSVWRYYLLSSRPETSDTMFTWKEFITRHNSELLANLGNFVNRTLKFTTAKYNGLVPHYLTDPSVGAGKLKADFVKDVNALLAKYNAALEASKLREGLRLAMEISARGNQYLQDNRIDNKCYLYERQKCADAIGYALNLIYLLAAIFYPYMPSTSTSIYKQLNAPAAAIPDTWELCLLPGHRIGEPEYLFTRIDESMEEEWRSKYGGNGSN", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MISKGGSEALLQTLVDTARTAPPDYDILLPLFRLLAKVGLRDKKIGRKALELEALDVTLILARKNLSHGQNLLHCLWALRVFASSVSMGAMLGINGAMELLFKVITPYTRKRTQAIRAATEVLAALLKSKSNGRRAVNRGYVTSLLGLHQDWHSHDTANAYVQIRRGLLLCLRHIAALRSGREAFLAAQGMEILFSTTQNCLDDKSMEPVISVVLQILRQCYPTSPLPLVTASSAYAFPVPGCITTEPPHDLPEEDFEDDGDDEVDKDSDTEDGKVEDDDLETDVNKLSSKPGLDRPEEELMQYEVMCLELSYSFEELQSKLGDDLNSEKTQYANHHHIPAAASSKQHCYSKDQSSCGQEREYAVQTSLLCRVKTGRSTVHLGSKKNPGVNLYQNVQSNSLRRDSSESEIPDIQASPKADAWDVDAIFCPRMSASFSNSTRTREVVKVIDKLLQTHLKRVPFHDPYLYMAKARRTSSVVDFKMMAFPDVWGHCPPPTTQPMLERKCGVQRIRIFEDIRRLIQPSDVINKVVFSLDEPWPLQDNASNCLRFFSKFESGNLRKAIQVREFEYDLLVNADVNSTQHQQWFYFKVSGMQAAIPYHFNIINCEKPNSQFNYGMQPTLYSVKEALLGKPTWIRTGHEICYYKNHYRQSTAVAGGASGKCYYTLTFAVTFPHSEDVCYLAYHYPYTYTALMTHLDILEKSVNLKEVYFRQDVLCQTLGGNPCPLVTITAMPESNSDEHLEQFRHRPYQVITARVHPGESNASWVMKGTLEFLVSSDPVARLLRENFIFKIIPMLNPDGVINGNHRCSLSGEDLNRQWLSPSAHLQPTIYHAKGLLYHLSSIGRSPVVFCDFHGHSQKKNVFLYGCSIKETLWQAACTVGTSTILEEVNYRTLPKILDKLAPAFTMSSCSFLVEKSRASTARVVVWREMGVSRSYTMESSYCGCNQGPYQCTQRLLERTKNERAHPVDGLQGLQFGTRELEEMGAMFCLGLLILELKSASCSHQLLAQAATLLSAEEDALDQHLQRLKSSNFLPKHIWFAYHFFAITNFFKMNLLLHVSPVCDT", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGNSQPRNASRSRRTSQWPQGAAELGASASDHHYHQEELYQEQQQQQQQPQQEQPSSRRIQFQAHTNQPTQSHPPGDEEQPVQSQQQQLSTWSLGSLSGGRLNWSFSGARNSFRHRNKATRKSLTSLHGSRRGKTQWHRPLTNSIFNSHFKETSKNDLYRIDHLVAKGAFGVVFKVSSKSDISQCYALKVLKKSKLIEDNSVRQIKDEADIQKVCGHHPFIVKQIDLWQNRHNLHILSEYVPNGELFSKITHFSIDLVRLYIGEIALALDFLHNAGIIYRDAKPENILLTEQFHIKLTDFGLSKWLKLGANTRTMCGTFKYMAPEILCGEPYGHAVDWWALGVIACQMLTQKSPNIKRHLLRRRESVEPEDGLSNAPSIAQINGCLQDSDGDSEDFLPEEVQHLTHEGRDVLRKLLTIEPRQRIRSVMALQRIAIYKDYNLSSKQLLSLSPREIIARDGIRIYEDRHFDQLTNQCAIDAFLDF", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MERPAPLAVLPFSDPAHALSLLRGLSQLRAERKFLDVTLEAAGGRDFPAHRAVLAAASPYFRAMFAGQLRESRAERVRLHGVPPDMLQLLLDFSYTGRVAVSGDNAEPLLRAADLLQFPAVKEACGAFLQQQLDLANCLDMQDFAEAFSCSGLASAAQRFILRHVGELGAEQLERLPLARLLRYLRDDGLCVPKEEAAYQLALRWVRADPPRRAAHWPQLLEAVRLPFVRRFYLLAHVEAEPLVARCPPCLRLLREARDFQAARYDRHDRGPCPRMRPRPSTGLAEILVLVGGCDQDCDELVTVDCYNPQTGQWRYLAEFPDHLGGGYSIVALGNDIYVTGGSDGSRLYDCVWRYNSSVNEWAEVAPMLKAREYHSSSVLDGLLYVVAADSTERYDHTTDSWEALQPMTYPMDNCSTTACRGRLYAIGSLAGKETMVMQCYDPDTDLWSLVDCGQLPPWSFAPKTATLNGLMYFVRDDSAEVDVYNPTRNEWDKIPSMNQVHVGGSLAVLGGKLYVSGGYDNTFELSDVVEAYDPETRAWSVVGRLPEPTFWHGSVSIFRQFMPQTFSGGRGFELDSGSDDMDPGRPRPPRDPDELH", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MESYDVIANQPVVIDNGSGVIKAGFAGDQIPKYCFPNYVGRPKHVRVMAGALEGDIFIGPKAEEHRGLLSIRYPMEHGIVKDWNDMERIWQYVYSKDQLQTFSEEHPVLLTEAPLNPRKNRERAAEVFFETFNVPALFISMQAVLSLYATGRTTGVVLDSGDGVTHAVPIYEGFAMPHSIMRIDIAGRDVSRFLRLYLRKEGYDFHSSSEFEIVKAIKERACYLSINPQKDETLETEKAQYYLPDGSTIEIGPSRFRAPELLFRPDLIGEESEGIHEVLVFAIQKSDMDLRRTLFSNIVLSGGSTLFKGFGDRLLSEVKKLAPKDVKIRISAPQERLYSTWIGGSILASLDTFKKMWVSKKEYEEDGARSIHRKTF", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSTEPVVFMDIAIDGRLLGRIKIRLFSSIVPKTAENFRQFCTGETLGVNQKPIGYKNSTFHRIIQGFMIQGGDFVSGDGTGSATIFNSRTFPDENFTLKHDRPGLLSMANAGKDSNGCQFFITTVPCDFLDGKHVVFGEVIEGYDIVKEIESTPVGANSRPKSNVAIVECGEM", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MQQTYKPNTSALKDGAPKATVDQKQLLPCKYRVGRVLGGGTYATVREAVHIETNKMYAAKIMNKKMMEKKQDFVKNEIAILKRVSYEHPNILHLVDFFETVNNLYLITELATGGELFDRICAKGSFYEADAAALMRTTTSAVKYLHDNGIVHRDLKPENLLYRSKDPNSDLLIADFGLSHFYEDSQYYMLMTACGTPEYMAPEVFRRTGYGKPVDMWAIGVITYFLLSGYTPFARPSQVEVIEAILANEYTFNDPCWSGISETAKDFIKKCLENDPSKRLTAADALKHPFLSEKRPATSNLLPNVRENFNARKTFRTAYNAVRAFNTWKKLENKH", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSFPTRVVALYPYRSSFSDDLEFDPGQVIDVVSNLDGDWYTGTYVDSDGNRKIGSFPKDFTEPAEDAVFVERASEMALHQPTPTSAVHSRNSSLGYAPSITRSIKSISNNTEHLGADTESYLSANDFIDSTSEALTKIVDVDTLSAPFGNDSNSRPHSLKNVEKLHTFSAPYTISEETPSCSTENDSLPLTATHTITGGEDAATGAAVTNTTTTHITTSTNTSTVIPSNPNSVFLVDCTHSQCPTDLPNIATTQHSLRYLDNASASAITVLERTHPAASSTMATESSHQSPSADSQAEELSKSQRVAKDDDPFVVSNTANSDEPASSSKPAKPLTDLNRAFSQRLNLDPQKPGKSQGEISEQEEDEYDDAESDEMHSPYSTHEPESEPEDQDEPSEKDDENKDVEEEQEQEQEEEQIDPEEAKRIALRERMAKMSGGIGMHVFGLPGLAAPIGRKNTLRRTPAKSSEEAKSTTNDSSPPKDSSSTSTQPTEQSNAQQAPSPKEEERPLPSEPSQNQPAEYRDTPDTPRNIMPLPGLMSADQPIKVTEPSNDADKAIVAEGPNNEEETKGPVIPETQETSEQQVHKTPSPEKQKVLSPPPIITNFDKETLASNEAHEAVPQKPSAPQVTRLMAPQDSSSVVTPSPTSLLDPARAVRKVIDGIDPPKEAGAGATADVESAANSPITPPRTWHSPDFTSKSFEPIERKLPSRISEVTEDSIDEDKQNEVDPSTSARALPPPGLRFGKVDTLASLAHDDLDDLPAVPRIFSPPPLPKTPSGEFGDNEFMFPKKSNRVRGHQSRPSTGSQLRNVVPVSIVTSGGRPALPDEMASPSSSIGHPLPSPPPADFNSLNVDFYEPHSYLESPAPEPQPSYEEESFNATVIHAPTPSTATFQGHPTISNVATPPLKQDVTESKASPVADASATHQSSTGLTQEITQLGSNMRLPTKLTRPSNDGRKASGPRPAAPPSIPPPLPVSNILSSPTSEPPKDHPPSAPLSKPVSTSPAAPLARVPPVPKLSSKAPPVPLPSADAPPIPVPSTAPPVPIPTSTPPVPKSSSGAPSAPPPVPAPSSEIPSIPAPSGAPPVPAPSGIPPVPKPSVAAPPVPKPSVAVPPVPAPSGAPPVPKPSVAAPPVPVPSGAPPVPKPSVAAPPVPAPSGAPPVPKPSVAAPPVPAPSSGIPPVPKPAAGVPPVPPPSEAPPVPKPSVGVPPVPPPSTAPPVPTPSAGLPPVPVPTAKAPPVPAPSSEAPSVSTPRSSVPSPHSNASPSPTSSSMASAAPARTSVSRSKSKAERHETSTSSRKSSKSGEHHHHHNEGHADSSSTRTSLAHQDSRKSLHRHLSRSSSRASKKPSIVSTTGPFNESFSAKPVEPCASEKWWLNSTAVPKSVVQMNDSVLYMIKEGITGQDKKYKSVHILFPDYSQTVLTATFNPHNQNITQLSQLQLAPPAQPSKARLDEEYACYGSTILKKARAYQGSMVGDGSAFTFVNSVMSILAHNLEPINKQTFGGVIYKNVGNVTVQQIGEIRPGDIVTFDKAKFSGQKGTLRSKYSLEVGKPMHYGIISEWDVSKLKIRVLEQGRESKKVSVASYKFGDLKSGEVTVWRVMRRSWLGWN", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MESVSCSAAAVRTGDMESQRDLSLVPERLQRREQERQLEVERRKQKRQNQEVEKENSHFFVATFVRERAAVEELLERAESVERLEEAASRLQGLQKLINDSVFFLAAYDLRQGQEALARLQAALAERRRGLQPKKRFAFKTRGKDAASSTKVDAAPGIPPAVESIQDSPLPKKAEGDLGPSWVCGFSNLESQVLEKRASELHQRDVLLTELSNCTVRLYGNPNTLRLTKAHSCKLLCGPVSTSVFLEDCSDCVLAVACQQLRIHSTKDTRIFLQVTSRAIVEDCSGIQFAPYTWSYPEIDKDFESSGLDRSKNNWNDVDDFNWLARDMASPNWSILPEEERNIQWD", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKVVKEFSVCGGRLIKLSHNSNSTKTSMNVNIYLPKHYYAQDFPRNKRIPTVFYLSGLTCTPDNASEKAFWQFQADKYGFAIVFPDTSPRGDEVANDPEGSWDFGQGAGFYLNATQEPYAQHYQMYDYIHKELPQTLDSHFNKNGDVKLDFLDNVAITGHSMGGYGAICGYLKGYSGKRYKSCSAFAPIVNPSNVPWGQKAFKGYLGEEKAQWEAYDPCLLIKNIRHVGDDRILIHVGDSDPFLEEHLKPELLLEAVKATSWQDYVEIKKVHGFDHSYYFVSTFVPEHAEFHARNLGLI", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "METDCNPMELSSMSGFEEGSELNGFEGTDMKDMRLEAEAVVNDVLFAVNNMFVSKSLRCADDVAYINVETKERNRYCLELTEAGLKVVGYAFDQVDDHLQTPYHETVYSLLDTLSPAYREAFGNALLQRLEALKRDGQS", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEESEGQKCEPNLPPSGDSRQMPQQGRSNLHVTSQEDAACRRPRERLSNGNARAQVSKPARNIPRRHTLGGPRSSKEILGMQPSEMDRKREAFLEHLKQKYPHHATAIMGHQERLRDQTKSPKLSHSPQPPNLGDPVEHLSETSGDSLEAMSEGEVPSPFARGSRTRASLPVVRSANQTKERSLGVLYLQYGDETKQLRMPNEVTSTDTIRALFVSAFPQQLTMKMLESPSVAIYIKDDSRNVYYELNDVRNIQDRSLLKVYNKDPSHAFNHMTKAVNGDMRMQREIVYARGDGLVAPRPGSVAHPPHVIPNSPPSTPVPHSLPPSPSRIPYGGSRPMAIPGNATIPRDRLSSLPVSRSISPSPSAILERRDVKPDEDMSSKNLVMFRNEGFYADPYLYHEGRMSIASSHGGHPLDVPDHVIAYHRTAIRSASAYCSPSLQAEMHMEQSLYRQKSRKYPDSHLPTLGSKTPPASPHRVGDLRMIDLHPHLNTHGPPHTLQPDRASPSRQSFKKEPGTLVYIEKPRNTSGLSSLVDLGPPLVEKQGFAYSTTTIPKDRETRERMQAMEKQIASLTGLVQSALFKGPITSSSKEASSEKMVKATANRNQADGAGTAHVSAGKVLGSVEFSLPPSQPLPAGTSPIHTSLLDMRRNVAELRLQLQQMRQLQLQNQEILRAMMKKAELEISNKVKETMKRLEDPVQRQRTLVEQERQKYLHEEERIVKKLCELEDFVEDLKKDSSSTGRVVTLKDVEDGAFLLRQVGEAVATLKGEFPTLQNKMRAVLRIEVEAVRFLKEEPHKLDSLLKRVRSMTDVLTMLRRHVTDGLLKGTDASQAAQYVAMEKATAAEVLKHQEETAHAPGQPLHCSTGSPGDVKSEVVPLSTMTVHHVQSSPVVMQPSQHSSALMNPAQNLPGGTRPHTASPPAITQEVTSAQSAPGPQSPQTPVNGSSMQSLFIEEIHSVSAKNRAVSIEKAEKKWEEKRQNLEHYNGKEFEKLLEEAQANIMKSIPNLEMPPASSPVSKGDAAGDKLELSEDSPNSEQELDKIGGKSPPPPPPPPRRSYLPGSGLTTTRSGDVVYTGRSMSKVSSEDPGPTPQTRATKCPPEEPASAWAPSPPPVPAPSSKEEEEEEEEGDKIMAELQAFQKCSFMDVNPNSHAEQSRANSHLKDTRAGATAPPKEKKNLEFYHEDVRKSDVECENGPQVESQKVTAGALRPSGPPKWERVMVDSISDTSRTSECRADTFTEENATPNKSLFRDSRNYSQKNVPKVSFSSSGLNSLEGEINKGPNVSGLQCAIPDLENQKLNFGKTKEIGQQGQENADKSHIPLPTRSAEFSIHDVKTQDQDVPVTGYGQVVLRSKVGRHANMNMNEDGESTPSSPSEEHTATDNIAFMITKTAVQVLSSGEVHDIVSQKGQDVQTVNIDGRKETASQHEGTEGEEPVVCLDKKPVIIIFDEPMDIRSAYKRLSTIFEECDEELERMLTEEKIEEEEEDENEDSGVRTSSQMSCEQVDSRSDRMGQKAETQSQPHVLSAELLTPGVQGVRKAEQRKLSSADSPDSGNKCGMVDDQFESPKKKFKFKFPKKQLAALTQAIRTGTKTGKKTLQVVVYEEEEEDGTLKQHKEAKRFEITRSQPEDALKTMARRQEQLSPEGTLPASRTDEIRKSTYRTLDSLEQTIKQLENTISEMSPRALVDTSCSSNRDCGASLPHMAQEVSPRSLLVLDEVPPAPEPPTSISPASRKGSSTTPQTSRMPVPMTSKNRPGSLDKASKQSKLQDPRQYRQANGSAKKAGGDCKPTSPSLPASKIPALSPSSGKSSSLPSASGDSSNLPNAPATKPSIASTPLSPQAGRSAHSASLIPSVSNGSLKFQSPPHAGKGHHHLSFALQTQNGRAAPTTSSSSSPPSPASPTSLNQGARGIRTIHTPSLASYKAQNGSSSKATPSTAKETS", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGENADGDQVMENLLQLRCHFTWKLLFENNDIPDLEVRISEQVQFLDIKNPLGMHNLLAYVRHLKGQQDEALQSLKEAEALIQSEQLSKRSLATWGNCAWLHYHRGSLAEAQIYLDKVEKVCKEFSSPFRYRLECAEMDCEEGWALLKCGGGNYKQAMACFAKALKVEPENPEYNTGYAVVAYRQDLDDNFISLEPLRKAVRLNPEDPYLKVLLALKLQDLGEHVEAEAHIEEALSSTSCQSYVIRYAAKYFRRKHRVDKALHLLNRALQASPSSGYLHYQKGLCYKQQISQLRTSRNRQPRRQDNVQELAQQAIHEFQETLKLRPTFEMAYVCMAEVQAEIHQYEEAERNFQKALNNKTLVAHIEQDIHLRYGRFLQFHKQSEDKAITLYLKGLKVEEKSFAWRKLLTALEKVAERRVCQNVHLVESTSLLGLVYKLKGQEKNALFYYEKALRLTGEMNPAF", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSGSCQFLSRETLSSSLGWIPLINALREIFTENVVCPTRLHYPIDEDNPSSTANNILLIMPCWIPGKFLGVKQVNVFPENTKHGLPSLSSHYLLSDATTGCHLAQLDGNELTSRRTAAASALASSYLSKEDSTSLLIIGSGKVAEKLIHAHCSVRPIRSVRIWNHRFESAKSLASRASKELPSIQIDAVPIQNLENAVKCSDIISSATLSKKPIIVGSWINPGTHIDLVGGFTPHMHEADSKCIQISNVFVDTRKGALHEAGDLLTPIKEGLFSPNEVIADLFDLCNNKHSGRSQLKNPAEAITLFKSVGDSREDLAAASLAYKVHNKSS", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAKRTKKVGITGKYGVRYGSSLRRQVKKLEIQQHARYDCSFCGKKTVKRGAAGIWTCSCCKKTVAGGAYTVSTAAAATVRSTIRRLREMVEA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MYPNSPSLGRIPLPLPCEQQQQASGYSDKLPVSAAPELLSPEQFIQASLNIQKHANLSRMLMNGNVLTVPPVSSPPWAYLNHSPLISPGSPSSSFQNRKRRSDEGNVSYDVKRQRFHSPQEQTVNHQAVPLRGDLRCSYPGSPAFPLLQSPSPPVLKEHVSNSGDCWLYDHIDTTLPVAEDKLSQQILDLFQALQQQVCDIKKKDICRAELQREIQQIFPQSRLYLVGSSLNGFGTRISDADLCLVLKEEPMNQHTEATQILGLLHKLFYTRLSYIERLQFIRAKVPIVKFRDKVSGAEFDLNVNNVVGIRNTFLLRTYAYLESRVRPLVLVIKKWANHHGINDASRGTLSSYTLVLMVLHYLQTLPEPILPSLQKKYPECFDLSMQLNLVHHAPRNIPPYLSKNETPLGDLLLGFLKYFAVEFDWSKDIISVREGKALPRSDDYLWRNKYICVEEPFDGTNTARAVYERQKFDMIRAEFLKAWGALRDDRDLYSLLPVTAIVKKMNSL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTTQQIVLQGPGPWGFRLVGGKDFEQPLAISRVTPGSKAAIANLCIGDLITAIDGEDTSSMTHLEAQNKIKGCADNMTLTVSRSEQKIWSPLVTEEGKRHPYKMNLASEPQEVLHIGSAHNRSAMPFTASPAPSTRVITNQYNSPTGLYSSENISNFNNAVESKTSASGEEANSRPVVQPHPSGSLIIDKDSEVYKMLQEKQELNEPPKQSTSFLVLQEILESDGKGDPNKPSGFRSVKAPVTKVAASVGNAQKLPICDKCGTGIVGVFVKLRDHHRHPECYVCTDCGINLKQKGHFFVEDQIYCEKHARERVTPPEGYDVVTVFRE", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSTQSTHPLKPEAPRLPPGIPESPSCQRRHTLPASEFRCLTPEDAVSAFEIEREAFISVLGVCPLYLDEIRHFLTLCPELSLGWFEEGCLVAFIIGSLWDKERLMQESLTLHRSGGHIAHLHVLAVHRAFRQQGRGPILLWRYLHHLGSQPAVRRAALMCEDALVPFYERFSFHAVGPCAITVGSLTFMELHCSLRGHPFLRRNSGC", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVYYPELFVWVSQEPFPNKDMEGRLPKGRLPVPKEVNRKKNDETNAASLTPLGSSELRSPRISYLHFF", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGVEDYHVIELVGEGSFGRVYKGRRKYTGQTVAMKFIMKQGKTDKDIHSLRQEIEILRKLKHENIIEMLDSFENAREFCVVTEFAQGELFEILEDDKCLPEEQVQAIAKQLVKALDYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVKEQPYDRTVDLWSLGVILYELYVGQPPFYTNSVYALIRHIVKDPVKYPDEMSTYFESFLKGLLNKEPHSRLTWPALREHPFVKETQEEVEAREIHTAVVDNKAAWMLKGNGGQQRNEKCDSVTLVEDMSATKGLADVQSDMKSAVKVNSPPTEDFVGFPTQEEIKSSGNPTLDKLENTSRTVKGAQVIGENDKALDLVLLSLERFSKSPDSKRDKDVACSVQSLRIISNLVATRAIVSVGLIEKITCALLDFTDALVGMKSPEFNNIIPKSLSVTKNLVGHVEGNNIHSSYIRHWTKVVEIFIQVVRWEEEGTGRIIYEACSCITTMLSRVAQDLKSSTPDSVSKQILEHANMSRIVDHLCLCLASSGSSLTSGSSQMLAAACEACRAIWILIDTSETFFKNDDVNILPLDALQNRLSQHDIGNSEWGPLSEKLVDTVTRAYLRSKHVQVAVGHCLHQRVEAPLVSAIQLLSRCCLHNGILPSMLCGLPSSLPITTVVSGGEDGTVISEIFSILSYATLSSKDQQTGEKDNFEGRLNNLVFHSCLMLATVAQCLKLTGRNSVLLMLTTSPKKHQHRLSAIANHIASDDKIEASLQNHSASAMLALASILALEKGSSAGSSVSELVVSLIPRATKLCYHLRPMPSNEGEVISHSANYAKWHGLLDGCIGLLESRLKWGGPLAVQQLIASGTPLLLINLLAGKLSNASPEDIKKTSNRIGLSPIGVVWTISSICHCLSGGTTFRQVLVKIETMKLITCLLSDAHIKLVKSWGGPGGGKDGVRETINVIIDLLAFPFVALQSQPGSLSATASVNSGFILNIGSPGVRVCMEDRDLLKAIEEDMDKYIIVLLEVGVPSLILRCLDHLELKDLVRPVAFLAKMVGRPRLAVDLVSKGLLDPNRMKKLLNQSSPREVILDILMIISDLSRMDKAFYKYIGEASVLQPLKEYLTHVDPNIRAKACSALGNMCRHNGYFYSALAEHQIIGLLIDRCADPDKRTQKFACFAIGNAAYHNDTLYEELRRSITQLANVLTTAEEDKTKANAAGALSNLVRNSNKLCEDIVSKGALQTLLRLVADCSTLALNPSKKETASESPLKIALFSLAKMCSNHQICRQFVKSSELFPVIARLKQSPEANIAHYASVIVAKVSGES", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTNERHDELACLGIFPLKNPKQLDGVVTPILQLRVKFLAPTDRWWEGLVINKDLSKEESEELWKFLQSFDPRSAKFVGRGTPEDHVISYLFEAGKYEFEVFFYQKDHSLKLMGLYDKTQKQLLRRDSSGDLTSTDKERDVSPVSHSEKPYWDRYDLDQPSNQDVEESRNLVQEPKHRSKKYDRLGLDELVMEQTASLWKICSRNGMSVDEFLRFIRMGLESNFQHSNQVIPTHF", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDSDSPILPLSNNPPAARTHDHSQRNNHARHVSSSGTTLFAPVKPATKKYNYRRVSPHGSYISPLEAMTVKLADTYSICNPKFQFSSEQNPRRPLTKPSEGVHNHGFDNVNHDYVLYVNDLLGTDEGRKYLILDTLGHGTFGQVARCQDLKTQQIVAIKVIKNKPAFYNQCVMEVSILELLNNKYDPEDKRHLIRLYDQFMHKNHLCLVFELLSINLYELIKQNQFRGLHLSLVRSFATQLLSCTSLLKQARIIHCDLKPENILLQDLSSPIVKVIDFGSACHERQTVYTYIQSRFYRSPEVILGLHYNCGIDMWSLGCILAELFLGLPLFPGNSEYNQLCRIVDMLGNPPTWMLEMGKNSKKYYNSGFVNGRKTYELKSIEQFSIENNKTEQPGKQYFGEKTLDAIVLNYPRRKTTPKLTPEEHEERLCFIDFIKQFLELNPLKRWTPDQAKNHPFITGASFSQYCIDKQKPLLTTQRTRNRSHTIGNQAVVPPSLQRASTYVSNEPEEFVHTRPLPQYYPPANENENVDEFF", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSGGNEEDQLAQCQAYVQRHNIQQLVKEAIVVLCIHKPDNPVLFLKDHFEKLNEQRAQEGGNPDAADDDDIIVEPPKRSGGRRTGISAEPIKEDDTEYKKVVIPKDDATRRSLESAMRKNLLFAHLEEDEQKTMYDAMFPVEKSAGETIIEQGEEGDNFYVIDKGTVDVYVNHEYVLTINEGGSFGELALIYGTPRAATVIAKTDVKLWAIDRLTYRRILMGSVTKKRKMYDEFLSKVQILADLDQWERANVADALERCDFEPGTHVVEQGQPGDEFFIILEGEANVLQKRSDDAPFDVVGHLGMSDYFGEIALLLDRPRAATVVAKTHLKCIKLDRNRFERVMGPVREILKRDVSNYNSYVKLMT", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGFLSDHPHTAITETIFRIVSSRDYTLEVELAPLIQLIKADHNDYNYTVNQEEAARALRKKIKYGNRLQQSRTLDLLDLFISQGVKFTVMYNDDKLLQRLRGMATNSENSGSGEKYEPRIIKKCAAYAISWLNYITQNNLENARAYSGLYQLGQTVKQRYSKSSRSRRSGGGSGGRSNFMDDSADDTLYQSNSLTSADRLYRIPQINMNKEAPRIRLIISDALASAVSLQNSLIGLPKGKFSTDDEEATSKFIQARAIRRKVLRYLQLVTEGEFLGSLIHANDELVAALTAYDDRSAQDDSSDESDHGSYDDGIYDENEQDNSRYIDSESSEEESLSSYQPSTISNPFGDHNKI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSQEEKVDAKATLKTEISNNKKNDKQELELDELVGKLSIEGTPQVSQKLSKEEKHAHQLEADSRSIFVGNITPDVTPEQIEDHFKDCGQIKRITLLYDRNTGTPKGYGYIEFESPAYREKALQLNGGELKGKKIAVSRKRTNIPGFNRHYNSQNQYFQQWQWNYPLMAYPNPDTFPYYPPYPPNQSPNQNFGYNKNNYYRSPYNNKNRTFQKKHFNSAKDSTKNIRSTSQKPVVMPSDNVKSSTQEKDSK", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPSEVTPKVPERPSRRKTSELFPLSGSESGDIKANSEPPTPAGTPNVPTRRPILKAKTMTSFESGMDQESLPKVPLQRPVRRSTTEELNNVMNNTSKELEEIESLISKHNIHNVSRKKSPTSVEEGKVAAIHQNGQRSASDNKTSTNPSPLEKNEHEGAEGNESAISPSNLVNKSNNEVTEHSDSEDLTEKQKVHAALDNEAGDRSHFEEKLIPGDMKVQVDVSKDVEEGSLNALPPSGITESDDKAEKFTKHPESSLEELQKHQEQQEEKIFQNPTDEESTTSLNEKQEGKDNMEVNSQPQGPSDTETVIAATSSNVPSQIASEEENDVPVIPRSRPKKDFEAHVQKEELPNTQEKRVSEECDSTLISTEEESKIPKIPSERPKRRAPPPVPKKPSSRIAAFQEMLQKQQQQDLHNNGNSSATTASADIAKKHTDSSITSDTTKADFTSKLNGLFALPGMVNPGQLPPSLEKKLSSPDTESKLGPQDQSQAKTGPLGGTRRGRGPRGRKLPSKVASVEKIEEDDNTNKIEIFNNWNVSSSFSKEKVLIDTTPGEQAERALDEKSKSIPEEQREQSPNKMEAALCPFELDEKEKLPANAESDPLSQLPQTNAVGNRKAISEESLSPSEAIANRDQNDTTEIQEQQMEDQMEVDMERELSGGYEDVDSALHSEEASFHSL", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSWAGPEEIYLSTSLASYLDRKLLVLLRDGRKLMGTLRSFDQFANAVLEGACERVIVGEQYCDIPLGLYVIRGENVVLIGELDTEREELPPHMIRVSEAEIKRAQKVEREASELRGTMRKRMEFLDFD", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKRYDTLHVWKLIKLLICKFLLFHDFGTALFTSLVKASMLGFHNWRRTYWLYLKKLRPINDTYDAPFAIGCKNVAYEASQYPRMNATFMVLARNSDLDGVLSSMNSIERRFNRHFKYPYVFLNDEPFTTEFKKAVKDATDSSIQFGVLDDELWNFPKDVDKDMIDESIAEQVGVVYANFPSYHKMCRFFSRNFYKHPLMQQYEWYWRLEPEVTFSCDISYDPFYYMDKHNKVYGYVIAIKELAKTVPNLFRYTVAHQKISNLPTTDLWSFFLDKRYETRIKKLKEEQKDQGYYVLPEPPLNRIDGQIYNLCHFWSNFEIARLDFYNSKEYNEYVDALENAGGFWTERWGDAPVHSLAVGLLLNRSQVHYFRDLGYQHSTIQHCGQEYGCNCDCPFNIPDYETKPGSCINEWASVMGGFLDE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRSRNQGGESSSNGHVSCPKSSIISSDGGKGPSEDAKKNKANRKEEDVMASGTIKRHLKPSGESEKKTKKSVELSKEDLIQLLSIMEGELQAREDVIHMLRTEKTKPEVLEAHYGSAEPEKVLRVLHRDAILAQEKSIGEDVYEKPISELDRLEEKQKETYRRMLEQLLLAEKCHRRTVYELENEKHKHTDYMNKSDDFTNLLEQERERLKKLLEQEKAYQARKEKENAKRLNKLRDELVKLKSFALMLVDERQMHIEQLGLQSQKVQDLTQKLREEEEKLKAVTYKSKEDRQKLLKLEVDFEHKASRFSQEHEEMNAKLANQESHNRQLRLKLVGLSQRIEELEETNKSLQKAEEELQELREKIAKGECGNSSLMAEVESLRKRVLEMEGKDEEITKTEAQCRELKKKLQEEEHHSKELRLEVEKLQKRMSELEKLEEAFSRSKSECTQLHLNLEKEKNLTKDLLNELEVVKSRVKELECSESRLEKAELSLKDDLTKLKSFTVMLVDERKNMMEKIKQEERKVDGLNKNFKVEQGKVMDVTEKLIEESKKLLKLKSEMEEKEYSLTKERDELMGKLRSEEERSCELSCSVDLLKKRLDGIEEVEREINRGRSCKGSEFTCPEDNKIRELTLEIERLKKRLQQLEVVEGDLMKTEDEYDQLEQKFRTEQDKANFLSQQLEEIKHQMAKHKAIEKGEAVSQEAELRHRFRLEEAKSRDLQAEVQALKEKIHELMNKEDQLSQLQVDYSVLQQRFMEEETKNKNMGREVLNLTKELELSKRYSRALRPSGNGRRMVDVPVASTGVQTEAVCGDAAEEETPAVFIRKSFQEENHIMSNLRQVGLKKPMERSSVLDRYPPAANELTMRKSWIPWMRKRENGPSTPQEKGPRPNQGAGHPGELVLAPKQGQPLHIRVTPDHENSTATLEITSPTSEEFFSSTTVIPTLGNQKPRITIIPSPNVMSQKPKSADPTLGPERAMSPVTITTISREKSPEGGRSAFADRPASPIQIMTVSTSAAPTEIAVSPESQEVPMGRTILKVTPEKQTVPAPVRKYNSNANIITTEDNKIHIHLGSQFKRSPGPAAEGVSPVITVRPVNVTAEKEVSTGTVLRSPRNHLSSRPGASKVTSTITITPVTTSSTRGTQSVSGQDGSSQRPTPTRIPMSKGMKAGKPVVAASGAGNLTKFQPRAETQSMKIELKKSAASSTASLGGGKG", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLVDERQMHIEQLGLQSQKVQDLTQKLREEEEKLKAVTYKSKEDRQKLLKLEVDFEHKASRFSQEHEEMNAKLANQESHNRQLRLKLVGLSQRIEELEETNKSLQKAEEELQELREKIAKGECGNSSLMAEVESLRKRVLEMEGKDEEITKTEAQCRELKKKLQEEEHHSKELRLEVEKLQKRMSELEKLEEAFSRSKSECTQLHLNLEKEKNLTKDLLNELEVVKSRVKELECSESRLEKAELSLKDDLTKLKSFTVMLVDERKNMMEKIKQEERKVDGLNKNFKVEQGKVMDVTEKLIEESKKLLKLKSEMEEKEYSLTKERDELMGKLRSEEERSCELSCSVDLLKKRLDGIEEVEREINRGRSCKGSEFTCPEDNKIRELTLEIERLKKRLQQLEVVEGDLMKTEDEYDQLEQKFRTEQDKANFLSQQLEEIKHQMAKHKAIEKGEAVSQEAELRHRFRLEEAKSRDLQAEVQALKEKIHELMNKEDQLSQLQVDYSVLQQRFMEEETKNKNMGREVLNLTKELELSKRYSRALRPSGNGRRMVDVPVASTGVQTEAVCGDAAEEETPAVFIRKSFQEENHIMSNLRQVGLKKPMERSSVLDRYPPAANELTMRKSWIPWMRKRENGPSTPQEKGPRPNQGAGHPGELVLAPKQGQPLHIRVTPDHENSTATLEITSPTSEEFFSSTTVIPTLGNQKPRITIIPSPNVMSQKPKSADPTLGPERAMSPVTITTISREKSPEGGRSAFADRPASPIQIMTVSTSAAPTEIAVSPESQEVPMGRTILKVTPEKQTVPAPVRKYNSNANIITTEDNKIHIHLGSQFKRSPGPAAEGVSPVITVRPVNVTAEKEVSTGTVLRSPRNHLSSRPGASKVTSTITITPVTTSSTRGTQSVSGQDGSSQRPTPTRIPMSKGMKAGKPVVAASGAGNLTKFQPRAETQSMKIELKKSAASSTASLGGGKG", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPFITSRPVAKNSSHSLSETDLNQSKGQPFQPSPTKKLGSMQQRRRSSTIRHALSSLLGGANVHSPAVLNNTTKGGNNNGNIRSSNTDAQLLGKKQNKQPPPNARRHSTTAIQGSISDSATTTPRSSTSDTNRRTSGRLSVDQEPRISGGRYSQIEEDSTVLDFDDDHNSSAVVSSDLSSTSLTRLANSKKFNEQFLIEYLTARGLLGPKTVLSNEYLKISISTSGESVFLPTISSNDDEYLSRLNGLNDGTDDAEADFFMDGIDQQEGNTPSLATTAAATESGGSINENRDTLLRENNSGDHPGSGSELNTRSVEIDSSMVSYSIAVIVSVKKPTRFTDMQLELCSRVKVFWNTGVPPTKTFNEEFYNAASMKWNLNDENFDLFVPLSISPDDQMIENNSNDRQMRLFKNIPTEERLYLDKTKTKASLLNAIDVNKTHLYQPGDYVFLVPVVFSNHIPETIYLPSARVSYRLRLATKAINRKGFYRQDSNSPQPIVSPDSSSSLSSTTSSLKLTETESAQAHRRISNTLFSKVKNHLHMSSHQLKNEESGEEDIFAEYPIKVIRTPPPVAVSTANKPIYINRVWTDSLSYEISFAQKYVSLNSEVPIKIKLAPICKNVCVKRIHVSITERVTFVSKGYEYEYDQTDPVAKDPYNPYYLDFASKRRKERSVSLFEIRTKEKGTRALREEIVENSFNDNLLSYSPFDDDSDSKGNPKERLGITEPIIIETKLKFPKYEDLDKRTAKIIPPYGIDAYTSIPNPEHAVANGPSHRRPSVIGFLSGHKGSKSHEENEKPVYDPKFHQTIIKSNSGLPVKTHTRLNTPKRGLYLDSLHFSNVYCRHKLEIMLRISKPDPECPSKLRHYEVLIDTPIFLVSEQCNSGNMELPTYDMATMEGKGNQVPLSMNSDFFGNTCPPPPTFEEAISVPASPIVSPMGSPNIMASYDPDLLSIQQLNLSRTTSVSGPSGYSDDAGVPNVNRNSISNANAMNGSISNSAFVSGNSGQGVARARATSVNDRSRFNNLDKLLSTPSPVNRSHNSSPTNGLSQANGTVRIPNATTENSKDKQNEFFKKGYTLANVKDDEEQEGIVSSSSADSLLSHGNEPPRYDEIVPLMSDEE", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLGKGVVGGGGGTKAPKPSFVSYVRPEEIHTNEKEVTEKEVTLHLLPGEQLLCEASTVLKYVQEDSCQHGVYGRLVCTDFKIAFLGDDESALDNDETQFKNKVIGENDITLHCVDQIYGVFDEKKKTLFGQLKKYPEKLIIHCKDLRVFQFCLRYTKEEEVKRIVSGIIHHTQAPKLLKRLFLFSYATAAQNNTVTDPKNHTVMFDTLKDWCWELERTKGNMKYKAVSVNEGYKVCERLPAYFVVPTPLPEENVQRFQGHGIPIWCWSCHNGSALLKMSALPKEQDDGILQIQKSFLDGIYKTIHRPPYEIVKTEDLSSNFLSLQEIQTAYSKFKQLFLIDNSTEFWDTDIKWFSLLESSSWLDIIRRCLKKAIEITECMEAQNMNVLLLEENASDLCCLISSLVQLMMDPHCRTRIGFQSLIQKEWVMGGHCFLDRCNHLRQNDKEEVPVFLLFLDCVWQLVHQHPPAFEFTETYLTVLSDSLYIPIFSTFFFNSPHQKDTNMGREGQDTQSKPLNLLTVWDWSVQFEPKAQTLLKNPLYVEKPKLDKGQRKGMRFKHQRQLSLPLTQSKSSPKRGFFREETDHLIKNLLGKRISKLINSSDELQDNFREFYDSWHSKSTDYHGLLLPHIEGPEIKVWAQRYLRWIPEAQILGGGQVATLSKLLEMMEEVQSLQEKIDERHHSQQAPQAEAPCLLRNSARLSSLFPFALLQRHSSKPVLPTSGWKALGDEDDLAKREDEFVDLGDV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MALKRIQKELSDLQRDPPAHCSAGPVGDDLFHWQATIMGPPDSAYQGGVFFLTVHFPTDYPFKPPKIAFTTKIYHPNINSNGSICLDILRSQWSPALTVSKVLLSICSLLCDPNPDDPLVPDIAQIYKSDKEKYNRHAREWTQKYAM", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASVFMCGVEDLLFSGSRFVWNLTVSTLRRWYTERLRACHQVLRTWCGLRDVYQMTEGRHCQVHLLDDRRLELLVQPKLLSRELLDLVASHFNLKEKEYFGITFIDDTGQENWLQLDHRVLEHDLPKKPGPTLLHFAVRFYIESISFLKDKNTVELFFLNAKACVHKGQIEVDSETIFKLAALVLQESKGDYTSDENARKDLKTLPVFPTKTLQEHPSLAYCEDRVIEHYLKIKGLTRGQAVVQYMKIVEALPTYGVHYYAVKDKQGLPWWLGISYKGIGQYDLQDKVKPRKLFQWKQLENLYFREKKFAVEVHDPRRISVSRRTFGQSGLFVQTWYANSSLIKSIWVMAISQHQFYLDRKQSKAKIPSARSLDDIAMDLTETGTQRGSKLVTLEAKSQFIMASNGSLISSGSQDSEGMEEQKREKILELKKKEKLLQEKLLQKVEELKKICLREAELTGRMPKEYPLNIGEKPPQVRRRVGTTFKLDDNLLPTEEDPALQELESNFLIQQKLVEAAKKLASEPDLCKTVKKKRKQDYTDAVKRLQEIENSINEYRIRCGKKPSQKAAVVPPEDIIPSESSSLSDTTTYDDPNDSFTLAGQRPSSVPHSPRILPPKSLGIERIHFRKSSINEQFMDTRHSREMLSTHSSPYKTLERRPQGGRSMPTTPVLTRNAYSSSHLEPDSSSQHCRQRSGSLESQSHLLSEMDSDKPFFTLSKSQRSSSTEILDDGSSYTSQSSSEYYCVTPAASPYYTTQTLDTRARGRRRSKKHSVSTSNSGSMPNLAQKDPLRNGVYSKGQDPPPSGYYIAGYPPYAECDLYYSGGYVYENDTEGQYSVNPSYRSSAHYGYDRQRDYSRSFHEDEVDRVPHNPYATLRLPRKAAVKSEHITKNIHKALVAEHLRGWYQRASGQKDQGHSPQTSFDSDRGSQRCLGFAGLQVPCSPSSRASSYSSVSSTNASGNWRTQLTIGLSEYENPVHSPYTSYYGSIYNPLSSPSRQYAETTPLDGTDGSQLEDNLEGSEQRLFWHEDSKPGTLV", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSEQERIQDCLRKEIRSLLISTKDGLTPQQLEKEYLLMVGNHLPLRILGYRSTMELVLDMPDVVSVCPGGDGTVILKAIPDESTKGIASLVAKQRRSHKVRNSMPKGRPSICSGRVPYRGRVPPILPAVVKSELKDLLALSPVLLSDFEKAFARRFGRSFQYMQYGFLSMFEVLNAASDVISVEQTRAGSLLTLKKSVSEDKQRGWPAGKVFTQPFRMKQQGSYSTGFPVMKAHFSQPISNMEPPKQVLSMAKTPTFNSVEASRLNHTEKLNQLESTFKSVIAQIGPGGTVDPELKRKIQFVVSKFPQGLFISKLLGEFELTFKEQLSPKQLGFLNVTELVGALSDILRVEFSEERQDLLVFDADLKPLPSGGPLSSVRNSCLVQPDKKTEANPWTSSLSRNSLSTVAVKKTTWDCPLKNQKEPEQKIYKKPNLVVKPLQLQVETNKPQLSLSVANHDIPPDAVRAKKLCRLPPLDTSTLVGVFVEYIISPSQFYIRIYSRDSSELLEDMMIEMRRCYSNQLVSDRYIMPEYFIQPGHLCCVKISEDKWWYRVIIHRILGKKEVEVFYPDFGNIGTVQKSSLRFLKCCYTKLPAQAIPCSLAWVRPAEEHWTARAILHFQKLCGLKPLVGVVDEYIDGILNIFLCDTSSNEDVYFHHVLRTEGHAIVCRENAPSKGFRDFNPPALYTNASSAGDMVLTDLGHPAQQHYLNEDQEILQQAQQDINDGKCISYLKSAPKELLKDSKLSSLKTHKSCEEDPRWSILQPKDLKEENEDEVPTGMPCLESVTIGDDVWDENWLPLQAKMGKAGSPASQLFTSNLVGKKQYQTGGEMAQKDWCFSTSKDIWDDSWQPLGLANDVKGGIHTPEGPIAQEKNTSTTRIQQQPDLQYPLDSSTLPKLEEFYISLIKSQQSAERSQSEPASIQTHAGRAASKALSSTPAVGDSPENHSGSVESSPGSLKKEDVSNSRAEATAKDKSQGAIDQLSFILSPQHQISQKLYIPRSTATAVLGAAARLATSRSLLHWYPSVKGGKLEAERDGVK", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSHSSLSWLSNFNVETVPSKYLRRSSIIGTIGPKTNNVDVLVKLRKAGLNVVRMNFSHGSYEYHQSVIDNARKSEEVYKGRPLAIALDTKGPEIRTGTTIGDKDYPIPPNHEMIFTTDDAYKTKCDDKVMYIDYKNITKVIAPGKIIYVDDGVLSFEVISVDDEQTLKVRSLNAGKISSHKGVNLPGTDVDLPALSEKDIADIKFGVKNKVHMIFASFIRTANDVLEIRKVLGEEGKDIQIISKIENQQGVNNFDEILEVTDGVMVARGDLGIEIPAPQVFVVQKQLIAKCNLAAKPVICATQMLESMTYNPRPTRAEVSDVGNAILDGADCVMLSGETAKGNYPVEAVSMMHNTCLTAEKAIAYPQLFNELRSLAKKPTATTETCAVAAVSAAYEQDAKAIVVLSTSGLSARLVSKYKPDVPILMVTRNERAAKFSHLYRGVYPFIYDKPSIENWQEDVENRLRWAVSEAVELGIISKGDSIVTVQGWTRGSGHSNTVRIVQA", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLTTESPTTTTTTTTTTTSSPSSDIRDKFIFGNLEVKVKEVKGCQLHFLNVKCELALKKTEIKTKPLANHTFFDVFSFRVSAATSELEIEAWKKNFLFKDKMTGSLTIPINDLLHANGEAKWYPLSNKKPRSSRVKKENITNSNNKDNNTASPSSPDEAQEKGDEDQHHSADESPAEETSPTTGRPRSVSMPAKKVKAAPEICLEIKFVLNEPPKEVLKGIVLDGVWNSENNFGSLINNPHWIKCTQYLLSIKDEITPITLKLRQPEGTDQRCSFFVINYDPFYNGSKKVILDTTNDIKKVSSFNSPIPATSVDCKIDLEPGQYCIIPYAESFAFSGTYKFNLDSEKLDNCEFYALPKSQEQAWNEITVDGLWTTATNGGGDINILGWTKNPQYSFTLTKKSRACVLLSQDDNDKSVGFYVIKQLDAGKRAIEFREQVGKTESFKFSCSTGCTLTLDEGTYIVIPSTYDHGIEGAFHLTLFTDDKNATFQPLTNAFQEVEQVKGTWVGKSAGGSPNQPTFFNNPQFHLKVPASDKDEVIAVQLIQDSTIADEGIGFIVLSRDSHSEPLTAQDFQNEMVFTKTSNWEKRNDIVCRLHVKPESPREFTIIPSTFDPSVNRSFKLQVYSDVSISLDEIEQKDESSDSEQNDD", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGRVRTKTVKRASKALIERYYPKLTLDFQTNKRLCDEIATIQSKRLRNKIAGYTTHLMKRIQKGPVRGISFKLQEEERERKDQYVPEVSALDLSRSNGVLNVDNQTSDLVKSLGLKLPLSVINVSAQRDRRYRKRN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGVADLIKKFESISKEEGDATVDTNSSSKPLKSNDETKELHQQESTAVPQEVDVNEEFENEPETINSSRTAEKPLETNLPKPETNEEDEEEGSMSENKIYSKGENADINVNDFQEYKEMENTGAEVLASSVEESDAIQEGVAEETEGIATPKQKENEKNDESEEESANNASEPAEEYSQSEEDADIEQSNGKETENAENASQQANDGSTSTTTSKNKKKKNKKKNKKKRNGNVNTNANVDDSTKTGENDDTTGDTTSSTTSAIQEVNDLEVVDDSCLGIDQQHNREHLKALTQDVKEETLENIAHEGRGDNTGDQNAVEKSDFEKSDTEGSRIGRDLPFEFGKRNLTEESDVWDHNAWDNVEWGEEQVQQAEEKIKEQFKHPVPEFDKKLYNENPARYWDIFYKNNKENFFKDRKWLQIEFPILYASTRKDAEPVTIFEIGCGAGNTFFPILKDNENENLRIIAADFAPRAVELVKNSEQFNPKYGHATVWDLANPDGNLPDGVEPHSVDIAVMIFVFSALAPNQWDQAMDNLHKILKPGGKIIFRDYGAYDLTQVRFKKNRILEENFYVRGDGTRVYFFSEEKLREIFTKKYFLENKIGTDRRLLVNRKRQLKMYRCWVQAVFDVPQ", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MATIVPCSLEKEEGAPSGPRRLQTEIDVDANDSGNELSMGGSSSEGDSMSHHRGEHSPNHHHQDNHLGSGPPPPQFTGSLFDTPPSMIQSPQQQPQFQFNTGFGLGLPQDSFRCSVCSKSSTIGVLPFVCAHKTCQSCYQMTPSSYDRRACKLCGAVSTATANFTSQMYLSPTLPSPPRGALMSDCSTPTMNNHINSSTPLHQPRAFSFSLSGMPGSPSPVMGARMPSSAGGLMMRPIGFPDSDSSLTSWSPLQQPSQLSINNLSSIGGHQQQSPMLMQNVFDSLAVNDDTPVFSPLSPTNTSMHMPPSLMASPDVPKHSATIAPPRNSMCSTPRLQLATPMSSQSQQTFPIPSPLGSQPQQQQPMGPIQCQGCESKISFAYCMQCQEALCIHCVQAHQRVRATKQHAFVELQQLMATLMSRAVQPQQAQQYTQNVGGSVRQALGSVGSGDVFFSGHVSGVENDSIGSGESSPRSSSVCGTHDSVIIGICENCPHSVLLCAICVAQHPGKHRVQPLGDIRVAVGEVVNESQLLQWQCEKTGDTIKQIIDGIVTNATTAENEIRAAFDTHVNALEERRKELLKRVETVKNLKLSVLISQAESLQSKQIDLQQAIQTATKLMDSSDCDEMVLRQVFEKLASCQMGNEGTEPNNNILNVLMLACQVNEDDRLKFTAPQDGILLNKARQFGNIESGPCAKNSSIVGDSFKKAIRERQTVIYVQLRDACGDLLSSSIAATQPTSQALLPHQEPHSHLEQAMPTSDVQAFVISPDGSTVEVTMTPRENGIVALSYYPSIEGSYTLNILVKGTPISGCPTTMDIRRGRNYDEIAAKGPILTFGKEGSGDGELCRPWGICVDQRGRVIVADRSNNRVQIFDKDGNFISKFGTSGNRPGQFDRPAGITTNSLNNIVVADKDNHRVQVFDENGMFLLKFGDRGRAVGYFNYPWGVATNSHNAIAVSDTRNHRVQIFTPQGQFVRKCGFDSAYFFKNLDSPRGLCYLPDGQLLITDFNNHRLAVLSPRNMSEMKVYGSEGDGDGMFVRPQGVVIDPEGHILVCDSRNNRVQVFASDDMRFIGSFGLGPVPNSGFQMPQELPAPYSSLGGPFGAPAFSSAPTPLTPSPRQLLDRPTDLAVGPDGRIYVVDFGNNCIRVF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTNPEQLKRILSHEVLLKIEMSKNGIVEYANPAFFELIGYEGDLFQCSFYEYLQSDDEHLMKKATDNLFRKSISVAHVFAFLRCNPIRSPNYYIQQAKAPFEGKTYIRMLFRGILVDSLYGKDTRVLWAGKYLYPQRSVINEMDFILFNTLGIGAFILHDHLLGIIKYNYIHVPPPSGKLCSLCEDNLPEWYFEVHSDFCLVWNDLVRRVFAVQQLINCKKLEIEDIVNKLPTGSNHMVEETFLSLPVITVFNGKKNRKQRFRIRSWRSSLNFLVKELDKSIKNFAYLEHRTFLTISNSAAKDMKREIYEKSLVNWEYDFLVPSKIQDYFYDVHSLLLKNLSSKIKLCNHILMYQATFNEVKNFLQTYSLNMLSIEMENIEGSLYFGNAQLSNLICVNQYLSEQRPVFFNRLLALGNVENNNSIYDDIQKRTERISTIKRHKKYFEIGERLTEKDLIVSKTFKTTRIDYFKAVKGSIEDLDVRPLKNRQKFVNKFYASIVHFLTESMQFPSHNDRRFGDNTPHSLDEFILLKEINRGAYGRVYLAKKRSSGKYFALKMIPKSSLDSLKKIKGLLLEKRNMHIQRYGPNTVKLYYAFDSGDYLCLVMDYFNGGDCETLIQKLGPLPEQWVCQYAAELLNAIELLHQDGIIHHDIKPANMLVDETGHIRLTDFGLSENVEEKKEVYKLTKRMSFEQKHGNLYEQLQPKKFEFVRYVRNYRGNIDELEKAESPQQNSDYANDSVQHLLDFDINNMDETAIHMLMNQLEKKENRTFIKKDISGTPNYMAPEILMGVDTQMGDIWAMGCVIFEMLTGTRPFEANTVKAIWARIERNDIGWTKRVKESCSKEAVDLITKLMDPDCNKRLGSNGYQEIKKHPFFRTIKWDNLNSGPGPFVPQTENVEDLTYFEKNISGSDNINKNNCQTSATLILNGIFAFHPPPKATPADSGTETSNSAAFSASEEETTNLTDQKRKDLFSLITKAFKGIDLKALNYNNKATLLRMYDEVDFPKNQQRNKEKFRIQKRPNKKYRYHLF", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MATQVEPLLPGGATLLQAEEHGGLVRKKPPPAPEGKGEPGPNDVRGGEPDGSARRPRPPCAKPHKEGTGQQERESPRPLQLPGAEGPAISDGEEGGGEPGAGGGAAGAAGAGRRDFVEAPPPKVNPWTKNALPPVLTTVNGQSPPEHSAPAKVVRAAVPKQRKGSKVGDFGDAINWPTPGEIAHKSVQPQSHKPQPTRKLPPKKDMKEQEKGEGSDSKESPKTKSDESGEEKNGDEDCQRGGQKKKGNKHKWVPLQIDMKPEVPREKLASRPTRPPEPRHIPANRGEIKGSESATYVPVAPPTPAWQPEIKPEPAWHDQDETSSVKSDGAGGARASFRGRGRGRGRGRGRGRGGTRTHFDYQFGYRKFDGVEGPRTPKYMNNITYYFDNVSSTELYSVDQELLKDYIKRQIEYYFSVDNLERDFFLRRKMDADGFLPITLIASFHRVQALTTDISLIFAALKDSKVVEIVDEKVRRREEPEKWPLPPIVDYSQTDFSQLLNCPEFVPRQHYQKETESAPGSPRAVTPVPTKTEEVSNLKTLPKGLSASLPDLDSENWIEVKKRPRPSPARPKKSEESRFSHLTSLPQQLPSQQLMSKDQDEQEELDFLFDEEMEQMDGRKNTFTAWSDEESDYEIDDRDVNKILIVTQTPHYMRRHPGGDRTGNHTSRAKMSAELAKVINDGLFYYEQDLWAEKFEPEYSQIKQEVENFKKVNMISREQFDTLTPEPPVDPNQEVPPGPPRFQQVPTDALANKLFGAPEPSTIARSLPTTVPESPNYRNTRTPRTPRTPQLKDSSQTSRFYPVVKEGRTLDAKMPRKRKTRHSSNPPLESHVGWVMDSREHRPRTASISSSPSEGTPTVGSYGCTPQSLPKFQHPSHELLKENGFTQHVYHKYRRRCLNERKRLGIGQSQEMNTLFRFWSFFLRDHFNKKMYEEFKQLALEDAKEGYRYGLECLFRYYSYGLEKKFRLDIFKDFQEETVKDYEAGQLYGLEKFWAFLKYSKAKNLDIDPKLQEYLGKFRRLEDFRVDPPMGEEGNHKRHSVVAGGGGGEGRKRCPSQSSSRPAAMISQPPTPPTGQPVREDAKWTSQHSNTQTLGK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNSFLLAREWGQEPNSSFRRQLLQHRLQRLALNPDVKIKRRHGAGILGSVNALSIDNTIHQLMVSGGANSSINVWDLQNIDQKEDEDLILDTLNAVPARTSHKFGITDLHWFPFDNGIFTSSSFDHTLKVWDVSTLQEAYTFTMEDMIYSHAWSPIASHCLIATAYRSSSIRLCDMQSGSYTHSLSGHTGNVLAVDWCPKNEFVLASGSADGTCRLWDIRKVSSSFACMDLHNKYLPSNQTNISHYGTVNGLAWTSDARYLASCGTDDRIRVWNMESGRNTLREFGPIIHNQTTSFAVHPCMIQPSMDSDVFVLFPNDDGSLALLNLLEGSFVRRLSTHSLKRINCAAYRPDFEQCFTGDMNGNIYMWSPKALKSPTKISDLETRRNIIQEVYDSLINIPVTYQ", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASQDQNTGTTHVELQGGENSKKLFSKYDLWSKAMDEKKLSSSLFTVNDTQEFLELCEACRRGDLEVVKSLVENYNTPINQVDQFDYSPLVLASLCGHEPVVKFLLENGALCERDTFQGERCLYGALNDNIRRMLLSYDITKAIDESQPYASHITSLLSNSALHFTTDIVFAGQYGRVFAHKFYLAARSSYFKSKFSKLGPSEHEIEVKHFAKEFESILRYLYLDTNAVFTKQYNNALLSIGKKFQLNDFIALYEKDREQLHSRDWKKIQLAKTQNDLGEFLDYIISNYKVPIESLNQPSDQYSFHDAYLQSYTHRYPVHRAIMCRCEYFLDMLAGPFLESNQELPVLSLPFSSSVVEIVLKFLYTDKTDIAPELALDVVYVADMLSLDKDRSLKSLASIVITKQEEPIDSIYDILRTAWDTSTPRLEQYASEYMANHLEHLIDDPEFCELVKESADRILQRQETDTIELIDDIRYFLSKRFGIYHEDLCIDGVVDTLTPYESEYNQKMEMIDDLLDKLELQA", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNVVDLGRVGACVLKHAVTGEAVELRSLWQEKACVVAGLRRFGCMVCRWIAQDLSNLRSILDQHDVRLVGVGPEALGLQEFLDGGYFSGELYLDESKQIYKELGFKRYNSLSILPAALGKPVRDVASKAKAVGIQGNLSGDLLQSGGLLVVSKGGDKVLLHFIQKSPGDYVPQENILQALGISAEVCSSKPPQCDEEVCGR", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNANVTVDDAISNVNLLDTLAIPDDLPDIEARALPLLYRSNFDTNFEDRSAFVTGIAKYSEEATRHAQFNDMLSEGLQHAANMYTWRCCSRAVPMAKSNDQPNRTEINEMVVEVLKPEVSKLGSFMRFTLTAIQRFCEEVRRLCHSEKRRDFVSEAYLLTLGRFINMFAVLDELKNMKASIKNDFSTFRRASQFLTAMSDTQAVHDMQNLSMFLATQNKIKDDLKLQMKTIEGYEELLCDVVNICAHMYEHQLYLSPNEKHMFVKVIAFSLFLMDGDAANVAKLDQKKRLSISRLDKIFKTLEVVPLYGDMQIQPFAFVRRSSHYEPSKWPLSDKESDRCHVNIVEKVQSIRSDHESYVTQFAKINNEVAICDRPGNDSENREITSLALSGIQLLCQWSCAVVETISWKLLNPTNPKDNRECPENAEEYERATRYNYSPAEKTALIQIIAMIKGLQSMLGKTESDMSNSTRKCVYVELQAFIHHTINEPLQKAVKHKKDLLASILQSVKDSISDAGNELNRMTDVKGKKKSSAPKGDSANSSSSDIRIPRRTAAPGSTQLYMARTQLESLISDKLCGGKKILRKELDSKTIEKISVFLRKSAHWPALFRLSDSMTEAGELSQLWFREFYLEMTMGQRIQFPIEMSMPWILTDYILSCNEPSLIESALYQLDLYNDAAQYSLFNFNKQFLYDEVEAEVNLCFDQFVYKLSEMVFTHYKQLASCMLLDKRFKAEILRSGTMIRSPSAARFESLLQQRHVQLLGRSVDLNRVVSQRVNMALLKALDAAIWKFESEPLSSIVELDMLIDTNRLCHTLLSDVLHSIAPFDDLFQEANHAVNSPHGRITLHVFWELNYDFVPNFVYNGSTHRFVRARHVFRKTPAREKPPQVGQVYYWGSKSLMAAFMNICNAYSQCIGTQHLKAITRLLHYQGIAVILDELLKMTNRLLNDKIRRHVRNVFNMMPKVCKLPRSDYGSNALLQYYVHHLEAVGKYPELKSEFCQDLRELGNMIVFCQQLEVALGQEEAHDLFLAAAYTGTVPQPPARNAQEQMKQLAKLEDKYSRIHLTEIIDKISPDDGQAAIAKDAELMTKERLCCGLNAFENFLVRIKQMLAADDIWTGGYPTNGVFWIDECVEWYRVYSALQFFLCQPTRDDNEVYAEELFGDSLQWGGLTLITLLGQHRRFEVLDFCYHLHRVNKADGKDEVISGIRLAKMVERIRRFQLLNNQIFIILENQLNENNDDPNERVREFAPPVHPNYANHAARRQ", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAAAAAMAEQESARNGGRNRGGVQRVEGKLRASVEKGDYYEAHQMYRTLFFRYMSQSKHTEARELMYSGALLFFSHGQQNSAADLSMLVLESLEKAEVEVADELLENLAKVFSLMDPNSPERVTFVSRALKWSSGGSGKLGHPRLHQLLALTLWKEQNYCESRYHFLHSADGEGCANMLVEYSTSRGFRSEVDMFVAQAVLQFLCLKNKSSASVVFTTYTQKHPSIEDGPPFVEPLLNFIWFLLLAVDGGKLTVFTVLCEQYQPSLRRDPMYNEYLDRIGQLFFGVPPKQTSSYGGLLGNLLTSLMGSSEQEDGEESPSDGSPIELD", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAEQEPTAEQLAQIAAENEEDEHSVNYKPPAQKSIQEIQELDKDDESLRKYKEALLGRVAVSADPNVPNVVVTGLTLVCSSAPGPLELDLTGDLESFKKQSFVLKEGVEYRIKISFRVNREIVSGMKYIQHTYRKGVKIDKTDYMVGSYGPRAEEYEFLTPVEEAPKGMLARGSYSIKSRFTDDDKTDHLSWEWNLTIKKDWKD", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATTYEEFSAKLDRLDQEFNRKMQEQNAKFFADKPDESTLSPEMREHYEKFERMIKEHTEKFNKKMHEHSEHFKQKFAELLEQQKAAQYPSK", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSDDWDDEPIVDTRGARGGDWSDDEDTAKSFSGEAEGDGVGGSGGEGGGYQGGNRDVFGRIGGGRGGGAGGYRGGNRDGGGFHGGRREGERDFRGGEGGFRGGQGGSRGGQGGSRGGQGGFRGGEGGFRGRLYENEDGDERRGRLDREERGGERRGRLDREERGGERGERGDGGFARRRRNEDDINNNNNIVEDVERKREFYIPPEPSNDAIEIFSSGIASGIHFSKYNNIPVKVTGSDVPQPIQHFTSADLRDIIIDNVNKSGYKIPTPIQKCSIPVISSGRDLMACAQTGSGKTAAFLLPILSKLLEDPHELELGRPQVVIVSPTRELAIQIFNEARKFAFESYLKIGIVYGGTSFRHQNECITRGCHVVIATPGRLLDFVDRTFITFEDTRFVVLDEADRMLDMGFSEDMRRIMTHVTMRPEHQTLMFSATFPEEIQRMAGEFLKNYVFVAIGIVGGACSDVKQTIYEVNKYAKRSKLIEILSEQADGTIVFVETKRGADFLASFLSEKEFPTTSIHGDRLQSQREQALRDFKNGSMKVLIATSVASRGLDIKNIKHVINYDMPSKIDDYVHRIGRTGRVGNNGRATSFFDPEKDRAIAADLVKILEGSGQTVPDFLRTCGAGGDGGYSNQNFGGVDVRGRGNYVGDATNVEEEEQWD", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSVTPVLDPEWQRSPEGLDYLSRVLRHNKRKFFGLIERPVLPPHLPADVAAYKVFVCGKSGVGKTSFIAKLSGLAVPSMHHETAGIQTTCMYWPVRPSGSARPVIFRFQFWDCGEGALRKFDHILPACKEKADAVLFLFSFTDRSSFEDVPALISRTLDQDEDVTRVVIGTKLDQYMHTDVTEDDLRDFQRTWQLPVMRVRSVNGPRMTDGRDLDGRAGLAECAPVLNGLAEILWHRDQVIAGLVGGAE", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MIEPSMERENGALTAATTTTTAVTSPPPMASSPRQALVERLKDYGQEDIFSLWDELSPDEKDFLVRDIENLDLPRIDRIIRCSLHSQGLPVAAIEPVPENWVSTVDGRTMEDREKWWKMGLKTIYEGKLGVVLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQIQAERILCVQRLAAQVVSEGPIRPVTIHWYIMTSPFTDEATRKYFSSHKYFGLEPDQISFFQQGTLPCVTKDGKFIMETPFSLAKAPDGNGGVYAALKCSRLLEDMASRGIKYVDCYGVDNVLVRVADPTFLGYFIDKGAASAAKVVRKAYPQEQVGVFVRRGKGGPLTVVEYSELDQSMASAINQRTGRLQYCWSNVCLHMFTLDFLNQVATGLEKDSVYHLAEKKIPSMNGYTMGLKLEQFIFDSFPYAPSTALFEVLREEEFAPVKNVNGSNFDTPESARLLVLRLHTRWVIAAGGFLTHSVPLYATGVEVSPLCSYAGENLEAICRGRTFHAPCEISL", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MADSSGRGAGKPATGPTNSSSAKKKDKRVQGGRVIESRYLQYEKKTTQKAPAGDGSQTRGKMSEGGRKSSLLQKSKADSSGVGKGDLQSTLLEGHGTAPPDLDLSAINDKSIVKKTPQLAKTISKKPESTSFSAPRKKSPDLSEAMEMMESQTLLLTLLSVKMENNLAEFERRAEKNLLIMCKEKEKLQKKAHELKRRLLLSQRKRELADVLDAQIEMLSPFEAVATRFKEQYRTFATALDTTRHELPVRSIHLEGDGQQLLDALQHELVTTQRLLGELDVGDSEENVQVLDLLSELKDVTAKKDLELRRSFAQVLELSAEASKEAALANQEVWEETQGMAPPSRWYFNQDSACRESGGAPKNTPLSEDDNPGASSAPAQATFISPSEDFSSSSQAEVPPSLSRSGRDLS", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASEQSNPRLPRRPPYMAEKARATLKEAFPNTDDAIIRAVLAASGYKLEPAFNALLGLSDPQVAEEMEQAETSYAYDTAAHDDPVQRQLEEDERCARELANRYNSHRPERRRKTNNDRRNYPPQNRTAKPNDNDGDDYSFFEDDLPVIKDTFMRGFQSFKQRSMEWVENIASKFDGEEEDDDDEKYSAPSKIYPSPRRSTAATLESAYEERPPSLPRRKPSRPGTAITLPPYESDPHMLNEKDFERLRLESSSSPMMRRSSLNSNRRSVESSSSAAFVEGQSFILDSNGAIEVANSAFALDDSDLESAYNEELEMKKDTSKPTASTKEVVVEKKPDESRKQAARTLETVSEEQMGSSNAKSKVLTSEPKDSTSVEAEKTETDEPAVGKGASDVSDTAEISEKTEAKNADSEANLEEKSDVGEEKESKDENNKASLHKDVEEKDTKITNEDTGKTETDVKAKETDSIEANDKDEKTDSKETEDKVEETESKEADVKAKETDSIEVDDKEEKTDSKETADKVEQTDSKDTNEKPAKDDNKEANEKAEKVDSKDVKEKIEEAADLQNSGKET", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKYLAAYLLLTVGGKNSPSASDIESVLSTVGIESESERVEALIKELDGKDIDELIAAGNEKLATVPSGGAAAAAAPAAAGGAAPAAEEAAKEEAKEEEESDEDMGFGLFD", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSTQVSASSLAQIPQPKNRPVANFHPNIWGDQFITYTPEDKVTRACKEEQIEDLKKEVKRKLTAAAVANPSQLLNFIDAVQRLGVAYHFEQEIEEALQHICNSFHDCNDMDGDLYNIALGFRLLRQQGYTISCDIFNKFTDERGRFKEALISDVRGMLGLYEAAHLRVHGEDILAKALAFTTTHLKAMVESLGYHLAEQVAHALNRPIRKGLERLEARWYISVYQDEAFHDKTLLELAKLDFNLVQSLHKEELSNLARWWKELDFATKLPFARDRLVEGYFWMHGVYFEPQYLRGRRILTKVIAMTSILDDIHDAYGTPEELKLFIEAIERWDINSINQLPEYMKLCYVALLDVYKEIEEEMEKEGNQYRVHYAKEVMKNQVRAYFAEAKWLHEEHVPAFEEYMRVALASSGYCLLATTSFVGMGEIATKEAFDWVTSDPKIMSSSNFITRLMDDIKSHKFEQKRGHVTSAVECYMKQYGVSEEQVYSEFQKQIENAWLDINQECLKPTAVSMPLLARLLNFTRTMDVIYKEQDSYTHVGKVMRDNIASVFINAVI", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSPSKESDELIFFVNGKKVTERNADPEVNLLFYLRKVIRLTGTKYGCGGGDCGACTVMISRYDPISKRISHFSATACLVPICSLHGAAVTTVEGIGSTKTRIHPVQERIAKGHGTQCGFCTPGMVMSIYTLLRNHPEPSTEQIMETLGGNLCRCTGYRPIVESAKSFCPSSTCCQMNGEGKCCLDEEKNEPERKNSVCTKLYEKKEFQPLDPTQELIFPPELMRMAEESQNTVLTFRGERTTWIAPGTLNDLLELKMKHPSAPLVIGNTYLGLHMKFTDVSYPIIISPARILELFVVTNTKQGLTLGAGLSLTQVKNVLSDVVSRLPKEKTQIYCALLKQLKTLAGQQIRNVASLGGHIISRLPTSDLNPILGIGNCILNVASTEGIQQIPLNDHFLAGVPDAILKPEQVLISVFVPRSSKWEFVSAFRQAPRQQNAFATVNAGMKVVFKEDTNTITDLGILYGGIGATVISADKSCRQLIGRCWDEEMLDDAGKMICEEVSLLMAAPGGMEEYRKTLAISFLFMFYLDVLKQLKTRDPHKYPDISQKLLHILEDFPLTMPYGMQSFQDVDFQQPLQDPIGRPIMHQSGIKHATGEAVFCDDMSVLPGELFLAVVTSSKSHAKIISLDASEALASLGVVDVVTARDVPGDNGREEESLYAQDEVICVGQIVCAVAADSYAHAQQAAKKVKIVYQDIEPMIVTVQDALQYESFIGPERKLEQGNVEEAFQCADQILEGEVHLGGQEHFYMETQSVRVVPKGEDKEMDIYVSSQDAAFTQEMVARTLGIPKNRINCHVKRVGGAFGGKASKPGLLASVAAVAAQKTGRPIRFILERRDDMLITGGRHPLLGKYKIGFMNNGKIKAADIQLYINGGCTPDDSELVIEYALLKLENAYKIPNLRVRGRVCKTNLPSNTAFRGFGFPQGAFVTETCMSAVAAKCRLPPEKVRELNMYRTIDRTIHNQEFDPTNLLQCWEACVENSSYYNRKKAVDEFNQQRFWKKRGIAIIPMKFSVGFPKTFYYQAAALVQIYTDGSVLVAHGGVELGQGINTKMIQVASRELKIPMSYIHLDEMSTVTVPNTVTTGASTGADVNGRAVQNACQILMKRLEPIIKQNPSGTWEEWVKEAFVQSISLSATGYFRGYQADMDWEKGEGDIFPYFVFGAACSEVEIDCLTGAHKNIRTDIVMDGSFSINPAVDIGQIEGAFVQGLGLYTLEELKYSPEGVLYTRGPHQYKIASVTDIPEEFHVSLLTPTPNPKAIYSSKGLGEAGTFLGCSVFFAIAAAVAAAREERGLSPIWAINSPATAEVIRMACEDQFTNLVPQTDSKCCKPWSIPVA", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MFVEMSVCDSPNKNSKLDKKSLTPFKKVRRKNWKQEAAYKSDTSKGQEVSYVGERFIPNRFERENIEFNLKYIGKRKERDILETGVTLTASYWRQSGFISNINRTFGIGERRLFQFSSQQGTRSRVVDNDSADSDWPCNPRARPYAIQNATHEMPGICSPVDYNMMDWSSGGMVAMSSGQDVMLWRNLDESTMVFSVESPTSLKYSPDGKHLAIGCMDRNYPVLDLWEVRSPTEFLVSYRKLFFKSMGYISCIEWSHDGKEVICGTQCGVIIVLAMPTLNTLMQLREHRHTVKKMKFAPTHKYFASSDTDGKIFIFDAVLKVRLLKLDGRSIVFDWHPWTGEDLAVAERSPASIFIFNIPRRQFVASYRRRDDRIVIKTLTYSKITGELLVNVIRRDDADLAVCEILVLASLNRVVDLMSHQDRGTLFLMWNPDGTKIATGGLDDTFSLWNFFPTYKREAILRKQEQKAKDKCSSLSLYKGIR", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRSRLLLSVAHLPTIRETTEEMLLGGPGQEPPPSPSLDDYVRSISRLAQPTSVLDKATAQGQPRPPHRPAQACRKGRPAVSLRDITARFSGQQPTLPMADTVDPLDWLFGESQEKQPSQRDLPRRTGPSAGLWGPHRQMDSSKPMGAPRGRLCEARMPGHSLARPPQDGQQSSDLRSWTFGQSAQAMASRHRPRPSSVLRTLYSHLPVIHEL", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MINNEPFPSADSASILTTSTSNNSLMSYNHQPQLSINSVQSLLEPVTPPPLGQMNNKRNHQKAHSLDLSGFNQFISSTQSPLALMNNTSTSNSANSFSPNPNAASNSTGLSASMANPPAILPLINEFDLEMDGPRRKSSHDFTVVAPSNSGVNTSSLIMETPSSSVTPAASLRNFSNSNNAASKCGVDNSSFGLSSSTSSSMVEISALPLRDLDYIKLATDQFGCRFLQKKLETPSESNMVRDLMYEQIKPFFLDLILDPFGNYLVQKLCDYLTAEQKTLLIQTIYPNVFQISINQYGTRSLQKIIDTVDNEVQIDLIIKGFSQEFTSIEQVVTLINDLNGNHVIQKCIFKFSPSKFGFIIDAIVEQNNIITISTHKHGCCVLQKLLSVCTLQQIFKISVKIVQFLPGLINDQFGNYIIQFLLDIKELDFYLLAELFNRLSNELCQLSCLKFSSNVVEKFIKKLFRIITGFIVNNNGGASQRTAVASDDVINASMNILLTTIDIFTVNLNVLIRDNFGNYALQTLLDVKNYSPLLAYNKNSNAIGQNSSSTLNYGNFCNDFSLKIGNLIVLTKELLPSIKTTSYAKKIKLKVKAYAEATGIPFTDISPQVTAMSHNNLQTINNENKNPHNKNSHNHNHNHNHNHAHNNNNNNNQKSHTRHFSLPANAYHRRSNSSVTNNFSNQYAQDQKIHSPQQIMNFNQNAYPSMGAPSFNSQTNPPLVSHNSLQNFDNRQFANLMAHPNSAAPIHSFSSSNITNVNPNVSRGFKQPGFMMNETDKINANHFSPYSNANSQNFNESFVPRMQYQTEGANWDSSLSMKSQHIGQGPYNQVNMSRNASISNMPAMNTARTSDELQFTLP", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPQLQKPAPAFAGTAVVNGVFKDIKLSDYKGKYLVLFFYPLDFTFVCPTEIIAFSESAAEFRKINCEVIGCSTDSQFTHLAWINTPRKQGGLGSMDIPLLADKSMKVARDYGVLDEETGIPFRGLFIIDDKQNLRQITVNDLPVGRSVEETLRLVQAFQYTDKYGEVCPANWKPGQKTMVADPTKSKEYFETTS", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAELTALESLIEMGFPRGRAEKALALTGNQGIEAAMDWLMEHEDDPDVDEPLETPLSHVLGREPTPSEQVGPEGSGSAAGESRPILTEEERQEQTKRMLELVAQKQREREEREEREALEREKQRRRQGQELSVARQKLQEDEMRRAAEERRREKAEELAARQRVREKIERDKAERAKKYGGSVGSRSSPPATDPGPVPSSPSQEPPTKREYDQCRIQVRLPDGTSLTQTFRAREQLAAVRLYVELHRGEEPGQDQDPVQLLSGFPRRAFSEADMERPLQELGLVPSAVLIVAKKCPS", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIAPDSQRLFGSFDEQFKDLKLDSVDTENNNTHGVSTILDSSPASVNNNTNGAVAASVNTVPGSTFRSNTPLLGGRHPLSRTSSLIDSIGIQRAASPFSSMKEPFIPQSSGVMSSSFWHGDHPESRVSTPVQQHPLLQRNESSSSFSYAANLGVNLSTHSLAVDITPLSTPTAAQSHVNLFPSSDIPPNMSMNGMSQLPAPVSVESSWRYIDTQGQIHGPFTTQMMSQWYIGGYFASTLQISRLGSTPETLGINDIFITLGELMTKLEKYDTDPFTTFDKLHVQTTSSDSINLNLAPYASGVAATGTIKATENDIFKPLTHDNIWDMDGGTTSKGVDIKLASATTISQTDESHKQEYKSTTMLEKGKKEKSESVAKALLDEQEKRNRELKRKEEARLSKKQKQKEDDLLKKQKEQKEQKEKEALEAEKQKKSEKTKKDTQTQTEGFKTSKDLPSLNSSSANPAPWASKVKVNNAIETSIKNGVSSTGKKKGEPLGLQQRNSKEEKQKEELKSVLNWANKSSLPSNQTIDIKSQFQKSPKGMKESSPLKELEDPNFIEEQKKLWEKVQSSSKQVKSTSSASTTTSSWTTVTSKGKAPIGTVVSPYSKTNTSLNSSLTAKTSTTSTTTTFASMNNVSPRQEFIKWCKSQMKLNSGITNNNVLELLLSLPTGPESKELIQETIYANSDVMDGRRFATEFIKRRVACEKQGDDPLSWNEALALSGNDDDGWEFQVVSKKKGRKH", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNISSAQFIPGVHTVEEIEAEIHKNLHISKSCSYQKVPNSHKEFTKFCYEVYNEIKISDKEFKEKRAALDTLRLCLKRISPDAELVAFGSLESGLALKNSDMDLCVLMDSRVQSDTIALQFYEELIAEGFEGKFLQRARIPIIKLTSDTKNGFGASFQCDIGFNNRLAIHNTLLLSSYTKLDARLKPMVLLVKHWAKRKQINSPYFGTLSSYGYVLMVLYYLIHVIKPPVFPNLLLSPLKQEKIVDGFDVGFDDKLEDIPPSQNYSSLGSLLHGFFRFYAYKFEPREKVVTFRRPDGYLTKQEKGWTSATEHTGSADQIIKDRYILAIEDPFEISHNVGRTVSSSGLYRIRGEFMAASRLLNSRSYPIPYDSLFEEAPIPPRRQKKTDEQSNKKLLNETDGDNSE", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEPFPRILDDRLPRNMRRPRPIDKMPMRKIVMLGANARTQRSVSSIQLPAEYSPSASSAPYFNYSPDDFILDLRSHQSDEIYENGNSNHEKDEKKALKELLHERWENAKQYNAFNYPLNCMYRCLDGKYDLSMQLNIERGELRRKPMHFKNIKEPFNHLRFNFAKLHDHEILFYLKCDTDPISNDLLDRHLVAVNASPLERDHSLIVPSVNKCSPQVLTLQAVRIAVDLMLLVDDDMFHILFNSLLGQASVNHLHLHAMYWPYDSDLINRKCEPLHDVPNVYVIRPPVWICPAIVFQLDSLDNYEQFKMNIYKCVEHLTESNQAHNLFLARAQPIRTTGAEKEEDRRGERPQLVTCYVFPRMNMIGAKPPSNFNPAANELAGNLTSYTIRFFESANEQSVIRIIEEEASLDDDTFRSLCFDLADVLIGRSVGTSRPQDLDTLAGLTSPEIDELRDSFQSFMPRSPSIRHRSSTRAQSDEGSK", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSTATVTESTHFFPNEPQGPSIKTETIPGPKGKAAAEEMSKYHDISAVKFPVDYEKSIGNYLVDLDGNVLLDVYSQIATIPIGYNNPTLLKAAKSDEVATILMNRPALGNYPPKEWARVAYEGAIKYAPKGQKYVYFQMSGSDANEIAYKLAMLHHFNNKPRPTGDYTAEENESCLNNAAPGSPEVAVLSFRHSFHGRLFGSLSTTRSKPVHKLGMPAFPWPQADFPALKYPLEEHVEENAKEEQRCIDQVEQILTNHHCPVVACIIEPIQSEGGDNHASPDFFHKLQATLKKHDVKFIVDEVQTGVGSTGTLWAHEQWNLPYPPDMVTFSKKFQAAGIFYHDLALRPHAYQHFNTWMGDPFRAVQSRYILQEIQDKDLLNNVKSVGDFLYAGLEELARKHPGKINNLRGKGKGTFIAWDCESPAARDKFCADMRINGVNIGGCGVAAIRLRPMLVFQKHHAQILLKKIDELI", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLKFKYGVRNPPEASASEPIASRASRLNLFFQGKPPLMTQQQMSALSREGMLDALFALFEECSQPALMKMKHVSSFVQKYSDTIAELRELQPSARDFEVRSLVGCGHFAEVQVVREKATGDVYAMKIMKKKALLAQEQVSFFEEERNILSRSTSPWIPQLQYAFQDKNNLYLVMEYQPGGDFLSLLNRYEDQLDESMIQFYLAELILAVHSVHQMGYVHRDIKPENILIDRTGEIKLVDFGSAAKMNSNKVDAKLPIGTPDYMAPEVLTVMNEDRRGTYGLDCDWWSVGVVAYEMVYGKTPFTEGTSARTFNNIMNFQRFLKFPDDPKVSSELLDLLQSLLCVQKERLKFEGLCCHPFFARTDWNNIRNSPPPFVPTLKSDDDTSNFDEPEKNSWVSSSVCQLSPSGFSGEELPFVGFSYSKALGYLGRSESVVSSLDSPAKVSSMEKKLLIKSKELQDSQDKCHKMEQEMTRLHRRVSEVEAVLSQKEVELKASETQRSLLEQDLATYITECSSLKRSLEQARMEVSQEDDKALQLLHDIREQSRKLQEIKEQEYQAQVEEMRLMMNQLEEDLVSARRRSDLYESELRESRLAAEEFKRKANECQHKLMKAKDQGKPEVGEYSKLEKINAEQQLKIQELQEKLEKAVKASTEATELLQNIRQAKERAERELEKLHNREDSSEGIKKKLVEAEERRHSLENKVKRLETMERRENRLKDDIQTKSEQIQQMADKILELEEKHREAQVSAQHLEVHLKQKEQHYEEKIKVLDNQIKKDLADKESLENMMQRHEEEAHEKGKILSEQKAMINAMDSKIRSLEQRIVELSEANKLAANSSLFTQRNMKAQEEMISELRQQKFYLETQAGKLEAQNRKLEEQLEKISHQDHSDKSRLLELETRLREVSLEHEEQKLELKRQLTELQLSLQERESQLTALQAARAALESQLRQAKTELEETTAEAEEEIQALTAHRDEIQRKFDALRNSCTVITDLEEQLNQLTEDNAELNNQNFYLSKQLDEASGANDEIVQLRSEVDHLRREITEREMQLTSQKQTMEALKTTCTMLEEQVLDLEALNDELLEKERQWEAWRSVLGDEKSQFECRVRELQRMLDTEKQSRARADQRITESRQVVELAVKEHKAEILALQQALKEQKLKAESLSDKLNDLEKKHAMLEMNARSLQQKLETERELKQRLLEEQAKLQQQMDLQKNHIFRLTQGLQEALDRADLLKTERSDLEYQLENIQVLYSHEKVKMEGTISQQTKLIDFLQAKMDQPAKKKKVPLQYNELKLALEKEKARCAELEEALQKTRIELRSAREEAAHRKATDHPHPSTPATARQQIAMSAIVRSPEHQPSAMSLLAPPSSRRKESSTPEEFSRRLKERMHHNIPHRFNVGLNMRATKCAVCLDTVHFGRQASKCLECQVMCHPKCSTCLPATCGLPAEYATHFTEAFCRDKMNSPGLQSKEPGSSLHLEGWMKVPRNNKRGQQGWDRKYIVLEGSKVLIYDNEAREAGQRPVEEFELCLPDGDVSIHGAVGASELANTAKADVPYILKMESHPHTTCWPGRTLYLLAPSFPDKQRWVTALESVVAGGRVSREKAEADAKLLGNSLLKLEGDDRLDMNCTLPFSDQVVLVGTEEGLYALNVLKNSLTHIPGIGAVFQIYIIKDLEKLLMIAGEERALCLVDVKKVKQSLAQSHLPAQPDVSPNIFEAVKGCHLFAAGKIENSLCICAAMPSKVVILRYNDNLSKYCIRKEIETSEPCSCIHFTNYSILIGTNKFYEIDMKQYTLDEFLDKNDHSLAPAVFASSSNSFPVSIVQANSAGQREEYLLCFHEFGVFVDSYGRRSRTDDLKWSRLPLAFAYREPYLFVTHFNSLEVIEIQARSSLGSPARAYLEIPNPRYLGPAISSGAIYLASSYQDKLRVICCKGNLVKESGTEQHRVPSTSRSSPNKRGPPTYNEHITKRVASSPAPPEGPSHPREPSTPHRYRDREGRTELRRDKSPGRPLEREKSPGRMLSTRRERSPGRLFEDSSRGRLPAGAVRTPLSQVNKVWDQSSV", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MMKKRQMVIKQRSRNSNTSSSWTTTSSSSSSSEISNVRYVECQKNHAANIGGYAVDGCREFMAAGVEGTVDALRCAACGCHRNFHRKEVDTEVVCEYSPPNA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSFENLHKVNAEALEDAVVEICSSLQVDAAKLDELTAYFIECMEKGLNNTSVGEEKTVDKGLPMIPTYVTSLPNGTERGVLLAADLGGTHFRVCSVTLNGDGTFDMQQLKSKIPEEYLNDKDVTSEELFSYLGRRTRAFVRKHHPELLKSTGENIKPLKMGFTFSYPVDQTSLSSGTLIRWTKSFKIEDTVGKDVVRLYQEQLDIQGLSMINVVALTNDTVGTFLSHCYTSGSRPSSAGEISEPVIGCIFGTGTNGCYMEDIENIKKLPDELRTRLLHEGKTQMCINIEWGSFDNELKHLSATKYDIDIDQKFSPNPGYHLFEKRISGMYLGELLRNILVDLHARGLILGQYRNYDQLPHRLKTPFQLCSEVLSRIEIDDSTNLRETELSFLQSLRLPTTFEERKAIQNLVRSITRRSAYLAAVPIAAILIKTNALNKRYHGEVEIGFDGYVIEYYPGFRSMLRHALALSPIGTEGERKIHLRLAKDGSGVGAALCALVA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MESETLTAKATITTTTLPSHDETKTESTEFEKNQKRYQDLISTFPHEKGWRPKEPLIEYGGYWWLPSLLEGCIHAQEFFQARPSDFLVCSYPKTGTTWLKALTFAIANRSRFDDSSNPLLKRNPHEFVPYIEIDFPFFPEVDVLKDKGNTLFSTHIPYELLPDSVVKSGCKMVYIWREPKDTFISMWTFLHKERTELGPVSNLEESFDMFCRGLSGYGPYLNHILAYWKAYQENPDRILFLKYETMRADPLPYVKSLAEFMGHGFTAEEEEKGVVEKVVNLCSFETLKNLEANKGEKDREDRPGVYANSAYFRKGKVGDWSNYLTPEMAARIDGLMEEKFKGTGLLEHGK", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MMTEKLNSGHTNLTSKGIINDLQIAGNTSDDMGWKSKLKLPPKDNRFKTTDVTDTRGNEFEEFCLKRELLMGIFEKGWERPSPIQEAAIPIALSGKDVLARAKNGTGKTGAYCIPVLEQIDPTKDYIQALVMVPTRELALQTSQICIELAKHLDIRVMVTTGGTILKDDILRIYQKVQLIIATPGRILDLMDKKVADMSHCRILVLDEADKLLSLDFQGMLDHVILKLPKDPQILLFSATFPLTVKNFMEKHLREPYEINLMEELTLKGVTQYYAFVQERQKVHCLNTLFSKLQINQSIIFCNSTQRVELLAKKITELGYCCYYIHAKMAQAHRNRVFHDFRQGLCRNLVCSDLFTRGIDVQAVNVVINFDFPRMAETYLHRIGRSGRFGHLGIAINLITYEDRFDLHRIEKELGTEIKPIPKVIDPALYVANVGASVGDTCNNSDLNNSANEEGNVSK", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MARAGPRLVLSEEAVRAKSGLGPHRDLAELQSLSIPGTYQEKITHLGHSLMSLTGLKSLDLSRNSLVSLEGIQYLTALESLNLYYNCISSLAEVFRLHALTELVDVDFRLNPVVKVEPDYRLFVVHLLPKLQQLDDRPVRASERKASRLHFASEDSLDSKESVPASLKEGRPHHPRAKCTEALAKQSLVMDADDEAVLNLIAECEWDLGRPPGSTSFSQKGREADSRGSQESRHLLSPQLVQYQCGDSGKQGRETRRSSCRGCCLEKMPWSQLCGELPPLYGAEPEASRAPRPHTYFTPHPDSMDTEDSASSQKLDLSGEMVPGPLPAPGKCRKRRMPVGRFQTFSDQEGLGCPERTHGSSVPKESLSRQDSSESRNGRTLSQPEASETEEQRSRGVTDTREPSPGSHSALPGKKTALQAALLETLLDLVDRSWGGCRSLHSNEAFLAQARHILSSVEEFTAAQDSSAMVGEDVGSLALESKSLQSRLAEQQQQHAREMSEVTAELHHTHKELDDLRQHLDKSLEENSRLKSLLLSMKKEVKSADTAATLNLQIAGLQTSVKRLCGEIVELKQHLEHYDKIQELTQMLQESHSSLVSTNEHLLQELSQVRAQHRAEVEQMHWSYQELKKTMALFPHSSASHGGCQAC", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDAPSTSSGAQSKLLMPGDDEADEDHQNRGDPNLQQKQKIQLNVDPDYDDDEDDDCFIDGCEASAPITRELVDGAIERRSKDRNVKMSIGVYDEYDDDDDDEEETEEDQRRRFVEGIRNIRHKQQESFDLEEHPIPVESEAMRQFINQQVNNAMMFNQDNSEFQHIEFEPIVKQKGPKIIEGYMWGGQIGTGSYGKVKECIDMYTLTRRAVKIMKYDKLRKITNGWENIRSEMSILRRMNHRNVIKLIEIFNIPAKGKVYMVFEYCIGSVQQLLDMEPARRLTIGESHAIFIELCQGLNYLHSKRVSHKDIKPGNLLVSIDFTVKICDFGVAEQINLFQRDGRCTKVNGTPKFQPPECIYGNHDFFDGYKADMWSAGVTLYNLVSGKYPFEKPVLLKLYECIGTEPLQMPTNVQLTKDLQDLLTKLLEKDFNERPTCLETMIHPWFLSTFPEDQGLGRIMERMRTGDRPLTMLSSMTALYDGITPEDELIIEDNLGIIQQILPINLTSEAVLERGSFPGFKFLEAKPGDGPDGVEGSEDSAAPLGPQRRPSSRSMPTCAPPGPAAGNAQNSTAENGAETDGVASASDPPPTAAPGAPPRRRKRNFFSCIFRSRTDSA", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGKKNKGGAPNLGRQLIKDRFGHTQRRKVDNDTMLHTTELQDGYDWGRLNLSSVTEESSFQAFLRTAELAGTEFQAEKLNITFVNPKQRVGLLSKTQEQRMHQKHDEHRDQLKIPRRPKWTKETSAEELVRAENEAFLDWRRDLALLQEDEEILMTPYEKNLEFWRQLWRVVERSDVVVQIVDARNPLLFRSADLERYVKEVEPSKMNMILVNKSDLLTEEQRRHWAEYFDSEGIRTAFYSATLVEEELKREAEECLDSFPEVQQLRRAVEEIKQSLDSVEDALNVIEQKYKTIPETQNDELPRLPGDKNSPRLLSRLELIEFLRNIYTGPRHTEQHVTVGMVGYPNVGKSSTINSLMTVKKVSVSATPGKTKRFQTLFLDKDILLCDCPGLVMPSFVLTKADMLLNGILPIDQMRDHVPAVNLLCERIPRHVLEDKYGIVIAKPLEGEDMERPPHSEELLLAYGYNRGFMTSNGQPDQARSARYVLKDYVNGRLLYAMSPPSVPQTEYHTFPERQRRVIEESQLPGQQQRAMRINKSTSKELDNQFFSDKPTHAHVKGRTNFPNVRLANDGSLVAGNDPAAKPWRHVKKERREKLRKKFSHLDEH", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGKNEKTSLGRALVKHHNHMIQETKEKGKSYKDQHKKVLESVTEVSDIDAIIEQAEEAERLFAIHHDSATPVPINMDTGSSSSGITAKEWKEQRMREEALHASSLQVPRRPHWTPKMNVEKLDANEKQAFLTWRRKLASLEENEKLVLTPFEKNLDIWRQLWRVLERSDLIVMVVDARDPLFYRCPDLEAYAQEIDEHKKTMLLVNKADLLPSYVREKWAEYFSRNNILFVFWSAKAATATLEGKPLKEQWRAPDTTQKTDNPAVKVYGRDDLLDRLKLEALEIVKMRKSRGVSATSTESHCEQVVVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLIISEDLMLCDCPGLVFPSFSSSRYEMVASGVLPIDRMTEHLEAIKVVAELVPRHAIEDVYNISLPKPKSYEPQSRPPLASELLRTYCLSRGYVASSGLPDETRAARQILKDYIEGKLPHFAMPPEITRDDENETADDTLGAETREGSQTEKKGEEAPSLGLDQVLDDLSSFDLANGLVSSKTKQHKKSHRKQ", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPSAPRKQSKTYKVPRRPFESARLDAELKLAGEYGLRNKHEIWRVALTLSKIRRAARELLTLDEKDPKRLFEGNAIIRRLVRLGILDETRMKLDYVLALRIEDFLERRLQTQVFKLGLAKSIHHARVLIFQRHIRVGKQIVNVPSFVVRLDTQKHIDFALSSPYGGGRPGRCKRKRLRSQEGGEGEEAEEE", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEIDQCLLESLPLGQRQRLVRRMRCEQIKAYYEREKVFQKQEGLLKRIKPGKSQKVRFGLADMIQDAIIHHHDKEVLQLLKEGADPHTLVSSGGSLLHLCARYDNVFIAEVLIDRGVNVNHQDEDFWAPMHIACACDNPDIVLLLILAGANVLLQDVNGNIPLDYAVEGTESSAILLAYLDENGVDLNSLRQIKLQRPLSMLTDVRHFLSSGGDVNEKNDDGVTLLHMACASGYKEVVLLLLEHGGDLNGMDDGYWTPLHLAAKYGQTTLVKLLLAHQANPHLVNCNGEKPSDIAASESIEEMLLKAEIAWEERMKESPSVPSLAQEELYEEILHDLPELSSKLSPLVLPIAKQDSLLEKDIMFKDTTKGLCNQESQDGPPETSMVSSSSKPEQVQLTPPAPSDDLATLSELNDSSLLYEIQKRFGNDQIHTFIGDIFLLVNPFKELPIYSTVVSQMYLSPTGQRSPSLPPHLFSCAERAFHRLFQERRPQNIILSGERGSGKTQASKQIMKHLTSRASSSCTMFDSRFKHAICILEAFGHAKTTLNNVSSCLIQYWELQFCQRRKHVTGARISTYMLEKPRLVAQPPGQGSFLIFSWLMDGLSAEEKCGLHLSNFCAHRYVSQGMREDVSTAERSLNKERLADLKHALNVIGFSALEVENLFAILSAILHIGDIQFTALTEADSAFVSDLQLLEQVADMLQVSTDELASALTTDIQYFKGDVIIRRHTTQIAAFYRDLLAKSLYSRLFGFLINTVNCCLQSQDEYKSLQTLDIGILDIFGFEEFQKNEFEQLCVNLTNEKMHHYIQEVLFLQEQTECVQEGVAMETACSPGNQAGVLDFFFQKPSGFFSLLDEESQAIWSVEPNLPRKLQGLLESSNTNAVYSPMKDGNGNVAFKGQGAAFTVMHYAGRVTYEIRGAVERNKDSLSQNLLFVMKTSENVVISHLFQSKLSPTGSLISSYPSFKFGGHKSSLLSKRIASSMVGVNKNYLELSKLLKKKGTCTFLQRLERGDPATTASQLTKSLADITAKLQKGSPHFILCVKPNTSQLPGVFDHFYVSAQLQYLGVLGLVRLFRYGYPVRPSFEDFLSRYEPLASVLLGEKKGQPAEERCRLVLQRCKLQGWQMGVHKVFLKYWQVDQLGDLWLQMQRKIVTCQKVIRGFLARQHLLQRMSIKQQEVTSIKSFLQSTEDMALKTYDALVIQNASDIAREHDRLRKEVHAAYHRNRQEEGTKRAEDQGGCRHAHSNSVPVPMAVDSLAQALAGPSSRSPSLHSVFSMDDSTGLPSPRKQPPPKPKRDPNTRLSASYEAVSACLSATKDAASEALTRPRPHSDDYSTMKKIPPRKPKRSPHTKLSGSYEEIWGPRPSGTMGQVGKHHAPGTLGVQWASPDSMPQCTPQLPLHLPLPQGDYDDDGEPVYIEMVGNAARAGGSETDSPDQGESVYEEMKYVLPEEGCGPGMLTFLPASPPLFLETRKAIILEAGEGSCQPLKDTCDIPPPFPNLLPHRPPLLVFPPTPVTCSPASDESPLTPLEVKKLPVLETNLKYPVQSEGSSPLSPQYSKAQKGENDQLTSPGFPVFNGPSRISPPATPPPPPGPPPAPCGPPSAPCGPPPAPCGPPPVPCGPPPAPCGPPPAPCGAAPAPCRPPTHFAFPPDSVLVTAAKALTNSDLPRTQPKPSSAPVLGPCSPFVKAPYSPGRTARADLRKASSTFSPPSPYSPPNSRPLSSPLDELASLFNSGRSVLRRSAVGRRIREAEGFETNMNLSSRDEPSSSEMASETQDRNANNHGTQLSSSLSSVVAAENGNPVTNGLAEDDGCSRLCLSGMGTSSFQRHRESHTTQVIHQLRLSENESVALQELLDWRRKLCESREGWQEAMQHPEPRAPPPPPCKKPTLLKKPEGGSCTRLSSQLWDSSI", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPQTVILPGPAPWGFRLSGGIDFNQPLVITRITPGSKAAAANLCPGDVILAIDGFGTESMTHADAQDRIKAAAHQLCLKIDRGETHLWSPQVSEDGKAHPFKINLESEPQDGNYFEHKHNIRPKPFVIPGRSSGCSTPSGIDCGSGRSTPSSVSTVSTICPGDLKVAAKLAPNIPLEMELPGVKIVHAQFNTPMQLYSDDNIMETLQGQVSTALGETPLMSEPTASVPPESDVYRMLHDNRNEPTQPRQSGSFRVLQGMVDDGSDDRPAGTRSVRAPVTKVHGGSGGAQRMPLCDKCGSGIVGAVVKARDKYRHPECFVCADCNLNLKQKGYFFIEGELYCETHARARTKPPEGYDTVTLYPKA", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MQKGNIRIAIDKGGTFTDCVGNIGTGKQEHDTVIKLLSVDPKNYPDAPLEGIRRLLEVLEHKTIPRGIPLDISNVRSLRMGTTLATNCALERNGERCAFITTKGFKDSLLIGDQTRPDIFNLNIKKVVPLYDTVVEIDERVTLEDFSEDPYFTKSSPNEQEGILEGNSGEMVRVIKKPDESSVRSILKVLYASGIKSIAIAFLHSYTFPDHERIVGNIAREIGFSHVSLSSEVSPMIKFLPRAHSSVADAYLTPVIKKYLNSISAGLSHAEDTHIQFMQSDGGLVDGGKFSGLKSILSGPAGGVIGYSSTCYDKNNNIPLIGFDMGGTSTDVSRYGDGRLEHVFETVTAGIIIQSPQLDIHTVAAGGSSILSWKNGLFRVGPDSAAADPGPAAYRKGGPLTITDANLFLGRLVPEFFPKIFGPNEDESLDLETTTLKFRELTDVINKDLNSNLTMEEVAYGFIKVANECMARPVRAITEAKGHVVSQHRLVSFGGAGGQHAIAVADSLGIDTVLIHRYSSILSAYGIFLADVIEENQEPCSFILGEPETILKVKKRFLELSKNSIKNLLSQSFSREDIVLERYLNLRYEGTETSLMILQKYDDQWNFREWFSEAHKKEFGFSFDDKRIIIDDIRIRAIGKSGVRKEKTVDEQLIEISHFKKADVSKDASFTQKAYFDNKWVDTAVFKIDDLPAGTIIEGPAILADGTQTNIILPNSQATILNSHIFIKINQKAAKTLSKSGYELDIDPILLSIFSHRFMDIALQMGTQLRKTSVSTNVKERLDFSCALFDSKGNLVANAPHVPVHLGSMSTCISAQAKLWEGKLKPGDVLITNHPDIGGTHLPDITVITPSFSSTGELIFYVASRAHHADIGGILPGSVPPNSKELYEEGTAIYSELVVKEGIFQEELIYKLFVEDPGKYPGCSGSRRFSDNISDLKAQVAANTKGIQLIGSLTKEYDLATILKYMAAIQTNASESIKKMLAKMVEHFGTTKFSGEDRLDDGSLIKLQVIIRPEKEEYIFNFDGTSPQVYGNLNAPEAITNSAILYCLRCLVGEDIPLNQGCLKPLTIKIPAGSLLSPRSGAAVVGGNVLTSQRVTDVILKTFNVMADSQGDCNNFTFGTGGNSGNKTDKQIKGFGYYETICGGSGAGADSWRGSGWNGSDAVHTNMTNTRMTDTEVFERRYPVLLKEFSIRRGSGGKGKYTGGNGVVRDVQFRKAVTASILSERRVIGPHGIKGGQDGSRGENLWVRHSTGALINVGGKNTIYAQPGDRFIIKTPGGGGFGQYKD", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MQANGAGGGGGGGGGGGGGGGGGGGQGQTPELACLSAQNGESSPSSSSSAGDLAHANGLLPSAPSAASNNSNSLNVNNGVPGGAAAASSATVAAASATTAASSSLATPELGSSLKKKKRLSQSDEDVIRLIGQHLNGLGLNQTVDLLMQESGCRLEHPSATKFRNHVMEGDWDKAENDLNELKPLVHSPHAIVVRGALEISQTLLGIIVRMKFLLLQQKYLEYLEDGKVLEALQVLRCELTPLKYNTERIHVLSGYLMCSHAEDLRAKAEWEGKGTASRSKLLDKLQTYLPPSVMLPPRRLQTLLRQAVELQRDRCLYHNTKLDNNLDSVSLLIDHVCSRRQFPCYTQQILTEHCNEVWFCKFSNDGTKLATGSKDTTVIIWQVDPDTHLLKLLKTLEGHAYGVSYIAWSPDDNYLVACGPDDCSELWLWNVQTGELRTKMSQSHEDSLTSVAWNPDGKRFVTGGQRGQFYQCDLDGNLLDSWEGVRVQCLWCLSDGKTVLASDTHQRIRGYNFEDLTDRNIVQEDHPIMSFTISKNGRLALLNVATQGVHLWDLQDRVLVRKYQGVTQGFYTIHSCFGGHNEDFIASGSEDHKVYIWHKRSELPIAELTGHTRTVNCVSWNPQIPSMMASASDDGTVRIWGPAPFIDHQNIEEECSSMDS", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "METVEIRSKSLLIQWLTVESNSLLSWQLHVKRKSIKFDIYHKKNDTSSLLDGSNKNTDRSILHTKRQHTHEAGIKKLSAAGLELFYQGERCMSEKPSEGSVYIENGGLYAFVFDNTFSKTKPKTVTFLLTAQPYNGPRIPNASVHGSPKQIISGTLLKKRRKKGQGYARRYFTLNMVEGTISYYANENSSVMRGKIPLSIAVISVAAETHEINVDSGVELWNLRAHTHQDWLRWCNALEKAKNSQTSSKLVVDERTQESSSNQLVSIYSRLRECLDIAQLYRTSRIKSASSHNFSVPEIRIQLPGDAKENKETRTSVEITAAENAQAAVTLRKVTRQLGSLLHELECFIQHHEYTKERTAQSSPSSRMSMDSNFEQHWYDAEDYESTTSQLNHYSESGAHAADATKSSVAHNEKVEDISDSDIPIMKTSSNSTSLDADRDSDTSSISDTSSNSSAPHEQLNATSLASTVDESSRSPPLPEVESNKENDIKRKQPFHDLMDSSSPDDSSFANAKSDEEVQKPSVSKNIADGAVISIPKPLTPKPSDSNSLYPLPHSKVGRRKNIPAITVPPPSILSILRKNIGKDISSIPAPVVSNEPCNLLQRCAEDLEYSNMLDKANECDDDIKIFYVAAFAVSNFSNMRHKERSVRKVFSPLLGETFELVREDRNYRFLAEKVCHRPLIIACHAESRNWIWNHSPKPIQKFWGKSVELNTLGPVTIKLACGTEFSFMKPACFLKNVAIGEKYVEPYDHMEIVDETTGDKAVIRFKSGGMFSGRSEDVLVTVIRSNGEEDPKCLQGKWTSHLDFVNTDEGNVIERIWEVGPLVDKPEDHCGMTVFAAQMNEITDLEKDKLPPTDTRLRPDQRYRENNDLDHAEPLKLELEQKQRERRKEMEEKDIKWEPRWFVPSVAGDDEDEDGSGPIWQLKKENNYWESRENSTWSSCPKLW", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNYPGRGSPRSPEHNGRGGGGGAWELGSDARPAFGGGVCCFEHLPGGDPDDGDVPLALLRGEPGLHLAPGTDDHNHHLALDPCLSDENYDFSSAESGSSLRYYSEGESGGGGSSLSLHPPQQPPLVPTNSGGGGATGGSPGERKRTRLGGPAARHRYEVVTELGPEEVRWFYKEDKKTWKPFIGYDSLRIELAFRTLLQTTGARPQGGDRDGDHVCSPTGPASSSGEDDDEDRACGFCQSTTGHEPEMVELVNIEPVCVRGGLYEVDVTQGECYPVYWNQADKIPVMRGQWFIDGTWQPLEEEESNLIEQEHLNCFRGQQMQENFDIEVSKSIDGKDAVHSFKLSRNHVDWHSVDEVYLYSDATTSKIARTVTQKLGFSKASSSGTRLHRGYVEEATLEDKPSQTTHIVFVVHGIGQKMDQGRIIKNTAMMREAARKIEERHFSNHATHVEFLPVEWRSKLTLDGDTVDSITPDKVRGLRDMLNSSAMDIMYYTSPLYRDELVKGLQQELNRLYSLFCSRNPDFEEKGGKVSIVSHSLGCVITYDIMTGWNPVRLYEQLLQKEEELPDERWMSYEERHLLDELYITKRRLKEIEERLHGLKASSMTQTPALKFKVENFFCMGSPLAVFLALRGIRPGNTGSQDHILPREICNRLLNIFHPTDPVAYRLEPLILKHYSNISPVQIHWYNTSNPLPYEHMKPSFLNPAKEPTSVSENEGISTIPSPVTSPVLSRRHYGESITNIGKASILGAASIGKGLGGMLFSRFGRSSTTQSSETSKDSMEDEKKPVASPSATTVGTQTLPHSSSGFLDSAYFRLQESFFNLPQLLFPENVMQNKDNALVELDHRIDFELREGLVESRYWSAVTSHTAYWSSLDVALFLLTFMYKHEHDDDAKPNLDPI", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDENGASFQVLCLATIGFHHLRGPEIEHLFPESMDFPKEWSILPFLSLPDGAHSSEKDFVYFTLPFPNDEGTVFGLSCTRQLNASSLKNIPSDVTRSSVQKAVVVITTSPPFGHIKDNLDIVTNAYFSQGDFSNLDVLRDFFHVLTRKEQDVHIALNINLKSFLCEWRQNALVLLKVLLLGKRILVYDKSAERLGNFQYSLLSLIPCMMSHLQDVSSPSAHSLEKSLHKPASLQTSDKRSLLAYTGFPLIIFGEGSMFSPYTPLQLVHVLEAKSSTSWLAGTTNTLIMLNQNKMAEVIVRSDTHQIEFVDPTIKNLTSLTYTDKSWMEDIISRVESSLEMELPGFEGSDDWIRNQFELYIFGMLATVKYYNFLKKQDDSILSQYHYLPSTSCISDYGETFLLEWMKTNTFRIWNNIADDDLFDVILPKHPCKEEHKVPISARIATLFSAVKITPKSRDGEDEGSKEPAV", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASLAPFFKISDSILADESFDDLEINNHFKCTSKDLNEYPRTSTPLPGEITSIYSLPRSYNNQNLCTRSKPTTFISQNQQKGMTEKPKHSEYFLRLMKTRQEMQKKLTAKNGSNKSVQQYLRKLPPSTSKEVTIIPVKMKPDDNSVYISKELSDRTDTKLPKVSTKEVEKFGEQSDDEERHERSHIASDFNQKTPQSTHATSNHRKRIFIGRTGAKQQHVSKKATESELPYNIDVSTSNTTNATEALKLLKRPLLLPSKDPRSSKMPRLDLNQHQFRCKQYIVHSQFTCTQIEKTFKCRVGTSTESEDLDDYYFDAMPDGKAPHFPQQPVARQNDDGSLELECFVDANPTPQVKWYYDNKEVENSGRFSANLANKGSDSYSAILTIKELADADAGAYRCAIVNPHGKGNANFNLKLTGFSSPTFVEKPQISSRDDGQVMVMEFRAKSILEPTFVWQKLVGGGAEEIIANSDRIKAVKKLEAGNVYYSALEIKEPTKDKDAGQFICTVKNESGKLTATFTVKFEVPEGAPSFTRKPQILQQTSAGGEPAICFDIGYSARMNPQVTWISPKSKKMKESSRIKFKTNDEGNGNFTAQLELTNYKAKDSGTYTCNIKNDAGEANVELTLNIEGPLDDYADDSEN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSESTKETIEVLYEIGTLLGTELDKTTLSLCISLCENNVHPEAIAQIIREIRMAQEQTVDTEPS", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNIHRSTPITIARYGRSRNKTQDFEELSSIRSAEPSQSFSPNLGSPSPPETPNLSHCVSCIGKYLLLEPLEGDHVFRAVHLHSGEELVCKVFDISCYQESLAPCFCLSAHSNINQITEIILGETKAYVFFERSYGDMHSFVRTCKKLREEEAARLFYQIASAVAHCHDGGLVLRDLKLRKFIFKDEERTRVKLESLEDAYILRGDDDSLSDKHGCPAYVSPEILNTSGSYSGKAADVWSLGVMLYTMLVGRYPFHDIEPSSLFSKIRRGQFNIPETLSPKAKCLIRSILRREPSERLTSQEILDHPWFSTDFSVSNSGYGAKEVSDQLVPDVNMEENLDPFFN", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MADPAECNIKVMCRFRPLNESEVNRGDKYVAKFQGEDTVMIASKPYAFDRVFQSSTSQEQVYNDCAKKIVKDVLEGYNGTIFAYGQTSSGKTHTMEGKLHDPEGMGIIPRIVQDIFNYIYSMDENLEFHIKVSYFEIYLDKIRDLLDVSKTNLSVHEDKNRVPYVKGCTERFVCSPDEVMDTIDEGKSNRHVAVTNMNEHSSRSHSIFLINVKQENTQTEQKLSGKLYLVDLAGSEKVSKTGAEGAVLDEAKNINKSLSALGNVISALAEGSTYVPYRDSKMTRILQDSLGGNCRTTIVICCSPSSYNESETKSTLLFGQRAKTIKNTVCVNVELTAEQWKKKYEKEKEKNKTLRNTIQWLENELNRWRNGETVPIDEQFDKEKANLEAFTADKDVAITNDKPAAAIGMAGSFTDAERRKCEEEIAKLYKQLDDKDEEINQQSQLVEKLKTQMLDQEELLASTRRDQDNMQAELNRLQAENDASKEEVKEVLQALEELAVNYDQKSQEVEDKTKEYELLSDELNQKSATLASIDAELQKLKEMTNHQKKRAAEMMASLLKDLAEIGIAVGNNDVKQPEGTGMIDEEFTVARLYISKMKSEVKTMVKRCKQLESTQTESNKKMEENEKELAACQLRISQHEAKIKSLTEYLQNVEQKKRQLEESVDSLGEELVQLRAQEKVHEMEKEHLNKVQTANEVKQAVEQQIQSHRETHQKQISSLRDEVEAKEKLITDLQDQNQKMVLEQERLRVEHERLKAVDQEKSRKLHELTVMQDRREQARQDLKGLEETVAKELQTLHNLRKLFVQDLATRVKKSAEVDSDDTGGSAAQKQKISFLENNLEQLTKVHKQLVRDNADLRCELPKLEKRLRATAERVKALESALKEAKENASRDRKRYQQEVDRIKEAVRSKNMARRGHSAQIAKPIRPGQHPAASPTHPGAVRGGGSFVQNNQPVGLRGGGGKQA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNFQAGGGQSPQQQQQLAGGPPQQFALSNSAAIRAEIQRFESVHPNIYAIYDLIERIEDLALQNQIREHVISIEDSFVNSQEWTLSRSVPELKVGIVGNLSSGKSALVHRYLTGTYVQEESPEGGRFKKEIVVDGQSYLLLIRDEGGPPELQFAAWVDAVVFVFSLEDEISFQTVYNYFLRLCSFRNASEVPMVLVGTQDAISAANPRVIDDSRARKLSTDLKRCTYYETCATYGLNVERVFQDVAQKVVALRKKQQLAIGPCKSLPNSPSHSAVSAASIPAVHINQATNGGGSAFSDYSSSVPSTPSISQRELRIETIAASSTPTPIRKQSKRRSNIFTSRKGADLDREKKAAECKVDSIGSGRAIPIKQGILLKRSGKSLNKEWKKKYVTLCDNGLLTYHPSLHDYMQNIHGKEIDLLRTTVKVPGKRLPRATPATAPGTSPRANGLSVERSNTQLGGGTGAPHSASSASLHSERPLSSSAWAGPRPEGLHQRSCSVSSADQWSEATTSLPPGMQHPASGPAEVLSSSPKLDPPPSPHSNRKKHRRKKSTGTPRPDGPSSATEEAEESFEFVVVSLTGQTWHFEASTAEERELWVQSVQAQILASLQGCRSAKDKTRLGNQNAALAVQAVRTVRGNSFCIDCDAPNPDWASLNLGALMCIECSGIHRHLGAHLSRVRSLDLDDWPPELLAVMTAMGNALANSVWEGALGGYSKPGPDACREEKERWIRAKYEQKLFLAPLPSSDVPLGQQLLRAVVEDDLRLLVMLLAHGSKEEVNETYGDGDGRTALHLSSAMANVVFTQLLIWYGVDVRSRDARGLTPLAYARRAGSQECADILIQHGCPGEGCGLAPTPNREPANGTNPSAELHRSPSLL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSQSISSSTKAEEVVSVDVSQAKTLLQSGHQYLDVRTQDEFRRGHCEAAKIVNIPYMLNTPQGRVKNQEFLEQVSSLLNPADDILVGCQSGARSLKATTELVAAGYKKVRNVGGGYLAWVDHSFPINTEEEEPSAN", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSLSPVVVIGTGLAGLAAANELVNKYNIPVTILEKASSIGGNSIKASSGINGACTETQRHFHIEDSPRLFEDDTIKSAKGKGVQELMAKLANDSPLAIEWLKNEFDLKLDLLAQLGGHSVARTHRSSGKLPPGFEIVSALSNNLKKLAETKPELVKINLDSKVVDIHEKDGSISAVVYEDKNGEKHMVSANDVVFCSGGFGFSKEMLKEYAPELVNLPTTNGQQTTGDGQRLLQKLGADLIDMDQIQVHPTGFIDPNDRSSSWKFLAAESLRGLGGILLNPITGRRFVNELTTRDVVTAAIQKVCPQEDNRALLVMGEKMYTDLKNNLDFYMFKKLVQKLTLSQVVSEYNLPITVAQLCEELQTYSSFTTKADPLGRTVILNEFGSDVTPETVVFIGEVTPVVHFTMGGARINVKAQVIGKNDERLLKGLYAAGEVSGGVHGANRLGGSSLLECVVFGRTAAESIANDRK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEEEEYEQIPQENPPEELSQDPVLELSGGLREKEQKTPRRLRLILMGKTGSGKSATGNSILGRDVFESKLSTRPVTKTSQRRSREWAGKELEVIDTPNILSPQVSPEVADAICQAIVLSAPGPHAVLLVTQLGRFTDEDQQVVRRLQEVFGVGVLGHTILVFTRKEDLAGGSLEDYVRETNNQALAWLDVTLARRHCGFNNRAQGEEQEAQLRELMEKVEAIMWENEGDYYSNKAYQYTQQNFRLKELQERQVSQGQGSEDVPGEESWLEGLSQIQKESEEAHRCLLGKADL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSTPFGLDLGNNNSVLAVARNRGIDIVVNEVSNRSTPSVVGFGPKNRYLGETGKNKQTSNIKNTVANLKRIIGLDYHHPDFEQESKHFTSKLVELDDKKTGAEVRFAGEKHVFSATQLAAMFIDKVKDTVKQDTKANITDVCIAVPPWYTEEQRYNIADAARIAGLNPVRIVNDVTAAGVSYGIFKTDLPEGEEKPRIVAFVDIGHSSYTCSIMAFKKGQLKVLGTACDKHFGGRDFDLAITEHFADEFKTKYKIDIRENPKAYNRILTAAEKLKKVLSANTNAPFSVESVMNDVDVSSQLSREELEELVKPLLERVTEPVTKALAQAKLSAEEVDFVEIIGGTTRIPTLKQSISEAFGKPLSTTLNQDEAIAKGAAFICAIHSPTLRVRPFKFEDIHPYSVSYSWDKQVEDEDHMEVFPAGSSFPSTKLITLNRTGDFSMAASYTDITQLPPNTPEQIANWEITGVQLPEGQDSVPVKLKLRCDPSGLHTIEEAYTIEDIEVEEPIPLPEDAPEDAEQEFKKVTKTVKKDDLTIVAHTFGLDAKKLNELIEKENEMLAQDKLVAETEDRKNTLEEYIYTLRGKLEEEYAPFASDAEKTKLQGMLNKAEEWLYDEGFDSIKAKYIAKYEELASLGNIIRGRYLAKEEEKKQAIRSKQEASQMAAMAEKLAAQRKAEAEKKEEKKDTEGDVDMD", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MWTPTEEEKYGVVICSFRGSVPQGLVLEIGETVQILEKCEGWYRGVSTKKPNVKGLFPANYIHLKKAIVSNRGQYETVVPLEDSIVTEVTTTLQEWASLWKQLYVKHKVDLFYKLRHVMNELIDLRRQLLSGHLTQDQVREVKRHITVRLDWGNEHLGLDLVPRKDFEVVDSDQISVSDLYKMHLSSRQSVQQSTSQVDTMRPRHGETCRMPVPYHFFFSLKSFTYNTIGEDSDVFFSLYDMREGKQISERFLVRLNKNGGPRNPEKIERMCALFTDLSSKDMKRDLYIVAHVIRIGRMLLNDSKKGPAHLHYRRPYGCAVLSILDVLQSLTELKEEKDFVLKVYTCNNESEWTQIHENIIRKSSTKYSAPSASHGLIISLQLFRGDMEQIRRENPMIFNRGLAITRKLGFPDVIMPGDIRNDLYLTLEKGDFERGGKSVQKNIEVTMYVLYADGEILKDCISLGSGEPNRSSYHSFVLYHSNSPRWGEIIKLPIPIDRFRGSHLRFEFRHCSTKDKGEKKLFGFAFSPLMRDDGTTLSDDIHELYVYKCDENSTFNNHALYLGLPCCKEDYNGCPNIPSSLIFQRSKESFFISTQLSSTKLTQNVDLLALLKWKAFPDRIMDILGRLRHVSGEEIVKFLQDILDTLFVILDDNTEKYGLLVFQSLVFIINLLRDIKYFHFRPVMDTYIQKHFAGALAYKELIRCLKWYMDCSAELIRQDHIQEAMRALEYLFKFIVQSRILYSRATCGMEEEQFRSSIQELFQSIRFVLSLDSRNSETLLFTQAALLNSFPTIFDELLQMFTVQEVAEFVRGTLGSMPSTVHIGQSMDVVKLQSIARTVDSRLFSFSESRRILLPVVLHHIHLHLRQQKELLICSGILGSIFSIVKTSSLEADVMEEVEMMVESLLDVLLQTLLTIMSKSHAQEAVRGHCPVTAEITGEYVSCLLSLLRQMCDTHFQHLLDNFQSKDELKEFLLKIFCVFRNLMKMSVFPRDWMVMRLLTSNIIVTTVQYLSSALHKNFTETDFDFKVWNSYFSLAVLFINQPSLQLEIITSAKRKKILDKYGDMRVMMAYELFSMWQNLGEHKIHFIPGMIGPFLGVTLVPQPEVRNIMIPIFHDMMDWEQRKNGNFKQVEAELIDKLDSMVSEGKGDESYRELFGLLTQLFGPYPSLLEKVEQETWRETGISFVTSVTRLMERLLDYRDCMKGEETENKKVGCTVNLMNFYKSEINKEEMYIRYIHKLCDMHLQAENYTEAAFTLLLYCELLQWEDRPLREFLHYPSQTEWQRKEGLCRKIIHYFNKGKSWEFGIPLCRELACQYESLYDYQSLSWIRKMEASYYDNIIEQQRLEPEFFRVGFYGRKFPFFLRNKEYVCRGHDYERLEAFQQRMLSEFPQAVAMQHPNHPDDAILQCDAQYLQIYAVTPIPDYVDVLQMDRVPDRVKSFYRVNNVRKFRYDRPFHKGPKDKDNEFKSLWIERTTLTLTHSLPGISRWFEVERRELVEVSPLENAIQVVENKNQELRALISQYQHKQVHGNINLLSMCLNGVIDAAVNGGIARYQEAFFDKDYITKHPGDAEKISQLKELMQEQVHVLGVGLAVHEKFVHPEMRPLHKKLIDQFQMMRASLYHEFPGLDKLSPACSGTSTPRGNVLASHSPMSPENIKMTHRHSPMNLMGTGRHSSSSLSSHASSEAGNMMMMGDNSMGEAPEDLYHHMQLAYHNPRYQGSVTNVSVLSSSQASPSSSSLSSTHSAPSQMITSAPSSTRGSPSLPDKYRHAREMMLLLPTHRDRPSSAMYPAAILENGQPPNFQRALFQQVVGACKPCSDPNLSMAEKGHYSLHFDAFHHPLGDTPPALPARTLRKSPLHPIPASPTSPQSGLDGSNSTLSGSASSGVSSLSESNFGHSSEAPPRTDTMDSMPSQAWNGDEGLEPPYLPVHYSLSESAVLDAIKSQPCRSHSAPGCVLPQDPMDPPALPPKPYHPRLPALEHDEGMLLREEAERPRGLHRKASLPPGSVKEEQARLAWEHGRGEQ", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKPSENEKIHPVRHSKYEDKSKLPFETIPDPVLKYVKRARDAELQPQLAKVSQKLFADISTATAAEKLQFTVPRRARFEINDPRNPTFSMMALQDIISVLEYRRNKNPTSTAHICIDKKGKEAVSYSWDKILNRAKQFASVAQNHVGLKPGTRVILYYRKSEASEYIISIFGCLLLGIVVIPLSPSSSSESLKLVVNEEKVRVAFTTEATYRIFIKDTEVNNAKSLAWWKSNDFTNYKFEQIKQYRMRANDTVLIDYTFSSSTYNDIKAVYTTKTFLSQMRNLTSSMITNPARQAGWKLHNFEDSKDVLITNFHPSMPLGLIMGVFNSVFAGYCTIFCDEEVLKTPGLLAYLITKYRCTYSLFDYAGLKQTVYNYQEDPKSTLSFKKNYTPNLSSLKLCMVECEVVDPEFNIIVSDRWLQPLGTNNSKEVITPILCLRKFGGIPISFKDWMISYTNRQKHERQMADTCYQEILVLKSSIEKEKVEIVPFLDIRKYSPNEVLCMSPFWYPIPEASVAVVNEDSKNICKVGEVGEIWVYSDCLPKLKAASVINNPQGEQLTDYENNQENKFEKNNSFMDSGLKGFLHNEKIFVLGNKDEQIRQYRKTMVADKLMEEKYVHYSHYLIKKIMKYVPEIFDGVAFEIEIDKAVYSVLVLESPIIKRSLIRNNRLKGRDLFSELVKITESSFQVTQDIFKLDVLSILIAPYKSLPRSRYMGIQAINTNKCKLAFLSGNLPVSYVRFFMDSALPKSKINLNNSKGIWSKDASKHHREIISLNVKQKMDPYKHQNKVSKARENLLNHFTILDFLKTKSAKTPTSPAILTFNAIEKTKVELTWAHFELKVASHVEYMQLSVKAKARSHILLLYYDPLEFLISIHSCFHLGVIPIPFQIREISQLIGEIEEFTKIAKAFNVEAILVDHKVLISLKSRDISNHFQQTCIDLNMKAPKIFETTGIPISKRAKKALNLITPGELNNKGKVALISINKLEDGSIIPTQFSHSSLLAFCHEQKEFVIGNEEKPIIGGIEFSSGMGLLHTALLGVYAGVPTLLIKQENLCNNGSLLFEAIEQNSLSKVMIPLNICQKSFSTAQGCNSIVINSTLSSIIVPCYDRPISSRVNSIIEDIARIGLAPNKVKLAYSHPINPFVCWNADSSMEKMKDYFDANQLRSGLVNVREDVLRNRQPLLYGSGTSTLYNEICIVHPEEKYICQEGEIGEIWINGKHGSYCENNELNSGCELLVQETLDKKSYSRTGQLGFIHHLKKKDQNMEKVPVLYTLDFIWNTLELNGLNHSVKDIEETIELVHPNICTDGCILFQASGSVVILLEVHSQQKFASLIPIIVATALAAHEIILDCVAFVPKGTFLRRPTGEKRRADILKQWTGGDLKHMTSYLIRQDFLLNEDFVGTELIGTTDSYDYSDENLIINSSQLNLL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGKENSKVVVLDFWASPYAMRTKVALREKGVEFEVQEEDLWNKSELLLKSNPVHKKVPVLIHNNTPISESLIQVQYIDETWTDAASFLPSDPQSRATARFWADYADKTISFEGGRKIWGNKKGEEQEKGKKEFLESLKVLEAELGDKSYFGGETFGYVDITLVPFYSWFYALEKCGDFSVEAECPKIVAWGKRCVERNSVAATLPESEKVYQQVLKLRQIFGVE", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MIESELATSRWSLMLEADIATQTTRSLTNELSFIVAGLRPSTKESVLHFLELIFINLKYQSKKWLYSLVICKSLIALLGRKRLSANVRKIVRFLNVIICVIGLWKGLSAMSGKNTFINGLQSYLISETALPELGSFQELSTSSLGSFRMFQQIAVGFVDALFCTRIPASLWIKYKEYTTSAETTVPQECGLCMMCVQRGDERVAITTPYTTDCGHTYCYACIMSRLKLVNNVSCPICKHRIRFALPDQTMG", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSTVESSKPKIINGSCGYVLEDVPHLSDYLPGLPTYPNPLQDNPAYSVVKQYFVDADDSVPQKIVVHKDGPRGIHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVSSLSYMYGVKRILGIDGGYRGFYAKNTVSLDSKVVNDIHKRGGTILGTSRGGHDTTKIVDSIQDRGINQVYIIGGDGTQRGASVIFEEIRRRGLKVAVIGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESIENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIEKRLKESGHMVLVIAEGAGQDLMSKSMESMTLKDASGNKLLKDVGLWLSQSIKDHFNQKKMVMNLKYIDPTYMIRAVPSNASDNVYCTLLAQSAVHGAMAGYTGYISGLVNGRQTYIPFYRITEKQNHVVITDRMWARLLSSTNQPSFLGPKDVFDNKEKPMSALLDDGNCNGVVDVPPVTKEITK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDSTEDRETPLKDQIRRLTNENVQLQDRNERLYAKLGELQDKMGKLAGSKTDLSSKLVLSEEEKLKISKELIELQIETNKIREHYEAETFELKNTILTLENRLMSLELQKEKLAGEHESVKERLQAVDANRKELADEYIVLKSNYLALSKEHEKEVAKNDELSMELLNLASRRGQDETYSQSRALVNEATAELDRVKAMVNRLSARNIKPEDLVATEYERQKLERNLLGNQDHIREEIENMKKIHETQQQRLEERIIAMGKELQEAKRAIRNTQHKMAEQSAVLLTSQSQLQETEAQNSHLQLQLKELNEEYRSRLNRYIQDLADYVDGTARSKGDGTRMKHFVDNMLSDIKASHRSREEQLAGAARQYKKRMQNLIKKHQSLLIAYRMQREQLLASGNQDVEPGPPEHHFTITDPELQSQVGLELNRLREDKARLETQIHDLKEKKRLSDAGTSNQHVEHGGKLQEESWAEIRKQLREFTHNTQEELERERSQLLSRALVAEEQVAELQDYVDKHLARYKQEILRLRKLLGNEEQRAVSADAPQSLLIRALRRNSHEM", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSEEDTSKLRILSVGSNAISAFISWRLSESKACHTTLIWRNRCESVLSEGIRIRSSVFGSTKWKPDVVAPTVEQLAMNSEPFDYIFVCLKILPSVYNLDTAIKEVVTPGHTCIVLNTTGIVGAEKELQHAFPNNPVLSFVLPDQFAQRGPLQFEHTTFAADSAKSVIYVGLTEEEDDVPDSVQDAMIETLTLTLEAGGVSCDFLSKIQKKQWETGVGHMCFYPLSIINDEPNLALMYRLKSFAKVIDGLMDEAFSIAQAQGCEFEPEKLDVLKRHIVNRMLATPRPSYPYQDYIAHRPLEVAVLLGYPVEIAKELGVSVPRMETLLALFDAKNKRNLTVRAGTPQSSPNFNPAMRRSPVGAASRSPSRSTIGISNRIGSVDDLLNTRQFTSSPIGGSMPKGPNSIYKIPSASMVNLSSPLVTSPSGLNPTGRPSRFGGRVRGNPLTMNKAGSVSDLLSTSTNMASSDALETASMIGVPSAMPPNSFDMLTLTQRRNRRNNQSSSPPAPSDRRYTMGARRPVQTRGMTDSVIPILEDPMSTLYDTSRYPTRNSKPATPKASRPPSIASTVHRRMD", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVLSTEENTGVDSVNLPSGETGLGEKDQESVNNLCSQYEEKVRPCIDLIDSLRALGVEQDLALPAIAVIGDQSSGKSSVLEALSGVALPRGSGIVTRCPLVLKLRKLNEGEEWRGKVSYDDIEVELSDPSEVEEAINKGQNFIAGVGLGISDKLISLDVSSPNVPDLTLIDLPGITRVAVGNQPADIGRQIKRLIKTYIQKQETINLVVVPSNVDIATTEALSMAQEVDPEGDRTIGILTKPDLVDRGTEDKVVDVVRNLVYHLKKGYMIVKCRGQQDIQEQLSLTEALQNEQIFFKEHPHFRVLLEDGKATVPCLAERLTAELISHICKSLPLLENQIKESHQSASEELQKYGMDIPEDDSEKTFFLIEKINAFNQDITALVQGEENVAEGECRLFTRLRKEFLSWSKEIEKNFAKGYAVLYNEVWAFEKQYRGRELPGFVNYKTFENIIRRQIKTLEEPAIEMLHTVTEIVRAAFTSVSEKNFSEFYNLHRTTKSKLEDIRLEQEKEAEMSIRLHFKMEQIIYCQDQIYRGALQKVREEEAEEEKKTKHGTSSSSQSQDLQTSSMAEIFQHLNAYRQEAHNRISSHVPLIIQYFILKMFAERLQKGMLQLLQDKDSCSWLLKEQSDTSEKRKFLKERLARLAQARRRLAKFPG", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGVQVVPIAPGDGSTYPKNGQKVTVHYTGTLDDGTKFDSSRDRNKPFKFTIGKGEVIRGWDEGVAQLSVGQRAKLICSPDYAYGSRGHPGVIPPNSTLTFDVELLKVE", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MESQRSFSSYRKRFGTPGGSPSVGVTSRHSTGRLSLHSSPRHLTSSPLTLSTSRLSLGGERLDFSADSLLKAQYRETRTNEKVEMMGLNDRFASYIEKVRFLEQQNKMLVAELNQLRGKEPSRLGDIYQEELRELRRQVDGLNAGKARLEIERDNLASDLGTLKQRLQDETALRQEAENNLNTFRQDVDEAALNRVQLERKIEALQDEINFLKKVHEEEMRELHEQLMAQQVHVDLDVSKPDLTAALKEIRAQFEAMANSNMQETEEWYRSKFADLTDAANRNGEALRQAKQEANDYRRQIQGLTCDLESLRGSNESLERQLREMEERFAIETAGYQDTVARLEDEIQMLKEEMARHLQEYQDLLNVKLALDIEIATYRKLLEGEESRITVPVQNFTNLQFRDTSMDTKLTPEAHVKRSIVVRTVETRDGEIIKESTTERKDLP", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPPKKAAKGKGDPGKAAKKDPTKKAADATFGLKNKNRSTKVQAKIRQIEQNAAASGSKDAKRQEALRKRREEEKRAAEAAKAEVAALFNAIPKKQTPQNFLTRKEEVKESQKIDLYSDVRDQQTDLPLEKRPWINTDIVCKFFLEACETGKYGWLWQCPNGNMTCIYKHALPYGYVLSRDKKKDDTKEEISLEAFIEIERHRLGPNLTPVTEENFKKWSDGRRDRILKQAEERRSNRAVGRSNLSGREYFESNKDKTHEVVGDEEDWDFSALRRETEALAKAQDATAPIVSV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNRSGNSQTTQKLVNLDDIWSELVEGIMQVFEHEKSLTRSQYMRFYTHVYDYCTSVSAAPSGRSSGKTGGAQLVGKKLYDRLEQFLKSYLSELLTKFKAISGEEVLLSRYTKQWKSYQFSSTVLDGICNYLNRNWVKRECEEGQKGIYKIYRLALVAWKGHLFQVLNEPVTKAVLKSIEEERQGKLINRSLVRDVIECYVELSFNEEDTDAEQQKLSVYKQNFENKFIADTSAFYEKESDAFLSTNTVTEYLKHVENRLEEETQRVRGFNSKNGLSYLHETTADVLKSTCEEVLIEKHLKIFHTEFQNLLNADRNDDLKRMYSLVALSSKNLTDLKSILENHILHQGTEAIAKCCTTDAANDPKTYVQTILDVHKKYNALVLTAFNNDNGFVAALDKACGKFINSNVVTIANSASKSPELLAKYCDLLLKKSSKNPEDKELEDNLNQVMVVFKYIEDKDVFQKYYSKMLAKRLVNHTSASDDAEAMMISKLKQTCGYEYTVKLQRMFQDIGVSKDLNSYFKQYLAEKNLTMEIDFGIEVLSSGSWPFQLSNNFLLPSELERSVRQFNEFYAARHSGRKLNWLYQMCKGELIMNVNRNNSSTYTLQASTFQMSVLLQFNDQLSFTVQQLQDNTQTQQENLIQVLQILLKAKVLTSSDNENSLTPESTVELFLDYKNKKRRININQPLKTELKVEQETVHKHIEEDRKLLIQAAIVRIMKMRKRLNHTNLISEVLNQLSTRFKPKVPVIKKCIDILIEKEYLERMEGHKDTYSYLA", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTVTEIFDNQPICIDNGSGFIKAGFAGDDIPKCLFPTCVGRIKHERVMPSSIQKDMFVGSEAQNLRGLLKIQRPIERGIIQNWSDMEEIWSYIYSDQQLNTLPEEHPLLLTEPPLANIRNKEKIAEYFYETLNVPALSFSLQPVLALYASARTTGIVLECGDGLTHSVPIYDGFSIPSAIQQEEIGGRDVTDYLQLQLRKSGHELVSSAEKEIVREIKEKCCYVASDFRSEIESWTEHKPQIHTYQLPDNQTITLGTECFSAPEVLFNPEMMGSEASGLHIQLFKSILLSDIDLRSTLYSNIVLSGGSTLLRGFGERFISELRAISGKKNQVKIYASPERMHNAWLGGSILASLSTFRRLLITSEEYKNDQNVIFRRRF", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRRDVNGVTKSRFEMFSNSDEAVINKKLPKELLLRIFSFLDVVTLCRCAQVSRAWNVLALDGSNWQRIDLFDFQRDIEGRVVENISKRCGGFLRKLSLRGCLGVGDNALRTFAQNCRNIEVLSLNGCTKTTDATCTSLSKFCSKLRHLDLASCTSITNMSLKALSEGCPLLEQLNISWCDQVTKDGIQALVRGCGGLKALFLKGCTQLEDEALKYIGAHCPELVTLNLQTCLQITDEGLITICRGCHKLQSLCASGCSNITDAILNALGQNCPRLR", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVVSAGPWSSEKAETNILEINEKLRPQLAENKQQFRNLKEKCFVTQLAGFLANRQKKYKYEECKDLIKFMLRNERQFKEEKLAEQLKQAEELRQYKVLVHSQERELTQLREKLREGRDASRSLNQHLQALLTPDEPDKSQGQDLQEQLAEGCRLAQHLVQKLSPENDEDEDEDVQVEEAEKVLESSAPREVQKAEESKVPEDSLEECAITCSNSHGPCDSNQPHKNINITFEEDKVNSTLVVDRESSHDECQDAVNILPVPGPTSSATNVSMVVSAGPLSSEKAEMNILEMNEKLRPQLAEKKQQFRNLKEKCFVTQLAGFLANQQNKYKYEECEDLIKSMLRNERQFKEEKLAEQLKQAEELRQYKVLVHSQERELTQLREKLREGRDASRSLNQHLQALLTPDEPDKSQGQDLQEQLAEGCRLAQHLVQKLSPENDEDEDEDVQVEEAEKVLESSAPREVQKAEESKVPEDSLEECAITCSNSHGPCDSNQPHKNINITFEEDKVNSALVVDRESSHDECQDAVNILPVPGPTSSATNVSMVVSAGPLSSEKAEMNILEMNEKLRPQLAEKKQQFRNLKEKCFVTQLACFLANQQNKYKYEECKDLIKSVLRNERQFKEEKLAEQLKQAEELRQYKVLVHSQERELTQLREKLREGRDASRSLNQHLQALLTPDEPDKSQGQDLQEQLAEGCRLAQQLFQKLSPENDNDHDEDVQVEVAEKVQKSSAPREMQKAEEKEVPEDSLEECAITCSNSHGPYDSNQPHRKTKITFEEDKVDSTLIGSSSHVEWEDAVHIIPENESDDEEEEEKGPVSPRNLQESEEEEVPQESWDEGYSTLSIPPEMLASYQSYSGTFHSLEEQQVCMAVDIGGHRWDQVKKEDQEATGPRLSRELLDEKGPEVLQDSLDRCYSTPSGYLELTDSCQPYRSAFYILEQQRVGWALDMDEIEKYQEVEEDQDPSCPRLSRELLDEKEPEVLQDSLDRCYSTPSGYLELPDLGQPYRSAVYSLEEQYLGLALDVDRIKKDQEEEEDQGPPCPRLSRELLEAVEPEVLQDSLDRCYSTPSSCLEQPDSCLPYGSSFYALEEKHVGFSLDVGEIEKKGKGKKRRGRRSTKKRRRRGRKEGEEDQNPPCPRLSGMLMEVEEPEVLQDSLDRCYSTPSMYFELPDSFQHYRSVFYSFEEQHISFALDVDNRFLTLMGTSLHLVFQMGVIFPQ", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTAGRHWSYDSLKQIAIDGCTENVEQLKLIFVEEIGSRRRSDCIRTIEDLIDCLERADELSEYNVEPLRRISGNMPQLIEALSAYTKPENILGHPVNLYQELRLAEELRQQLRIAPASQNAQPSVSELAAAVPPTAIQNYATPAAFTDHKRTMVFKKISEELGRYWRRLGRSAGIGEGQMDTIEERYPHDLKSQILRLLQLIEEDDCHDPKHFLLRLCRALGDCGRNDLRKRVEQIMSH", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLHFLFHSGSSSNRNSSPKESYELLHGLDKQYQSTKDVTFRLVLVQDIGDRKKTVLFDSNHVDGQKGDSVLRDSANAPLTDLMFGAIPISHKGTTTKLHILHPPNPATRSYMLTQLFQINTHGTVVNSSHETIASATSLFENSSSNFSEDPNKPNSSDAFESNKEDSPLLKSFNDSAIPENAANLSSSSKNMKDSTLSSQKARSNTSSSFLTPLHEQLESRCALHTAAKDPFRSKNSLRCNRGHSPLSSQQILPAISNNTSEKPDSNNCGFLLPSNSTSIKDLKNVKKGNRLNSPPFITIPQSIKNTNSNFLLSSPSLFSDTRTRPASYALALIITVPYEYDEIVHPVSTYYTMLSNFTLSLQKEIDERIRNLLFVSLSSGGDNKNDTGIPLIQSSSSKVGFGPYALSKDLITAKSFHKCILLLKTGFSAPLIKPSVFSGSKWVENMRLLTDLCKSPAQKCLFSNLLTATRKFCLERQKDDVTFKVLLQSSKAPIARRFLYLLAPLMRPSIAQCSDTLLNPIQLYPNSGILSSSSLSTSFGCPSVSGSLRVPSYDMKINDSCKAIDIHSEKPSFADSPRKTSLRNYLSSSWRLKFMRSSYQNNETDPLNPTSGSFLRQPMQYSSPSGVSESAASSFLDIENIDEYLESAENMKYLPRSTVGPGGMLHVDLLETNAKQESEATTSTVPPSPSQVGFLKALHPSFDLQAAPPNSYVSFSDDDFISATLLYMLEDVSRNKSQLLAEKKHLKSQLMVANLDTYSLDCYEIHEFPSEWENDYAPFLLKEHHKVIGETYVSSFDIQQGCFNVIKRRLSSYKWDKSDDSFVSEVLKGDLKEVLRVCSHC", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGTSSTDSQQAGHRRCSTSNTSAENLTCLSLPGSPGKTAPLPGPAQAGAGQPLPKGCAAVKAEVGIPAPHTSQEVRIHIRRLLSWAAPGACGLRSTPCALPQALPQARPCPGRWFFPGCSLPTGGAQTILSLWTWRHFLNWALQQREENSGRARRVPPVPRTAPVSKGEGSHPPQNSNGEKVKTITPDVGLHQSLTSDPTVAVLRAKRAPEAHPPRSCSGSLTARVCHMGVCQGQGDTEDGRMTLMG", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASTRSIELEHFEERDKRPRPGSRRGAPSSSGGSSISGPKGNGLIPSPAHSAHCSFYRTRTLQALSSEKKAKKARFYRNGDRYFKGLVFAISSDRFRSFDALLIELTRSLSDNVNLPQGVRTIYTVDGSRKVTSLDELLEGESYVCASNEPFRKVDYTKNVNPNWSVNIKGGTTRTLAVASAKSEVKESKDFIKPKLVTVIRSGVKPRKAVRILLNKKTAHSFEQVLTDITEAIKLDSGVVKRLCTLDGKQVTCLQDFFGDDDVFIACGPEKYRYAQDDFVLDHSECRVLKSSYSRASAAKYSGSRSPGLSRRSKSPASVKRAGHSSAYSTAKSPVNGTPSSQLSTPKSTKSSSSSPTSPGSFRGLKQISAQGRSSSNVNGGPELDRCMSPEGVNGNRCSESFTLLEKYRIGKVIGDGNFAVVKECMDRSTGKEFALKIIDKAKCCGKEHLIENEVSILRRVKHPNIIMLVEEMETTTELFLVMELVKGGDLFDAITSSTKYTERDGSAMVYNLASALRYLHGLSIVHRDIKPENLLVCEYPDGTKSLKLGDFGLATVVEGPLYTVCGTPTYVAPEIIAETGYGLKVDVWAAGVITYILLCGFPPFRSENNLQEDLFDQILAGKLEFPAPYWDNITDSAKELISQMLQVNVEARCTAGEILSHPWVSDDASQENNMQAEVTGKLKQHFNNALPKQNSTTTGVSVIMNTALDKEGQVFCSKHCRDSSKSSREQTSAREAPPPPESPRPPGPPATSGCDPAGTWRRHRD", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLEADLVSKMLRAVLQSHKNGIVLPRLQGEYRSLTGDWIPFKQLGYPTLEAYLRSVPAVVRIEASRSGEIVCYAVACTETARIAQLVARQRTSKRKIGRQINCQMRVKKAMPFFLEGKPKATLRQPGFASDYSISRKPNSALLRDRGSALGVKADVDMPPYPDTPVQRHASMSANSRFSPKSSLPASFQTHISRACPTEVNDNLNQTVEKPNITPPASYTNKMDEVQNRIKEILDKHNNGIWISKLPHFYKEFYKEDLNQGVLQQFEHWPHICTVEKPCGGGQDSLLYPARREQPLKSDQDPEKELPPPPPAPKQEVPSQGSPAVMPDVKEKVAELLGKYSSGLWASALPKAFEDMYKVKFPEDALKNLASLSDVCTINYISGNTQKAILYAKLPLPTDKILKDEGQAQGDFDIKSMIEQEYLQIEKNMAESADEFLEDITVPPLVIPTEASPSVLVVELSNTNDVVIRYVGKDYSAAQELMEDEMKEFYSKNPRVTPIQTVHVGQLLAVNAEEDAWLRAQIISTDENKIKVCYVDYGFCENIEKSKAYRLNPRFCSLSFQATKCKLAGLEVLNDDPDLVKAVESLTCGKIFAVEILDKSDVPLVVLYDTSGEDDININATCLKAICDRSLQVHLQVDAMYTNVKVTNICSDGTLYCQVPCKGLNKLNDLLHKTEDYFHCKHMTSEYFISLPFCGKICLFHCKGKWLRVEITNVHSSRALDVQFLDSGNSTSVKVSELREIPPRFLQEMLAIPPQAIKCCLADLPQSIGMWTPDAVLWLRDSVLNCSDCSIKVTKMDETKGVAYVYLFTPNNFPDPHRSINRQITNADLWKHQKDVFLSAVSTAASSPGNRNGGTPAPGSPAESLRKSHPEVIKKSVLDHTSSFSLEELPPPVHLSRSGEHMDVYVPVACHPGHFVIQPWQEIHKLEVLMEEMILYYSVSEERHIAVERDQVYAAKVENKWYRVLLKGILTNGLVSVYELDYGKHELVNIRKVQPLVDVFRKLPFQAVTAQLAGVKCSQWSEEASMVFRNHVEKKALVALVQTVVEHTNPWDRKVVLYLVDTSLPDTDTWIHDFMSQYLLELSKVN", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSVRFSSASRRLGSVRLSSAGAALGAGNACGVPGIGSGFSCAFGGSSLAGGLGMGGASCGAFTANEHGLLSGNEKVTMQNLNDRLASYLENVQALEEANADLEQKIKDWYEKFGPGSCRGLDHDYSRYFPIIDDLRTQIISATAHNANIILQNDNARLTADDFRMKYENELALHQSVDADINGLRRVLDELTLCRTDLEVQLETLSEELAYLKKNHEEEMQALQCAAGGNVNVEMNAAPGVDLTVLLNNMRAEYEALAEQNRRDAEAWFQEKSASLQQQISDDAGATTSARNELTEMKRTLQTLEIELQSLLAMKHSLECSLTETEGNYCTQLAQIQAQISALEEQLHQVRTETEGQKLEYEQLLNVKAHLEKEIETYCRLIDGDEGSCVKAKGQGRPGNQTKDSPKTAIVKTVVEELDPRGKVLSSRVHTLEEKSTKVNKTEQRIPS", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSRALRRLQDDNALLESLLSNSNANKMTSGKSTAGNIQKRENIFSMMNNVRDSDNSTDEGQMSEQDEEAAAAGERDTQSNGQPKRITLASKSSRRKKNKKAKRKQKNHTAEAAKDKGSDDDDDDEEFDKIIQQFKKTDILKYGKTKNDDTNEEGFFTASEPEEASSQPWKSFLSLESDPGFTKFPISCLRHSCKFFQNDFKKLDPHTEFKLLFDDISPESLEDIDSMTSTPVSPQQLKQIQRLKRLIRNWGGKDHRLAPNGPGMHPQHLKFTKIRDDWIPTQRGELSMKLLSSDDLLDWQLWERPLDWKDVIQNDVSQWQKFISFYKFEPLNSDLSKKSMMDFYLSVIVHPDHEALINLISSKFPYHVPGLLQVALIFIRQGDRSNTNGLLQRALFVFDRALKANIIFDSLNCQLPYIYFFNRQFYLAIFRYIQSLAQRGVIGTASEWTKVLWSLSPLEDPLGCRYFLDHYFLLNNDYQYIIELSNSPLMNCYKQWNTLGFSLAVVLSFLRINEMSSARNALLKAFKHHPLQLSELFKEKLLGDHALTKDLSIDGHSAENLELKAYMARFPLLWNRNEEVTFLHDEMSSILQDYHRGNVTIDSNDGQDHNNINNLQSPFFIAGIPINLLRFAILSEESSVMAAIPSFIWSDNEVYEFDVLPPMPTSKESIEVVENIKTFINEKDLAVLQAERMQDEDLLNQIRQISLQQYIHENEESNENEG", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGRDICTLDNVYANNLGMLTKLAHVTVPNLYQDAFFSALFAEDSLVAKNKKPSSKKDVHFTQMAYYSEIPVGGLVAKLVPKKQNELSLKGIQIEFLGVLPNYRHKSIGSKLLKFAEDKCSECHQHNVFVYLPAVDDLTKQWFIAHGFEQVGETVNNFIKGVNGDEQDAILLKKHIS", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MHPAGEKRGGRPNDKYTAEALESIKQDLTRFEVQNNHRNNQNYTPLRYTATNGRNDALTPDYHHAKQPMEPPPSASPAPDVVIPPPPAIVGQPGAGSISVSGVGVGVVGVANGRVPKMMTALMPNKLIRKPSIERDTASSHYLRCSPALDSGAGSSRSDSPHSHHTHQPSSRTVGNPGGNGGFSPSPSGFSEVAPPAPPPRNPTACSAATPPPPVPPTSQAYVKRRSPALNNRPPAIAPPTQRGNSPVITQNGLKNPQQQLTQQLKSLNLYPGGGSGAVVEPPPPYLIQGGAGGAAPPPPPPSYTASMQSRQSPTQSQQSDYRKSPSSGIYSATSAGSPSPITVSLPPAPLAKPQPRVYQARSQQPIIMQSVKSTQVQKPVLQTAVAPQSPSSASASNSPVHVLAAPPSYPQKSAAVVQQQQQAAAAAHQQQHQHQQSKPPTPTTPPLVGLNSKPNCLEPPSYAKSMQAKAATVVQQQQQQQQQQQQVQQQQVQQQQQQQQQQLQALRVLQAQAQRERDQRERDQRERERDQQKLANGNPGRQMLPPPPYQSNNNNNSEIKPPSCNNNNIQISNSNLATTPPIPPAKYNNNSSNTGANSSGGSNGSTGTTASSSTSCKKIKHASPIPERKKISKEKEEERKEFRIRQYSPQAFKFFMEQHIENVIKSYRQRTYRKNQLEKEMHKVGLPDQTQIEMRKMLNQKESNYIRLKRAKMDKSMFVKLKPIGVGAFGEVTLVSKIDTSNHLYAMKTLRKADVLKRNQVAHVKAERDILAEADNNWVVKLYYSFQDKDNLYFVMDYIPGGDLMSLLIKLGIFEEELARFYIAEVTCAVDSVHKMGFIHRDIKPDNILIDRDGHIKLTDFGLCTGFRWTHNSKYYQENGNHSRQDSMEPWEEYSENGPKPTVLERRRMRDHQRVLAHSLVGTPNYIAPEVLERSGYTQLCDYWSVGVILYEMLVGQPPFLANSPLETQQKVINWEKTLHIPPQAELSREATDLIRRLCASADKRLGKSVDEVKSHDFFKGIDFADMRKQKAPYIPEIKHPTDTSNFDPVDPEKLRSNDSTMSSGDDVDQNDRTFHGFFEFTFRRFFDDKQPPDMTDDQAPVYV", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNRFAYVEARLSPNESFVSRDNRVKIYDGDQKTDFEDGEVVLTTHRLFWGRPGEIARAAVTLCLPLSYVISVSEETTASNFFGRKTRIIMHLHPPTSDKGPGPLDTSRATHIKLSGKNGLSVEFHSALRETLNARVWEILLTSEIIINGVASSPTLEPANDRLARIQKRTGIGGIERHLEAKAKATDENIALAFQDLSVLMAMAKDMVGVSKTISSKIRKQKGEISDDETVRFKSYLMSLGIDDPVTRDNFTSNSAYFSSLARQICEMLLDPIEEQGGMMSLADVYCRVNRARGLELLSPEDLLHACEQLSGPIRLRSFPSGARVLQLESHDDALIAVDTLEKVEAAESLAVEELAKQLGISLLLAKERLLVAERLGKVCRDESVEGLRFYPNLLLGRD", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDNKLDVFRRELVDVEGIPLFWSIAEHWSQVESFEARPDDILISTYPKSGTTWVSEILDLIYNNGDAEKCKRDAIYKRVPFMELIIPGITNGVEMLNNMPSPRIVKTHLPVQLLPSSFWKNDCKIIYVARNAKDVVVSYYYFYQMAKIHPEPGTWEEFLEKFMAGQVSFGPWYDHVKSWWEKRKEYRILYLFYEDMKENPKCEIQKILKFLEKDIPEEILNKILYHSSFSVMKENPSANYTTMMKEEMDHSVSPFMRKGISGDWKNQFTVAQYEKFEEDYVKKMEDSTLKFRSEI", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MARGPKKHLKRLAAPHHWLLDKLSGCYAPRPSAGPHKLRESLPLIVFLRNRLKYALNGREVKAILMQRHVKVDGKVRTDTTYPAGFMDVITLDATNENFRLVYDVKGRFAVHRITDEEASYKLGKVKKVQLGKKGVPYVVTHDGRTIRYPDPNIKVNDTVKIDLASGKITDFIKFDAGKLVYVTGGRNLGRIGTIVHKERHDGGFDLVHIKDSLDNTFVTRLNNVFVIGEQGKPYISLPKGKGIKLSIAEERDRRRAQQGL", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAKVHGSLARAGKVKSQTPKVEKTEKPKKPKGRAYKRLLYTRRFVNVTLVNGKRRMNPGPSVQ", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MARGPKKHLKRLAAPHHWLLDKLSGCYAPRPSAGPHKLRESLPLIVFLRNRLKYALNGREVKAILMQRHVKVDGKVRTDTTYPAGFMDVITLDATNENFRLVYDVKGRFAVHRITDEEASYKLGKVKKVQLGKKGVPYVVTHDGRTIRYPDPNIKVNDTVKIDLASGKITDFIKFDAGKLVYVTGGRNLGRIGTIVHKERHDGGFDLVHIKDSLDNTFVTRLNNVFVIGEQGKPYISLPKGKGIKLSIAEERDRRRAQQGL", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAKVHGSLARAGKVKSQTPKVEKTEKPKKPKGRAYKRLLYTRRFVNVTLVNGKRRMNPGPSVQ", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGKGKPRGLNSARKLRVHRRNNRWAENNYKKRLLGTAFKSSPFGGSSHAKGIVLEKLGIESKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEVLLAGFGRKGKAKGDIPGVRFKVVKVSGVSLLALWKEKKEKPRS", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGISRDSRHKRSATGAKRAQFRKKRKFELGRQPANTKIGAKRIHSVRTRGGNKKYRALRIETGNFSWASEGISKKTRIAGVVYHPSNNELVRTNTLTKAAIVQIDATPFRQWFEAHYGQTLGKKKNVKEEETVAKSKNAERKWAARAASAKIESSVESQFSAGRLYACISSRPGQSGRCDGYILEGEELAFYLRRLTAKK", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNEDKEQKINIHDILNTRPKLTKKTALDVFFEDLDDNVITPINEYVLDSGSSSSSSIYQALKCSNNNEFVAVLLQKFQNLHIHVLEQQRRLIESKSDLLPISLHDMKYVDELINLLIIHGIDANLSPTMKIPFDSKRINTFKKGQKSAEYETPRWHTINNDTLSQVITVFYNVLTSERSSDYLREIISKGSAYANILLGLIVLHLQLPNRYSSQMITNLEDTQETYTLFGVYTLLVETIQDEKVREPILSKLTTLTLRRPENGLISLIDFVLGVRDAEDIDIEKFNRIYQILMSKPKTMTNLQYLTELFKQIYDGLTFVNRPILVTCLNGLILKFYLRNKRIVNDFLFKKVRSIIFNSPLTDHTAKELNDVINVLISLSKNSSSDLLNDLVTSCPDEDGTTPGQFFLYVWIYALFLKKNQKLDPLEINKLSISDNKSTDSIHFPEQSSSKYYEVVLSLLKSLIVITENFQYLNVLSLNLLNFEHEKWKYLIDLDTQLPYISVKNTDMAELFFEKGSKNSQISEFLQDMDLSIELFMEFLVLLNDEEQSKTLFLDILKRWVHHTKKSEKRSSDNHSGMPSVTDNALILMDLKLLECMNNRFKTKIVNKPKDVLIVIDQLIDVVQEKDETIQEVEADSDDEVEEGEETEELDPNENSSYKIILQLLSTVLSESSSSILLQNSYILKSISRKLQSFNTNASEIDALLASIDNILINGHTTERNDNIEIEMDEERLDKAITSLHDPLVPIKSYGLTELRHLAEKKSPVISLEKVLQIHLDYLKNMDPFIYLNVIKGLTTLCELEPETILPLLAEFYANKKKKNRLDDVLKVGEVFINYIQRQNELFQGKLAYLIIDTCLSIVRPNDSKPLDNRWRMSSMSILGMCLQINARGVSDRIRDMLDCVFGILQLEQPQNHLKDKDDSFLMRRSAVHLIHDLLYSTGFDLLPFEYNYDKLKTLLSYVRDQDEDYMVCEQIDKLLTVLDSL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MADQLTEEQIAEFKEAFSLFDKDGDGTITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLTMMARKMKDTDSEEEIREAFRVFDKDGNGYISAAELRHVMTNLGEKLTDEEVDEMIREADIDGDGQVNYEEFVQMMTAK", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDDSQWVSIHIRDRLAQGNITIRESFLYEGQFHSPEDEKKALTEDDIDQLIIPSEGIGEVCARGRRGSEGWMDLFDGEKKICELHWDNRTKRPSNEFEVIDGDKDYKIECSGWSPQAGPLGHVFIDISAAKKKAAAAAAAK", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSGVSEEDPEGLGPQGLPALGGACLVTVDKKLNVLTEKVDRLLHFQEDVTEKLQCVCQGMDHLEQGLHRLEASQELGLAGPGSTSPAAAQAAWPEVLELVRAVRQEGAQHGARLEALFKMVVAVDRAITLVGSTIQNSKVDDFILQGTVPWRKGSLADGPEENKEQAEVAGVKPKHVLNTGSVQAATSRALWEESQKQDTPVGTVEGLPLIIDTSLKGADLTQAGASLRQGVEALDPGQEPPPTEAESRLPALASEDTGTTLELSVAIDRISEVLTSLRMSQSAGEGTSSSKPDCSEPGPQPLGPLTTDSDIHSDEGLPRISVRMREMTTPEELFETQGGSPIGSAEAPGPGTVLEDQIPKGARPFPPLPKRSCNNGGASAEEATGPGAEPIRGPSLVTRDWRDEPVGTTDLQQGRDPGAVSPEPGKDHAAQGPGRTEAGRRVSSAAEAAIVVLDDSAAPPAPFEHRVVSIKDTLISTSYTVSQHEVLGGGRFGQVHRCTERSTGLALAAKIIKVKNIKDREDVKNEINIMNQLSHVNLIQLYDAFESKNSFTLIMEYVDGGELFDRITDEKYHLTELDVVLFTRQICEGVHYLHQHYILHLDLKPENILCVSQTGHQIKIIDFGLARRYKPREKLKVNFGTPEFLAPEVVNYEFVSFPTDMWSVGVITYMLLSGLSPFLGETDAETMNFIVNCSWDFDADTFKGLSEEAKDFVSRLLVKEKSCRMSATQCLKHEWLNHLIAKASGSNVRLRSQLLLQKYMAQRKWKKHFHVVTAVNRLRKFPTCP", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSDQQLDCALDLMRRLPPQQIEKNLSDLIDLVPSLCEDLLSSVDQPLKIARDKVVGKDYLLCDYNRDGDSYRSPWSNKYDPPLEDGAMPSARLRKLEVEANNAFDQYRDLYFEGGVSSVYLWDLDHGFAGVILIKKAGDGSKKIKGCWDSIHVVEVQEKSSGRTAHYKLTSTVMLWLQTNKTGSGTMNLGGSLTRQMEKDETVSDSSPHIANIGRLVEDMENKIRSTLNEIYFGKTKDIVNGLRSVQTFADKSKQEALKNDLVEALKRKQQS", - "output": "Cytoplasm" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPSETLWEIAKAEVEKRRSHGSEGDGAEIGEKSVFFIGSKNGGKTTIILRCLDRDESAKPTLALEYTYGRKTKGHNTPKDIAHFWELGGGTSLLDLISIPITVDTLRTFSIVLVLDLSKPNDLWSTMENLLQATKSHVDKVIMKLGKTSSKASAEMRQRMWSVVQKDHPDRELIDPFPIPLVIIGSKYDIFQDFDPEKRKVICKTLRFVAHYYGASLMFTSKSEALLLKIRGVINQLAFGIDKSKSICVDQNKPLFITAGLDSLCQIGSPPVPDSDIGKLQAHSPMELWKKVYDKLFPPKSTGTLKAVQDPARDPQYAESEVDEMRVQKDQELEHYKRSSSKTWKQIELDS", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTLLITGDSIVSAEAVWDHVTMANRELAFKAGDVIKVLDASNKDWWWGQIDDEEGWFPASFVRLWVNQEDEVEEGPSDVQNGHLDPNSDCLCLGRPLQNRDQMRANVINEIMSTERHYIKHLKDICEGYLKQCRKRRDMFSDEQLKVIFGNIEDIYRFQMGFVRDLEKQYNNDDPHLSEIGPCFLEHQDGFWIYSEYCNNHLDACMELSKLMKDSRYQHFFEACRLLQQMIDIAIDGFLLTPVQKICKYPLQLAELLKYTAQDHSDYRYVAAALAVMRNVTQQINERKRRLENIDKIAQWQASVLDWEGEDILDRSSELIYTGEMAWIYQPYGRNQQRVFFLFDHQMVLCKKDLIRRDILYYKGRIDMDKYEVVDIEDGRDDDFNVSMKNAFKLHNKETEEIHLFFAKKLEEKIRWLRAFREERKMVQEDEKIGFEISENQKRQAAMTVRKVPKQKGVNSARSVPPSYPPPQDPLNHGQYLVPDGIAQSQVFEFTEPKRSQSPFWQNFSRLTPFKK", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPLDPGCLNGRVMKCLTFFLLLPETLKKSRKSARAQGKVQACYEIVPLALKKKMAAELYPASANTNIANSNATANAKKNALQLQHTAPPPPQLHNLNNNNIESSNWQSFHPTLRERNALMFNNELMADVHFIVGPAGASKKVPAHKYILAVGSSVFYAMFYGDLAEVKSEIHIPDVEPAAFLILLKYLYSDEIDLEADTVLATLYAAKKYIVPALAKACVNFLETSLEAKNACVLLSQSRLFEEPDLTLRCWEVIDAQAELALKSEGFCEIDLPTLEIIVTRETLNTKEDVVFEAVLNWAEAECKRQGLPITPVNKRNVLGKALYLVRIPTMTLEEFANGAAQSDILTLEETRSIFLWYTAANKPQLEFPLIKRKGLAPQRCHRFQSSAYRSNQWRYRGRCDSIQFAVDKRIFIAGLGLYGSSCGKAEYSVKIELKRQGVVLAQNLTKFVSDGCSNTFSVWFEHPVQVEQDTFYTVSAILDGNELSYFGQEGMTEVQCGKVTFQFQCSSDSTNGTGVQGGQIPELIFYA", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAQYGSMSFNPSTPGASYGPGRQEPRNSQLRIVLVGKTGAGKSATGNSILGRKVFHSGTAAKSITKKCEKRSSSWKETELVVVDTPGIFDTEVPNAETSKEIIRCILLTSPGPHALLLVVPLGRYTEEEHKATEKILKMFGERARSFMILIFTRKDDLGDTNLHDYLREAPEDIQDLMDIFGDRYCALNNKATGAEQEAQRAQLLGLIQRVVRENKEGCYTNRMYQRAEEEIQKQTQAMQELHRVELEREKARIREEYEEKIRKLEDKVEQEKRKKQMEKKLAEQEAHYAVRQQRARTEVESKDGILELIMTALQIASFILLRLFAED", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEEYEKFCEKSLARIQEASLSTESFLPAQSESISLIRFHGVAILSPLLNIEKRKEMQQEKQKALDVEARKQVNRKKALLTRVQEILDNVQVRKAPNASDFDQWEMETVYSNSEVRNLNVPATFPNSFPSHTEHSTAAKLDKIAGILPLDNEDQCKTDGIDLARDSEGFNSPKQCDSSNISHVENEAFPKTSSATPQETLISDGPFSVNEQQDLPLLAEVIPDPYVMSLQNLMKKSKEYIEREQSRRSLRGSINRIVNESHLDKEHDAVEVADCVKEKGQLTGKHCVSVIPDKPSLNKSNVLLQGASTQASSMSMPVLASFSKVDIPIRTGHPTVLESNSDFKVIPTFVTENNVIKSLTGSYAKLPSPEPSMSPKMHRRRSRTSSACHILINNPINACELSPKGKEQAMDLIIQDTDENTNVPEIMPKLPTDLAGVCSSKVYVGKNTSEVKEDVVLGKSNQVCQSSGNHLENKVTHGLVTVEGQLTSDERGAHIMNSTCAAMPKLHEPYASSQCIASPNFGTVSGLKPASMLEKNCSLQTELNKSYDVKNPSPLLMQNQNTRQQMDTPMVSCGNEQFLDNSFEKVKRRLDLDIDGLQKENCPYVITSGITEQERQHLPEKRYPKGSGFVNKNKMLGTSSKESEELLKSKMLAFEEMRKRLEEQHAQQLSLLIAEQEREQERLQKEIEEQEKMLKEKKAMTAEASELDINNAVELEWRKISDSSLLETMLSQADSLHTSNSNSSGFTNSAMQYSFVSANEAPFYLWGSSTSGLTKLSVTRPFGRAKTRWSQVFSLEIQAKFNKITAVAKGFLTRRLMQTDKLKQLRQTVKDTMEFIRSFQSEAPLKRGIVSAQDASLQERVLAQLRAALYGIHDIFFVMDAAERMSILHHDREVRKEKMLRQMDKMKSPRVALSAATQKSLDRKKYMKAAEMGMPNKKFLVKQNPSETRVLQPNQGQNAPVHRLLSRQGTPKTSVKGVVQNRQKPSQSRVPNRVPVSGVYAGKIQRKRPNVATI", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGDLELLLPGEAEVLVQGLHSFQLREMGSEGWSKQHENLEKLNMQAILDATVSQAEPIQELLVTHGKIPTLVEELIAVEMWKQKVFPVLCRLEDFKPQNTFPIYMVVHHEASIINLLETVFFHKEVCESADDTVLDLVDYCHRKLILLVARKGGGDLSEEERFQDSTPMQELQKQAEMMEFEISLKALSVLRYITDCMDSLSLSTLNRMLTTHNLPCLLVELLEHSPWSRREGGKLQHFESGRWQTVAPSEHQKLNKLDGQVWIALYNLLLSPEARTRYCLTNFAKGQLLKLQAFLTDTLLDQLPNLADLKSFLAHLALVETQPPKKDLVLEQIPEIWDRLERENKGKWQAIAKHQLQHVFSLSEKDLRQQAQRWAETYRLDVLEAVAPERPRCAYCSAEASKRCSRCQKVWYCCRECQVKHWEKHGKTCVLAAQGDRAK", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MATSCNIRVMCRFRPLNEREKALKENQTCVTFPDETQVIVSGQPFTFDRVFTPESTQKEVFESVKDTIHDVLLGYNGTLLAYGQTGSGKTFTMGSAAAESDFENVEQLGIIPRGNHLIFNTIAEESDGNAEFTIKCSYLEIYMENIQDLLNPKNNKQLKIRESKSQGIYVEGLTEEYVASEEDIMELIQVGESSRSVAKTNMNQRSSRSHSILIIAIEQKSSDGSKKRGKLNLVDLAGSEKVSKTGAEGIVLEQAKKINQSLSLLGNCIHALTDSKREHIPFRDSKLTRLLQDSLGGNTKTTLLVTASPHFNNVDETISTLKFGARAKSIKNNVKVNQEKSAAELQIIVNALTKELSILKVYSISLENLVNYFKSSSYQPGNPIPKELEPNKQNLLLLQQQSNSSSGGGGSGSSGGSSNGSLMMKPRSTTPTPPSINRPHSSASTHRHSIAITGTHSKEGSGGGLTSSISSSSISSMSSLSSSIDNDYNGSSLDDSNGSNGLFNPLAIVEMSIEMEKMKEDTQLLIEKFKDEISEITIQYQSTQEELNQCRQQLDQIKEQLEQQRSQFIKEQSLLKESERNATLDSTSKDLKIQSLISKIEDLRLLASQVIQYLERKRLSDDFDIGIFMGSQDGANGDSANMFSLISNSIDQGTYEDDVNIEDIIRYLSEEEVLTMQVKLQLQNKVHQLEQKIQQLVSDLNTTEINYNQSILQCQKFESENSLIKRKFKSMFSSSNNNNNNNNSPPSSPSSKLLIQSSNNNNNFDSNLNSSSLSSSLPSSNGIEQDQQVDHQVDHQVENDHLVNDEDNKLLDENSKLKENELKLLLEIKNLKLQSEKSNDETLKWKDELSIKSALYQNQILNLQNENQSLSNKLNVEKQQKQSSQSQQIEFATKLNDLIKSSEDDKELYRNEKNQMELEIASLKASLDEMDLKNKELQDQLISTQRLIGARRVVKIVRGGADSMKTALATKEVFGQFTLRKTENSKTLFQ", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSAAKENPCRKFQANIFNKSKCQNCFKPRESHLLNDEDLTQAKPIYGGWLLLAPDGTDFDNPVHRSRKWQRRFFILYEHGLLRYALDEMPTTLPQGTINMNQCTDVVDGEARTGQKFSLCILTPDKEHFIRAETKEIISGWLEMLMVYPRTNKQNQKKKRKVEPPTPQEPGPAKMAVTSSSGGSSGSSSSIPSAEKVPTTKSTLWQEEMRAKDQPDGTSLSPAQSPSQSQPPAACTPREPGLESKEDESTISGDRVDGGRKVRVESGYFSLEKAKQDLRAEEQLPPLLSPPSPSTPHSRRSQVIEKFEALDIEKAEHMETNMLILTTPSSDTRQGRSERRAIPRKRDFASEAPTAPLSDACPLSPHRRAKSLDRRSTESSMTPDLLNFKKGWLTKQYEDGQWKKHWFVLADQSLRYYRDSVAEEAADLDGEINLSTCYDVTEYPVQRNYGFQIHTKEGEFTLSAMTSGIRRNWIQTIMKHVLPASAPDVTSSLPEGKNKSTSFETCSRSTEKQEAEPGEPDPEQKKSRARERRREGRSKTFDWAEFRPIQQALAQERASAVGSSDSGDPGCLEAEPGELERERARRREERRKRFGMLDTIDGPGMEDTALRMDIDRSPGLLGTPDLKTQNVHVEIEQRWHQVETTPLREEKQVPIAPLHLSLEDRSERLSTHELTSLLEKELEQSQKEASDLLEQNRLLQDQLRVALGREQSAREGYVLQATCERGFAAMEETHQKKIEDLQRQHQRELEKLREEKDRLLAEETAATISAIEAMKNAHREEMERELEKSQRSQISSINSDIEALRRQYLEELQSVQRELEVLSEQYSQKCLENAHLAQALEAERQALRQCQRENQELNAHNQELNNRLAAEITRLRTLLTGDGGGESTGLPLTQGKDAYELEVLLRVKESEIQYLKQEISSLKDELQTALRDKKYASDKYKDIYTELSIAKAKADCDISRLKEQLKAATEALGEKSPEGTTVSGYDIMKSKSNPDFLKKDRSCVTRQLRNIRSKSVIEQVSWDN", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MALTQSLKLSNGVMMPVLGFGMWKLQDGNEAETATMWAIKSGYRHIDTAAIYKNEESAGRAIASCGVPREELFVTTKLWNSDQGYESTLSAFEKSIKKLGLEYVDLYLIHWPGKDKFIDTWKAFEKLYADKKVRAIGVSNFHEHHIEELLKHCKVAPMVNQIELHPLLNQKALCEYCKSKNIAVTAWSPLGQGHLVEDARLKAIGGKYGKTAAQVMLRWEIQAGVITIPKSGNEARIKENGNIFDFELTAEDIQVIDGMNAGHRYGPDPEVFMNDF", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEEVAAKVEEETVETNVDAVKEDNATIANESRSPESVSAVSVVSNRAASTKKKPVISSNLIKPTASSSLRVSGTTPVTIRRNSTGGVTENLAGTSKVLPKQVSTTASRTDPVRRSLPELRKSSVSSLSAKTVSKPSLSESKKSVPVSPGSRSLTKSTGFSLSKPESSARPAMSVSVSSKRAPSSSVDSSGSRTSSGRLHSTLTSGRTVSKVSSPSAGSSPSVSSSIRSKSFSSPLDRTSNFSGRKKTSTPESRDSRLIILPKVEVKAGDDMRLDLRGHRIRSLTSGGLHLSPNLEFVYLRDNLLSTLEGIEILNRVKVLDLSFNDFKGPGFEPLENCKMLQQLYLAGNQITSLASLPQLPNLEFLSVAQNKLKSLAMASQPRLQVLAASKNKITTLKDFPYLPVLEHLRVEENPLLKISHLEAASILLVGPTLKKFNDRDLSREEVAIAKRYPPQTALCLREGWEFCKSDLAAESTFRFLVERWKDTLPSGYLIKEAHVDRPSEEAPCQCHFGLFQESPTATDQELALKFQWSVADRSLSNFVPILNATKEVYWPKREDIGKILKIECTPVMAETEYPSIFAISSPVQRGKGIPKVVSLELNGELVEGNIIKGQAVVAWCGGTPGKCITSWLRRKWNGSPVVIDGAEDEEYMLSLDDVGSSMVFMYTPVTEGGARGEPQYKYTEFVKAAPPSVSNVRITGDAVEGCVLKGVGDYFGGKEGPSKFEWLRKNKETGELSLISAGTSEYTLTQEDVGTHVTFVYIPANFEGLEGEPVSTSSSVVKPAPPKVTDAKIVGDLRENSKVTVTGTVTGGTEGSSRVQWFKSSCSILEGDNSLEELSTSKVAKSFRIPLGAVGYYIVAKYTPMTPDGECGEPVYVLSERAVETLPPSLNFLSITGDNIEGGILTASYGYIGGHEGKSKYEWHYHKAENDLPGALIPEASGLLQYTITKEAIGKFISFQCIPVRDDGIVGEPRSCMSQERVRPGNPSTVSLHVVGALVEGTMLSAEKEYWGGEEGASVFRWFRTNSDGTPCEIKGATTSSYLLSVGDIGYFISVSYEPVRNDRARGPTAISEIAGPIVAGHPNCQSLEFLGSMIEGQRLSFVASYTGGMKGNCYLEWVRVKNNGVKEILSSDEFLDLSLDDVGESIELIYTPVREDGIEGSPRSIRTDGIAPANPMGLELLIPDCCEKQEVVPHKTYFGGHEGVGEYIWYRTKVKLHGSALTEISYAGEEVVVCCRTLKYTPSLEDVGAYLVLYWIPTRVDGRSGKPVVVITNSPVAPADPEVSNVRVKKLFSDAYSGEGEYFGGHEGPSLFSWYRENDGTIDLIDGANSKTYEVTESDYNCRILFGYTPVRSDSVVGELKMSEPTEIILPEVPKVDMLAFTGKAVQGDVLTAVQVIPKTEIQQLVWSKYKGDIQYQWFRSPESGDKISYEALSSEISCSYKVRFEDIGRCLKCECVVHDVFGRSSELAYAETDPISPGFPRIEKLEIEGQGFHTNLYAVRGNYFGGKEGKSKIQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVVVYTPIREDGVQGHPVSASTEPVAVEPDILKEVRQKLETGLVKFEVLCDKDPYPKKIVGEGNLERRMLEMNRKRIKVVKPGSKTSFATTEVRGSYGPPFHVETFRNDQRRLRIVVDSENEVDIVVQSRHLRDVIVLVIRGFAQRFNSTSLNSLLKIDT", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "METLKSENKKRVLPSWMTAPVDEKRELSVKTPKRKKIAAGQVGLATRAPVMKTVYCMNEAEMVDVALGILIEGRKQEEPTLVAPDKPQPSPPYSASPHTSSPGSSSEKEDSGNRWPALGLSPSHGPEAADSPCSRSPEEEEEDALKYVREIFFS", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MANEAYPCPCDIGHRLEYGGLGREVQVEHIKAYVTKSPVDAGKAVIVIQDIFGWQLPNTRYIADMISGNGYTTIVPDFFVGQEPWDPSGDWSIFPEWLKTRNAQKIDREISAILKYLKQQCHAQKIGIVGFCWGGTAVHHLMMKYSEFRAGVSVYGIVKDSEDIYNLKNPTLFIFAENDVVIPLKDVSLLTQKLKEHCKVEYQIKTFSGQTHGFVHRKREDCSPADKPYIDEARRNLIEWLNKYM", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSRDSDPMKWYHGNLSREAADELLKQGYEDGTFLVRESSTAAGDFVLSLLCQGEVCHYQVRRHGGEDAFFSIDDKVQTKILHGLDTLVDYYQQAANGLPTKLTVPLIRDLPPHNTRSHGVTNLLHRATSKNESKVVFELLKCGYRNFDAKNQDGQTALHLAALHSDEDILKHLLNAKVQVNSSDSFGCQPLHYAARSKPASFIRTLISAQANVQGRNIDNGYVPLHEAAKHGNLEAVQELLLAEAPPLPRTSSGEFPFDLAKEAGQTAVEEFLLNYKLPPANTTRDQWYHGTLTREEAVAILKKHAKELLAKQPEVDTSGCFLVRYSESPAASGLVLTLLCDQVVKNFRISQADLYQNGNKVQSGGSKFLYIDDGPYWPSVEHLIAHFMRFSYGLPVSLKYPVPPQPKPEVPSFATIPRSNMKPKAASPATPPTPVSPHSHHQHPHVPALTITKKKQKENSSSMFNTLRLTSPKKALFDMNSLRKNKSKGKRSDSESSVSGSLAGTEQELQAAAPMLKSLSFSTEFSTFNADGVTGSGAAAAGEVYNVPRNNTPIEIDLPPIAQKTEAEVEYFTKSDVAIERERAGQWIGNGYQPTMDVLSLLDQQIKAPAVARLNSLGPNASTESEMASYLHRKCSGTPSTPSATEVEAAKLRFFIEPEKLVLDREIGHGEFGSVHSGWLLRKSGAGEESRLEVAIKMLSDEHSNKQEFLREASVMMRLEHKCIVRLIGIAKGEMLMMVQELAPLGSMLQYILDHGHEITANAELKVWASQIACGMHYLESQHFVHRDLAARNILLTARHQAKISDFGMSRSLRPGSTEYQFTQGGRWPIRWYAPESFNLGIFSHASDVWSFGVTIWEMFSLGAPPYGEISNVDAIKLVDSGERLPQPNLCPAYIYAVMQSCWKERPKDRPTFVYLTEFFARDPDYQNLPELVQTVHI", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MATIAPTAPITPPMESTGDRLVTLELKDGTVLQGYSFGAEKSVAGELVFQTGMVGYPESVTDPSYEGQILVITYPLVGNYGVPDMHLRDELVEELPRYFESNRIHIAGLVISHYTDEYSHYLAKSSLGKWLQNEGIPAVYGVDTRSLTKHLRDAGSMLGRLSLEKSGSDRTISRSSSWRSAFDVPEWVDPNVQNLVSKVSINEPKLYVPPADNKHIELQTGPDGKVLRILAIDVGMKYNQIRCFIKRGVELKVVPWNYDFTKEDYDGLFISNGPGDPSVLDDLSQRLSNVLEAKKTPVFGICLGHQLIARAAGASTLKLKFGNRGHNIPCTSTISGRCYITSQNHGFAVDVDTLTSGWKPLFVNANDDSNEGIYHSELPYFSVQFHPESTPGPRDTEFLFDVFIQAVKEFKYTQVLKPIAFPGGLLEDNVKAHPRIEAKKVLVLGSGGLSIGQAGEFDYSGSQAIKALKEEGIYTILINPNIATIQTSKGLADKVYFVPVTAEFVRKVILHERPDAIYVTFGGQTALSVGIAMKDEFEALGVKVLGTPIDTIITTEDRELFSNAIDEINEKCAKSQAANSVDEALAAVKEIGFPVIVRAAYALGGLGSGFANNEKELVDLCNVAFSSSPQVLVEKSMKGWKEVEYEVVRDAFDNCITVCNMENFDPLGIHTGDSIVVAPSQTLSDEDYNMLRTTAVNVIRHLGVVGECNIQYALNPVSKDYCIIEVNARLSRSSALASKATGYPLAYTAAKLGLNIPLNEVKNSVTKSTCACFEPSLDYCVVKMPRWDLKKFTRVSTELSSSMKSVGEVMSIGRTFEEAIQKAIRSTEYANLGFNETDLDIDIDYELNNPTDMRVFAIANAFAKKGYSVDKVWEMTRIDKWFLNKLHDLVQFAEKISSFGTKEELPSLVLRQAKQLGFDDRQIARFLDSNEVAIRRLRKEYGITPFVKQIDTVAAEFPAYTNYLYMTYNADSHDLSFDDHGVMVLGSGVYRIGSSVEFDWCAVTAVRTLRANNIKTIMVNYNPETVSTDYDEADRLYFETINLERVLDIYEIENSSGVVVSMGGQTSNNIAMTLHRENVKILGTSPDMIDSAENRYKFSRMLDQIGVDQPAWKELTSMDEAESFAEKVGYPVLVRPSYVLSGAAMNTVYSKNDLESYLNQAVEVSRDYPVVITKYIENAKEIEMDAVARNGELVMHVVSEHVENAGVHSGDATLIVPPQDLAPETVDRIVVATAKIGKALKITGPYNIQFIAKDNEIKVIECNVRASRSFPFISKVVGVNLIELATKAIMGLPLTPYPVEKLPDDYVAVKVPQFSFPRLAGADPVLGVEMASTGEVATFGHSKYEAYLKSLLATGFKLPKKNILLSIGSYKEKQELLSSVQKLYNMGYKLFATSGTADFLSEHGIAVQYLEVLNKDDDDQKSEYSLTQHLANNEIDLYINLPSANRFRRPASYVSKGYKTRRLAVDYSVPLVTNVKCAKLLIEAISRNITLDVSERDAQTSHRTITLPGLINIATYVPNASHVIKGPAELKETTRLFLESGFTYCQLMPRSISGPVITDVASLKAANSVSQDSSYTDFSFTIAGTAHNAHSVTQSASKVTALFLPLRELKNKITAVAELLNQWPTEKQVIAEAKTADLASVLLLTSLQNRSIHITGVSNKEDLALIMTVKAKDPRVTCDVNIYSLFIAQDDYPEAVFLPTKEDQEFFWNNLDSIDAFSVGALPVALANVTGNKVDVGMGIKDSLPLLLAAVEEGKLTIDDIVLRLHDNPAKIFNIPTQDSVVEIDLDYSFRRNKRWSPFNKDMNGGIERVVYNGETLVLSGELVSPGAKGKCIVNPSPASITASAELQSTSAKRRFSITEEAIADNLDAAEDAIPEQPLEQKLMSSRPPRELVAPGAIQNLIRSNNPFRGRHILSIKQFKRSDFHVLFAVAQELRAAVAREGVLDLMKGHVITTIFFEPSTRTCSSFIAAMERLGGRIVNVNPLVSSVKKGETLQDTIRTLACYSDAIVMRHSEEMSVHIAAKYSPVPIINGGNGSREHPTQAFLDLFTIREEIGTVNGITVTFMGDLKHGRTVHSLCRLLMHYQVRINLVSPPELRLPEGLREELRKAGLLGVESIELTPHIISKTDVLYCTRVQEERFNSPEEYARLKDTYIVDNKILAHAKENMAIMHPLPRVNEIKEEVDYDHRAAYFRQMKYGLFVRMALLAMVMGVDM", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLEKVETFDMNRVIDEFDEMTRNAHQVQKQTLKEILLKNQSAIYLQNCGLNGNATDPEEAFKSMVPLVTDVELEPYIKRMVDGDTSPILTGHPVPAISLSSGTSQGRPKFIPFTDELMENTLQLFRTAFAFRNRDFPIDDNGKALQFIFSSKQYISTGGVPVGTATTNVYRNPNFKAGMKSITSPSCSPDEVIFSPDVHQALYCHLLSGILFRDQVQYVFAVFAHGLVHAFRTFEQVWEEIVTDIKDGVLSNRITVPSVRTAMSKLLTPNPELAETIRTKCMSLSNWYGLIPALFPNAKYVYGIMTGSMEPYVPKLRHYAGDLPLVSHDYGSSEGWIAANVTPRLSPEEATFAVIPNLGYFEFLPVSETGEGEEKPVGLTQVKIGEEYEVVITNYAGLYRYRLGDVVKVIGFYNNTPQLKFICRRNLILSINIDKNTERDLQLSVESAAKRLSEEKIEVIDFSSYIDVSTDPGHYAIFWEISGETNEDVLQDCCNCLDRAFIDAGYVSSRKCKTIGALELRVVAKGTFRKIQEHFLGLGSSAGQFKMPRCVKPSNAKVLQILCENVVSSYFSTAF", - "output": "Cytoplasm" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAIGNPEVATMGKENTEAESSNGNESQLSSDLTKSLDLAEVKEDEKDNNQEEEDGLKAEASTKKKKKKSKSKKKKSSLQQTDPPSIPVLELFPSGDFPQGEIQQYNDDNLWRTTSEEKREMERLQKPIYNSLRQAAEVHRQVRKYMRSILKPGMLMIDLCETLENTVRKLISENGLQAGIAFPTGCSLNNVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIVDSAFTVAFNPMFDPLLAASRDATYTGIKEAGVDVRLCDVGAAVQEVMESYEVEINGKVYQVKSIRNLNGHSIGRYQIHAEKSVPNVRGGEQTKMEEGELYAIETFGSTGKGYVREDLECSHYMKNYDVGHVPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDSGIIEPCPPVCDVKGSYISQFEHTILLRPTCKEIISKGDDY", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEEAINNVLLLLREDSISLETVLWETHYVLLNLHNEQNLRLVVAQLIACGRIWDYWNEHRSEYFAFWVELISRKKVTNNGLPFSSFVKSIVGILEVDASNEILCFRRICLLCVFYKLLSCDHIVNLQYPLKRAVSKALSKQIKTHQFSGFEANFLLQQLFASVDSSASDISFDAFSLLPHLLKWEEIVWSGFINYLDTEHKRDSLPTAVLCHLLLRLSTYQQISIIKRLITLIDKAIPSWKSRSSDSKYNDHQFVKKNFFSIIMVLESLAKSQYRKSNVLAADRSLCEYIILTLFHMEYLFSFVASSWSTLDFVITTCLSRVAQPAKFISETVREAIIDSIQLEGYVDLQKLSGSPVLVTLSFINNWQNLICRRLEKQTVNEKVITLSSTAKEISSLGLSFAEKLISQSDESTLCKHYVYASLYACLFCNLNEGSPKDYLDNDIYVHARCLFLLTKTLNLDSLKSILCSRVRLYYNIEIAYYFTDVLLKWFQPIIRYEFDNALIFYKASISLVSVLAPAAQKQFLSNYLNSIQGFSTETKEDLIFLVSSQIRQMPYQNATSLLSFWLSIVVGRAV", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDEEENHYVSQLREVYSSCDTTGTGFLDRQELTQLCLKLHLEQQLPVLLQTLLGNDHFARVNFEEFKEGFVAVLSSNAGVRPSDEDSSSLESAASSAIPPKYVNGSKWYGRRSRPELCDAATEARRVPEQQTQASLKSHLWRSASLESVESPKSDEEAESTKEAQNELFEAQGQLQTWDSEDFGSPQKSCSPSFDTPESQIRGVWEELGVGSSGHLSEQELAVVCQSVGLQGLEKEELEDLFNKLDQDGDGKVSLEEFQLGLFSHEPALLLESSTRVKPSKAWSHYQVPEESGCHTTTTSSLVSLCSSLRLFSSIDDGSGFAFPDQVLAMWTQEGIQNGREILQSLDFSVDEKVNLLELTWALDNELMTVDSAVQQAALACYHQELSYQQGQVEQLARERDKARQDLERAEKRNLEFVKEMDDCHSTLEQLTEKKIKHLEQGYRERLSLLRSEVEAERELFWEQAHRQRAALEWDVGRLQAEEAGLREKLTLALKENSRLQKEIVEVVEKLSDSERLALKLQKDLEFVLKDKLEPQSAELLAQEERFAAVLKEYELKCRDLQDRNDELQAELEGLWARLPKNRHSPSWSPDGRRRQLPGLGPAGISFLGNSAPVSIETELMMEQVKEHYQDLRTQLETKVNYYEREIAALKRNFEKERKDMEQARRREVSVLEGQKADLEELHEKSQEVIWGLQEQLQDTARGPEPEQMGLAPCCTQALCGLALRHHSHLQQIRREAEAELSGELSGLGALPARRDLTLELEEPPQGPLPRGSQRSEQLELERALKLQPCASEKRAQMCVSLALEEEELELARGKRVDGPSLEAEMQALPKDGLVAGSGQEGTRGLLPLRPGCGERPLAWLAPGDGRESEEAAGAGPRRRQAQDTEATQSPAPAPAPASHGPSERWSRMQPCGVDGDIVPKEPEPFGASAAGLEQPGARELPLLGTERDASQTQPRMWEPPLRPAASCRGQAERLQAIQEERARSWSRGTQEQASEQQARAEGALEPGCHKHSVEVARRGSLPSHLQLADPQGSWQEQLAAPEEGETKIALEREKDDMETKLLHLEDVVRALEKHVDLRENDRLEFHRLSEENTLLKNDLGRVRQELEAAESTHDAQRKEIEVLKKDKEKACSEMEVLNRQNQNYKDQLSQLNVRVLQLGQEASTHQAQNEEHRVTIQMLTQSLEEVVRSGQQQSDQIQKLRVELECLNQEHQSLQLPWSELTQTLEESQDQVQGAHLRLRQAQAQHLQEVRLVPQDRVAELHRLLSLQGEQARRRLDAQREEHEKQLKATEERVEEAEMILKNMEMLLQEKVDKLKEQFEKNTKSDLLLKELYVENAHLVRALQATEEKQRGAEKQSRLLEEKVRALNKLVSRIAPAALSV", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MALAVRVVYCGAUGYKPKYLQLKEKLEHEFPGCLDICGEGTPQVTGFFEVTVAGKLVHSKKRGDGYVDTESKFRKLVTAIKAALAQCQ", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAGKDWEIVQEKAFTAWVNSVLDKRGEKISDVGKDLSDGVKLIFFLELISSKKFNKKYDFEPKARINMIQNVALALKFLDEELKIKVQGIGSEDFVDNNKKMILGFLWTLYRKYRIAVISEGDKSSEEGLLLWCKNTTTGYDGVNITSFTKSFRDGLAFLALSHKFEPESFKFQEFEAMDPIARLNAAFDFAEKGLGVPKLLEAEEVMRGTTDERSLVLYTSLFFHAYRAKEEKARLESSKNEMANRLAGLENSLESEKVSREQLIKQKDQLNSLLASLESEGAEREKRLRELEAKLDETLKNLELEKLARMELEARLAKTEKDRAILELKLAEAIDEKSKLEQQIEATRIRGAAEAQGLGLLRKNLDTHVHDLLKWQKLTMENSSSSSIDDQIIVEVSGLPFGEQVKHLATKLEAENLAIMKLLNQKEDDLKAQKLKSSKSKK", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MATLACRVQFLDDTDPFNSTNFPEPSRPPLFTFREDLALGTQLAGVHRLLQAPHKLDDCTLQLSHNGAYLDLEATLAEQRDELEGFQDDAGRGKKHSIILRTQLSVRVHACIEKLYNSSGRDLRRALFSLKQIFQDDKDLVHEFVVAEGLTCLIKVGAEADQNYQNYILRALGQIMLYVDGMNGVINRNETIQWLYTLIGSKFRLVVKTALKLLLVFVEYSESNAPLLIQAVTAVDTKRGVKPWSNIMEILEEKDGVDTELLVYAMTLVNKTLSGLPDQDTFYDVVDCLEELGIAAVSQRHLNKKGTDLDLVEQLNIYEVALRHEDGDETTEPPPSGCRDRRRASVCSSGGGEHRGLDRRRSRRHSVQSIKSTLSAPTSPCSQSAPSFKPNQVRDLREKYSNFGNNSYHSSRPSSGSSVPTTPTSSVSPPQEARLERSSPSGLLTSSFRQHQESLAAERERRRQEREERLQRIEREERNKFRYKYLEQLAAEEHEKELRSRSVSRGRADLSLDLTSPAAPACLAPLSHSPSSSDSQEALTVSASSPGTPHHPQASAGDPEPESEAEPEAEAGAGQVADEAGQDIASAHEGAETEVEQALEQEPEERASLSEKERQNEGVNERDNCSASSVSSSSSTLEREEKEDKLSRDRTTGLWPAGVQDAGVNGQCGDILTNKRFMLDMLYAHNRKSPDDEEKGDGEAGRTQQEAEAVASLATRISTLQANSQTQDESVRRVDVGCLDNRGSVKAFAEKFNSGDLGRGSISPDAEPNDKVPETAPVQPKTESDYIWDQLMANPRELRIQDMDFTDLGEEDDIDVLDVDLGHREAPGPPPPPPPTFLGLPPPPPPPLLDSIPPPPVPGNLLVPPPPVFNAPQGLGWSQVPRGQPTFTKKKKTIRLFWNEVRPFDWPCKNNRRCREFLWSKLEPIKVDTSRLEHLFESKSKELSVSKKTAADGKRQEIIVLDSKRSNAINIGLTVLPPPRTIKIAILNFDEYALNKEGIEKILTMIPTDEEKQKIQEAQLANPEIPLGSAEQFLLTLSSISELSARLHLWAFKMDYETTEKEVAEPLLDLKEGIDQLENNKTLGFILSTLLAIGNFLNGTNAKAFELSYLEKVPEVKDTVHKQSLLHHVCTMVVENFPDSSDLYSEIGAITRSAKVDFDQLQDNLCQMERRCKASWDHLKAIAKHEMKPVLKQRMSEFLKDCAERIIILKIVHRRIINRFHSFLLFMGHPPYAIREVNINKFCRIISEFALEYRTTRERVLQQKQKRANHRERNKTRGKMITDSGKFSGSSPAPPSQPQGLSYAEDAAEHENMKAVLKTSSPSVEDATPALGVRTRSRASRGSTSSWTMGTDDSPNVTDDAADEIMDRIVKSATQVPSQRVVPRERKRSRANRKSLRRTLKSGLTPEEARALGLVGTSELQL", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MATLACRVQFLDDTDPFNSTNFPEPSRPPLFTFREDLALGTQLAGVHRLLQAPHKLDDCTLQLSHNGAYLDLEATLAEQRDELEGFQDDAGRGKKHSIILRTQLSVRVHACIEKLYNSSGRDLRRALFSLKQIFQDDKDLVHEFVVAEGLTCLIKVGAEADQNYQNYILRALGQIMLYVDGMNGVINRNETIQWLYTLIGSKFRLVVKTALKLLLVFVEYSESNAPLLIQAVTAVDTKRGVKPWSNIMEILEEKDGVDTELLVYAMTLVNKTLSGLPDQDTFYDVVDCLEELGIAAVSQRHLNKKGTDLDLVEQLNIYEVALRHEDGDETTEPPPSGCRDRRRASVCSSGGGEHRGLDRRRSRRHSVQSIKSTLSAPTSPCSQSAPSFKPNQVRDLREKEEEEEEEQPITEPSSEEEREDDASCQGKDSKVGAASGQSPTGRDAAPKSSALPAVSNASSQGKPLLVGTAGGTTWHSGSSGSEATPSALLSPPASAARPSSATPGSLKVSPTIDKLPYVPHSPFHLFSYDFEDSSLSTKEKEAESQKENSSSDSFSLSTYSASEPYHFRSFSSNRYSNFGNNSYHSSRPSSGSSVPTTPTSSVSPPQEARLERSSPSGLLTSSFRQHQESLAAERERRRQEREERLQRIEREERNKFSRDYLDKREEQRQAREERYKYLEQLAAEEHEKELRSRSVSRGRADLSLDLTSPAAPACLAPLSHSPSSSDSQEALTVSASSPGTPHHPQASAGDPEPESEAEPEAEAGAGQVADEAGQDIASAHEGAETEVEQALEQEPEERASLSEKERQNEGVNERDNCSASSVSSSSSTLEREEKEDKLSRDRTTGLWPAGVQDAGVNGQCGDILTNKRFMLDMLYAHNRKSPDDEEKGDGEAGRTQQEAEAVASLATRISTLQANSQTQDESVRRVDVGCLDNRGSVKAFAEKFNSGDLGRGSISPDAEPNDKVPETAPVQPKTESDYIWDQLMANPRELRIQDMDFTDLGEEDDIDVLDVDLGHREAPGPPPPPPPTFLGLPPPPPPPLLDSIPPPPVPGNLLVPPPPVFNAPQGLGWSQVPRGQPTFTKKKKTIRLFWNEVRPFDWPCKNNRRCREFLWSKLEPIKVDTSRLEHLFESKSKELSVSKKTAADGKRQEIIVLDSKRSNAINIGLTVLPPPRTIKIAILNFDEYALNKEGIEKILTMIPTDEEKQKIQEAQLANPEIPLGSAEQFLLTLSSISELSARLHLWAFKMDYETTEKEVAEPLLDLKEGIDQLENNKTLGFILSTLLAIGNFLNGTNAKAFELSYLEKVPEVKDTVHKQSLLHHVCTMVVENFPDSSDLYSEIGAITRSAKVDFDQLQDNLCQMERRCKASWDHLKAIAKHEMKPVLKQRMSEFLKDCAERIIILKIVHRRIINRFHSFLLFMGHPPYAIREVNINKFCRIISEFALEYRTTRERVLQQKQKRANHRERNKTRGKMITDTDEEEEVESGKFSGSSPAPPSQPQGLSYAEDAAEHENMKAVLKTSSPSVEDATPALGVRTRSRASRGSTSSWTMGTDDSPNVTDDAADEIMDRIVKSATQVPSQRVVPRERKRSRANRKSLRRTLKSGLTPEEARALGLVGTSELQL", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSILAGFKNLLKHSKSSKGRSNASKSVDVSVNRDVAAYTELAAKNVNAGGDEEIRVANYPGLEKYQLIENLGDGAFSQVYKAYSIDRKEHVAVKVIRKYEMNKKQRQGVFKEVNIMRRVKHKNVVNLFDFVETEDFYHLVMELAEGGELFHQIVNFTYFSENLARHIIIQVAEAVKHLHDVCGIVHRDIKPENLLFQPIEYLPSQNYTPPSLEPNKLDEGMFLEGIGAGGIGRILIADFGFSKVVWNSKTATPCGTVGYAAPEIVNDELYSKNVDMWAMGCVLHTMLCGFPPFFDENIKDLASKVVNGEFEFLSPWWDDISDSAKDLITHLLTVDPRERYDIHQFFQHPWIKGESKMPENFTYKPKLHGTPGGPKLSLPRSLVSKGEIDIPTTPIKSATHPLLSSYSEPKTPGVSSVHEAMGVAYDIRRLNHLGFSPEQLSKKSMNTGSIKELILDEETTTDDDDYIISSFPLNDTLGSEGKDPFSLNLKESSLYSRRSAKRVN", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEEDLIKRLEAAVTRLEGISSNGGGVVSLSRGGDFSSAAGIDIASSDPSILAYEDLISQCVGRALTAAEKIGGPVLDVTKIVAEAFASQKELLVRIKQTQKPDLAGLAGFLKPLNDVTMKANAMTEGKRSDFFNHLKAACDSLSALAWIAFTGKDCGMSMPIAHVEESWQMAEFYNNKVLVEYRNKDADHVEWAKALKELYLPGLREYVKSHYPLGPVWNASGKPASAPAKGPPGAPAPPPAPLFSAESSKPSSSSNQKQGMSAVFQQLSSGAVTSGLRKVTDDMKTKNRADRSGAVSAVEKETRTSKPAFSKTGPPKMELQMGRKWAVENQIGKKDLVISECDSKQSVYIYGCKDSVLQIQGKVNNITIDKCTKVGVVFTDVVAAFEIVNCNNVEVQCQGSAPTVSVDNTTGCQLYLNKDSLETAITTAKSSEINVMVPGATPDGDWVEHALPQQYNHVFTEGKFETTPVSHSGA", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEENFEPYTPQLLKNPLSYSDLVKKGVEFEVDNSQQNHARDLGLDSDSDSDYEDALDVDGEELRSPWTYSFDELRALMSEIDENIYKRITRTGHVDREAVPNKARVSVRYSGYWEGETAPFDSSLLRGSKFVFETGQGTVVEGLEVAVRSMRPYEQAEFIISYKLLFGELGCPPRIKPKADALFKVEVIDYSLIGDAKGIDAIPQEDRDKFCVVYPKAVDLHLHGKDSVKLGRYQSAATAFERAVSSLNYCRMANDEEERKQTELLTTLNQNLMIVYNKMNKPKRACIMMKALRHLTMGNPSCKALFQEGRALAALGEYNLARNAYLQAQAKQPANKEISDEIISMNKRISKYEEASRDIWARAFSLKNSKSDVRKTPAQLEKEAKEQDFNDKMEDLIRRFKNTSDQQVSFSRKSYSNAQFDATCKLAKEHNLKLTLSPIQEDVLTLSKPDVKFA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MANKNVLSEMDDLMAELGLVETTATPTSDQIKQPQQETAPTYLTYKDPNVSTGPGGDFRNLNDNNGGISRGPGLMSTGPGLVSTGPGLMSTGPGLMSKGPGLPNNSINNNISNNNGGGISSGPGLSFGVSSGVSSGVSSGVSSGVSSGVTLVAQNHLARQPSPPLNSQQQQQQQLPTYLDGVGTLQPISLAATTPDGRVVKANGPICGACGDMIIGVCTNALGRSYHPEHFVCTYCKLPFSGSFIEHEEKLYCENDYLELFSPRCFACIKPIEDTCINALGNRYHPECFSCSGCGDKLRGKPYKEEDGEVYCNTCKIARQKRLAAKSEICSKCKLPITGEYIILQGQPVHSEHYRCEECGCEFNVGKTCHEYEGRLYCYEDYQKQILNICGACSKPIVGRSITALGKVWHPEHFTCTTCQVPFAGSAFREHAGKPYCESHYHQFFGRQCFKCSKPVVDTGVEVFGKIYHREHFTCTGCECVLGKEIMEWDGKPLCFKCFDALPKEVRKRIKEKKAGDKKAEAYREKLAKKEAKELKKERERAAKEKEKESKAK", - "output": "Cytoplasm" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAFHMPLKELQPVDTSLPEHVVKGAVVIDKEFTIWNHRAVIPSNALHTVFITINLLEQKLTDVVKMAARSMNLSEDDSYGLMADKPKRFITDDNLNSLGSGFILTLCASPDHYVKRITEILTEGNNISQMENAVKTLDEFSLDPALIEAFYRCSSLELLFSLIRDDRVCMSSTLLSTCLRALSSMLELAVGDFTWKSVPNDVVVSMASLVTGKAKREEANTLLAALQMLEQLVIGDDTTRDWILEEVPIETLIRHVEKSDERIALCALSLMNSMIRRCPDDEKRFELIKSLEVVPFRNAVHSSLLRGGGGVRNLNAIEQLVEVQRSLISAYETSPPTDAEVQKILDIESSEDVSEEIREMWKSQIGEHRCGRLAAISMVQFAEKSPQDLRMLISENTMRIEGGKWQLIPMWMRCCDIAAELFRVIPGRDELDRLIVVLFSTETPFPAVFACIVHLFHRTWREMQAKGGEMEKVACVVLEQLRHVLKRREIQDVEELSADLETFSYRAMQEIWREEQLGKENIQLHSEAVIQLKSKLRPKMEELVRINHLNYLKLGAVFRKPQKSKSLAKLAFWHWKLDASEKMLTITGCDGENYVEGVQRDDIRQVWIKDIADVTNNDEIDRKASSSRFTSSPSTQMLRGIRVQLKTTNDMKEGEVLMALTSDETQSVIWLEGLAELIGSKAVKSETDAMVERMLKMELRVRLLNVKLTNPEEKPEIPPIPDDIKSFISKF", - "output": "Cytoplasm" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNVETKHTRTMGDIFVQHSQKLELLKTVLRNVAELDALEGLNMIDAVQRLGIDYNFQREIDEILHKQMSIVSARDDLHEVALRFRLLRQHGYFVPEDVFNNFKDSKGTFKQVLGEDIKGLMSLYEASQLGTEGEDILVEAEKFSGHLLKTSLSHLDHHRVRIVANTLRNPHHKSLAPFMARNFFVTSQATNSWLNLLKEVAKTDFNMVRSLHQNEIVQMSKWWKELGLAKELKFARDQPLKWYIWSMACLTDPKLSEERVELTKPISFVYLIDDIFDVYGTLDDLILFTEAVNRWEITAIDHLPDYMKICFKALYDMTNEFSSKVYLKHGWNPLQSLKISWASLCNAFLVEAKWFASGKLPKSEEYLKNGIVSSGVNVVLVHMFFLLGQNITRKSVELLNETPAIISSSAAILRLWDDLGSAKDENQDGNDGSYVRCYLEEHEGCSIEEAREKTINMISDEWKKLNRELLSPNPFPASFTLASLNLARMIPLMYSYDGNQCLPSLKEYMKLMLYETVSM", - "output": "Cytoplasm" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSTNSIKLLAGNSHPGLAELISQRLGVPLSKVGVYQYSNKETSVTIGESIRDEDVYIIQTGYGEHEINDFLMELLILIHACKTASVRRITAVIPNFPYARQDKKDKSRAPITAKLIANLLETAGCDHVITMDLHASQIQGFFHIPVDNLYGEPSVLNYIRTKTDFNNAILVSPDAGGAKRVASLADKLDMNFALIHKERQKANEVSRMLLVGDVAGKSCLLIDDMADTCGTLVKACDTLMDHGAKEVIAIVTHGIFSGSAREKLINSRLSRIVCTNTVPVDLDLDIVDQVDISPTIAEAIRRLHNGESVSYLFTHAPV", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLKIKALFSKKKPDQADLSQESKKPFKGKTRSSGTNNKDVSQITSSPKKSFQDKNIVQYPSVVADDHHMKSLTDELVTTIDSDSSPSDNITTENVETVTSVPAIDVHESSEGQLSSDPLISDESLSEQSEIISDIQDDSTDDDNMEDEIPEKSFLEQKELIGYKLINKIGEGAFSKVFRAIPAKNSSNEFLTKNYKAVAIKVIKKADLSSINGDHRKKDKGKDSTKTSSRDQVLKEVALHKTVSAGCSQIVAFIDFQETDSYYYIIQELLTGGEIFGEIVRLTYFSEDLSRHVIKQLALAVKHMHSLGVVHRDIKPENLLFEPIEFTRSIKPKLRKSDDPQTKADEGIFTPGVGGGGIGIVKLADFGLSKQIFSKNTKTPCGTVGYTAPEVVKDEHYSMKVDMWGIGCVLYTMLCGFPPFYDEKIDTLTEKISRGEYTFLKPWWDEISAGAKNAVAKLLELEPSKRYDIDQFLDDPWLNTFDCLPKEGESSQKKAGTSERRHPHKKQFQLFQRDSSLLFSPAAVAMRDAFDIGNAVKRTEEDRMGTRGGLGSLAEDEELEDSYSGAQGDEQLEQNMFQLTLDTSTILQRRKKVQENDVGPTIPISATIRE", - "output": "Cytoplasm" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDRSDKKVNVEEVNVPSNLQIELEKSGTSSSVSLRSPTKSSATNLAGMAEGARDNASIASSSVDSLNMLLERQRVRQLNHPQHQQHISSSLAKTPTTTSSFCSSGSSKNKVKETNRISLTYDPVSKRKVLNTYEIIKELGHGQHGKVKLARDILSKQLVAIKIVDRHEKKQRKFFTFIKSSKISENDKIKREIAIMKKCHHKHVVQLIEVLDDLKSRKIYLVLEYCSRGEVKWCPPDCMESDAKGPSLLSFQETREILRGVVLGLEYLHYQGIIHRDIKPANLLISGDGTVKISDFGVSLAASSTNSSDSSESLDELELAKTVGTPAFFAPEMCLGEDAFTRYNLTKENLFRGSCISFMIDIWAVGVTLYCLLFGMLPFFSDFELKLFEKIVNDPLKFPTFKEIQSNKVSKVSCEEEYEMAKDLLLKLLEKNPQKRMTIPAIKKHPFVSWDFDHVPENDEKLLSSVLEQKLRFQCNQTDQFEPISISKHELKNAVSGVGKKIKESVLKSIPLKDPSDLSNKNYLHPTETTRGRGDANVIVSEGSVLSNIKELSANDGCLNTDSDTNININDDDHYSGDDNDGHLTKRELERELNKFDDKHEAGNMVNLPINSSFASLDSFYIDNFAMARMGMSSPEAGDSVSSVPNLPSAPSSTRLGRSPVFSGVTNQPSPIRPVLPQQKSSFCATGRYDKSHNSLLRNSSSHLTSYNSGRPSSRTGRMNSRNQNLPKIPNSLSKISTTKLTELRVPKDSEIPSPAKNPNADRLRRFPVKKNTKTPAIKDPPRININSSDKSGSKNSPIKSLYQRMKQSKDNSKTFEVRRGNFFSHFNGDDDDSSSQSSVTSSGSESDSELSSTSSSCTSGTQSRNSSNNNAYSETESLPFEFGVDSEDGSGVLLRDLPNEDQIRPFLDIQPCRRMKVKSSLNLEPPSVSSSSSSSSDEDELILNVGTAGHRRRHNSSKLSELSNSPQKGSNNFMYSNGSVHDSETTITPQNMDDLTLHQALSRSQPISKPGPLVLPKRLDQKKATTETSNLTDIVEFNGNNDHRKDKNFDKVLYSRDLLKDALSSTNAGRRRSIPSNKIRGRKDASITMSTNVGNDEHARNTSCHGDKGQENGAIKQRTHERSRSLTVAELNEEKRRSALP", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAFKDPGKAPVDQEVAIHRIRITLTSRNVKSLEKVCADLIRGAKEKNLKVKGPVRMPTKTLRITTRKTPCGEGSKTWDRFQMRIHKRLIDLHSPSEIVKQITSISIEPGVEVEVTIADA", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKYVVVSGGVISGIGKGVLASSTGMLLKTLGLKVTSIKIDPYMNIDAGTMSPLEHGECFVLDDGGETDLDLGNYERYLGITLSRDHNITTGKIYSHVISRERRGDYLGKTVQIVPHLTNAIQDWIQRVSKIPVDDTGLEPDVCIIELGGTVGDIESAPFVEALRQFQFEVGRENFALIHVSLVPVIHGEQKTKPTQAAIKDLRSLGLIPDMIACRCSEELNRSTIDKIAMFCHVGPEQVVNVHDVNSTYHVPLLLLKQHMIDYLHSRLKLGEVPLTLEDKERGSQLLTNWENMTKNLDDSDDVVKIALVGKYTNLKDSYLSVTKSLEHASMKCRRQLEILWVEASNLEPETQEVDKNKFHDSWNKLSSADGILVPGGFGTRGIEGMILAAKWARESGVPFLGVCLGLQVAAIEFARNVIGRPNSSSTEFLDETLLAPEDQVVIYMPEIDKEHMGGTMRLGLRPTIFQPNSEWSNIRKLYGEVNEVHERHRHRYEINPKIVNDMESRGFIFVGKDETGQRCEIFELKGHPYYVGTQYHPEYTSKVLEPSRPFWGLVAAASGTLGEVIKDINLSEGNENE", - "output": "Cytoplasm" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSIPFGVDLGNNNTVIACAKNRGIDIVVNEVSNRSTPSLVGFGHKSRYLGESAKNQQTSNIKNTVDNLKRIVGLPHDHPDFEIEKKFFTIPLIKNEVDGGVSGKVKYLTKNHEFTATQLLAMYLDKIKDTALKETKGNISDICLSVPGWYTEKQRRAAADACKIAGLNPVRIVNEVTAAAVGYGVFKAGELPEDEYKKVAFVDVGHSSYQVSIAAVKKGELKILGSAYDKHFGGRNFDFAIAEHFAKEFKSKYKIDVHENPKAFYRVLVAAEKLKKVLSANTQAPFNIESVMNDVDVSSSLTREELEELVQPLLDRINVPIETALKDAGITVDELDSIEVIGGSSRIPAVKTRISEIFGKPLSFTLNQDEAIAKGNAYICACHSPTVRVRPFKFEDYNQYTVSYFWDKEDEEDDHLEVFPKGGLFPSTKIITLFRKGPSFEIEAKYTKPEELPKGTELHIAKWKISGVVPNEGESSIATKIKLRNDPSGFYTIESAHTVEEQIVKELIEPAEGEEVDEDAEPQYREVKKLVKKNDLTIECESAALPDAELQAFIEKEASMVMEDKLVFDTEERKNQLEEYIYELRGKLDEEYKDFASDQEKEKLSGLLMKAEDWLYEDGEDSTKAKYIAKYEELASIGNVIKGRYLAKEQEKKEQYRQKQEAAQAAAMAEKMAAQREASKKQESSSSGKNNKDTEGDVDMD", - "output": "Cytoplasm" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAAVTLHLRAETKPLEARAALTPTTVKKLIAKGFKIYVEDSPQSTFNINEYRQAGAIIVPAGSWKTAPRDRIIIGLKEMPETDTFPLVHEHIQFAHCYKDQAGWQNVLMRFIKGHGTLYDLEFLENDQGRRVAAFGFYAGFAGAALGVRDWAFKQTHSDDEDLPAVSPYPNEKALVKDVTKDYKEALATGARKPTVLIIGALGRCGSGAIDLLHKVGIPDANILKWDIKETSRGGPFDEIPQADIFINCIYLSKPIAPFTNMEKLNNPNRRLRTVVDVSADTTNPHNPIPIYTVATVFNKPTVLVPTTAGPKLSVISIDHLPSLLPREASEFFSHDLLPSLELLPQRKTAPVWVRAKKLFDRHCARVKRSSRL", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAGASVKVAVRVRPFNSREMSRDSKCIIQMSGSTTTIVNPKQPKETPKSFSFDYSYWSHTSPEDINYASQKQVYRDIGEEMLQHAFEGYNVCIFAYGQTGAGKSYTMMGKQEKDQQGIIPQLCEDLFSRINDTTNDNMSYSVEVSYMEIYCERVRDLLNPKNKGNLRVREHPLLGPYVEDLSKLAVTSYNDIQDLMDSGNKARTVAATNMNETSSRSHAVFNIIFTQKRHDAETNITTEKVSKISLVDLAGSERADSTGAKGTRLKEGANINKSLTTLGKVISALAEMDSGPNKNKKKKKTDFIPYRDSVLTWLLRENLGGNSRTAMVAALSPADINYDETLSTLRYADRAKQIRCNAVINEDPNNKLIRELKDEVTRLRDLLYAQGLGDITDMTNALVGMSPSSSLSALSSRAASVSSLHERILFAPGSEEAIERLKETEKIIAELNETWEEKLRRTEAIRMEREALLAEMGVAMREDGGTLGVFSPKKTPHLVNLNEDPLMSECLLYYIKDGITRVGREDGERRQDIVLSGHFIKEEHCVFRSDSRGGSEAVVTLEPCEGADTYVNGKKVTEPSILRSGNRIIMGKSHVFRFNHPEQARQERERTPCAETPAEPVDWAFAQRELLEKQGIDMKQEMEQRLQELEDQYRREREEATYLLEQQRLDYESKLEALQKQMDSRYYPEVNEEEEEPEDEVQWTERECELALWAFRKWKWYQFTSLRDLLWGNAIFLKEANAISVELKKKVQFQFVLLTDTLYSPLPPDLLPPEAAKDRETRPFPRTIVAVEVQDQKNGATHYWTLEKLRQRLDLMREMYDRAAEVPSSVIEDCDNVVTGGDPFYDRFPWFRLVGRAFVYLSNLLYPVPLVHRVAIVSEKGEVKGFLRVAVQAISADEEAPDYGSGVRQSGTAKISFDDQHFEKFQSESCPVVGMSRSGTSQEELRIVEGQGQGADVGPSADEVNNNTCSAVPPEGLLLDSSEKAALDGPLDAALDHLRLGNTFTFRVTVLQASSISAEYADIFCQFNFIHRHDEAFSTEPLKNTGRGPPLGFYHVQNIAVEVTKSFIEYIKSQPIVFEVFGHYQQHPFPPLCKDVLSPLRPSRRHFPRVMPLSKPVPATKLSTLTRPCPGPCHCKYDLLVYFEICELEANGDYIPAVVDHRGGMPCMGTFLLHQGIQRRITVTLLHETGSHIRWKEVRELVVGRIRNTPETDESLIDPNILSLNILSSGYIHPAQDDRTFYQFEAAWDSSMHNSLLLNRVTPYREKIYMTLSAYIEMENCTQPAVVTKDFCMVFYSRDAKLPASRSIRNLFGSGSLRASESNRVTGVYELSLCHVADAGSPGMQRRRRRVLDTSVAYVRGEENLAGWRPRSDSLILDHQWELEKLSLLQEVEKTRHYLLLREKLETAQRPVPEALSPAFSEDSESHGSSSASSPLSAEGRPSPLEAPNERQRELAVKCLRLLTHTFNREYTHSHVCVSASESKLSEMSVTLLRDPSMSPLGVATLTPSSTCPSLVEGRYGATDLRTPQPCSRPASPEPELLPEADSKKLPSPARATETDKEPQRLLVPDIQEIRVSPIVSKKGYLHFLEPHTSGWARRFVVVRRPYAYMYNSDKDTVERFVLNLATAQVEYSEDQQAMLKTPNTFAVCTEHRGILLQAASDKDMHDWLYAFNPLLAGTIRSKLSRRRSAQMRV", - "output": "Cytoplasm" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSGNKEEEDPRIHGIKTKIRVVPDFPKKGIMFQDITTVLLDPKAFKDTIDLFVERYRDKNISVVAGIEARGFLFGPPIALAIGAKFVPLRKPKKLPGETIFEEYELEYGNDRLEMHIGAVEAGDRALVVDDLIATGGTLCAAINLLERVGAEVVECACVIELPELKGRQRLKGKPLCMLVEYR", - "output": "Cytoplasm" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSGGTPYIGSKISLISKAEIRYEGILYTIDTENSTVALAKVRSFGTEDRPTDRPIPPRDEVFEYIIFRGSDIKDLTVCEPPKPQCSLPQDPAIVQSSLGSSTSSFQSMGSYGPFGRMPTYSQFSPSSLVGQQFGAVGVAGSSLTSFGTETSNSGTLPQSSAVGSAFTQDTRSLKTQLSQGRSSPQLDPLRKSPTMEQAVQTASAHLPAPAAVGRRSPVSTRPLPSASQKAGENQEHRRAEVHKVSRPENEQLRNDNKRQVAPGAPSAPRRGRGGHRGGRGRFGIRRDGPMKFEKDFDFESANAQFNKEEIDREFHNKLKLKEDKLEKQEKPVNGEDKGDSGVDTQNSEGNADEEDPLGPNCYYDKTKSFFDNISCDDNRERRPTWAEERRLNAETFGIPLRPNRGRGGYRGRGGLGFRGGRGRGGGRGGTFTAPRGFRGGFRGGRGGREFADFEYRKTTAFGP", - "output": "Cytoplasm" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTISSAHPETEPKWWKEATIYQIYPASFKDSNNDGWGDMKGIASKLEYIKELGTDAIWISPFYDSPQDDMGYDIANYEKVWPTYGTNEDCFALIEKTHKLGMKFITDLVINHCSSEHEWFKESRSSKTNPKRDWFFWRPPKGYDAEGKPIPPNNWRSYFGGSAWTFDEKTQEFYLRLFCSTQPDLNWENEDCRKAIYESAVGYWLDHGVDGFRIDVGSLYSKVAGLPDAPVIDENSKWQLSDPFTMNGPRIHEFHQEMNKFIRNRVKDGREIMTVGEMRHATDETKRLYTSASRHELSELFNFSHTDVGTSPKFRQNLIPYELKDWKVALAELFRYVNGTDCWSTIYLENHDQPRSITRFGDDSPKNRVISGKLLSVLLVSLSGTLYVYQGQELGEINFKNWPIEKYEDVEVRNNYDAIKEEHGENSKEMKRFLEAIALISRDHARTPMQWSREEPNAGFSGPNAKPWFYLNESFREGINAEDESKDPNSVLNFWKEALRFRKAHKDITVYGYDFEFIDLDNKKLFSFTKKYDNKTLFAALNFSSDSIDFTIPNNSSSFKLEFGNYPRSEVDASSRTLKPWEGRIYISE", - "output": "Cytoplasm" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDLWPGAWMLLLLLFLLLLFLLPTLWFCSPSAKYFFKMAFYNGWILFLAVLAIPVCAVRGRNVENMKILRLMLLHIKYLYGIRVEVRGAHHFPPSQPYVVVSNHQSSLDLLGMMEVLPGRCVPIAKRELLWAGSAGLACWLAGVIFIDRKRTGDAISVMSEVAQTLLTQDVRVWVFPEGTRNHNGSMLPFKRGAFHLAVQAQVPIVPIVMSSYQDFYCKKERRFTSGQCQVRVLPPVPTEGLTPDDVPALADRVRHSMLTVFREISTDGRGGGDYLKKPGGGG", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRSSLAPGVWFFRAFSRDSWFRGLILLLTFLIYACYHMSRKPISIVKSRLHQNCSEQIKPINDTHSLNDTMWCSWAPFDKDNYKELLGGVDNAFLIAYAIGMFISGVFGERLPLRYYLSAGMLLSGLFTSLFGLGYFWNIHELWYFVVIQVCNGLVQTTGWPSVVTCVGNWFGKGKRGFIMGIWNSHTSVGNILGSLIAGIWVNGQWGLSFIVPGIITAVMGVITFLFLIEHPEDVDCAPPQHHGEPAENQDNPEDPGNSPCSIRESGLETVAKCSKGPCEEPAAISFFGALRIPGVVEFSLCLLFAKLVSYTFLYWLPLYIANVAHFSAKEAGDLSTLFDVGGIIGGIVAGLVSDYTNGRATTCCVMLILAAPMMFLYNYIGQDGIASSIVMLIICGGLVNGPYALITTAVSADLGTHKSLKGNAKALSTVTAIIDGTGSIGAALGPLLAGLISPTGWNNVFYMLISADVLACLLLCRLVYKEILAWKVSLSRGSGYKEI", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVSRLKIHSGLRLWEFPDQYVIEPTDGSSASCLDISRLDGSMKLIDQVAECNSLRVPKIRSIFGVVGMLKLLAGSYLVVVTESESVGSFLGHPIYKINSLKFLPCDHSLENPHEEQKKMETDDYSRLLSVAERTTGLYFSYEINLTLTAQRLHDLGDESKLLPLWRQAEPRFLWNNYMLEVLIDNKLDQFLLPVIQGSFHSFQTAIGRDIVDITLIARRCSRRNGTRMWRRGADPDGYVANFVETEQIVRMNGYTSSFVQIRGSMPFMWEQIVDLTYKPKFEIVQPEEAARIAERHFLDLRKKYGSVLAVDLVNKHGGEGRLSERFAGAMQHITGDDVRYLHFDFHHICGHIHFERLAILYEQMEDFLEKNGYFLLNEKGEKMKEQLGIVRTNCIDCLDRTNVTQSMIGRKLLELQLKRIGVFGAEETIRSHQNFDECYKILWANHGDDISIQYSGTPALKGDFVRYGQRTIQGVLQDGWNALARYYLNNFADGTKQDAIDLVQGHYIVAVSRDMAPVPRKRGLEAVANFPVALTVILISFWFATMSVKQVGSGYKHLLFSLVWAGISVAVAALVRANGRIFCNRPSLHKPRS", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEYPWDDLTLAFSRTSMFPFFDIAHYLVSVMALKQRPGAVAAAWNNPLASWLSAMLHCFGGGILSCMLLAESPLKFLTNHTNILLASSIWYIVFFCPRDLVSQGYSYQPIQFLAAGMKEVTRTWKIVGGVSDANSYYRNAWIVMIVVGWARGAGGAVVTACEQLLKGDWKPEGDEWLKMSFPCKITLLGSIMFTFQHTRHLAISKHDLMFLYTIFLVTIKVTMMMTKDTAVTLTPFEDTLTRMLFGRRQQQQFSSSEKKTEVKPSSNGSASSASKRGAEPSGGAKRHAKKED", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKMNLKRLVVTFFSCITFLLKFTIAAAEPPEGFPEPLNPTNFKEELSKGLHIIDFYSPYCPHCKHLAPVWMETWEEFKEESKTLNITFSQVNCIESADLCGDENIEYFPEIRLYNPSGYIKSFTETPRTKESLIAFARRESMDPNNLDTDLDSAKSESQYLEGFDFLELIAGKATRPHLVSFWPTKDMKNSDDSLEFKNCDKCHEFQRTWKIISRQLAVDDINTGHVNCESNPTICEELGFGDLVKITNHRADREPKVALVLPNKTSNNLFDYPNGYSAKSDGYVDFARRTFTNSKFPNITEGELEKKANRDIDFLQERGRVTNNDIHLVFSYDPETVVIEDFDILEYLIEPLSKIPNIYLHQIDKNLINLSRNLFGRMYEKINYDASQTQKVFNKEYFTMNTVTQLPTFFMFKDGDPISYVFPGYSTTEMRNIDAIMDWVKKYSNPLVTEVDSSNLKKLISFQTKSYSDLAIQLISSTDHKHIKGSNKLIKNLLLASWEYEHIRMENNFEEINERRARKADGIKKIKEKKAPANKIVDKMREEIPHMDQKKLLLGYLDISKEKNFFRKYGITGEYKIGDVIIIDKSNNYYYNKDNFGNSLTSNNPQLLREAFVSLNIPSKALYSSKLKGRLINSPFHNVLSFLDIIHGNGMPGYLIVIVLFIAILKGPSIYRRYKVRKHYRAKRNAVGILGNMEKKKNQD", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTSLSKSFMQSGRICAACFYLLFTLLSIPISFKVGGLECGLSFTVTLFTLYFITTTLNVLARRHGGRLYIFFTNCLYYSQHFIIASLLYLFLSGFSNDELGNVLKNKYNESESFLEALKNSLNSNQINYVLYYYYYRFVVQPWQFVLTKSTPFFTLSEGFFTILAIQAVGETNRWLSNDLNSNTWIISSLLTSGGVITASLYYLYRIYVTPIWPLSIQTASLLGLVLSMVCGLGLYGIVSQKGSVIESSLFFAYIVRCIYEISPKLATTATDEILNLFKDVWQKHQRNLPTADNLLCYFHNVILKNAEVLWGSFIPRGRKKTGDFHDKLISILSFEKVSLISKPFWKFFKNFTFSVPLSINEFCQVTIKMASESVSPAIVINLCFRVLMFYSATRIIPALQRKNDKQLRKSRRIMKGLYWYSPCILIAMYTHLILQYSGELKKDLCIWGCSEKWFGVDQPEIIVDSWGFWNWCNIFCTILVYATELIGSGS", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAFLKKYLLTILMVFLAYYYYSANEKFRPEMLQGKKVIVTGASKGIGREIAYHLAKMGAHVVVTARSKEALQKVVARCLELGAASAHYIAGSMEDMTFAEEFVAEAGNLMGGLDMLILNHVLYNRLTFFHGEIDNVRKSMEVNFHSFVVLSVAAMPMLMQSQGSIAVVSSVAGKITYPLIAPYSASKFALDGFFSTLRSEFLVNKVNVSITLCILGLIDTETAIKATSGIYLGPASPKEECALEIIKGTALRQDEMYYVGSRWVPYLLGNPGRKIMEFLSAAEYNWDNVLSNEKLYGRWA", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASSKKSKTHKKKKEVKSPIDLPNSKKPTRALSEQPSASETQSVSNKSRKSKFGKRLNFILGAILGICGAFFFAVGDDNAVFDPATLDKFGNMLGSSDLFDDIKGYLSYNVFKDAPFTTDKPSQSPSGNEVQVGLDMYNEGYRSDHPVIMVPGVISSGLESWSFNNCSIPYFRKRLWGSWSMLKAMFLDKQCWLEHLMLDKKTGLDPKGIKLRAAQGFEAADFFITGYWIWSKVIENLAAIGYEPNNMLSASYDWRLSYANLEERDKYFSKLKMFIEYSNIVHKKKVVLISHSMGSQVTYYFFKWVEAEGYGNGGPTWVNDHIEAFINISGSLIGAPKTVAALLSGEMKDTAQLNQFSVYGLEKFFSRSERAMMVRTMGGVSSMLPKGGDVVWGNASWAPDDLNQTNFSNGAIIRYREDIDKDHDEFDIDDALQFLKNVTDDDFKVMLAKNYSHGLAWTEKEVLKNNEMPSKWINPLETSLPYAPDMKIYCVHGVGKPTERGYYYTNNPEGQPVIDSSVNDGTKVENGIVMDDGDGTLPILALGLVCNKVWQTKRFNPANTSITNYEIKHEPAAFDLRGGPRSAEHVDILGHSELNEIILKVSSGHGDSVPNRYISDIQEIINEINLDKPRN", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAAWKSWTALRLCATVVVLDMVVCKGFVEDLDESFKENRNDDIWLVDFYAPWCGHCKKLEPIWNEVGLEMKSIGSPVKVGKMDATSYSSIASEFGVRGYPTIKLLKGDLAYNYRGPRTKDDIIEFAHRVSGALIRPLPSQQMFEHMQKRHRVFFVYVGGESPLKEKYIDAASELIVYTYFFSASEEVVPEYVTLKEMPAVLVFKDETYFVYDEYEDGDLSSWINRERFQNYLAMDGFLLYELGDTGKLVALAVIDEKNTSVEHTRLKSIIQEVARDYRDLFHRDFQFGHMDGNDYINTLLMDELTVPTVVVLNTSNQQYFLLDRQIKNVEDMVQFINNILDGTVEAQGGDSILQRLKRIVFDAKSTIVSIFKSSPLMGCFLFGLPLGVISIMCYGIYTADTDGGYIEERYEVSKSENENQEQIEESKEQQEPSSGGSVVPTVQEPKDVLEKKKD", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEMEKEFEQIDKSGSWAAIYQDIRHEASDFPCRVAKLPKNKNRNRYRDVSPFDHSRIKLHQEDNDYINASLIKMEEAQRSYILTQGPLPNTCGHFWEMVWEQKSRGVVMLNRVMEKGSLKCAQYWPQKEEKEMIFEDTNLKLTLISEDIKSYYTVRQLELENLTTQETREILHFHYTTWPDFGVPESPASFLNFLFKVRESGSLSPEHGPVVVHCSAGIGRSGTFCLADTCLLLMDKRKDPSSVDIKKVLLEMRKFRMGLIQTADQLRFSYLAVIEGAKFIMGDSSVQDQWKELSHEDLEPPPEHIPPPPRPPKRILEPHNGKCREFFPNHQWVKEETQEDKDCPIKEEKGSPLNAAPYGIESMSQDTEVRSRVVGGSLRGAQAASPAKGEPSLPEKDEDHALSYWKPFLVNMCVATVLTAGAYLCYRFLFNSNT", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MHINEETDWIDLVKPALAKKPKKIVDNSDKFPTPRGFQQKSLVSKNIHSGNSASSTSIFAKREEELQKDLLLKKAWELAYSPLKQIPMNAILAYMSGNSLQIFSIMTTLMLLVNPLKAITSTGSAFTPFKGTHPGTLWPAMGAYILFQLLLMGIGVYKLQRMGLLPTTTSDWLAWEVSKVFMDRSYGPSKTVL", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MELLRGLGVLFLLHMCGSNRIPESGGDNGVFDIFELIGGARRGPGRRLVKGQDLSSPAFRIENANLIPAVPDDKFQDLLDAVWADKGFIFLASLRQMKKTRGTLLAVERKDNTGQIFSVVSNGKAGTLDLSLSLPGKQQVVSVEEALLATGQWKSITLFVQEDRAQLYIDCDKMESAELDVPIQSIFTRDLASVARLRVAKGDVNDNFQGVLQNVRFVFGTTPEDILRNKGCSSSTNVLLTLDNNVVNGSSPAIRTNYIGHKTKDLQAICGLSCDELSSMVLELKGLRTIVTTLQDSIRKVTEENRELVSELKRPPLCFHNGVQYKNNEEWTVDSCTECHCQNSVTICKKVSCPIMPCSNATVPDGECCPRCWPSDSADDGWSPWSEWTSCSATCGNGIQQRGRSCDSLNNRCEGSSVQTRTCHIQECDKRFKQDGGWSHWSPWSSCSVTCGDGVITRIRLCNSPSPQMNGKPCEGEARETKACKKDACPINGGWGPWSPWDICSVTCGGGVQRRSRLCNNPTPQFGGKDCVGDVTENQVCNKQDCPIDGCLSNPCFAGAKCTSYPDGSWKCGACPPGYSGNGIQCKDVDECKEVPDACFNHNGEHRCKNTDPGYNCLPCPPRFTGSQPFGRGVEHAMANKQVCKPRNPCTDGTHDCNKNAKCNYLGHYSDPMYRCECKPGYAGNGIICGEDTDLDGWPNENLVCVANATYHCKKDNCPNLPNSGQEDYDKDGIGDACDDDDDNDKIPDDRDNCPFHYNPAQYDYDRDDVGDRCDNCPYNHNPDQADTDKNGEGDACAVDIDGDGILNERDNCQYVYNVDQRDTDMDGVGDQCDNCPLEHNPDQLDSDSDLIGDTCDNNQDIDEDGHQNNLDNCPYVPNANQADHDKDGKGDACDHDDDNDGIPDDRDNCRLVPNPDQKDSDGDGRGDACKDDFDHDNVPDIDDICPENFDISETDFRRFQMIPLDPKGTSQNDPNWVVRHQGKELVQTVNCDPGLAVGYDEFNAVDFSGTFFINTERDDDYAGFVFGYQSSSRFYVVMWKQVTQSYWDTNPTRAQGYSGLSVKVVNSTTGPGEHLRNALWHTGNTPGQVRTLWHDPRHIGWKDFTAYRWRLSHRPKTGYIRVVMYEGKKIMADSGPIYDKTYAGGRLGLFVFSQEMVFFSDMKYECRDS", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSKVYLNSDMINHLNSTVQAYFNLWLEKQNAIMRSQPQIIQDNQKLIGITTLVASIFTLYVLVKIISTPAKCSSSYKPVKFSLPAPEAAQNNWKGKRSVSTNIWNPEEPNFIQCHCPATGQYLGSFPSKTEADIDEMVSKAGKAQSTWGNSDFSRRLRVLASLHDYILNNQDLIARVACRDSGKTMLDASMGEILVTLEKIQWTIKHGQRALQPSRRPGPTNFFMKWYKGAEIRYEPLGVISSIVSWNYPFHNLLGPIIAALFTGNAIVVKCSEQVVWSSEFFVELIRKCLEACDEDPDLVQLCYCLPPTENDDSANYFTSHPGFKHITFIGSQPVAHYILKCAAKSLTPVVVELGGKDAFIVLDSAKNLDALSSIIMRGTFQSSGQNCIGIERVIVSKENYDDLVKILNDRMTANPLRQGSDIDHLENVDMGAMISDNRFDELEALVKDAVAKGARLLQGGSRFKHPKYPQGHYFQPTLLVDVTPEMKIAQNEVFGPILVMMKAKNTDHCVQLANSAPFGLGGSVFGADIKECNYVANSLQTGNVAINDFATFYVCQLPFGGINGSGYGKFGGEEGLLGLCNAKSVCFDTLPFVSTQIPKPLDYPIRNNAKAWNFVKSFIVGAYTNSTWQRIKSLFSLAKEAS", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNPAVFLSLADLRCSLLLLVTSIFTPITAEIASLDSENIDEILNNADVALVNFYADWCRFSQMLHPIFEEASDVIKEEYPDKNQVVFARVDCDQHSDIAQRYRISKYPTLKLFRNGMMMKREYRGQRSVKALADYIRQQKSNPVHEIQSLDEVTNLDRSKRNIIGYFEQKDSENYRVFERVASILHDDCAFLSAFGDLSKPERYNGDNVIYKPPGRSAPDMVYLGSMTNFDVTYNWIQDKCVPLVREITFENGEELTEEGLPFLILFHMKDDTESLEIFQNEVARQLISEKGTINFLHADCDKFRHPLLHIQKTPADCPVIAIDSFRHMYVFGDFKDVLIPGKLKQFVFDLHSGKLHREFHHGPDPTDTAPGEQDQDVASSPPESSFQKLAPSEYRYTLLRDRDEL", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MADRPAIQLIDEEKEFHQSALQYFQQCIGNRDVGLDYHVISVFGSQSSGKSTLLNVLFNTNFDTMDAQVKRQQTTKGIWLAHTKQVNTTIEIDNDRPDIFVLDVEGSDGSERGEDQDFERKAALFAIAVSEVLIVNMWEQQIGLYQGNNMALLKTVFEVNLSLFGKNDNDHKVLLLFVIRDHVGVTPLSSLSDSVTRELEKIWTELSKPAGCEGSSLYDYFDLKFVGLAHKLLQEDKFTQDVKKLGDSFVMKGTENYYFKPQYHHRLPLDGWTMYAENCWDQIERNKDLDLPTQQILVARFKTEEISNEALEEFISKYDESIAPLKGNLGSLTSQLVKLKEECLTKYDEQASRYARNVYMEKREALNTKLNSHISGTINEFLESLMEKLWDDLKLEVSSRDKATTSFVESVAAGKSKIEKEFNESMETFKKLGLLISNEEITCKFSDDIEERIKQLRDAELKAKIGRIKKNLVPELKDHVIHLLSHPSKKVWDDIMNDFESTIKDNISAYQVEKDKYDFKIGLSESENAKIYKNIRILAWRTLDTTVHDYLKIDTIVSILRDRFEDVFRYDAEGSPRLWKTEEEIDGAFRVAKEHALEVFEVLSLAVTSDNVEIIPDVPMAEEESGEDNEIYRDNEGVFHSRRFAHILTELQKENVLDQFRRQINITVLDSKRSIITTRTHIPPWIYVLLAVLGWNEFVAVIRNPLFVTLTLILGATFFVIHKFGLWGPVVNVVQSAVGETRTAIKDKLRQFVVEDHEVKESFEMKDFSKNEQKEK", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MALNKLKNIPSLTNSSHSSINGIASNAANSKPSGADTDDIDENDESGQSILLNIISQLKPGCDLSRITLPTFILEKKSMLERITNQLQFPDVLLEAHSNKDGLQRFVKVVAWYLAGWHIGPRAVKKPLNPILGEHFTAYWDLPNKQQAFYIAEQTSHHPPESAYFYMIPESNIRVDGVVVPKSKFLGNSSAAMMEGLTVLQFLDIKDANGKPEKYTLSQPNVYARGILFGKMRIELGDHMVIMGPKYQVDIEFKTKGFISGTYDAIEGTIKDYDGKEYYQISGKWNDIMYIKDLREKSSKKTVLFDTHQHFPLAPKVRPLEEQGEYESRRLWKKVTDALAVRDHEVATEEKFQIENRQRELAKKRAEDGVEFHSKLFRRAEPGEDLDYYIYKHIPEGTDKHEEQIRSILETAPILPGQTFTEKFSIPAYKKHGIQKN", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAKMEFQKGSSDQRTFISAILNMLSLGLSTASLLSSEWFVGTQKVPKPLCGQSLAAKCFDMPMSLDGGIANTSAQEVVQYTWETGDDRFSFLAFRSGMWLSCEETMEEPGEKCRRFIELTPPAQRWLSLGAQTAYIGLQLISFLLLLTDLLLTTNPGCGLKLSAFAAVSLVLSGLLGMVAHMLYSQVFQATANLGPEDWRPHSWNYGWAFYTAWVSFTCCMASAVTTFNMYTRMVLEFKCRHSKSFNTNPSCLAQHHRCFLPPPLTCTTHAGEPLSSCHQYPSHPIRSVSEAIDLYSALQDKEFQQGISQELKEVVEPSVEEQR", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNFFKILSFFSLFVITCFAKLDLNSKTDADGVIQITGRLFHRIVNGKQDFTTVALFSADSSTMNCDVCRLIEPEFKALANSYKLKYGLDSGIRFTYADFGKNKNLFQDFSIESVPNFWIFKPKSIQAIHVDLSHGVTASHLAAIVEKHTGKIADIVYKQDQAKRVGAFLSYIIVGAALFFTRKIIVKIFTSRKVWAALTIITVITLSSGYMFTRIRFSPYSQRGEHGENLWLAGSQQFQFGAEVQVVSLLYTALTMSSIFLAIVAPKVEGAKRQTLFVIIWLAFLWIGYSFLVDIFKRKVSMYPFKLLI", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGSVLGLCSVASWIPCLCGSAPCLLCRCCPSGNNSTVTRLIYALFLLVGVCVACVMLIPGMEEQLNKIPGFCENEKGVVPCNILVGYKAVYRLCFGLAMFYLLLSLLMIKVKSSSDPRAAVHNGFWFFKFATAVAIIIGAFFIPEGTFTTVWFYVGMAGAFCFILIQLVLLIDFAHSWNESWVEKMEEGNSRCWYAALLSATALNYLLSLVAIILFFVYYTHPASCSENKAFISVNMLLCIGASVMSILPKIQESQPRSGLLQSSVITIYTMYLTWSAMTNEPETNCNPSLLSIIGFNTTRPVPKDGQSVQWWHPQGIIGLVLFLLCVFYSSIRTSNNSQVNKLTLTSDESTLIEDGNGRSDGSLDDGEGVHRAVDNERDGVTYSYSFFHFMLFLASLYIMMTLTNWYRYEPSREMKSQWTAVWVKISSSWIGIVLYVWTLVAPLVLTNRDFD", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MWPQDPSRKEVLRFAVSCRILTLMLQALFNAIIPDHHAEAFSPPRLAPSGFVDQLVEGLLGGLSHWDAEHFLFIAEHGYLYEHNFAFFPGFPLALLVGTELLRPLRGLLSLRSCLLISVASLNFLFFMLAAVALHDLGCLVLHCPHQSFYAALLFCLSPANVFLAAGYSEALFALLTFSAMGQLERGRVWTSVLLFAFATGVRSNGLVSVGFLMHSQCQGFFSSLTMLNPLRQLFKLMASLFLSVFTLGLPFALFQYYAYTQFCLPGSARPIPEPLVQLAVDKGYRIAEGNEPPWCFWDVPLIYSYIQDVYWNVGFLKYYELKQVPNFLLAAPVAILVAWATWTYVTTHPWLCLTLGLQRSKNNKTLEKPDLGFLSPQVFVYVVHAAVLLLFGGLCMHVQVLTRFLGSSTPIMYWFPAHLLQDQEPLLRSLKTVPWKPLAEDSPPGQKVPRNPIMGLLYHWKTCSPVTRYILGYFLTYWLLGLLLHCNFLPWT", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNNPFKDMDCYEILQVNHDSDLQEIKANYRKLALQYHPDRNPGIEDYNEIFSQINAAYNILSNDDKRKWHEKDYLRNQYSVQIEDVLQHLQTIEKIPFESTSAFVERLRQDEKIAGSTDDLPTLGDTTWLWTYAKPIYQKWLRFSTKKSFEWEALYNEEEESDAATRRLMKRQNQRQIQYCIQRYNELVRDLIGKACDLDPRRKNVVKLSDGERYNSLQEASRKQSERDRRQYQETFKNQSIASWTIIDQEETSSDDESLSKEIVNSNPIMCMVCNKNFRSQNQLENHENSKKHKKNLRKMNQEIKKHAKEAQKNAESNKQPEDAPSESPYSNKVSSSDFYTRSFEEIEKTFTFVEISDNEFYTASEDGFLNEDDKLDQD", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVEDHKHEESILEKIVEKIHGHGDSSSLSDSDDDKKSTSSSSSSFKSKIYRLFGREKPVHKVLGGGKPADIFLWRNKKVSGGVLGAVTASWVLFELFEYHLLAFLCHFAIFALAALFLWSNACTFIHKSTPHIPEVHIPEDPILQLVSGLRIEINRGLTLLRNIASGKDVKKFILVIAGLWVLSIIGSCYNFLTLFYTATVLLFTIPVLYEKYEDKVDAYGEKAMREIKKQYAVLDEKVLRKVISKIPRGALNKKKD", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MALSQSVPFSATELLLASAIFCLVFWVLKGLRPRVPKGLKSPPEPWGWPLLGHVLTLGKNPHLALSRMSQRYGDVLQIRIGSTPVLVLSRLDTIRQALVRQGDDFKGRPDLYTSTLITDGQSLTFSTDSGPVWAARRRLAQNALNTFSIASDPASSSSCYLEEHVSKEAKALISRLQELMAGPGHFDPYNQVVVSVANVIGAMCFGQHFPESSDEMLSLVKNTHEFVETASSGNPLDFFPILRYLPNPALQRFKAFNQRFLWFLQKTVQEHYQDFDKNSVRDITGALFKHSKKGPRASGNLIPQEKIVNLVNDIFGAGFDTVTTAISWSLMYLVTKPEIQRKIQKELDTVIGRERRPRLSDRPQLPYLEAFILETFRHSSFLPFTIPHSTTRDTTLNGFYIPKKCCVFVNQWQVNHDPELWEDPSEFRPERFLTADGTAINKPLSEKMMLFGMGKRRCIGEVLAKWEIFLFLAILLQQLEFSVPPGVKVDLTPIYGLTMKHARCEHVQARRFSIN", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAVSAGSARTSPSSDKVQKDKAELISGPRQDSRIGKLLGFEWTDLSSWRRLVTLLNRPTDPASLAVFRFLFGFLMVLDIPQERGLSSLDRKYLDGLDVCRFPLLDALRPLPLDWMYLVYTIMFLGALGMMLGLCYRISCVLFLLPYWYVFLLDKTSWNNHSYLYGLLAFQLTFMDANHYWSVDGLLNAHRRNAHVPLWNYAVLRGQIFIVYFIAGVKKLDADWVEGYSMEYLSRHWLFSPFKLLLSEELTSLLVVHWGGLLLDLSAGFLLFFDVSRSIGLFFVSYFHCMNSQLFSIGMFSYVMLASSPLFCSPEWPRKLVSYCPRRLQQLLPLKAAPQPSVSCVYKRSRGKSGQKPGLRHQLGAAFTLLYLLEQLFLPYSHFLTQGYNNWTNGLYGYSWDMMVHSRSHQHVKITYRDGRTGELGYLNPGVFTQSRRWKDHADMLKQYATCLSRLLPKYNVTEPQIYFDIWVSINDRFQQRIFDPRVDIVQAAWSPFQRTSWVQPLLMDLSPWRAKLQEIKSSLDNHTEVVFIADFPGLHLENFVSEDLGNTSIQLLQGEVTVELVAEQKNQTLREGEKMQLPAGEYHKVYTTSPSPSCYMYVYVNTTELALEQDLAYLQELKEKVENGSETGPLPPELQPLLEGEVKGGPEPTPLVQTFLRRQQRLQEIERRRNTPFHERFFRFLLRKLYVFRRSFLMTCISLRNLILGRPSLEQLAQEVTYANLRPFEAVGELNPSNTDSSHSNPPESNPDPVHSEF", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSDQDNTQTSSSKLAPHHNIFMANLESSPTKDRNTSSQNASSSRVIESLHDQIDMLTKTNLQLTTQSQNLLSKLELAQSKESKLLENLNLLKNENENLNSIFERKNKKLKELEKDYSELSNRYNEQKEKMDQLSKLAKNSSAIEQSCSEKLQNMEVNYNSLLESQNLYRDHYSDEISKLNEKIGLLELELSNQNLNYGSDTSSNSDIELNLNKFNDSVKDLKSLETEKDSKLSKIITHSLDELNLQSWLNLYQTNENLISTFAEKMDLKDVLKRNDEKISNKGAVVQTLKKNVQTQVESNNADALSSNNAQDMLPIKMVKLRKTPNTNDSSSNGNSSNNKRRSFYTASPLLSSGSIPKSASPVLPGVKRTASVRKPSSSSSKTNVTHNNDPSTSPTISVPPGVTRTVSSTHKKKGNSMVVHGAQS", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAASALAWLLLWAAGLVGRLAADISDARFSDGVRATCSEIILRQEFLKDGFHRDLLIKVKFGESIEDLQTCRLLIKHYIPTGLFVDPYELASLRERNITEAVMVSESFNLEAPNYLSTESAVLIYARQDAQCIDCFQAFLPVHYRYHRPHKKDGDTLIVVNNPDLLMHCDQEFPILKCWAQSEVAAPCSLKSEEICQWKNMQYKSILKNLTVQVPVGLTIHTSLVCSVTLLITVLCSTLILLAVFKYGHFSL", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSQPADINQSESSAETITQGRRADRPEETPSSSVYEQNLRFGDFLMPTVGDADATDSLSQSTNDRDIYSPREIDQYTRKVSSRTDPSTSTISNARQHPRNSVSRLSRSSSNVRQQRDIPKQNFKVRPLSPLRGQSPASLRSEESFTLKERQNAINKTRAFGMRLWKPALYKKFRSINRDADIDIHDEPLKRPNTSISNVIWLICFGAPLFLVIFICYIFFTVLSFFNVPDAIVYSKLCRGLMFYLLYPFGQHVRHKVKRLSVRSPAHPIYQTQHSHYDETPTSHHPDPARLNFLSFSFCVNPMNQSLDCNTTPHRRNASSIIYTLMYYLIIAPTLLITSAICMFTIFFVPCARTLWAICRHLRTCPLSLSFRPNLALPLSMDSSDVVLLCVKKAASWKYYKYTIDGIYIIYFDMLALIIPTIFFGFFGSQGHWFTSSVFLFTASLVSIIPLAYFIGMAVASISAQSSMGMGAFINAFFGSVIEVFLYSVALRKGNAGLVEGSVIGSILAGLLLMPGLSMCAGAIRKKFQFFNIKSAGATSTMLLFAVLGAFAPTMLFRIYGPFRLDCEPCGANCQKCTKHYVLENDSLYKNRVLPFTYCCSIMLVLAYAIGLWFTLRTHASHIWQNFTADDISFLKAEEEVGEPVNQDTAGNMSDSSEGGEAVVNGNSQHHHNRDDASSGLSSNGSENESLEHEPTNELPQRPLVNQSQNSHGDDAPNWSRSKSAIILLSATFLYSLIAEILVEHVDTVLDKFAISEKFLGLTLFALVPNTTEFMNAISFALNENIALSMEIGSAYALQVCLLQIPCLMGYSLFQYYRSGDSISFKHLFTMVFPTWDMICVMICVFLLTYVHSEGKSNYFKGSILVLAYLVSMLGFTFFNY", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRSLYLIVFIVISLVKASKSDDGFCSAPSIVESDEKTNPIYWKATNPTLSPSHLQDLPGFTRSVYKRDHALITPESHVYSPLPDWTNTLGAYLITPATGSHFVMYLAKMKEMSSSGLPPQDIERLIFVVEGAVTLTNTSSSSKKLTVDSYAYLPPNFHHSLDCVESATLVVFERRYEYLGSHTTELIVGSTDKQPLLETPGEVFELRKLLPMSVAYDFNIHTMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDNWYPVQAGDVIWMAPFVPQWYAALGKTRSRYLLYKDVNRNPL", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDVLLSLPQPELFKTTVIPFLANRNIIKSEAILSNLHSIFYVAIFYHIWFLFGKWILFPHLVKWKLDYDQKHNVKKDEKTTSERQAQHYKKKYTSLINQSSVHLISLLQSIVVLYYSLKFLLDPKASAEPYQTSHSRVFTENRDTQVICIFAIGYFVWDIYISTMYSTFPFVVHGIISTVVFCIGLKPYIQYYAPVFLMFELSNPSLNFRWFGIKFLPQKSKFCSLLLLLNNLTLMVVFFAARIAWGWFQIGKLCYDFYQVRNEPGFLVFDTIVILAGNFVLDILNVIWFSTMVSVAAKVLKKGESVDKVTKNEQ", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEVCNCIEPQWPADELLMKYQYISDFFIAIAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTTHSRTVALVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRHQHPVEYTVPIQLPVINQVFGTSRAVKISPNSPVARLRPVSGKYMLGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLATLMNDVLDLSRLEDGSLQLELGTFNLHTLFREVLNLIKPIAVVKKLPITLNLAPDLPEFVVGDEKRLMQIILNIVGNAVKFSKQGSISVTALVTKSDTRAADFFVVPTGSHFYLRVKVKDSGAGINPQDIPKIFTKFAQTQSLATRSSGGSGLGLAISKRFVNLMEGNIWIESDGLGKGCTAIFDVKLGISERSNESKQSGIPKVPAIPRHSNFTGLKVLVMDENGVSRMVTKGLLVHLGCEVTTVSSNEECLRVVSHEHKVVFMDVCMPGVENYQIALRIHEKFTKQRHQRPLLVALSGNTDKSTKEKCMSFGLDGVLLKPVSLDNIRDVLSDLLEPRVLYEGM", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDLLVMLLSLLVSYLIFKIWKRIDSKRDQNCYILDYQCHKPSDDRMVNTQFSGDIILRNKHLRLNEYKFLLKAIVSSGIGEQTYAPRLFFEGREQRPTLQDGLSEMEEFYIDTIEKVLKRNKISPSEIDILVVNVSMLNSTPSLSARIINHYKMREDIKVFNLTAMGCSASVISIDIVKNIFKTYKNKLALVVTSESLSPNWYSGNNRSMILANCLFRSGGCAVLLTNKRSLSRRAMFKLRCLVRTHHGARDDSFNACVQKEDELGHIGVHLDKTLPKAATRAFIDNLKVITPKILPVTELLRFMLCLLLKKLRSSPSKGSTNVTQAAPKAGVKAGINFKTGIDHFCIHTGGKAVIDAIGYSLDLNEYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKRGDRVFMISFGAGFKCNSCVWEVVRDLNVGEAVGNVWNHCINQYPPKSILNPFFEKYGWIHEEEDPDTFKMPEGFM", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVCCLWVLLALLLHLDHVACEDDAYSFTSKELKAYKQEVKELFYFGFDNYLEHGYPYDEVKPISCVPKKRNFEDPTDQGTNDILGNFTITLIDSLTTIAILEDRPQFLKAVRLVERTFPDGNFDIDSTIQVFEITIRVIGSLLSSHLYATDPTKAVYLGDDYDGSLLRLAQNMADRLLPAYLTSTGLPMPRRNIKRKWDVSEFPEFLETENNVAAMASPMFEFTILSYLTGDPKYEKVTRYAFDKTWSLRTGLDLLPMSFHPEKLTPYTPMTGIGASIDSLFEYALKGAILFDDSELMEVWNVAYEALKTNCKNDWFFANVMADTGHLFVPWIDSLSAFFSGLQVLAGDLDDAIANHLMFLKMWNTFGGIPERWNFSPPEFPPLSPLERSGAVALDNILPLEWYPLRPEFFESTYFLYRATKDPFYLNIGVHLLKDLKQRFKSNCGFAGFQNVITGELQDRMETFVLSETLKYLYLLFDEENELHNSASDVIFSTEAHPMWLPQEVRSNYKRNAKFNNSVYSSHLEICQKKDREQAGENTLSQRIVGFAKSIFHKGPPDEEATDPIIDYTIDTELPGTCSIKPHHVIGDEFWYSPMLSNFDRLFEIDSRFAATLIKPSHMHNYNAIELEPGFYNRWSNPQFSTCLIPPTTEIFELLFDLPGYHQLNPLMLENKTITFETFGGRSRLKIEKLQIYQIDYYGDLITASTFQDVSRKDIFSNACDAVASLYSPTYLYRVVAINGRILPRHGSVQIKKHSPVLTSNGTREEDEFKMDGIGINDHSQLMLECTPIINLFIV", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEASWLETRWARPLHLALVFCLALVLMQAMKLYLRRQRLLRDLSPFPGPPAHWLLGHQKFLQEDNMETLDEIVKKHPCAFPCWVGPFQAFFYIYDPDYAKIFLSRTDPKMQYLHQLLTPCIGRGLLNLDGPRWFQHRCLLTPAFHQDILKPCVDTMAHSVKVMLDKWEKMWTTQETTIEVFEHINLMTLDIIMKCAFGQETNCQINGTYESYVKATFELGEIISSRLYNFWHHHDIIFKLSPKGHCFQELGKVIHQYTEKIIQDRKKILKNQVKQDDTQTSQIFLDIVLSAQAEDERAFSDADLRAEVNTFMWAGHDASAASISWLLYCLALNPEHQDRCRTEIRSILGDGSSITWEQLDEMSYTTMCIKETLRLIPPVPSISRELSKPLTLPDGHSLPAGMTVVLSIWGLHHNPAVWNDPKVFDPLRFTKENSDQRHPCAFLPFSSGPRNCIGQQFAMLELKVAIALILLHFQVAPDLTRPPAFSSHTVLRPKHGIYLHLKKLLEC", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDEFESTKLSKVQFSTSVLSTPSNEGNNLIHRFKNSFKRNDSPAIQEGLLYSELSEEEKIQWDLANQPYKKVLDQRHLTMIAIGGTLGTGLFIGLGESLASGPASLLIGFLLVGASMLCVVQCGAELSCQYPVSGSYALHASRFIDPSVGFSIGINYLLMWLISYPSELVGCSLTISYWAPSVNPAAWVAIAFVLSMLLNLFGARGFAESEFYMSIFKIVALFIFIIIGIVLIAGGGPDSTGYIGTKYWHDPGSFAVPVFKNLCNTFVSAAYSFSGTEMVVLTSTEARSVSSVSRAAKGTFWRIIIFYIVTVIIIGCLVPYNDPRLISGSSSEDITASPFVIALSNTGAMGTRVSHFMNAVILIAVFSVCNSCVYASSRLIQGLATAGQLPKICAYMDRNGRPLVGMAICGAFGLLGFLVVSKNQGTVFTWLFALCSISFFTTWFCICFCQVRFRMAMKAQGRSKDDIIYRSTLGIYGGIFGCILNVLLVIGEIYVSAAPVGSPSSAANFFEYCMSIPIMIAVYIGHRIYRRDWRHWYIKRMDIDLDSGHSLEDFEATKLERDEDKKYVSSKPLYYRIYRFFC", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAAKSQPNIPKAKSLDGVTNDRTASQGQWGRAWEVDWFSLASVIFLLLFAPFIVYYFIMACDQYSCALTGPVVDIVTGHARLSDIWAKTPPITRKAAQLYTLWVTFQVLLYTSLPDFCHKFLPGYVGGIQEGAVTPAGVVNKYQINGLQAWLLTHLLWFANAHLLSWFSPTIIFDNWIPLLWCANILGYAVSTFAMVKGYFFPTSARDCKFTGNFFYNYMMGIEFNPRIGKWFDFKLFFNGRPGIVAWTLINLSFAAKQRELHSHVTNAMVLVNVLQAIYVIDFFWNETWYLKTIDICHDHFGWYLGWGDCVWLPYLYTLQGLYLVYHPVQLSTPHAVGVLLLGLVGYYIFRVANHQKDLFRRTDGRCLIWGRKPKVIECSYTSADGQRHHSKLLVSGFWGVARHFNYVGDLMGSLAYCLACGGGHLLPYFYIIYMAILLTHRCLRDEHRCASKYGRDWERYTAAVPYRLLPGIF", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDILKRGNESDKFTKIETESTTIPNDSDRSGSLIRRMKDSFKQSNLHVIPEDLENSEQTEQEKIQWKLASQPYQKVLSQRHLTMIAIGGTLGTGLFIGLGYSLASGPAALLIGFLLVGTSMFCVVQSAAELSCQFPVSGSYATHVSRFIDESVGFTVATNYALAWLISFPSELIGCALTISYWNQTVNPAVWVAIFYVFIMVLNLFGVRGFAETEFALSIIKVIAIFIFIIIGIVLIAGGGPNSTGYIGAKYWHDPGAFAKPVFKNLCNTFVSAAFSFGGSELVLLTSTESKNISAISRAAKGTFWRIAIFYITTVVIIGCLVPYNDPRLLSGSNSEDVSASPFVIALSNTGSMGAKVSNFMNVVILVAVVSVCNSCVYASSRLIQALGASGQLPSVCSYMDRKGRPLVGIGISGAFGLLGFLVASKKEDEVFTWLFALCSISSFFTWFCICMSQIRFRMALKAQGRSNDEIAYKSILGVYGGILGCVLNALLIAGEIYVSAAPVGSPSSAEAFFEYCLSIPIMIVVYFAHRFYRRDWKHFYIKRSEIDLDTGCSVENLELFKAQKEAEEQLIASKPFYYKIYRFWC", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFHSSAMVNSHRKPMFNIHRGFYCLTAILPQICICSQFSVPSSYHFTEDPGAFPVATNGERFPWQELRLPSVVIPLHYDLFVHPNLTSLDFVASEKIEVLVSNATQFIILHSKDLEITNATLQSEEDSRYMKPGKELKVLSYPAHEQIALLVPEKLTPHLKYYVAMDFQAKLGDGFEGFYKSTYRTLGGETRILAVTDFEPTQARMAFPCFDEPLFKANFSIKIRRESRHIALSNMPKVKTIELEGGLLEDHFETTVKMSTYLVAYIVCDFHSLSGFTSSGVKVSIYASPDKRNQTHYALQASLKLLDFYEKYFDIYYPLSKLDLIAIPDFAPGAMENWGLITYRETSLLFDPKTSSASDKLWVTRVIAHELAHQWFGNLVTMEWWNDIWLKEGFAKYMELIAVNATYPELQFDDYFLNVCFEVITKDSLNSSRPISKPAETPTQIQEMFDEVSYNKGACILNMLKDFLGEEKFQKGIIQYLKKFSYRNAKNDDLWSSLSNSCLESDFTSGGVCHSDPKMTSNMLAFLGENAEVKEMMTTWTLQKGIPLLVVKQDGCSLRLQQERFLQGVFQEDPEWRALQERYLWHIPLTYSTSSSNVIHRHILKSKTDTLDLPEKTSWVKFNVDSNGYYIVHYEGHGWDQLITQLNQNHTLLRPKDRVGLIHDVFQLVGAGRLTLDKALDMTYYLQHETSSPALLEGLSYLESFYHMMDRRNISDISENLKRYLLQYFKPVIDRQSWSDKGSVWDRMLRSALLKLACDLNHAPCIQKAAELFSQWMESSGKLNIPTDVLKIVYSVGAQTTAGWNYLLEQYELSMSSAEQNKILYALSTSKHQEKLLKLIELGMEGKVIKTQNLAALLHAIARRPKGQQLAWDFVRENWTHLLKKFDLGSYDIRMIISGTTAHFSSKDKLQEVKLFFESLEAQGSHLDIFQTVLETITKNIKWLEKNLPTLRTWLMVNT", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAGPNQLCIRRWTTKHVAVWLKDEGFFEYVDILCNKHRLDGITLLTLTEYDLRSPPLEIKVLGDIKRLMLSVRKLQKIHIDVLEEMGYNSDSPMGSMTPFISALQSTDWLCNGELSHDCDGPITDLNSDQYQYMNGKNKHSVRRLDPEYWKTILSCIYVFIVFGFTSFIMVIVHERVPDMQTYPPLPDIFLDSVPRIPWAFAMTEVCGMILCYIWLLVLLLHKHRSILLRRLCSLMGTVFLLRCFTMFVTSLSVPGQHLQCTGKIYGSVWEKLHRAFAIWSGFGMTLTGVHTCGDYMFSGHTVVLTMLNFFVTEYTPRSWNFLHTLSWVLNLFGIFFILAAHEHYSIDVFIAFYITTRLFLYYHTLANTRAYQQSRRARIWFPMFSFFECNVNGTVPNEYCWPFSKPAIMKRLIG", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAGSTSSLVICAIGIYATFLTWALVQEPLATRTWPNSMGKFQFPNVISLIQASVAMMMGYLYLNWKKVEYPPRKMIKDHWKQLMLISFTQSSSGPLATTSLKHVDYLTYMLAKSCKMIPVLLVHLLLYRTPIASQKKVVALLVSLGVTIFTIGGNDGKKLKRSFNESGNDNKLQGFGLLFSSLFLDGLTNATQDKLLKANKAKEKGKQTLITGAHLMFTLNLFVILWNILYFIVIDCKQWDNAVSVLTMDPQVWGYLMLYSFCGAMGQCFIFYTLEQFGSLVLIMITVTRKMVSMILSIIVFGKSVRFQQWVGMFIVFGGITWEALNKKKANIPKAKSA", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGRSNEQDLLSTEIVNRGIEPSGPNAGSPTFSVRVRRRLPDFLQSVNLKYVKLGYHYLINHAVYLATIPVLVLVFSAEVGSLSREEIWKKLWDYDLATVIGFFGVFVLTACVYFMSRPRSVYLIDFACYKPSDEHKVTKEEFIELARKSGKFDEETLGFKKRILQASGIGDETYVPRSISSSENITTMKEGREEASTVIFGALDELFEKTRVKPKDVGVLVVNCSIFNPTPSLSAMVINHYKMRGNILSYNLGGMGCSAGIIAIDLARDMLQSNPNSYAVVVSTEMVGYNWYVGSDKSMVIPNCFFRMGCSAVMLSNRRRDFRHAKYRLEHIVRTHKAADDRSFRSVYQEEDEQGFKGLKISRDLMEVGGEALKTNITTLGPLVLPFSEQLLFFAALLRRTFSPAAKTSTTTSFSTSATAKTNGIKSSSSDLSKPYIPDYKLAFEHFCFHAASKVVLEELQKNLGLSEENMEASRMTLHRFGNTSSSGIWYELAYMEAKESVRRGDRVWQIAFGSGFKCNSVVWKAMRKVKKPTRNNPWVDCINRYPVPL", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRISRLLIRVLLGFVILFITYILFPSIPKALVNTLNVYKLEERLNYYNDRLLDGNLKSKELENATFVTLARNADLYDLIETINIYENRFNSKHNYPWVFLNDEPFTRTFEVVMSRLTSGPTYFGVVNSSEWDIPKWIDMDIAHSNWNRLSREGVLYGGMKSYRQMCRYFSGFFWRHPLLDPYKYYWRVEPSTKLLCEVNKDPFRQLRLLNKTYGFVITLFEIGQTVPSLWNSTLEFIEKYPETLAKNNLWEWISDDNGKKFSHCHFWSNFEIADLDFFRSDSYRKYFDFLDKKGGFFYERWGDAPVHSIALSLFLDRNKLHYFDEIGYSHAPLLHCPRKGRCFCKPEEIDLSSNSSCIARFINLTNEDYDEL", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAFMKKYLLPLLGLFLAYYYYSANEEFRPEMLQGKKVIVTGASKGIGKEIAFHLAKMGAHVVVTARSKETLQEVVAHCLKLGAASAHYIAGTMEDMTFAEQFVAKAGKLMGGLDMLILNHITNASLMFFNNDIHHVRKEMEVNFLSYVVLTVAALPMLKQSNGSIVVVSSLAGKIAHPLIAPYSASKFALDGFFSAIRKEHALTNVNVSITLCVLGLIDTDTAMKEVSGKIDMKAAPKEECALEIIKGGALRQDEVYYGNLQWTPLLLGNPGKRLIEFLHLRKFDISKLVNN", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSQGVRRAGAGQGVAAAVQLLVTLSFLRSVVEAQVTGVLDDCLCDIDSIDNFNTYKIFPKIKKLQERDYFRYYKVNLKRPCPFWAEDGHCSIKDCHVEPCPESKIPVGIKAGHSNKYLKMANNTKELEDCEQANKLGAINSTLSNQSKEAFIDWARYDDSRDHFCELDDERSPAAQYVDLLLNPERYTGYKGTSAWRVWNSIYEENCFKPRSVYRPLNPLAPSRGEDDGESFYTWLEGLCLEKRVFYKLISGLHASINLHLCANYLLEETWGKPSWGPNIKEFKHRFDPVETKGEGPRRLKNLYFLYLIELRALSKVAPYFERSIVDLYTGNAEEDADTKTLLLNIFQDTKSFPMHFDEKSMFAGDKKGAKSLKEEFRLHFKNISRIMDCVGCDKCRLWGKLQTQGLGTALKILFSEKEIQKLPENSPSKGFQLTRQEIVALLNAFGRLSTSIRDLQNFKVLLQHSR", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDAVILNLLGDIPLVTRLWTIGCLVLSGLTSLRIVDPGKVVYSYDLVFKKGQYGRLLYSIFDYGAFNWISMINIFVSANHLSTLENSFNLRRKFCWIIFLLLVILVKMTSIEQPAASLGVLLHENLVYYELKKNGNQMNVRFFGAIDVSPSIFPIYMNAVMYFVYKRSWLEIAMNFMPGHVIYYMDDIIGKIYGIDLCKSPYDWFRNTETP", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MANAAQKKLAAQNKHILTFMLAADLIVNVLFWILRFFVRSGLSKFSKFVYAFASISSGFLHYQLHRAAAPKYDARGSLLYVGQDLLQEGVTSYMVDYMYFSWILIFLAALTSVKVFAFYLLVPIFVVYKAAPLLKMLLQQLKNFKNQALNQPPQQQQQQQQQQHQQHATPSEPVLSKRQQKLRKKAAKYSRP", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASADKNGSNLPSVSGSRLQSRKPPNLSITIPPPESQAPGEQDSMLPERRKNPAYLKSVSLQEPRGRWQEGAEKRPGFRRQASLSQSIRKSTAQWFGVSGDWEGKRQNWHRRSLHHCSVHYGRLKASCQRELELPSQEVPSFQGTESPKPCKMPKIVDPLARGRAFRHPDEVDRPHAAHPPLTPGVLSLTSFTSVRSGYSHLPRRKRISVAHMSFQAAAALLKGRSVLDATGQRCRHVKRSFAYPSFLEEDAVDGADTFDSSFFSKEEMSSMPDDVFESPPLSASYFRGVPHSASPVSPDGVHIPLKEYSGGRALGPGTQRGKRIASKVKHFAFDRKKRHYGLGVVGNWLNRSYRRSISSTVQRQLESFDSHRPYFTYWLTFVHIIITLLVICTYGIAPVGFAQHVTTQLVLKNRGVYESVKYIQQENFWIGPSSIDLIHLGAKFSPCIRKDQQIEQLVRRERDIERTSGCCVQNDRSGCIQTLKKDCSETLATFVKWQNDTGPSDKSDLSQKQPSAVVCHQDPRTCEEPASSGAHIWPDDITKWPICTEQAQSNHTGLLHIDCKIKGRPCCIGTKGSCEITTREYCEFMHGYFHEDATLCSQVHCLDKVCGLLPFLNPEVPDQFYRIWLSLFLHAGIVHCLVSVVFQMTILRDLEKLAGWHRISIIFILSGITGNLASAIFLPYRAEVGPAGSQFGLLACLFVELFQSWQLLERPWKAFFNLSAIVLFLFICGLLPWIDNIAHIFGFLSGMLLAFAFLPYITFGTSDKYRKRALILVSLLVFAGLFASLVLWLYIYPINWPWIEYLTCFPFTSRFCEKYELDQVLH", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRSSCVLLAALLALAAYYVYIPLPSAVSDPWKLMLLDATFRGAQQVSNLIHSLGLNHHLIALNFIITSFGKQSARSSPKVKVTDTDFDGVEVRVFEGSPKPEEPLRRSVIYIHGGGWALASAKISYYDQLCTTMAEELNAVIVSIEYRLVPQVYFPEQIHDVIRATKYFLQPEVLDKYKVDPGRVGISGDSAGGNLAAALGQQFTYVASLKNKLKLQALVYPVLQALDFNTPSYQQSMNTPILPRHVMVRYWLDYFKGNYDFVEAMIVNNHTSLDVERAAALRARLDWTSLLPSSIKKNYKPIMQTTGNARIVQEIPQLLDAAASPLIAEQEVLEALPKTYILTCEHDVLRDDGIMYAKRLESAGVNVTLDHFEDGFHGCMIFTSWPTNFSVGIRTRNSYIKWLDQNL", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGLLDSEPGSVLNVVSTALNDTVEFYRWTWSIADKRVENWPLMQSPWPTLSISTLYLLFVWLGPKWMKDREPFQMRLVLIIYNFGMVLLNLFIFRELFMGSYNAGYSYICQSVDYSNNVHEVRIAAALWWYFVSKGVEYLDTVFFILRKKNNQVSFLHVYHHCTMFTLWWIGIKWVAGGQAFFGAQLNSFIHVIMYSYYGLTAFGPWIQKYLWWKRYLTMLQLIQFHVTIGHTALSLYTDCPFPKWMHWALIAYAISFIFLFLNFYIRTYKEPKKPKAGKTAMNGISANGVSKSEKQLMIENGKKQKNGKAKGD", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDLLSLITGKKFEKHYSKVGSRFSDESLNGLEKQLSNGADAAIDTTADDRGSVVSLGASLPLSQGRPAKSKNILNDTLLAEDQYLVTWDGPDDPLNPRNWSHSYKWWIVIQVSVITIVVTFASSVYSSGIIDIASELHSSIPVSTLGSCTFLVGFGVGSLPFAPLSDIYGRFIIYFVTLLIFTIFQVGGGCAHNVWTLAIVRFFQGVFGSTPLANAGGTISDLFTPVQRTYVLPGFCTFPYLGPIIGPIIGDFITQSYLEWRWTFWINMIWAAAVIVFVFIFFPETHEDTILDYKAKYLRKTTGNTAYYTIHERERDPKNAMIQAATQAVSLIFTEPIVVCFTLYLTVVYIINYINFEGYPIVFAKYGFNKGEQGLSFIGVGVGIVCAGLCTPFIYWHYLKVNKKRNGVICPEDRLYPLFIGCFLLPISMFWFAWTCYPHHIHWIVPIIASAFFGFSLLIVFFVSYNYIIDSYQHMAPSALAAATLVRYSASGGISMVARPMYLNLGDHWATSVLGFISVAMVPIPFIFYRFGKSIRAWSKNAYKL", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVKEIASWLLILSMVVFVSPVLAINGGGYPRCNCEDEGNSFWSTENILETQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGMTHLLHGWTYSAHPFRLMMAFTVFKMLTALVSCATAITLITLIPLLLKVKVREFMLKKKAHELGREVGLILIKKETGFHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNDGGTEMDLTHELRGRGGYGGCSVSMEDLDVVRIRESDEVNVLSVDSSIARASGGGGDVSEIGAVAAIRMPMLRVSDFNGELSYAILVCVLPGGTPRDWTYQEIEIVKVVADQVTVALDHAAVLEESQLMREKLAEQNRALQMAKRDALRASQARNAFQKTMSEGMRRPMHSILGLLSMIQDEKLSDEQKMIVDTMVKTGNVMSNLVGDSMDVPDGRFGTEMKPFSLHRTIHEAACMARCLCLCNGIRFLVDAEKSLPDNVVGDERRVFQVILHIVGSLVKPRKRQEGSSLMFKVLKERGSLDRSDHRWAAWRSPASSADGDVYIRFEMNVENDDSSSQSFASVSSRDQEVGDVRFSGGYGLGQDLSFGVCKKVVQLIHGNISVVPGSDGSPETMSLLLRFRRRPSISVHGSSESPAPDHHAHPHSNSLLRGLQVLLVDTNDSNRAVTRKLLEKLGCDVTAVSSGFDCLTAIAPGSSSPSTSFQVVVLDLQMAEMDGYEVAMRIRSRSWPLIVATTVSLDEEMWDKCAQIGINGVVRKPVVLRAMESELRRVLLQADQLL", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSCPIHPRRLFLCLLISLTFFVVDPSSQHIEVKKKQMREKVREMFYHAYDNYMTYAFPHDELKPLTKSFTDSLSELGNLKLEHLPTDYNGSAVTLVESLSSLAILGNSTEFEKGVLWLSENLTFDIDARVNLFECNIRVLGGLISAHLLAIDPNNRLIQGSYNNQLLRLAEDLGKRFLPAFETPTGLPYAWINLKNGVMENETTETSTSGCGSLVLEMGALSRLTGDPRFESAALRALRQLWRMRSSLDLLGTTLDVVTGEWIEYSSSIGAGVDSFYEYLLKAYILFGKEDYWRMFHSAYLASQKYFRHGPWYHEANMWSGKPTYWQLTSLQAFWPGLQVLVGDIAAANSSHREFFHVWEKFGVLPERYLLDHQIIHPTMKYYPLRPELAESTFYLYQATKDPWYLDVGESMVKSLNLYTKVPGGFASVRDVTTMQLEDHQHSFFLAETCKYLYLLFDDSFVAKRNYIFTTEGHPIQVVSSWHEKLPETYFSGNWTLSKSGAWESRASALSLQVCPLISLNSRHPEQQRESACHVLDEQINHKCWSNKECGVDATTCRLRTCSGVGYCGLWNPL", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPAHLLQDDISSSYTTTTTITAPPSRVLQNGGDKLETMPLYLEDDIRPDIKDDIYDPTYKDKEGPSPKVEYVWRNIILMSLLHLGALYGITLIPTCKFYTWLWGVFYYFVSALGITAGAHRLWSHRSYKARLPLRLFLIIANTMAFQNDVYEWARDHRAHHKFSETHADPHNSRRGFFFSHVGWLLVRKHPAVKEKGSTLDLSDLEAEKLVMFQRRYYKPGLLMMCFILPTLVPWYFWGETFQNSVFVATFLRYAVVLNATWLVNSAAHLFGYRPYDKNISPRENILVSLGAVGEGFHNYHHSFPYDYSASEYRWHINFTTFFIDCMAALGLAYDRKKVSKAAILARIKRTGDGNYKSG", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MQHTFIYDTCLWILSILIDFFFREVKTRGSFRVPRKGPLILVAAPHANQFVDPLILMLQLRREVGRRTSILVAAKSYRQRFIGLMSRAFGAIPVERAQDLAIRGEGKIFVVAEGDKTAIHGKDTLFTKHSVGDTLLLPNNYGSSHIASIKSDTLLYVKREFRGEDAERVLLSPEGSSYKVAPEIDQTYVYNEVRRRLVKGACIALFPEGGSHDRPEMLPLKAGVAIMALETLSQHPDCGLQLLPCGMNYFHPHRFRSRAVLEFGSPLSIPTEYVELYKAKKRREAIQGVLDMIYDALLSVTVQAPDYETLMVIQACRRLYKPAHIQFALPKVVDLNRKLIVGYNHFKHDPRVIRLHDKILLYNRQLYRLGLRDHQVQSLQYSRFMILYKLVYRCCKLFLLALGALPGAILFSPVFIAAHRISVKKAAAALKASSVKIQGRDILATWKLLVALGMTPILYSFYALLCCYYIYSYKLIPHSSIFVYTVPIISTFLFPMVTYAALRFGEVAVDIYKSIRPLFLALIPSKANAVYILKDERKQLVAEVTDLINKLGPELFPDFDPDRITTTIEKPERPSRFARRLSSSVASDVDNLSQLHDTDLNSEVSAPAPLQNVYLYSPNPSALPPSDEEEKDINDKAKLIRNALRQRMGQRMTEIRSRDTPPEEVFSESDEELSD", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSTLKQRKEDFVTGLNGGSITEINAVTSIALVTYISWNLLKNSNLMPPGISSVQYIIDFALNWVALLLSITIYASEPYLLNTLILLPCLLAFIYGKFTSSSKPSNPIYNKKKMITQRFQLEKKPYITAYRGGMLILTAIAILAVDFPIFPRRFAKVETWGTSLMDLGVGSFVFSNGIVSSRALLKNLSLKSKPSFLKNAFNALKSGGTLLFLGLLRLFFVKNLEYQEHVTEYGVHWNFFITLSLLPLVLTFIDPVTRMVPRCSIAIFISCIYEWLLLKDDRTLNFLILADRNCFFSANREGIFSFLGYCSIFLWGQNTGFYLLGNKPTLNNLYKPSTQDVVAASKKSSTWDYWTSVTPLSGLCIWSTIFLVISQLVFQYHPYSVSRRFANLPYTLWVITYNLLFLTGYCLTDKIFGNSSEYYKVAECLESINSNGLFLFLLANVSTGLVNMSMVTIDSSPLKSFLVLLAYCSFIAVISVFLYRKRIFIKL", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MISPSSRKGMLKERAMDLVTQTTILPLLFGCLGIFSLFRLLQRTRSKAYLRNAVVVVTGATSGLGKECARVFHAAGAKVVLCGRNVKALEEFTRELADSSSSQGQTHQPCVVTFDLADPGAIAPAAAEILQCFGYVDILINNAGISYRGAISDTIVDVDRKVMEINYFGPVALTKALLPSMVERKRGHIVAISSIQGKISIPFRSAYAASKHATQAFFDCLRAEMKDSDIEVTVISPGYIHTNLSVNAVTADGSRYGALDKNTAQGRSAVEVAQDIFDAVGKKKKDVLLTDFLPTMAVYIRTLAPRLFFRIMASRARKERKSKNS", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAKRSIFRFADEKGLKVAARYGVLMSTSFIFALLFHSSVADVNTLWSPGPESAFDAAETYYTLVAGSHFIVKYTVYTIMGLNMIFHLIQATGAKGDDKLFFYSSTLLYLTALILFIVNVAPSMLVVKLQNYVQFPRNMHLSVLAASHVLVEFLLAGVILIQLGYVFGYHVQSIQQREYAEDMREQELAEKAKLESESATTQSVETVSTESVSKRK", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGRDEMLRRSLVALAAAVVVTGVVTASVRKAAATYGFGILAIAGVLLPDWEFFDRDYSQWLTPMPASRRTAAEAAADREHDVWKFKPYPLRMAMLTTIYGFGLYKWWMYVSS", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MIFLNTFARCLLTCFVLCSGTARSSDTNDTTPASAKHLQTTSLLTCMDNSQLTASFFDVKFYPDNNTVIFDIDATTTLNGNVTVKAELLTYGLKVLDKTFDLCSLGQVSLCPLSAGRIDVMSTQVIESSITKQFPGIAYTIPDLDAQVRVVAYAQNDTEFETPLACVQAILSNGKTVQTKYAAWPIAAISGVGVLTSGFVSVIGYSATAAHIASNSISLFIYFQNLAITAMMGVSRVPPIAAAWTQNFQWSMGIINTNFMQKIFDWYVQATNGVSNVVVANKDVLSISVQKRAISMASSSDYNFDTILDDSNLYTTSEKDPSNYSAKILVLRGIERVAYLANIELSNFFLTGIVFFLFFLFVVVVSLIFFKALLEVLTRARILKETSNFFQYRKNWGSIIKGTLFRLSIIAFPQVSLLAIWEFTQVNSPAIVVDAVVILLIITGLLVYGTIRVFIKGRESLRLYKNPAYLLYSDTYFLNKFGFLYVQFKADKFWWLLPLLSYAFLRSLFVAVLQNQGKAQAMIIFVIELAYFVCLCWIRPYLDKRTNVFNIAIHLVNLINAFFFLFFSNLFKQPAVVSSVMAVILFVLNAVFALFLLLFTIVTCTLALLHRNPDVRYQPMKDDRVSFIPKIQNDFDGKNKNDSELFELRKAVMDTNENEEEKMFRDDTFGKNLNANTNTARLFDDETSSSSFKQNSSPFDASEVTEQPVQPTSAVMGTGGSFLSPQYQRASSASRTNLAPNNTSTSSLMKPESSLYLGNSNKSYSHFNNNGSNENARNNNPYL", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKDTDIKRLLYTNLLCVFSIFLSIFIPSFFVDNFSVLEAHLTWLCICSASVTTVNLLSYLVVKPNVSSKRSSLSHKVTRALKCCVCFLMSCFLLHIIFVLYGAPLIELVLETFLFAVVLSTFTTVPCLCLLGPNLKAWLRVFSRNGVTSIWENSLQITTISSFTGAWLGAFPIPLDWERPWQVWPISCTLGATFGYVAGLVISPLWIYWNRKQLTYKNN", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNFNSSYNLTFNDVFFSSSSSSDPLVSRRLFLLRDVQILELLIAIFVFVAIHALRQKKYQGLPVWPFLGMLPSLAFGLRGNIYEWLSDVLCLQNGTFQFRGPWFSSLNSTITCDPRNVEHLLKNRFSVFPKGSYFRDNLRDLLGDGIFNADDETWQRQRKTASIEFHSAKFRQLTTQSLFELVHKRLLPVLETSVKSSSPIDLQDVLLRLTFDNVCMIAFGVDPGCLGPDQPVIPFAKAFEDATEAAVVRFVMPTCVWKFMRYLDIGTEKKLKESIKGVDDFADEVIRTRKKELSLEGETTKRSDLLTVFMGLRDEKGESFSDKFLRDICVNFILAGRDTSSVALSWFFWLLEKNPEVEEKIMVEMCKILRQRDDHGNAEKSDYEPVFGPEEIKKMDYLQAALSEALRLYPSVPVDHKEVQEDDVFPDGTMLKKGDKVIYAIYAMGRMEAIWGKDCLEFRPERWLRDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKSTAAAIVYRYKVKVVNGHKVEPKLALTMYMKHGLMVNLINRSVSEIDQYYAKSFDEGYIN", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKRSVLTIILFFSCQFWHAFASSVLAIDYGTEWTKAALIKPGIPLEIVLTKDTRRKEQSAVAFKGNERIFGVDASNLATRFPAHSIRNVKELLDTAGLESVLVQKYQSSYPAIQLVENEETTSGISFVISDEENYSLEEIIAMTMEHYISLAEEMAHEKITDLVLTVPPHFNELQRSILLEAARILNKHVLALIDDNVAVAIEYSLSRSFSTDPTYNIIYDSGSGSTSATVISFDTVEGSSLGKKQNITRIRALASGFTLKLSGNEINRKLIGFMKNSFYQKHGIDLSHNHRALARLEKEALRVKHILSANSEAIASIEELADGIDFRLKITRSVLESLCKDMEDAAVEPINKALKKANLTFSEINSIILFGGASRIPFIQSTLADYVSSDKISKNVNADEASVKGAAFYGASLTKSFRVKPLIVQDIINYPYLLSLGTSEYIVALPDSTPYGMQHNVTIHNVSTIGKHPSFPLSNNGELIGEFTLSNITDVEKVCACSNKNIQISFSSDRTKGILVPLSAIMTCEHGELSSKHKLGDRVKSLFGSHDESGLRNNESYPIGFTYKKYGEMSDNALRLASAKLERRLQIDKSKAAHDNALNELETLLYRAQAMVDDDEFLEFANPEETKILKNDSVESYDWLIEYGSQSPTSEVTDRYKKLDDTLKSISFRFDQAKQFNTSLENFKNALERAESLLTNFDVPDYPLNVYDEKDVKRVNSLRGTSYKKLGNQYYNDTQWLKDNLDSHLSHTLSEDPLIKVEELEEKAKRLQELTYEYLRRSLQQPKLKAKKGASSSSTAESKVEDETFTNDIEPTTALNSTSTQETEKSRASVTQRPSSLQQEIDDSDEL", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSEVKSRKKSGPKGAPAAEPGKRSEGGKTPVARSSGGGGWADPRTCLSLLSLGTCLGLAWFVFQQSEKFAKVENQYQLLKLETNEFQQLQSKISLISEKWQKSEAIMEQLKSFQIIAHLKRLQEEINEVKTWSNRITEKQDILNNSLTTLSQDITKVDQSTTSMAKDVGLKITSVKTDIRRISGLVTDVISLTDSVQELENKIEKVEKNTVKNIGDLLSSSIDRTATLRKTASENSQRINSVKKTLTELKSDFDKHTDRFLSLEGDRAKVLKTVTFANDLKPKVYNLKKDFSRLEPLVNDLTLRIGRLVTDLLQREKEIAFLSEKISNLTIVQAEIKDIKDEIAHISDMN", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTWILSTGMGPHEDKYAKHERATFKKTYSSMKTLSLNHLTAKQHMLMALCRDISLLPPLTYIFTSLRKAWRVSMRTSITLYEPQSLRDAFTYFWQKLNSAYDNNSSFEGASQKAVNGDGKDSLLLSALTTARASEYLLCSLWCLVSLYLSYAILDSLMVRWIVKYSTVAAILRMFSMSLIIVTLELLLLSSLSPELDYFLHTWILISCVLTAVYIWQSYLTSDLRYIRNQEGEVQEDTNVPEETEDYEDGEDDADEDSHVVVADESTVDVPSNDSLSDNSDGGLFPVNRPSVSHSQSPKRPKKYPKKAFNFTTKRTIDLYKITVLCVVPVGLASFITMLGLLRNLFIQRLDVEQLERILHEMHPPA", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSWIKEGELSLWERFCANIIKAGPMPKHIAFIMDGNRRYAKKCQVERQEGHSQGFNKLAETLRWCLNLGILEVTVYAFSIENFKRSKSEVDGLMDLARQKFSRLMEEKEKLQKHGVCIRVLGDLHLLPLDLQELIAQAVQATKNYNKCFLNVCFAYTSRHEISNAVREMAWGVEQGLLDPSDISESLLDKCLYTNRSPHPDILIRTSGEVRLSDFLLWQTSHSCLVFQPVLWPEYTFWNLFEAILQFQMNHSVLQKARDMYAEERKRQQLERDQATVTEQLLREGLQASGDAQLRRTRLHKLSARREERVQGFLQALELKRADWLARLGTASA", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MIASALSFIFGGCCSNAYALEALVREFPSSGILITFSQFILITIEGLIYFLLNDVQSLKHPKVPRKRWFVVVVMFFAINVLNNVALGFDISVPVHIILRSSGPLTTMAVGRILAGKRYSSLQIGSVFILTIGVIIATLGNAKDLHLHVESMTRFGIGFTILVITQILGAIMGLVLENTYRIYGSDWRESLFYTHALSLPFFLFLLRPIRSQWNDLFAIHTKGFLNLPSGVWYLCFNTLAQYFCVRGVNALGAETSALTVSVVLNVRKFVSLCLSLILFENEMGPAVKFGALLVFGSSAVYASARSKPKTNGLKKND", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MMSFVISDEFLGTFVPILVYWVYSGMYICLGSLDKYRLHSKIDEDEKNLVSKSAVVKGVLLQQTLQAIISVILFKITGSDADAATTQQFSILLLARQFIIAMLVIDTWQYFIHRYMHLNKFLYKHIHSQHHRLIVPYSYGALYNHPLEGLLLDTIGGALSFLFSGMSPRTAIFFFSFATIKTVDDHCGLWLPGNPFHIFFSNNSAYHDVHHQLYGTKYNFSQPFFVMWDRILGTYLPYSLEKRANGGFETRPIKVSKDE", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MFSISLLSCLFLGTVPALAQTGGERRLSPEKSEIWGPGLKAHVVLPARYFYIRAVDTSGEQFTSSPGEKVFQVKISAPDEQFTRVGVQVLDRKDGSFIVRYRMYASYRNLKIEVKHHGQHVAESPYVLRGPVYHENCDCPLEDSAAWLREMNCSETISQIQKDLAHFPTVDPEKIAAEIPKRFGQRQSLCHYTLKDNKVYIKTHGEHVGFRIFMDAILLSLTRKVRMPDVEFFVNLGDWPLEKKKSNSNIQPIFSWCGSTESRDIVMPTYDLTDSVLETMGRVSLDMMSVQANTGPPWESKNSTAVWRGRDSRKERLELVKLSRKHPELIDAAFTNFFFFKHDESLYGPIVKHISFFDFFKHKYQINIDGTVAAYRLPYLLVGDSVVLKQDSIYYEHFYNELQPWKHYIPVKSNLSDLLEKLKWAKEHDAEAKKIAKAGQEFARNNLMGDDIFCYYFKLFQGYANLQVSEPQIREGMKRVEPQSEDDLFPCTCHRRKAKDEL", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAPIFRSTSLIAFSLFFFFFASTLPISSGSEDSYTITGRVRVPASTVIGHAAKFSNIKVILNGGQHVTFLRPDGYFTFHKVPAGTHLIEVYALGYFFSPVRVDVSARHRGKVQATLTETRRSLTELVLEPLRAEQYYEMREPFSVMSIVKSPMGLMVGFMVVVVFLMPKLMENIDPEEMKSAQEQMRSQGVPSLTSLLPASR", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MADKDEAATRGNDWEVVSLTASAYAAAPGPKPVVDSKDDDHKEVTPCYEAETSHPLYMSRHFVFPPTGQLENTSDLTEASLTGSHCKEGSDLSLKGLDLSDDFGGLEFSEDKGKKEENIYTTAMSSLDDERAIGGSHVYEPVEEPTEPVSPSDVTLDLNPIKDDEVANSPPSEEAWWKRSVASLIAQAKETNTVWSICIAAAVMGIVILGQHWQQERWQILQQKWESSIGNEKAGRLMGPISRLKQAFVGGQRRDSFIRASAQNDR", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPSASCDTLLDDIEDIVSQEDSKPQDRHFVRKDVVPKVRRRNTQKYLQEEENSPPSDSTIPGIQKIWIRTWGCSHNNSDGEYMAGQLAAYGYKITENASDADLWLLNSCTVKNPAEDHFRNSIKKAQEENKKIVLAGCVPQAQPRQDYLKGLSIIGVQQIDRVVEVVEETIKGHSVRLLGQKKDNGRRLGGARLDLPKIRKNPLIEIISINTGCLNACTYCKTKHARGNLASYPIDELVDRAKQSFQEGVCEIWLTSEDTGAYGRDIGTNLPTLLWKLVEVIPEGAMLRLGMTNPPYILEHLEEMAKILNHPRVYAFLHIPVQSASDSVLMEMKREYCVADFKRVVDFLKEKVPGITIATDIICGFPGETDQDFQETVKLVEEYKFPSLFINQFYPRPGTPAAKMEQVPAQVKKQRTKDLSRVFHSYSPYDHKIGERQQVLVTEESFDSKFYVAHNQFYEQVLVPKNPAFMGKMVEVDIYESGKHFMKGQPVSDAKVYTPSISKPLAKGEVSGLTKDFRNGLGNQLSSGSHTSAASQCDSASSRMVLPMPRLHQDCALRMSVGLALLGLLFAFFVKVYN", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTGASRRSARGRIKSSSLSPGSDEGSAYPPSIRRGKGKELVSIGAFKTNLKILVGLIILGIIVIYFVINRLVRHGLLFDESQKPRVITPFPAPKVMDLSMFQGEHKESLYWGTYRPHVYFGVRARTPLSLVAGLMWLGVKDEMYVMRHFCENSDDLSTFGWREHNGRDYGRQELVENDMVIETSFVKSKGDGLGYGGDWAVRIDVKNKGLNDDVKRSAHLFFYLADEGGNVLNLGQDGLDFQGSSLLVSGSREDVGDWQIHLKSQNQLETHYSGFKTPHIYNLSDLVQQNLALQARKFGRLQLSDTSEDSSNIYIFQISGRLPFTIDIPFISGIKGESSNVEKRLTSLTGLPLSDLLKKKHQEFDAKFNECFKLSEKHDSETLGVGRTAIANMLGGIGYFYGQSKIYVPKSTQPGSRDNFLLYWPAELYTAVPSRPFFPRGFLWDEGFHQLLIWRWDIRITLDIVGHWLDLLNIDGWIPREQILGAEALSKVPEEFVVQYPSNGNPPTLFLVIRDLIDAIRMEKFVASEKDEVLSFLERASVRLDAWFQWFNTSQKGKEIGSYFWHGRDNTTTQELNPKTLSSGLDDYPRASHPSEDERHVDLRCWMYLAADCMHSITELLGKEDKLSKENYNSTVKLLSNFNLLNQMHYDSDYGAYFDFGNHTEKVKLIWKEVIQENGQLSRQLVRKTFGKPKLKLVPHLGYVSFFPFMSRIIPPDSPILEKQLDLISNRSILWSDYGLVSLAKTSSMYMKRNTEHDAPYWRGPIWMNMNYMILSSLYHYSIVDGPYREKSKAIYTELRSNLIRNVVRNYYETGYIWEQYDQVKGTGKGTRLFTGWSALTLLIMSEDYPIF", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKFLILNCLILFSLISSEATNVKLDREDQNHLVLLNEKNFEKLTQASTGATTGTWFVKFYAPWCSHCRKMAPAWESLAKALKGQVNVADVDVTRNLNLGKRFQIRGYPTLLLFHKGKMYQYEGGERTVEKLSEFALGDFKNAVGAPVPQPLSLFALVSDFVVSGVNEALRVYDAALAGFVTISSFSFLFGLLVGLMLSLFLFTRRATRKPKVLTERKKDK", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAAGPSGCLVPAFGLRLLLATVLQAVSAFGAEFSSEACRELGFSSNLLCSSCDLLGQFNLLQLDPDCRGCCQEEAQFETKKLYAGAILEVCGUKLGRFPQVQAFVRSDKPKLFRGLQIKYVRGSDPVLKLLDDNGNIAEELSILKWNTDSVEEFLSEKLERI", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKFSHSLQFNAVPEWSESYIAYSNLKKLIYSLEHEQITLQQGAPDEETRLLEHERRSPDDRFMFALDKELQGIVEFYAPKEKEIADQYGRIKGEFETYENEYMSQGNNINYPTPERLQKSSASRKSGRMARSQELPRITSSNREIYLNGQTSDGGYAAPAISRAESTAIQPSEPHDVDTSKNGLSKKQHSEAQPEVQGNDDEVEEEDDDDDDEDEDEDEDEDNNNNNRWLLIEQYPSDIVAYENFVSLKRKLTQLYVSIHDLISYVHLNYTGFSKILKKYDKTLGSSLRESYMKRVNQAYPFLPATGKTLSKRLNIVAEWYAKLCCQGDTFVAIRRLRGHLREYVAWERNTIWREMMAMERRTQAARLSGLKPVAADEKESEQPPYFTIKTKFGVFRIPRCFFNSTIATLITIIVIFILLLSFPVIDNREQNNCLALLVMVSLLWATEAIPLFVTSFLVPFMTVFLKILRDENGSPLSGKESTKVIFSSMWNPTIVLLLGGFTIAAALSKYHIAKRLATSILAHAGRKPRSVLLTNMFVAMFASMWISNVAAPVLCFSIIQPLLRNLPAESDFAKILIVGIALASNVGGIASPISSPQNIVALQNMDPAAGWGEWFAVSIPVSLLCIFSIWFLLSFGLLKDEHITLAKIRSTKDTFTGVQWFISIVTIGTIVLWCLERRFDEVFGDMGVIALVPIIVFFGTGLLTKEDFNNFLWTVIVLAMGGVALGKVVSSSGLLELIALKIGNAVSSLNTFRVLLIFSALTLVVSSFISHIVAAMVVLPIVHEVGSRLADPHPRLFVLASGMMCSLAMALPTSGFPNMTAIMMENEAGKRYLKVSDFLKAGIPATLISFVILLLIGTPIMRALGF", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MATDGESISMSLAVATCVAMALFYVLILYVPTVILRLPSASSYTEFMIRRFICAAICTVASLVFTAFILPIKSWEASYILGVYGIRKDHLWQGVVYPLLLTSLVYAGSLVLKLFTLLESWKENGGGCSSFNYIRSFFQTIPASVLTSASNVSVWRNFIVAPVTEELVFRSCMIPLLLCAGFRINTAIFLCPVLFSLAHLNHFREMYIRHNRSYLRASLIVGLQLGYTVIFGAYASFLFIRTGHLAAPLFAHIFCNYMGLPVLYANGKGLVSAAFLGGVVGFVLLLFPLTKPLMYNDSTNDCPCWLGYCLWN", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSVIFFACVVRVRDGLPLSASTDFYHTQDFLEWRRRLKSLALRLAQYPGRGSAEGCDFSIHFSSFGDVACMAICSCQCPAAMAFCFLETLWWEFTASYDTTCIGLASRPYAFLEFDSIIQKVKWHFNYVSSSQMECSLEKIQEELKLQPPAVLTLEDTDVANGVMNGHTPMHLEPAPNFRMEPVTALGILSLILNIMCAALNLIRGVHLAEHSLQVAHEEIGNILAFLVPFVACIFQCYLYLFYSPARTMKVVLMLLFICLGNMYLHGLRNLWQILFHIGVAFLSSYQILTRQLQEKQSDCGV", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSAEPLLPTHNGSQGGEVRSPDQKFIVIRFSDVSVRDLQLNISNVPFSNINTHWLRRMCRELRPQQTQKRRLKFIRNGSILNTHSKIAEELTHYFDTANNSNVATGTSVAPEQNNYYIHCIIGTEELTQAELANEDLKDDATPSNDSMTTQAIGFDRLRSVGFTEQEIELLRQQFRATYGDLEEEEERLAQNGNRDDEGHDIRQLEEQWMESGSGTAQGNGAGGGNEDRFNSVPIANIKHNKDLLLGICVGFFFGVFGILLMKFDGLFNRRQKMAIFAGVIVNVMFCLVRGF", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTRNQLHNWLNAGFFGLLLLLIHVQGQDSPEANPIRNTHTGQIQGSLIHVKDTKAGVHTFLGIPFAKPPVGPLRFAPPEAPEPWSGVRDGTAHPAMCLQNLDMLNEAGLPDMKMMLSSFPMSEDCLYLNIYTPAHAHEGSNLPVMVWIHGGALVIGMASMFDGSLLTVNEDLVVVTIQYRLGVLGFFSTGDQHARGNWGYLDQAAALRWVQQNIAHFGGNPDRVTIFGESAGGTSVSSHVVSPMSQGLFHGAIMESGVALLPDLISETSEMVSTTVAKLSGCEAMDSQALVRCLRGKSEAEILAINKVFKMIPAVVDGEFFPRHPKELLASEDFHPVPSIIGVNNDEFGWSIPVVMGSAQMIKGITRENLQAVLKDTAVQMMLPPECSDLLMEEYMGDTEDAQTLQIQFTEMMGDFMFVIPALQVAHFQRSHAPVYFYEFQHPPSYFKDVRPPHVKADHADEIPFVFASFFWGMKLDFTEEEELLSRRMMKYWANFARHGNPNSEGLPYWPVMDHDEQYLQLDIQPAVGRALKAGRLQFWTKTLPQKIQELKASQDKHREL", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNIVNSKILFLSFTLLLLLQSSIVESDSICLSSGVASTVAMAAPGSVQKGDEEWRAILSPEQFRILRQKGTEYPGTGEYVNFDKEGVYGCVGCNAPLYKSTTKFNAGCGWPAFFEGIPGAITRTTDPDGRRIEINCATCGGHLGHVFKGEGFATPTDERHCVNSVSLKFTPAASSL", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKNLKMVFFKILFISLMAGLAMKGSKINVEDLQKFSLHHTQNNLQTISLLLFLVVFVWILYMLTRPKPVYLVDFSCYLPPSHLKVSIQTLMGHARRAREAGMCWKNKESDHLVDFQEKILERSGLGQETYIPEGLQCFPLQQGMGASRKETEEVIFGALDNLFRNTGVKPDDIGILVVNSSTFNPTPSLASMIVNKYKLRDNIKSLNLGGMGCSAGVIAVDVAKGLLQVHRNTYAIVVSTENITQNLYLGKNKSMLVTNCLFRVGGAAVLLSNRSRDRNRAKYELVHTVRIHTGSDDRSFECATQEEDEDGIIGVTLTKNLPMVAARTLKINIATLGPLVLPLKEKLAFFITFVKKKYFKPELRNYTPDFKLAFEHFCIHAGGRALIDELEKNLKLSPLHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRMKEGDRIWQIALGSGFKCNSSVWVALRDVKPSANSPWEDCMDRYPVEIDI", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAFSDLTSRTVHLYDNWIKDADPRVEDWLLMSSPLPQTILLGFYVYFVTSLGPKLMENRKPFELKKAMITYNFFIVLFSVYMCYEFVMSGWGIGYSFRCDIVDYSRSPTALRMARTCWLYYFSKFIELLDTIFFVLRKKNSQVTFLHVFHHTIMPWTWWFGVKFAAGGLGTFHALLNTAVHVVMYSYYGLSALGPAYQKYLWWKKYLTSLQLVQFVIVAIHISQFFFMEDCKYQFPVFACIIMSYSFMFLLLFLHFWYRAYTKGQRLPKTVKNGTCKNKDN", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MESLFPNKGEIIRELLKDPLILKNDSKRSNGSELELDSSDLLQREAILANELNILDNLKTFLNLIKEVKTNLNILELENCYYSLQSLRKKMRNNAAYLKQSFNFQQSISTYVDTLHLELVSTLYKILTNGFWKITENSIQFTPTVEWGKDKVHIEYDTFMDFVAQQYFPKGSLDNQAWFILDMTSADSQEQVRAKLNTIMKEYMNLSRIVSMIKNSIFISGKEISYENEKNILVFSKSSSHGQHCVSTVLTSFEAVCDFMLDGLAFRDRKTLSYELGPLFNTEFTKFVKNNASIILESLDSPLKNLVSVINNKLTRLVAKSEVTNWTHSGKEIQDLLMNKQLYYNLLLDKVLESHISEIRSIFEDPKKSWQNLEVVELTTSNTNTMSEKIGKNDSDVQNEKELHNAVSKDDDWNWEVEDDDADAWGDEIDVNIDDEEEKTNQEKEKEPEEEENAWDEAWAIDENIDDASLENGKEHLKAHDVGSLDKDHIEVTQLPKLFLAISQNFKSSFADSHVDEQYFAYKYNLLQTSYMAMCTANFSHNWCQLYVDMRYLIERDEKLYRIKELTRNLLETKLNMKYRIVCQLIRHQLTEFRENERNPSWDATIEKLLPYILKEIVRPLQKIRGEEGSRYLLSFLNFLYNDCVTKEILKWQIISEVNSENLGELVSLLVNNTDIQLLAKEPSYKKMREKFATMGKFLPLHLKEIMEMFYNGDFYLFATDELIQWIELLFADTPLRRNAIDDIYEIRGTALDD", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNLFVYIAQNPTLTKWFFCCVCTILTMPFFKKPYRKRGISRTPYEWLTYADKCVIELSKSELKPNEEKELPKDIKEDCKTVEEKEKVVPRKPLQSEGINEDDSQKNGELIVLHGINHQAAMLTACGLFMVTSSNTNKWKIAFASFLLGMFFTQFGFQKSERSINAEKLESIEKPENDN", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSYRGPIGNFGGMPMSSSQGPYSGGAQFRSNQNQSTSGILKQWKHSFEKFASRIEGLTDNAVVYKLKPYIPSLSRFFIVATFYEDSFRILSQWSDQIFYLNKWKHYPYFFVVVFLVVVTVSMLIGASLLVLRKQTNYATGVLCACVISQALVYGLFTGSSFVLRNFSVIGGLLIAFSDSIVQNKTTFGMLPELNSKNDKAKGYLLFAGRILIVLMFIAFTFSKSWFTVVLTIIGTICFAIGYKTKFASIMLGLILTFYNITLNNYWFYNNTKRDFLKYEFYQNLSIIGGLLLVTNTGAGELSVDEKKKIY", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MCSRGDANAAGAAAARRVTGLCYNMGLLIALALLCLFSLAEANSKAITTSLTTKWFSAPLLLEASEFLAEDSQEKFWSFVEASQNIGSSDQHDTDRSYYDAILEAAFRFLSPLQQNLLKFCLSLRSYSASIQAFQQIAVDEPPPEGCKSFLSVHGKQTCDLGTLESLLLTAPDRPKPLLFKGDHRYPSSNPESPVVIFYSEIGHEEFSNIHHQLISKSNEGKINYVFRHYISNPRKEPVHLSGYGVELAIKSTEYKAKDDTQVKGTEVNTTVIGENDPIDEVQGFLFGKLRELYPSLEGQLKEFRKHLVESTNEMAPLKVWQLQDLSFQTAARILAAPVELALVVMKDISQNFPTKARAITKTAVSAQLRAEVEENQKYFKGTIGLQPGDSALFINGLHIDLDTQDIFSLFDTLRNEARVMEGLHRLGIEGLSLHNILKLNIQPSETDYAVDIRSPAISWVNNLEVDSRYNSWPSSLQELLRPTFPGVIRQIRKNLHNMVFIVDPVHETTAELVSIAEMFLSNHIPLRIGFIFVVNDSEDVDGMQDAGVAVLRAYNYVGQEVDGYHAFQTLTQIYNKVRTGEKVKVEHVVSVLEKKYPYVEVNSILGIDSAYDQNRKEARGYYEQTGVGPLPVVLFNGMPFEKEQLDPDELETITMHKILETTTFFQRAVYLGELSHDQDVVEYIMNQPNVVPRINSRILTAKREYLDLTASNNFYVDDFARFSALDSRGKTAAIANSMNYLTKKGMSSKEIYDDSFIRPVTFWIVGDFDSPSGRQLLYDAIKHQKTSNNVRISMINNPSREISDSSTPVSRAIWAALQTQTSNSAKNFITKMVKEETAEALAAGVDIGEFSVGGMDVSLFKEVFESSRMDFILSHALYCRDVLKLKKGQRVVISNGRIIGPLEDSELFNQDDFHLLENIILKTSGQKIKSHIQQLRVEEDVASDLVMKVDALLSAQPKGEARIEYQFFEDKHSAIKLKPKEGETYYDVVAVVDPVTREAQRLAPLLLVLAQLINMSLRVFMNCQSKLSDMPLKSFYRYVLEPEISFTADNSFAKGPIAKFLDMPQSPLFTLNLNTPESWMVESVRTPYDLDNIYLEEVDSIVAAEYELEYLLLEGHCYDITTGQPPRGLQFTLGTSANPTTVDTIVMANLGYFQLKANPGAWILRLRKGRSDDIYRIYSHDGTDSPPDANDVVVILNNFKSKIIKVKVQKKADMANEDLLSDGTNENESGFWDSFKWGFSGQKTEEVKQDKDDIINIFSVASGHLYERFLRIMMLSVLKNTKTPVKFWFLKNYLSPTFKEFIPYMAKKYNFQYELVQYKWPRWLHQQTEKQRIIWGYKILFLDVLFPLVVDKFLFVDADQIVRTDLKELRDFNLDGAPYGYTPFCDSRREMDGYRFWKSGYWASHLAGRKYHISALYVVDLKKFRKIAAGDRLRGQYQGLSQDPNSLSNLDQDLPNNMIHQVPIKSLPQEWLWCETWCDDASKKRAKTIDLCNNPMTKEPKLEAAVRIVPEWQDYDQEIKQLQTLFQEEKELGTLHEEETQEGSQKHEEL", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGAGGRMPVPTSSKKSETDTTKRVPCEKPPFSVGDLKKAIPPHCFKRSIPRSFSYLISDIIIASCFYYVATNYFSLLPQPLSYLAWPLYWACQGCVLTGIWVIAHECGHHAFSDYQWLDDTVGLIFHSFLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKSAIKWYGKYLNNPLGRIMMLTVQFVLGWPLYLAFNVSGRPYDGFACHFFPNAPIYNDRERLQIYLSDAGILAVCFGLYRYAAAQGMASMICLYGVPLLIVNAFLVLITYLQHTHPSLPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYNAMEATKAIKPILGDYYQFDGTPWYVAMYREAKECIYVEPDREGDKKGVYWYNNKL", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPLYKLLGWLNAVACGVLLLVLHVQGQDSASPIRNTHTGQVRGSLVHVKDTDIAVHTFLGIPFAKPPVGPLRFAPPEAPEPWSGVRDGTSHPNMCLQNDNLMGSEDLKMMNLILPPISMSEDCLYLNIYVPAHAHEGSNLPVMVWIHGGALTVGMASMYDGSMLAATEDVVVVAIQYRLGVLGFFSTGDQHAKGNWGYLDQVAALRWVQQNIVHFGGNPDRVTIFGESAGGTSVSSHVVSPMSQGLFHGAIMESGVAVLPDLISSSSEMVHRIVANLSGCAAVNSETLMCCLRGKNEAEMLAINKVFKIIPGVVDGEFLPKHPQELMASKDFHPVPSIIGINNDEYGWILPTIMDPAQKIEEITRKTLPAVLKSTALKMMLPPECGDLLMEEYMGDTEDPETLQAQFREMKGDFMFVIPALQVAHFQRSHAPVYFYEFQHRPSFFKDFRPPYVKADHGDEIFLVFGYQFGNIKLPYTEEEEQLSRRIMKYWANFARHGNPNSEGLPYWPVMDHDEQYLQLDIQPSVGRALKARRLQFWTKTLPQKIQELKGSQERHKEL", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MHFMKKYLLPILVLFLAYYYYSTKEEFRPEMLQGKKVIVTGASKGIGREMAYHLSEMGAHVVLTARSEEGLQKVASRCLELGAASAHYIAGTMEDMTFAEQFVLKAGKLMGGLDMLILNHITYTSMNFFRDEIHALRKAMEVNFISYVVMSVAALPMLKQSNGSIVVVSSIAGKMAHPLVASYSASKFALDGFFSSLRREHGVTNVNVSITLCVLGLINTETAMKATSGVFNAPASPKEECALEIIKGGALRQEEVYYDSWSWTPILLGNPGRKIMEFLSMKSFTFDKLISS", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKVIFVVLAIVLVTLWAMPSEAGKDPKITNKVFFDIEIDNKPAGRIVFGLYGKTVPKTVENFRALCTGEKGLGTSGKPLHYKDSKFHRIIPNFMIQGGDFTRGDGTGGESIYGKKFNDENFKIKHSKPGLLSMANAGPNTNGSQFFITTVVTSWLDGRHTVFGEVIEGMDIVKLLESIGSQSGTPSKIAKISNSGEL", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPFRLLIPLGLLCALLPQHHGAPGPDGSAPDPAHYRERVKAMFYHAYDSYLENAFPFDELRPLTCDGHDTWGSFSLTLIDALDTLLILGNVSEFQRVVEVLQDSVDFDIDVNASVFETNIRVVGGLLSAHLLSKKAGVEVEAGWPCSGPLLRMAEEAARKLLPAFQTPTGMPYGTVNLLHGVNPGETPVTCTAGIGTFIVEFATLSSLTGDPVFEDVARVALMRLWESRSDIGLVGNHIDVLTGKWVAQDAGIGAGVDSYFEYLVKGAILLQDKKLMAMFLEYNKAIRNYTRFDDWYLWVQMYKGTVSMPVFQSLEAYWPGLQSLIGDIDNAMRTFLNYYTVWKQFGGLPEFYNIPQGYTVEKREGYPLRPELIESAMYLYRATGDPTLLELGRDAVESIEKISKVECGFATIKDLRDHKLDNRMESFFLAETVKYLYLLFDPTNFIHNNGSTFDAVITPYGECILGAGGYIFNTEAHPIDPAALHCCQRLKEEQWEVEDLMREFYSLKRSRSKFQKNTVSSGPWEPPARPGTLFSPENHDQARERKPAKQKVPLLSCPSQPFTSKLALLGQVFLDSS", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MFFNRLSAGKLLVPLSVVLYALFVVILPLQNSFHSSNVLVRGADDVENYGTVIGIDLGTTYSCVAVMKNGKTEILANEQGNRITPSYVAFTDDERLIGDAAKNQVAANPQNTIFDIKRLIGLKYNDRSVQKDIKHLPFNVVNKDGKPAVEVSVKGEKKVFTPEEISGMILGKMKQIAEDYLGTKVTHAVVTVPAYFNDAQRQATKDAGTIAGLNVLRIVNEPTAAAIAYGLDKSDKEHQIIVYDLGGGTFDVSLLSIENGVFEVQATSGDTHLGGEDFDYKIVRQLIKAFKKKHGIDVSDNNKALAKLKREAEKAKRALSSQMSTRIEIDSFVDGIDLSETLTRAKFEELNLDLFKKTLKPVEKVLQDSGLEKKDVDDIVLVGGSTRIPKVQQLLESYFDGKKASKGINPDEAVAYGAAVQAGVLSGEEGVEDIVLLDVNALTLGIETTGGVMTPLIKRNTAIPTKKSQIFSTAVDNQPTVMIKVYEGERAMSKDNNLLGKFELTGIPPAPRGVPQIEVTFALDANGILKVSATDKGTGKSESITITNDKGRLTQEEIDRMVEEAEKFASEDASIKAKVESRNKLENYAHSLKNQVNGDLGEKLEEEDKETLLDAANDVLEWLDDNFETAIAEDFDEKFESLSKVAYPITSKLYGGADGSGAADYDDEDEDDDGDYFEHDEL", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRPRDQGFLVLGFTYSVLLISLATFYWLRNNDSFLHYWCVLLLCPATLWLWALIAWCDSEMFASSKDE", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAIRSSGRKLSFEILSQNSSFENDDTSIRRSSSDPITGNVASESPRDYGKRKRSKKKKKKVNQVETILENGDSHSTIITGSSGDFGETTTMFENRLNYYGGGGSGSSGGGCVVTLLDGQTVHHNGFNFGELRQRNVNGSVDGSNDERWSDTLSSDKKLYMEETSVELSPSENPPFQEVQHQFPRSEINGNVVRRLDTEASLDWKQLVADDPDFLSAETRSPMKYFMEEIYGGISLRSTTTPGNDIERERIYDTIFRLPWRCEVLIDTGFFVCVNSFLSLLTVMPIRVLLIFMDAFKNRQFRRPSASELSDLACFLVLATGTILLGRTDISLIYHMIRGQSTIKLYVVYNILEIFDRLCQSFCGDVFGALFSSAKGLSISPPEKLRFSTWRFVSDLALTMAASILHSFILLAQAITLSTCIVAHNNALLALLVSNNFAEIKSSVFKRFSKDNIHGLVYADSIERFHISAFLVSVLAQNILESEGAWFGNFIYNATTVFFCEMMIDIIKHSFLAKFNDIKPIAYSEFLQALCEQTLNIRPEDRKTNLTFVPLAPACVVIRVLTPVYAAHLPYSPLPWRMLWMVILFVITYIMLTSLKVLIGMGLRKHATWYINRCRRRNSSHLHND", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEATRRRQHLGATGGPGAQLGASFLQARHGSVSADEAARTAPFHLDLWFYFTLQNWVLDFGRPIAMLVFPLEWFPLNKPSVGDYFHMAYNVITPFLLLKLIERSPRTLPRSITYVSIIIFIMGASIHLVGDSVNHRLLFSGYQHHLSVRENPIIKNLKPETLIDSFELLYYYDEYLGHCMWYIPFFLILFMYFSGCFTASKAESLIPGPALLLVAPSGLYYWYLVTEGQIFILFIFTFFAMLALVLHQKRKRLFLDSNGLFLFSSFALTLLLVALWVAWLWNDPVLRKKYPGVIYVPEPWAFYTLHVSSRH", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSPWIKHICLVLVAAFMLVKTTESKKDEALYCSACMAIADEINYSISQTDPKKMIHVGGFRLKPDGSLTDKKVPLARSETYLTELLEEVCKSMSDYALYENPDTKEKSYKRFAPRDNDGGNFPDFKNFKFDGPESSSALKFACESIVEELEDDIISLFASDSDHVAKTLCSEVSDHCKSSVFQHSEL", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSVHGRDPKKRQLRLISVAFKEASIDSPSFRASVNFFQTRVDALEDWIEKTVDFFDQKYKVSFEDFRRAKETLLSQLLPPPALLSNGFVSNQSFTPRLIDSFNKDYYDFSMKLLQIVKGDDSSHSTALLELMTTAIEPYRNVRKNFDFYQGKYDSMLASYQAIRISKTSLEPSSIKSDALQLFEVQKNYLKASLDLISAISAVKLSLDKFILESMKVLKSRSIFITKDSGRKIDLSPCINEYLDNYAIWVENSIEGSKVLDSDISNAKKQAYRYTLKRITPSSDTSDYNIRSIHSSKLLSKDTQVPPKSPEKSGWLYMKTQVGKPTREIWVRRWCFLKNAVFGMFLLSPSKTYVEETDKFGVFLTNVRYDPEEDRKFCFEVKIFGNKVTEAHDNMSKDITLVFQTSNYLDLKSWLIAFEATKKYVMSIQHDSLEYELAFKRFSPKFFEFASSTTTSIDQLITTFDKETESLYETLNCSISEYDILTLGEEKVFQFQMPTTPISTKMTQLAILSNFLTKGSWFPNAVLANIWGTTDWSEYTILPGKGKKPSSLLTIDGKRLPIRNSTIYPQYYSNELKVLDLQFKSLVFSPDQRLEKLPEELLLFKFEALWCPNKKQKFSATCFCTKDYIYCYMNSMEFICLTKISLSEIVSVEADRSSKKTLKLYDASGLQMKAIVLFSDYKLIASKLQYLLENKAIKNPNSNEEILVKFEQMEKESQEKKQEELYKIEQENSFDRKATSVSKIIKSRVTFWEMSDDASTLLNRLKKLQTEYSITYNHEYEISSKGLAHILFGDKSNAFPKCLFLARKDGEEHGKRFWYKNKDINGKSQLVRKIPFRLDMTGNFLNTGKYHRDKESKMIFATQRIVKIVDNKYYEVDLDPFFVKVPFCHLLKLSIKFVITESYDVDNHLEIKLNMTASSSSLHVLYKLEYIDSRTGKTIEKLSLAEIICQTWALKFAHSEFLLIRRVLRYYLEKIGKHGKVIKAIKLCGILGVLSNKSEEPATEKNGNSKESESMQYDIRYSCTILFLVFIKLMVYRVTNLTFVFFRILIGILLLCAEKFSRINRMMVVGLLASIMINILLSEKASVPYWSIKRAEKLFHDRLGSDKFTMQRAIYISDSDLLSSQLSVPSNNPIFEKFSEDNFNKDYQYSETRKQLAMRRNELLIELRILQDMEKQLVHDDYEKFLLEEVNKCSMVSIEMTDLWFNDTQLQNYCSICNEELEKLRPPIT", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MYIMSKKCYDTSEKIDREQECVEVNYQHRNFESILEIFSVLFIPFLCNSGKKFLQISNASFFLPACFYLLGSSSIIQLYEPLLWLSSFPFCILYVGFGENSVLYHEMYTVCLYNALLSLTQRWKWLSIVLDGLGNSSVNLKLHETVILAFLEITQNSFTFIEGILICTGLTGLCFATFSYEVSPVVSVLSGVLLISLPTLILLNLCILKLAAKLHLSALFTTCLIYFFSALLVFLVSRSWVAGQLGQAPEVWLFNQIFSHRNSLTRIKIIIWWIICLGCFIFILLRSNRNNPLGKYFTTEDEVLNFRRKTYHALVVFLFLPVCCLDPHFLHLSFSGVLFIFLFVEGIRILRLKPFGKMIHEFLWEYTDNRDHKGPLIISHIYLLIGCAIPIWLSNALKGPVASVELLVGVLCLGCGDSMASIIGKRFGKHRISKTNKSIEGVFAFSISVFLVLHLTQAFHVCPSVTFWKTLFMSLCTAILEGVSTENDNLILPMYMWVLYQALD", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MATFSRQEFFQQLLQGCLLPTVQQGLDQIWLLLTICFACRLLWRLGLPSYLKHASTVAGGFFSLYHFFQLHMVWVVLLSLLCYLVLFLCRHSSHRGVFLSVTILIYLLMGEMHMVDTVTWHKMRGAQMIVAMKAVSLGFDLDRGEVGAVPSPVEFMGYLYFVGTIVFGPWISFHSYLQAVQGRPLSRRWLKKVARSLALALLCLVLSTCVGPYLFPYFIPLDGDRLLRNKKRKARGTMVRWLRAYESAVSFHFSNYFVGFLSEATATLAGAGFTEEKDHLEWDLTVSRPLNVELPRSMVEVVTSWNLPMSYWLNNYVFKNALRLGTFSAVLVTYAASALLHGFSFHLAAVLLSLAFITYVEHVLRKRLAQILSACILSKRCLPDCSHRHRLGLGVRALNLLFGALAIFHLSYLGSLFDVDVDDTTEEQGYGMAYTVHKWSELSWASHWVTFGCWIFYRLIG", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGAEWELGAEAGGSLLLCAALLAAGCALGLRLGRGQGAADRGALIWLCYDALVHFALEGPFVYLSLVGNVANSDGLIASLWKEYGKADARWVYFDPTIVSVEILTVALDGSLALFLIYAIVKEKYYRHFLQITLCVCELYGCWMTFLPEWLTRSPNLNTSNWLYCWLYLFFFNGVWVLIPGLLLWQSWLELKKMHQKETSSVKKFQ", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVLLKWLVCQLVFFTAFSHAFTDYLLKKCAQSGFCHRNRVYAENIAKSHHCYYKVDAESIAHDPLENVLHATIIKTIPRLEGDDIAVQFPFSLSFLQDHSVRFTINEKERMPTNSSGLLISSQRFNETWKYAFDKKFQEEANRTSIPQFHFLKQKQTVNSFWSKISSFLSLSNSTADTFHLRNGDVSVEIFAEPFQLKVYWQNALKLIVNEQNFLNIEHHRTKQENFAHVLPEETTFNMFKDNFLYSKHDSMPLGPESVALDFSFMGSTNVYGIPEHATSLRLMDTSGGKEPYRLFNVDVFEYNIGTSQPMYGSIPFMFSSSSTSIFWVNAADTWVDIKYDTSKNKTMTHWISENGVIDVVMSLGPDIPTIIDKFTDLTGRPFLPPISSIGYHQCRWNYNDEMDVLTVDSQMDAHMIPYDFIWLDLEYTNDKKYFTWKQHSFPNPKRLLSKLKKLGRNLVVLIDPHLKKDYEISDRVINENVAVKDHNGNDYVGHCWPGNSIWIDTISKYGQKIWKSFFERFMDLPADLTNLFIWNDMNEPSIFDGPETTAPKDLIHDNYIEERSVHNIYGLSVHEATYDAIKSIYSPSDKRPFLLTRAFFAGSQRTAATWTGDNVANWDYLKISIPMVLSNNIAGMPFIGADIAGFAEDPTPELIARWYQAGLWYPFFRAHAHIDTKRREPYLFNEPLKSIVRDIIQLRYFLLPTLYTMFHKSSVTGFPIMNPMFIEHPEFAELYHIDNQFYWSNSGLLVKPVTEPGQSETEMVFPPGIFYEFASLHSFINNGTDLIEKNISAPLDKIPLFIEGGHIITMKDKYRRSSMLMKNDPYVIVIAPDTEGRAVGDLYVDDGETFGYQRGEYVETQFIFENNTLKNVRSHIPENLTGIHHNTLRNTNIEKIIIAKNNLQHNITLKDSIKVKKNGEESSLPTRSSYENDNKITILNLSLDITEDWEVIF", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTQHKSSMVYIPTTKEAKRRNGKSEGILNTIEEVVEKLYWTYYIHLPFYLMASFDSFFLHVFFLTIFSLSFFGILKYCFL", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAQLGAVVAVASSFFCASLFSAVHKIEEGHIGVYYRGGALLTSTSGPGFHLMLPFITSYKSVQTTLQTDEVKNVPCGTSGGVMIYFDRIEVVNFLVPNAVYDIVKNYTADYDKALIFNKIHHELNQFCSVHTLQEVYIELFDQIDENLKLALQQDLTSMAPGLVIQAVRVTKPNIPEAIRRNYELMESEKTKLLIAAQKQKVVEKEAETERKKALIEAEKVAQVAEITYGQKVMEKETEKKISEIEDAAFLAREKAKADAECYTAMKIAEANKLKLTPEYLQLMKYKAIASNSKIYFGKDIPNMFMDSAGSVSKQFEGLADKLSFGLEDEPLETATKEN", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKSFAAKVEEGVKGIDGKPSVGPVYRNLLSEKGFPPIDSEITTAWDIFSKSVEKFPDNNMLGWRRIVDEKVGPYMWKTYKEVYEEVLQIGSALRAAGAEPGSRVGIYGVNCPQWIIAMEACAAHTLICVPLYDTLGSGAVDYIVEHAEIDFVFVQDTKIKGLLEPDCKCAKRLKAIVSFTNVSDELSHKASEIGVKTYSWIDFLHMGREKPEDTNPPKAFNICTIMYTSGTSGDPKGVVLTHQAVATFVVGMDLYMDQFEDKMTHDDVYLSFLPLAHILDRMNEEYFFRKGASVGYYHGNLNVLRDDIQELKPTYLAGVPRVFERIHEGIQKALQELNPRRRFIFNALYKHKLAWLNRGYSHSKASPMADFIAFRKIRDKLGGRIRLLVSGGAPLSPEIEEFLRVTCCCFVVQGYGLTETLGGTALGFPDEMCMLGTVGIPAVYNEIRLEEVSEMGYDPLGENPAGEICIRGQCMFSGYYKNPELTEEVMKDGWFHTGDIGEILPNGVLKIIDRKKNLIKLSQGEYVALEHLENIFGQNSVVQDIWVYGDSFKSMLVAVVVPNPETVNRWAKDLGFTKPFEELCSFPELKEHIISELKSTAEKNKLRKFEYIKAVTVETKPFDVERDLVTATLKNRRNNLLKYYQVQIDEMYRKLASKKI", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGKTISLLISVVLVAYYLYIPLPDAIEEPWKVVWETAFVKIGTDLASFGELLGISHFMETIQLLMSFQEVPPTSDEHVTVMETAFDSVPVRIYIPKRKSMALRRGLFYIHGGGWCLGSAAHFSYDTLSRWTAHKLDAVVVSTDYGLAPKHHFPRQFEDVYRSLRWFLQEDVLEKYGVDPRRVGVSGDSAGGNLAAAVTQQLIQDPDVKIKLKVQALIYPALQALDTNVPSQQEGSHFPVLTRSLMVRFWSEYFTTDRGLEKAMLLNQHVPMESSHLLQFVNWSSLLPERYKKSPVYKNPTPGSSELAQKYPGFIDVKACPLLANDNILHHLPKTYIITCQYDVLRDDGLMYVKRLQNVGVHVTHHHVEDGFHGTFSFPGLKLSERMKNQYLSWLIKNL", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSQPTPIITTKSAAKPKPKIFNLFRVCFISLLLIAAVEYFKYGTRINYEWFHCTPIKEPQSGSVIKLWARGGPSCDKRGEYKTIVKRITRDYEPNDEHLSFCIIENDNVPPVHYPIHEDKGEPGYVAYVGYDTDSELVQELCADSTIYHM", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAQQSLFYSFIARGTVILVEFTDFKGNFTSVAAQYLENLPSSNNKFTYNCDGHTFNDLVENGFTYCVVAVDSAGREIPMAFLERVKEDFYKRYGGEKAATDQANSLNKEFGSNLKEHMQYCMDHPDEISNLAKAKAQVSEVKSLMMENIEKVLARGVICEMLGSSESQPQAFYIKRTQMKRKKWFQNMKIKLIVLAIIIALILIIILSVCGGFNCGK", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSEYLAQTPCKFTIWSSEIDLIRTNLLVNAHPLSTVGRLLQYIHYQIYKQLRAIYQPEEQCTNSEIPHTPLNSINTYFLSYEGRELSATCLLKDITSSSHPDSNHFIRLQLEKRTSPSGSAFDLEYDMEGEFNSMNIQFEINTLSSQRIFNSMEPNLPIGTTLARLEKLALERIKDFEKSAGNLCGIKEDHSVSDLQGFIIKGKQTPMFLNYGSDSDYYKDLNLVDLIGIDFAPAHNSFFTFLFKMNHEQNSHIANDEERFVLEFISDATLSITQMNVKPDTTVKQVKDFICSVYTHSLNLRRNDIKLIYKGQLLHENNFAGNSSKISEYIKEPHEVKVHVQINQEYTESGPGFWNEVFNNPNIFQFMPPDTRSQSPVSFAPTQGRSPAAIRGEERGIPYVTESGNDIVPTDELYRKCIINGDEVVFIPVSELNPQSSYLSVIKGDYGEIKIPISSNDYRINGDNILLSPSAIEQLESALNFKIERPRDSTLLHPSGEHVRAADNTSSANDNNTVENDESAWNRRVVRPLRNSFPLLLVLIRTFYLIGYNSLVPFFIILEFGSFLPWKYIILLSLLFIFRTVWNTQEVWNLWRDYLHLNEIDEVKFSQIKEFINSNSLTLNFYKKCKDTQSAIDLLMIPNLHEQRLSVYSKYDIEYDTNTPDVGQLNLLFIKVLSGEIPKDALDELFKEFFELYETTRNMNTLYPQDSLNELLLMIWKESQKKDINTLPKYRRWFQTLCSQIAEHNVLDVVLRYIIPDPVNDRVITAVIKNFVLFWVTLLPYVKEKLDDIVAQRARDREQPAPSAQQQENEDEALIIPDEEEPTATGAQPHLYIPDED", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGKLAVAAITSLWVIPMSIIVNHIVPEPYMDEIFHVPQAQQYCNGNFRSWDPMITTPPGLYYLSLAHVASLFPGMLLMENTSQSFSEACSTSVLRSTNAVSAVLCGVLVYEIIRFLGPNLSDRKATFMALVMSLYPLHWFFTFLYYTDVASLTAVLAMYLTCLKRRYVLSALFGTLAVFIRQTNVVWMLFVACSGILDFTLDSSKQKGKQEVNQELHQSSNKKGATLRSNLRKRKSDISSDTSDPFNHGQTVPSTEDTSDLVYDIYTVISTSWNLKWRILIKFSPFIFVVVAFGIFILWNGGIVLGAKEAHVVSLHFAQIMYFSLVSALFTAPLHFSVNQLRHQFHQLHRNWSLSLILTLVALVAGFVSVHFFSLAHPYLLADNRHYPFYLWRKIINAHWLMKYILVPVYVYSWFSILTLLAKTRRQTWILVYFLATCGVLVPTPLIEFRYYTIPFYLFMLHSCVRSSSFATWLLIGTIFVSINVFTMAMFLFRPFKWSHEDGVQRFIW", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MESMSELAPRCLLFPLLLLLPLLLLPAPKLGPSPAGAEETDWVRLPSKCEVCKYVAVELKSAFEETGKTKEVIDTGYGILDGKGSGVKYTKSDLRLIEVTETICKRLLDYSLHKERTGSNRFAKGMSETFETLHNLVHKGVKVVMDIPYELWNETSAEVADLKKQCDVLVEEFEEVIEDWYRNHQEEDLTEFLCANHVLKGKDTSCLAERWSGKKGDIASLGGKKSKKKRSGVKGSSSGSSKQRKELGGLGEDANAEEEEGVQKASPLPHSPPDEL", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRLVLLNYGIWHDRRSALKFTDIDYFVFSDASKYVSIGMSPYMRDTYRYTPMLAILLLPTQYGFPSWGKYLFSISDLIAGWLMIKLLSRRISYKRSLIYSSFWILNPFVAIISTRGNCEAILGILSIALLYLIEKKSVWLASLILGFSVHFKIYPFMYGIAFLVYFSKPKKGSTFMEKFLSLLSINQLKIVVGSLFMFTICNLLMYYLYGSPFLEHTYLYHFGRTDHRHNFSLHHLNLYYESSFGAKASSLFAFLPQLSLCMLIPLVFGKKNLPGTLFAQTFAFVTFNKVCTSQYFMWYLVFLPLVLPNSKLLSKKGLICLSLWIIGQLLWLISAYNLEMLGKSVFIPLWLSGLLFFFFNVYELKIILDSL", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSRGSRLHRWPLLLLLLLLLPPPPVLPAEARTPAPVNPCCYYPCQHQGICVRFGLDRYQCDCTRTGYSGPNCTIPELWTWLRNSLRPSPSFLHFLLTHGRWFWEFINATFIRDMLMRLVLTARSNLIPSPPTYNIAHDYISWESFSNVSYYTRVLPSVPQDCPTPMGTKGKKQLPDAQLLGRRFLLRRKFIPDPQGTNLMFAFFAQHFTHQFFKTSGKMGPGFTKALGHGVDLGHIYGDNLDRQYQLRLFKDGKLKYQVLDGEMYPPSVEEAPVLMHYPRGILPQSQMAVGQEVFGLLPGLMLYATLWLREHNRVCDLLKAEHPTWGDEQLFQTARLILIGETIKIVIEEYVQQLSGYFLQLKFDPELLFSAQFQYRNRIAMEFNQLYHWHPLMPDSFWVGSQEYSYEQFLFNTSMLTHYGIEALVDAFSRQSAGRIGGGRNIDHHVLHVAVETIKESRELRLQPFNEYRKRFGMRPYMSFQELTGEKEMAAELEELYGDIDALEFYPGLLLEKCHPNSIFGESMIEIGAPFSLKGLLGNPICSPEYWKPSTFGGEMGFNMVKTATLKKLVCLNTKTCPYVSFRVPDPHQDGGPGVERPSTEL", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASPLLPTSTTPDQLPGGDPQLLSSLRVLLSRVLATVRHASADARPWAELVDRSAFSRPPSLSEATSRVRKNFSYFRANYITLVAILLAASLLTHPFALFLLASLAASWLFLYFFRPADQPLVIGGRTFSDLETLGILCLSTVVVMFMTSVGSLLMSTLAVGIMGVAIHGAFRAPEDLFLEEQEAIGSGLFAFFNNNASNAAAAAIATSAMSRVRV", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLLPSKKDLKTALDVFAVFQWSFSALLITTTVIAVNLYLVVFTPYWPVTVLILTWLAFDWKTPQRGGRRFTCVRHWRLWKHYSDYFPLKLLKTHDICPSRNYILVCHPHGLFAHGWFGHFATEASGFSKIFPGITPYILTLGAFFWMPFLREYVMSTGACSVSRSSIDFLLTHKGTGNMVIVVIGGLAECRYSLPGSSTLVLKNRSGFVRMALQHGVPLIPAYAFGETDLYDQHIFTPGGFVNRFQKWFQSMVHIYPCAFYGRGFTKNSWGLLPYSRPVTTIVGEPLPMPKIENPSQEIVAKYHTLYIDALRKLFDQHKTKFGISETQELEII", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSGFRVLDLVKPFSPFLPEVIAPERKVQFQQRVMWTIITLLIFLVMSEIPLYGIASSDSSDPLFWLRMMLASNRGTLMELGISPIVSSGMLFQLLQGTKIIHVDMQNKNDRETFQTAQKLLAILLAVGQATVYVLTGMYGPPSSLGVGVCSLLILQLVFASTIVILLDELLQKGYGLGSGVSLFTATNTCEQVFWKAFAPTTSTSAKGTEFDGAVVAMFHLLGSRKDKKRALIESFYRPNLPNMFQLLATLLVFFAVVYLQGFRIELPMKSTRQRGPYGSYPIRLFYTSNIPIMLESALASNIFIISQLLFMRWPNNLFVKLLGTWDARAGSSQLYANGGLAYYIQPPFNFTDALLDPIKTTIYIAFVLGSCAVFSTTWIEISGTSPRDVAKQFKEQGLVIAGHRDTSAYKELKKIIPIAAAFGGATIGALSVVCDLMGTLGSGTSILLAVTTIYGYYELAVKEGGFNKSIVSGFSDGI", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLFSLRELVQWLGFATFEIFVHLLALLVFSVLLALRVDGLVPGLSWWNVFVPFFAADGLSTYFTTIVSVRLFQDGEKRLAVLRLFWVLTVLSLKFVFEMLLCQKLAEQTRELWFGLITSPLFILLQLLMIRACRVN", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLELRHRGGCPGPGGAGTPPPREGEAAGGDHETESTSDKETDIDDRYGDLDARGDSDVPEVPPSSDRTPEILKKALSGLSSRWKNWWIRGILTLTMISLFFLIIYMGSFMLMLLVLGIQVKCFQEIITIGYRVYHSYDLPWFRTLSWYFLLCVNYFFYGETVADYFATFVQREEQLQFLIRYHRFISFALYLAGFCMFVLSLVKKHYRLQFYMFAWTHVTLLITVTQSHLVIQNLFEGMIWFLVPISSVICNDITAYLFGFFFGRTPLIKLSPKKTWEGFIGGFFSTVIFGFIAAYVLSKYQYFVCPVEYRSDVNSFVTECEPSELFQLQNYSLPPFLQAVLSRETVSLYPFQIHSIALSTFASLIGPFGGFFASGFKRAFKIKDFANTIPGHGGIMDRFDCQYLMATFVHVYITSFIRGPNPSKVLQQLLVLQPEQQLNIYRTLKIHLTEKGILQPTWKV", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MERWPWPSGGAWLLVAARALLQLLRSDLRLGRPLLAALALLAALDWLCQRLLPPPAALAVLAAAGWIALSRLARPQRLPVATRAVLITGCDSGFGKETAKKLDSMGFTVLATVLELNSPGAIELRTCCSPRLRLLQMDLTKPGDISRVLEFTKAHTTSTGLWGLVNNAGHNEVVADAELSPVATFRSCMEVNFFGALELTKGLLPLLRSSRGRIVTVGSPAGDMPYPCLGAYGTSKAAVALLMDTFSCELLPWGVKVSIIQPGCFKTESVRNVGQWEKRKQLLLANLPQELLQAYGKDYIEHLHGQFLHSLRLAMSDLTPVVDAITDALLAARPRRRYYPGQGLGLMYFIHYYLPEGLRRRFLQAFFISHCLPRALQPGQPGTTPPQDAAQDPNLSPGPSPAVAR", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAYNKSLKSLVFILLASQIVFVLFLCYGKSSRELGVKWNSDIRSWMTSYVGFNGETAAISEEQLIWAEKIPDYNEEIVVRLHLEEENNLSDILQKAQSQNLDIWDYNFDHVDLRLKEENFDFWKSQYRSDILINNLTETLFESIVPDTTNSPFSTEAFLQAVENGHLNHEMFTSFTDIFFKSYQNLESINSWLRLMASLYKDLSELVPVGITAEGRTILGLKLNGRHPSDNGEKIRNKKVIIIQGGSHAREWIGIPSVCYAAWQLLAKYDSDGHVRKLLDKFEWIFIPVLNVDGYEYTWSNDRLWSKNRQPLNNSECFGINLDANWAFGFNGNIDPCSNEYGGLSPFQANETMALFNLITESLSQEQKKVVGFLDVHSYSQSVLWPYAYTCDLFPPDTENFEELAIGLVKELHRVNSRYYTYQQACIPYDGFHKHYLPGTAIDWVYFAADVAWPFNIRLRDMGDYGYLLPAKQIVPTAKEFFAMILYYGEFIAEYAF", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAWQGLAAEFLQVPAVTRAYTAACVLTTAAVQLELLSPFQLYFNPHLVFRKFQVWRLVTNFLFFGPLGFSFFFNMLFVFRYCRMLEEGSFRGRTADFVFMFLFGGVLMTLLGLLGSLFFLGQALMAMLVYVWSRRSPRVRVNFFGLLTFQAPFLPWALMGFSLLLGNSILVDLLGIAVGHIYYFLEDVFPNQPGGKRLLQTPGFLKLLLDAPAEDPNYLPLPEEQPGPHLPPPQQ", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVTRHRVTVLYNAPEDIGNHMRQNDTHLTVRGGSGVVLQQRWLLERTGSLDKSFTRITWRPRADLARSLSVIENELSAGFSVYSNSSDVPERFITNPVYNSFHSEKFDIEQYLPPEVDLNLSWNPEDFTYDISVEPTQIQIVEYRLLKQGEEFTIARVKDEKLEVGVFFVDASDESDVDIGGIRCNWRMDDGKMERCQKTSLLYKQGHIAYNHSTTTTSLYLNEPIGLHPKIMIDLTDFEERPKCMYLMHLQLPLELFIDKFQSSPLLLFGEDDLELPEYSLRDKAWGSESIFELKAGTMNEVTLHTRYIEPSNNKGDKLEVSFDPEVILACDTGDNKVSRNPFYKKGLGYESLFTDDTTFRHLNSTTLLVPIPRPDTKDYSKIKNGTLLCLLISIIYIFSKVFGNNKKKRSVKRE", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASNLVEMFNAALNWVTMILESPSARVVLFGVPIRGHFFVEGLLGVVIIILLTRKSYKPPKRPLTEQEIDELCDEWVPEPLIPPITEDMKHEPPVLESAAGPHTTVNGKDVVNFASANYLGLIGHEKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCETRISKFLGTPDSILYSYGLSTMFSTIPCFCKKGDVIVADEGVHWGIQNGLQLSRSTIVYFKHNDMESLRITLEKIMTKYKRSKNLRRYIVAEAVYQNSGQIAPLDEIVKLKEKYRFRVILDESNSFGVLGRSGRGLAEHHSVPIEKIDVVTAAMGHALATEGGFCTGNARIIDYQRLSSSGYVFSASLPPYLASAAITAIDVIDQNPDMLVKLKQNVALLWKGLSDIKGMSLTSNRESPIVFLKLEKSSGSAKDDLLLLEKMADRALKEDSLLVVSSKRSFLDKCRLPVGIKLYVSAGHSESDLLKASESLKRLASELLLKS", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNKTNWKVSVTTFNCGKEFPVENSKAIVKQLLFPYDDGISQLELQDLYVLGFQEVVPIWQGSFPAVNRDLIDRITTTAVNCLNEKVSATQGDEQYSCLGVNSLGAITIIVLYNNNALKVKDDILKRNGKCGWFGTHLKGGTLISFQMTRNGEENWERFSYICAHLNANEGVNNRNQRIDDYKRIMSEVCDSEVAKSDHFFFLGDLNFRVTSTYDPTTNYSSTTTLRRLLENHEELNLLRKGEDEPLCKGFQELKITFPPTYKFKLFEKETYNTKRIPSWCDRILYKSYAVPTFAQEGTYHSVPRSNALLFSDHQPVNLTVRLPRSTGTPVPLSLHIEKYPLSWSSGLIGQIGDAVIGYCGWLVTKNVHYWILGSLLLYLLLKIL", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAPTQGPRAPLEFGGPLGAAALLLLLPATMFHLLLAARSGPARLLGPPASLPGLEVLWSPRALLLWLAWLGLQAALYLLPARKVAEGQELKDKSRLRYPINGFQALVLTALLVGLGMSAGLPLGALPEMLLPLAFVATLTAFIFSLFLYMKAQVAPVSALAPGGNSGNPIYDFFLGRELNPRICFFDFKYFCELRPGLIGWVLINLALLMKEAELRGSPSLAMWLVNGFQLLYVGDALWHEEAVLTTMDITHDGFGFMLAFGDMAWVPFTYSLQAQFLLHHPQPLGLPMASVICLINATGYYIFRGANSQKNTFRKNPSDPRVAGLETISTATGRKLLVSGWWGMVRHPNYLGDLIMALAWSLPCGVSHLLPYFYLLYFTALLVHREARDERQCLQKYGLAWQEYCRRVPYRIMPYIY", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEAVVFVFSLLDCCALIFLSVYFIITLSDLECDYINARSCCSKLNKWVIPELIGHTIVTVLLLMSLHWFIFLLNLPVATWNIYRYIMVPSGNMGVFDPTEIHNRGQLKSHMKEAMIKLGFHLLCFFMYLYSMILALIND", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MILTLAYFMLGTLLLGVFAEDTVSQIGINDSLWYPYDEALVLKPLPNNDLLLSFAFQLQSEPFDPAVSSMSYDAYEHYTTFPRAIPPLLESTATRQFHLRFTRGFWDALSWGQLPHAGKEAGASGVELWSQVQAMDQEQAFHNWKKLSNSLSGLFCSSLNFIDESRTTFPRRSYASDIGAPLFNSTEKLYLMRASLPNEPICTENLTPFIKLLPTRGKSGLTSLLDGHKLFDSLWNSISLDIATICSEDEDALCHYEMDARIEMVTHVPSALARGERPIPKPLDGNTLRCDTDKPFDSYQCFPLPEPSQTHFKLSQLFARPINNGNLFANRPTRICAEVDRSTWTAFLSVDDTIFSTHDNCFDLSNDQNEGGSGYDFILESTDTTKVTPIVPVPIHVSRSLTGNGQDRGGMRIVFHNDNDTPVKLIYFESLPWFMRVYLSSLQITSTTSPQLQENDIILDKYYLQAADRKRPGHLEFTMLIPANTDIVMTYQFDKALLQFAEYPPDANHGFEIDAAVITVLSLESSSSLYEMRTSTLLLSLSTPDFSMPYNVIILTSTIMGLIFGMLYNLMVKRMVTVEEADKITLQSGLKYKLLKLKEKFLGKKKTKTD", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPPRPGRLLQPLAGLPALATLLLLLGARKGARAQEVEADSGVEQDPHAKHLYTADMFTHGIQSAAHFVMFFAPWCGHCQRLQPTWNDLGDKYNSMEDAKVYVAKVDCTADSDVCSAQGVRGYPTLKFFKPGQEAVKYQGPRDFETLENWMLQTLNEEPATPEPEAEPPRAPELKQGLYELSANNFELHVSQGNHFIKFFAPWCGHCKALAPTWEQLALGLEHSETVKIGKVDCTQHYAVCSEHQVRGYPTLLWFRDGKKVDQYKGKRDLESLRDYVQSQLQGSEAAPETVEPSEAPVMAAEPTGDKGTVLALTEKSFEDTIAQGITFVKFYAPWCGHCKNLAPTWEELSKKEFPGLSDVTIAEVDCTAERNVCSKYSVRGYPTLLLFRGGEKVGEHNGGRDLDSLHSFVLRQAKDEL", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MMTSCRNIDLGTMMMACGCGRRQFPSLAKTVCKFTSSNRSYGGLVGSCKAVPTKSKEISLLNGIGQSQTVSFDLKQESKQPISLVTLFELVAVDLQTLNDNLLSIVGAENPVLISAAEQIFGAGGKRMRPGLVFLVSHATAELAGLKELTTEHRRLAEIIEMIHTASLIHDDVLDESDMRRGKETVHELFGTRVAVLAGDFMFAQASWYLANLENLEVIKLISQVIKDFASGEIKQASSLFDCDTKLDEYLLKSFYKTASLVAASTKGAAIFSRVEPDVTEQMYEFGKNLGLSFQIVDDILDFTQSTEQLGKPAGSDLAKGNLTAPVIFALEREPRLREIIESEFCEAGSLEEAIEAVTKGGGIKRAQELAREKADDAIKNLQCLPRSGFRSALEDMVLYNLERID", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSEQEPYEWAKHLLDTKYIEKYNIQNSNTLPSPPGFEGNSSKGNVTRKQQDATSQTTSLAQKNQITVLQVQKAWQIALQPAKSIPMNIFMSYMSGTSLQIIPIMTALMLLSGPIKAIFSTRSAFKPVLGNKATQSQVQTAMFMYIVFQGVLMYIGYRKLNSMGLIPNAKGDWLPWERIAHYNNGLQWFSD", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSIARLVYSLFRRVRSVLLLFITISLLFYYTFQNEIDILNSYALNDSLPSINNYEHNTEGSSKLDPPDLSSTGSDRIATDKENGNVAVDLSDPATLREKNKYFPLLLKGSSHQIGSNLPISSLLTYKEKYPVLFEYSSPSLTSISQNDVHKIQPAMQLPPDVDMIKQIKDIFMKSWNQEQLLLKSNLRRESTWPIDLIDSLDTLYLCGETKLFQDSVNIIEDFDFRVPPLAMEVIDIPDITTRVLEGLLSAYELSMDKRLLNKAKHVADFILRSFDTPNRIPILKYFWKSDLRNRFPDRTVPSGQLTTMALAFIRLSQLTRLNKYFDAVERVFTTIRQSYNEFDMEFMLPDVVDASGCQLLTQEEIENGAHLKGSSIMKSINENFKFVHCQQLGKFLNPPIDDNSLQEQSQYQAYRINEKTVPILENLFKINDLFQSSYDILDGSSKNANAATMDPSIGSEVEAVDEIIEKRNFKDGTKKDSTKNTVGDKSLIDSQTFLTNSISNIFKFMTFRPMLPKQTENKKFNFLNSILTKSQFMPTTNELDVTIRKSYDVSLYSCRLGGILGLSSRVPHRGGVNTKYILPSSLLEMSEIITESCFMLMEEFDGLLPQKFELDPCTDETNGNCEFNGETKSRMIANGEYETFENDLDVGIKVSNYGKGGNDQKAKRNVLSKDGITETQNIKGDTVGSSKSIAEIDGDEVTQIRRVFTLGKDIKPHITTDDTMGSQWKNHPDWPFWVNKVESRRLLDSNIIESIFYMYRISGEQKWRSMGKQSFGILMQELMELNSGAKGLWQIKEFYENGEKVNNDLPSYWFSRTLKYYLLLFSDGDKVSLDKHILTQGGHIIKKK", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNEMSSFLHIGDIVSLYAEGSVNGFISTLGLVDDRCVVEPAAGDLDNPPKKFRDCLFKVCPMNRYSAQKQYWKAKQTKQDKEKIADVVLLQKLQHAAQMEQKQNDTENKKVHGDVVKYGSVIQLLHMKSNKYLTVNKRLPALLEKNAMRVTLDATGNEGSWLFIQPFWKLRSNGDNVVVGDKVILNPVNAGQPLHASNYELSDNAGCKEVNSVNCNTSWKINLFMQFRDHLEEVLKGGDVVRLFHAEQEKFLTCDEYRGKLQVFLRTTLRQSATSATSSNALWEVEVVHHDPCRGGAGHWNGLYRFKHLATGNYLAAEENPSYKGDVSDPKAAGLGAQGRTGRRNAGEKIKYRLVAVPHGNDIASLFELDPTTLQKTDSFVPRNSYVRLRHLCTNTWIQSTNAPIDVEEERPIRLMLGTCPTKEDKEAFAIVSVPVSEIRDLDFANDASSMLASAVEKLNEGFISQNDRRFVIQLLEDLVFFVSDVPNNGQNVLDIMVTKPNRERQKLMREQNILKQIFGILKAPFRDKGGEGPLVRLEELSDQKNAPYQYMFRLCYRVLRHSQEDYRKNQEHIAKQFGMMQSQIGYDILAEDTITALLHNNRKLLEKHITKTEVETFVSLVRKNREPRFLDYLSDLCVSNRIAIPVTQELICKCVLDPKNSDILIQTELRPVKEMAQSHEYLSIEYSEEEVWLTWTDRNNEHHEKSVRQLAQEARAGNAHDENVLSYYRYQLKLFARMCLDRQYLAIDEISKQLGVELLFLCMADEMLPFDLRASFCHLMLHVHVDRDPQELVTPVKFARLWTEIPTAITIKDYDSNLNASRDDKKNKFASTMEFVEDYLNNVVSEAVPFANDEKNILTFEVVSLAHNLIYFGFYSFSELLRLTRTLLGIIDCIQAPAAMLQAYEEPGGKNVRRSIQGVGHMMSTMVLSRKQSVFGASSLPAGVGVPEQLDRSKFEDNEHTVVMETKLKILEILQFILNVRLDYRISYLLSVFKKEFVEVFPMQDSGADGTAPAFDSSTATMNLDRIGEQAEAMFGVGKTSSMLEVDDEGGRMFLRVLLHLTMHDYPSLVSGALQLLFKHFSQRQEAMHTFKQVQLLISAQDVENYKVIKSELDRLRTMVEKSELWVDKKGSVKGEEVEAGATKDKKERPSDEEGFLQPHGEKSSENYQIVKGILERLNKMCGVGEQMRKKQQRLLKNMDAHKVMLDLLQIPYDKSDNKMLEILRYTHQFLQKFCAGNPGNQALLHKHLQLFLTPGLLEAETMQHIFLNNYQLCSEISEPVLQHFVHLLATHGRHVQYLDFLHTVIKAEGKYVKKCQDMIMTELTNAGDDVVVFYNDKASLAHLLDMMKAARDGVEDHSPLMYHISLVDLLAACAEGKNVYTEIKCTSLLPLEDVVTVVTHEDCITEVKMAYVNFVNHCYVDTEVEMKEIYTSNHIWTLFENFTLDMALVCNKREKRLSDPTLEKYVLTVVLDTISAFFSSPFSENSTSLQTHQTIVVQLLQSTTRLLECPWLQQQHKGSVEACVRTLAMVAKSRAILLPMDLDAHMSALLSSGGSCSAAAQRSAANYKTATRTFPRVIPTANQWDYKNIIEKLQDIIMALEERLKPLVQAELSVLVDMLHWPELLFPEGSEAYQRCESGGFLSKLIRHTKGLMESEEKLCVKVLRTLQQMLLKKSKFGDRGNQLRKMLLQNYLQNRKSGARGELTDPTGSGLDQDWSAIAATQCRLDKEGATKLVCDLITSTKNEKIFQESIGLAIRLLDGGNTEIQKSFYNLMTSDKKSERFFKVLHDRMKRAQQETKSTVAVNMSDLGSQPREDREPADPATKGRVSSFSMPSSSRYLLGLGLHRGHDMSERAQNNEMGTSVLIMRPILRFLQLLCENHNRDLQNFLRCQNNKTNYNLVCETLQFLDIMCGSTTGGLGLLGLYINEDNVGLVIQTLETLTEYCQGPCHENQTCIVTHESNGIDIITALILNDISPLCKYRMDLVLQLKDNASKLLLALMESRHDSENAERILISLRPQELVDVIKKAYLQEEERENSEVSPREVGHNIYILALQLSRHNKQLQHLLKPVRRIQEEEAEGISSMLSLNNKQLSQMLKSSAPAQEEEEDPLAYYENHTSQIEIVRQDRSMEQIVFPVPAICQFLTEETKHRLFTTTEQDEQGSKVSDFFDQSSFLHNEMEWQRRLRSMPLIYWFSRRMTLWGSISFNLAVFINIIIAFFYPYVEGASTGVLGSPLISLLFWILICFSIAALFTKRYSVRPLIVALILRSIYYLGIGPTLNILGALNLTNKIVFVVSFVGNRGTFIRGYKAMVMDMEFLYHVGYILTSVLGLFAHELFYSILLFDLIYREETLFNVIKSVTRNGRSILLTALLALILVYLFSIVGFLFLKDDFILEVDRLPGNHSRASPLGMPHGAATFMGTCSGDKMDCVSEVSVPEILEEDEEPDSTERACDTLLMCIVTVMNHGLRNGGGVGDILRKPSKDESLFPARVVYDLLFFFIVIIIVLNLIFGVIIDTFADLRSEKQKKEEILKTTCFICGLERDKFDNKTVSFEEHIKLEHNMWNYLYFIVLVRVKNKTDYTGPESYVAQMIKNKNLDWFPRMRAMSLVSGEGEGEQNEIRILQEKLGSTMKLVSHLTSQLNELKEQMTEQRKRRQRLGFVDVQNCMSR", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGRARPGQRGPPSPGPAAQPPAPPRRRARSLALLGALLAAAAAAAVRVCARHAEAQAAARQELALKTLGTDGLFLFSSLDTDGDMYISPEEFKPIAEKLTGSTPAASCEEEELPPDPSEETLTIEARFQPLLPETMTKSKDGFLGVSRLALSGLRNWTAAASPSAVFATRHFQPFLPPPGQELGEPWWIIPSELSMFTGYLSNNRFYPPPPKGKEVIIHRLLSMFHPRPFVKTRFAPQGAVACLTAISDFYYTVMFRIHAEFQLSEPPDFPFWFSPAQFTGHIILSKDATHVRDFRLFVPNHRSLNVDMEWLYGASESSNMEVDIGYIPQMELEATGPSVPSVILDEDGSMIDSHLPSGEPLQFVFEEIKWQQELSWEEAARRLEVAMYPFKKVSYLPFTEAFDRAKAENKLVHSILLWGALDDQSCUGSGRTLRETVLESSPILTLLNESFISTWSLVKELEELQNNQENSSHQKLAGLHLEKYSFPVEMMICLPNGTVVHHINANYFLDITSVKPEEIESNLFSFSSTFEDPSTATYMQFLKEGLRRGLPLLQP", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGCYNGSELQDLGCSSQLLLQPLWDTIRTREAFTRSPIFPVTFSIITYVGFCLPFVVLDVLYPWVPILRRYKIHPDFSPSVKQLLPCLGLTLYQHLVFVFPVTLLHWVRSPALLPQEAPELVQLLSHVLICLLLFDTEIFAWHLLHHKVPWLYRTFHKVHHQNSSSFALATQYMSFWELLSLTFFDVLNVAVLRCHPLTIFTFHVINIWLSVEDHSGYDFPWSTHRLVPFGWYGGVAHHDMHHSQFNCNFAPYFTHWDKMLGTLRSAPLPESLCACGERCVNSRERCAVHLIQKKKQT", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKVTVVSRSGREVLKAPLDLPDSATVADLQEAFHKRAKKFYPSRQRLTLPVTPGSKDKPVVLNSKKSLKEYCDGNNNSLTVVFKDLGAQVSYRTLFFFEYLGPLLIYPVFYYFPVYKFLGYGEDCVIHPVQTYAMYYWCFHYFKRILETFFVHRFSHATSPIGNVFRNCAYYWSFGAYIAYYVNHPLYTPVSDLQMKIGFGFGLVCQVANFYCHILLKNLRDPSGAGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTIAGYVFLAVAALIMTNWALGKHSRLRKIFDGKDGKPKYPRRWVILPPFL", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSITCELLNLTSKKAKKSSSSDKKWLKKPLFFLILCGSLVIVLVMFLRLGRSQKEETDSCNGEEKVLYRHQNVTRSEIHDLVSLFSDSDQVTSFECHKESSPGMWTNYGITCSLSVRSDKQETRGLPWNLGLGHSISSTSCMCGNLEPILQQPENLEEENHEEGLEQGLSSYLRNAWWCLILGVLVCHKIYVSHSKARGERKEKVHLQEALAPKKQQQRAQTSSRGAGRWRKNILLLGILGGVSFSVWWFWDTNEEIIMKRRETLANMCDERARVLQDQFNVSLNHVHALSILVSTFHHGKIPSAIDQRTFEEYTERTNFERPLTSGVAYALKVPHSEREKFEKEHGWAIKKMETEDQTVVQDCVPENFDPAPIQDEYAPVIFAQETVSHIVSVDMMSGEEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYDTSLPPDATEEQRVEATIGYLGASYDMPSLVEKLLHQLASKQTIAVDVYDTTNTSGLIKMYGSEIGDISEQHISSLDFGDPSRNHEMHCRFKHKLPIPWTAITPSILVLVITFLVGYILYEAINRIATVEEDCQKMRELKARAEAADIAKSQFLATVSHEIRTPMNGVLGMLKMLMDTDLDAKQMDYAQTAHGSGKDLTSLINEVLDQAKIESGRLELENVPFDMRFILDNVSSLLSGKANEKGIELAVYVSSQVPDVVVGDPSRFRQIITNLVGNSIKFTQERGHIFISVHLADEVKEPLTIEDAVLKQRLALGCSESGETVSGFPAVNAWGSWKNFKTCYSTESQNSDQIKLLVTVEDTGVGIPVDAQGRIFTPFMQADSSTSRTYGGTGIGLSISKRLVELMQGEMGFVSEPGIGSTFSFTGVFGKAETNTSITKLERFDLAIQEFTGLRALVIDNRNIRAEVTRYELRRLGISADIVSSLRMACTCCISKLENLAMILIDKDAWNKEEFSVLDELFTRSKVTFTRVPKIFLLATSATLTERSEMKSTGLIDEVVIKPLRMSVLICCLQETLVNGKKRQPNRQRRNLGHLLREKQILVVDDNLVNRRVAEGALKKYGAIVTCVESGKAALAMLKPPHNFDACFMDLQMPEMDGFEATRRVRELEREINKKIASGEVSAEMFCKFSSWHVPILAMTADVIQATHEECMKCGMDGYVSKPFEEEVLYTAVARFFEPC", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRRDFVYNNNAMFNPLTTHYSSDMNWALNNHQEEEEEPRRIEISDSESLENLKSSDFYQLGGGGALNSSEKPRKIDFWRSGLMGFAKMQQQQQLQHSVAVKMNNNNNNDLMGNKKGSTFIQEHRALLPKALILWIIIVGFISSGIYQWMDDANKIRREEVLVSMCDQRARMLQDQFSVSVNHVHALAILVSTFHYHKNPSAIDQETFAEYTARTAFERPLLSGVAYAEKVVNFEREMFERQHNWVIKTMDRGEPSPVRDEYAPVIFSQDSVSYLESLDMMSGEEDRENILRARETGKAVLTSPFRLLETHHLGVVLTFPVYKSSLPENPTVEERIAATAGYLGGAFDVESLVENLLGQLAGNQAIVVHVYDITNASDPLVMYGNQDEEADRSLSHESKLDFGDPFRKHKMICRYHQKAPIPLNVLTTVPLFFAIGFLVGYILYGAAMHIVKVEDDFHEMQELKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLAMLLDTELSSTQRDYAQTAQVCGKALIALINEVLDRAKIEAGKLELESVPFDIRSILDDVLSLFSEESRNKSIELAVFVSDKVPEIVKGDSGRFRQIIINLVGNSVKFTEKGHIFVKVHLAEQSKDESEPKNALNGGVSEEMIVVSKQSSYNTLSGYEAADGRNSWDSFKHLVSEEQSLSEFDISSNVRLMVSIEDTGIGIPLVAQGRVFMPFMQADSSTSRNYGGTGIGLSISKCLVELMRGQINFISRPHIGSTFWFTAVLEKCDKCSAINHMKKPNVEHLPSTFKGMKAIVVDAKPVRAAVTRYHMKRLGINVDVVTSLKTAVVAAAAFERNGSPLPTKPQLDMILVEKDSWISTEDNDSEIRLLNSRTNGNVHHKSPKLALFATNITNSEFDRAKSAGFADTVIMKPLRASMIGACLQQVLELRKTRQQHPEGSSPATLKSLLTGKKILVVDDNIVNRRVAAGALKKFGAEVVCAESGQVALGLLQIPHTFDACFMDIQMPQMDGFEATRQIRMMEKETKEKTNLEWHLPILAMTADVIHATYEECLKSGMDGYVSKPFEEENLYKSVAKSFKPNPISPSS", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEEKRVKCKKKLTSTIGTWKYIQACIFFAIILISNFYGLKSFTDGFLLRRAVLNQTSLCENPPADVREWKNSSGCWAPKIFERAVIVIIDALRYDFLIPYNDSNYYHNAFTTPYETSVLHPENSYLTQFIADAPTTTSQRLKGLTTGSLPTFIDLGSNFAGTNIDEDNLLLQWKSLDKQIVLLGDDTWDVLFHDYLNETLSQPAFSFNVPDLHGVDNKVNQYVFDYIKDANFDVLIAHYLGVDHVGHRLGPDHPTMRDKLNQMDRCVKEMMDLLDDSTLLIVMGDHGMDNKGNHGGDSFDEINSVLWMYSKKPTFGYLKQPGKVLSANQVDLVPTLSLLLGNPIPYGNLGTLIPEPFYYYGDEYLSKAQKINIGQLNRFFSEYDLDASDFLSSSVHKNNNSYLDQYFLDFDYARDAFSYFKAIWAEFSLFPMIIGFLLLIIGGFNLALLMQDKSVIFRMSANMAPSVMKCLPVCLILILANNELHSPFPAEFYVLLPSFYILLNSFNQKLMEYFKGFVKLDYFSIFITFLHVCSFGSNSFTVWEDRLCHFLIITIGLVMFCKCFSEMSPLFACSTYSALAFILLQVISSYVTNCREEQGAFCVSTYISTPDNSLRTLIVLALMALSSIILPLILQLHLRRVLGLSLKLYHLSILYFFELISSIFWIAHHVFANDALLEKQYHHVLYSLANTYVICILGVLIWQFFLLSRSKFAKINVIERSYFVFALLYSFLSFLQRPLGHLSLFSCFLQILLLIQLKQWQPSVGHNFFSVTLGLLGLSHFFTTGNQAAISSLDWNFAFIHSKSAENQAISAIFMFLHTVGAPILTCISIPLFSFEPLSKKNRFLINLFRFSFSFILYNLLISTSTVFFAGFFRRHLMVWKVFAPRFMLSGILLVTHQLFVLIQCFGSSVVKFPEDAE", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLVRIERDYSVSGDKYPQFPTDYPVPLRAYVNLEDWDVFIHTLNEKLREAFCPWSIGNLLDGILSVLTIYISEFVFGSIHRKRIGAIDLYILDFATQHNLYVASLRNMGFLSLVFHTKETNSKSSTLHSNPYCPEHHSIRTLPSAVTATTSNISTSSSHRSDLPNEWTNSTL", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGAAAWAPSHLLLRASFLLLLLLLPLPLRGRAGGSWDLAGYLLYCPCMGRFGNQADHFLGSLAFAKLLNRTLAVPPWIEYQHHKPPFTNLHVSYQKYFKLEPLQAYHRVISLEDFMEKLAPFHWPPEKRVAYCFEVAAQRSPDKKTCPMKEGNPFGPFWDQFHVSFNKSELFTGISFSASYKEQWIQRFPPKEHPVLALPGAPAQFPVLEEHRELQKYMVWSDEMVRTGEAQISTHLVRPYVGIHLRIGSDWKNACAMLKDGTAGSHFMASPQCVGYSRSTATPLTTTMCLPDLKEIQRAVKLWVRALDARSVYIATDSESYVSEIQQLFKEKVKVVSLKPEVAQIDLYILGQADHFIGNCVSSFTAFVKRERDLHGRPSSFFGMDRPSQLRDEF", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAFNFTAFTYIVALIGDAFLIFFAIFHVIAFDELKTDYKNPIDQCNSLNPLVLPEYLLHIFLNLLFLFCGEWFSLCINIPLIAYHIWRYKNRPVMSGPGLYDPTTVLKTDTLYRNMREGWIKLAVYLISFFYYIYGMVYSLIST", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MWNSLKAFALVFGGCCSNVITFETLMSNETGSINNLITFCQFLFVTCQGLPEFLDVHQPFPYFKPLKTPLHVYVITVVLFYISSTTNNNVFKYNISIPIHIVFRCFGTVITMFTCWLLNGRKYTKIQILSTLFLTIGAIIASLFKDADFRYQDLKLQAWKIGSDQSVDLTFIFGICILVLSSFTSSLLSAYNERTYQKYGKHWKENIFYSHFLSLPLFLFSRKQLIHEYRVMRKSERILCSNFGGKILVPREETLLLFNVLTQYFCVKGVNILASKTNALTLSITLLVRKFISLLLSVRLFDNNLSYTGYIGVYLVFFGAFIYSLGSIHPRQNDKGAIKKSK", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MQGPAGNASRGLPGGPPSTVASGAGRCESGALMHSFGIFLQGLLGVVAFSTLMLKRFREPKHERRPWRIWFLDTSKQAIGMLFIHFANVYLADLTEEDPCSLYLINFLLDATVGMLLIYVGVRAVSVLVEWQQWESLRFGEYGDPLQCGAWVGQCALYIVIMIFEKSVVFIVLLILQWKKVALLNPIENPDLKLAIVMLIVPFFVNALMFWVVDNFLMRKGKTKAKLEERGANQDSRNGSKVRYRRAASHEESESEILISADDEMEESDVEEDLRRLTPLKPVKKKKHRFGLPV", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLSIRTVLGPLATILLTVLGPFGAHGSGLADKVIWAVNAGGESHVDVHGIHYRKDPLEGRVGRASDYGMKLPILRSNPEDQVLYQTERYNEDSFGYDIPIKEEGEYVLVLKFAEVYFAQSQQKVFDVRVNGHTVVKDLDIFDRVGHSTAHDEIIPISIKKGKLSVQGEVSTFTGKLSVEFVKGYYDNPKVCALFIMKGTADDVPMLQPHPGLEKKEEEEEEEEEEGSTSKKQINKNRVQSGPRTPNPYASDNSSLMFPILVAFGVFIPTLFCLCRL", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAASVVKTPKCPRRGSVKDVAQNAPRTAPTSSKEANWNWWLLLATVFLVTFATRFYKVTEPDHICWDETHFGKMGSWYINRTFFFDVHPPLGKMLIGLSGYLTGYNGTFPFEKPGDKYNETRYQGMRYFCTTLGALIMPMGFDTVYDLTRSHEAALLAAAYLIFDVGLLTLNQYILLDPILLFFMMASVWGMVKVSKSTASGGSYGLRWWLWLFLTGTMLSCTISVKFVGLFVVLLVGLHTATELWLILGDLGQPILETVKQLACRAITLIVWPVLLYILFFYIHLSVLNRSGNGDGFYSSAFQSRLIGNSLYNASMPRDVAYGSLVTIKNHKTGGGYLHSHHHLYPKGSGARQQQVTTYTHKDENNKWLIRPHNKPGPPKGKVQILRHGDLVRLTHMATRRNLHSHNEPAPMTKKHLQVTGYGELGLGDANDVWRVLIVGGKVNETVHTVTSRLKFIHLLQNCALTSSGKQLPKWGFEQQEVSCNPNVRDKNSQWNVEDNEHKLMPSVSFSVYAPGFFARFLESHAVMLQGNAGLKPKEGEVTSRPWQWPINYRGQFFSGSSYRIYLLGNPLIWWSNLVFLALFVTVFLCNAVVQQRRAGFARSAAQNQAQVPDSETVAQDEESEHSTTDICSCCTPAKEIVPKAVPSGSPEAPNPAQSLRAAAWLFLGWMLHYLPFWAMGRVLYFHHYFPALIFNSLLTGVMYNYILRVLPKWIHHVILGLVLSILVYSFAAFSPLAYGMSGPLANEPNSTMYNLKWLSTWEF", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGNACVGPNISGNGFLQTVTAAMWRPRIGAEQASSSSHGNGQVSKEAASEPATDQVQNKPPEPITMPSSKTNPETKLKPDLEIQPEEKKEKVLAEETKQKVVPEESKQEVPPEESKREVVVQPESAKPETKSESKPETTKPETTSETKPETKAEPQKPKHMRRVSSAGLRTESVLQRKTENFKEFYSLGRKLGQGQFGTTFLCLEKGTGNEYACKSISKRKLLTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDVVAVHLVMELCSGGELFDRIIQRGHYTERKAAELARTIVGVLEACHSLGVMHRDLKPENFLFVSREEDSLLKTIDFGLSMFFKPDEVFTDVVGSPYYVAPEVLRKRYGPESDVWSAGVIVYILLSGVPPFWAETEQGIFEQVLHGDLDFSSDPWPSISESAKDLVRKMLVRDPKRRLTAHQVLCHPWVQIDGVAPDKPLDSAVLSRMKQFSAMNKFKKMALRVIAESLSEEEIAGLKQMFKMIDADNSGQITFEELKAGLKRVGANLKESEILDLMQAADVDNSGTIDYKEFIAATLHLNKIEREDHLFAAFSYFDKDESGFITPDELQQACEEFGVEDARIEEMMRDVDQDKDGRIDYNEFVAMMQKGSIMGGPVKMGLENSISISLKH", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAPPQGSRAPLEFGGPLGAAALMLLLPVTMFHLLLVARSGPARLLGPPPYLPGPEELWSPWALLLCLTWLGLQAALYLLPARKVAEGQELKDKSRLRYPTNGFQALVLTALLVGLGVSAGLPLSALPEMLLPLAFAATLTAFIFSLLLYLKALLAPASALAPGGNSGNLIYDFFLGRELNPRICSFDFKYFCELRPGLIGWVLINLALLIQEAELRGSPSLAMWLVNGFQLLYVGDALWYEEAVLTTMDIIHDGFGFMLAFGDLAWVPFTYSLQAQFLLYHPQPLGWPLASFICLINAVGYYIFRGANSQKNTFRKNPSDPRVADLETISTATGRRLLVSGWWGMVRHPNYLGDLIMALAWSLPCGVFHLLPYFYFLYFTALLVHREDRDERQCRQKYGLAWHEYCRRVPYRIVPYVY", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNRNTTTNKNANLNNSRNANAPGEAGHQNKTGLIYWTNPSKSGASFAATLVSLLILRNVNVISVLLKIGYMVLFTSFAVELSTKVLFDKGVVSRFGMQESPDLVGVLKPHIDRELDRLPALEDRIRKLVFAHRTRNNFTIGVSLYFLHGLFAIFSMNTVLIMTTIFLYTVPLIYDRKQARIDRAIDRMKDLVIHRFHKNYNKVVEKTEPYIDKIIPPQTDEGSYSTSISNENKSSTSQRNKSGLSSSEFDNMNDTSASKSGKDSYSTSQYNRAEYPVSQNENIGTLKSGKQEIPTEKDFNNRHENFSKPDVKTYDPRTVDIEEELAAHQRELEQNLKDGDYNLVGSKEIPDPITVPAPTRHTTKPAESQSIPIKNNETLHKTTHGLKQKLQHA", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVVTFLQDLEVLQDALLNNLQKLSAISRRKESGESKHDNKDSFAAIANEHNDEEEEIEFEDLVNIIESKVSDFESVLKCSIVEMTYKYPELKLQWEKSPRYDQCDKLHIVKLDKQMNEDIYAQLVEELDFVLQFVDWFYCYRLKVKEILRQHHKRDLAWNDEKRDRAIKFHAVDYDKLHQGTSSSSSLTSTSMEKASTREKLLSKTKQLTNNLVRGNQILQSGILQSDLNLDELRAQTNSLTQIDDKYTQFETVFKKTADLVKVLENASHQEKRDVYLSLGFLLCCVSWVLWRRIFKLPVKLGLWLLFKFFKGILVTLGLVKSYAGSSSSLQAPSLVLNAPILATTTTSSATSVEPFASVSAVSSIQRAVDEAVDRIVSHDEL", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAPKGKVGTRGKKQIFEENRETLKFYLRIILGANAIYCLVTLVFFYSSASFWAWLALGFSLAVYGASYHSMSSMARAAFSEDGALMDGGMDLNMEQGMAEHLKDVILLTAIVQVLSCFSLYVWSFWLLAPGRALYLLWVNVLGPWFTADSGTPAPEHNEKRQRRQERRQMKRL", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAPAKATNVVRLLLGSTALWLSQLGSGTVAASKSVTAHLAAKWPETPLLLEASEFMAEESNEKFWQFLETVQELAIYKQTESDYSYYNLILKKAGQFLDNLHINLLKFAFSIRAYSPAIQMFQQIAADEPPPDGCNAFVVIHKKHTCKINEIKKLLKKAASRTRPYLFKGDHKFPTNKENLPVVILYAEMGTRTFSAFHKVLSEKAQNEEILYVLRHYIQKPSSRKMYLSGYGVELAIKSTEYKALDDTQVKTVTNTTVEDETETNEVQGFLFGKLKEIYSDLRDNLTAFQKYLIESNKQMMPLKVWELQDLSFQAASQIMSAPVYDSIKLMKDISQNFPIKARSLTRIAVNQHMREEIKENQKDLQVRFKIQPGDARLFINGLRVDMDVYDAFSILDMLKLEGKMMNGLRNLGINGEDMSKFLKLNSHIWEYTYVLDIRHSSIMWINDLENDDLYITWPTSCQKLLKPVFPGSVPSIRRNFHNLVLFIDPAQEYTLDFIKLADVFYSHEVPLRIGFVFILNTDDEVDGANDAGVALWRAFNYIAEEFDISEAFISIVHMYQKVKKDQNILTVDNVKSVLQNTFPHANIWDILGIHSKYDEERKAGASFYKMTGLGPLPQALYNGEPFKHEEMNIKELKMAVLQRMMDASVYLQREVFLGTLNDRTNAIDFLMDRNNVVPRINTLILRTNQQYLNLISTSVTADVEDFSTFFFLDSQDKSAVIAKNMYYLTQDDESIISAVTLWIIADFDKPSGRKLLFNALKHMKTSVHSRLGIIYNPTSKINEENTAISRGILAAFLTQKNMFLRSFLGQLAKEEIATAIYSGDKIKTFLIEGMDKNAFEKKYNTVGVNIFRTHQLFCQDVLKLRPGEMGIVSNGRFLGPLDEDFYAEDFYLLEKITFSNLGEKIKGIVENMGINANNMSDFIMKVDALMSSVPKRASRYDVTFLRENHSVIKTNPQENDMFFNVIAIVDPLTREAQKMAQLLVVLGKIINMKIKLFMNCRGRLSEAPLESFYRFVLEPELMSGANDVSSLGPVAKFLDIPESPLLILNMITPEGWLVETVHSNCDLDNIHLKDTEKTVTAEYELEYLLLEGQCFDKVTEQPPRGLQFTLGTKNKPAVVDTIVMAHHGYFQLKANPGAWILRLHQGKSEDIYQIVGHEGTDSQADLEDIIVVLNSFKSKILKVKVKKETDKIKEDILTDEDEKTKGLWDSIKSFTVSLHKENKKEKDVLNIFSVASGHLYERFLRIMMLSVLRNTKTPVKFWLLKNYLSPTFKEVIPHMAKEYGFRYELVQYRWPRWLRQQTERQRIIWGYKILFLDVLFPLAVDKIIFVDADQIVRHDLKELRDFDLDGAPYGYTPFCDSRREMDGYRFWKTGYWASHLLRRKYHISALYVVDLKKFRRIGAGDRLRSQYQALSQDPNSLSNLDQDLPNNMIYQVAIKSLPQDWLWCETWCDDESKQRAKTIDLCNNPKTKESKLKAAARIVPEWVEYDAEIRQLLDHLENKKQDTILTHDEL", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGCKGDASGACAAGALPVTGVCYKMGVLVVLTVLWLFSSVKADSKAITTSLTTKWFSTPLLLEASEFLAEDSQEKFWNFVEASQNIGSSDHDGTDYSYYHAILEAAFQFLSPLQQNLFKFCLSLRSYSATIQAFQQIAADEPPPEGCNSFFSVHGKKTCESDTLEALLLTASERPKPLLFKGDHRYPSSNPESPVVIFYSEIGSEEFSNFHRQLISKSNAGKINYVFRHYIFNPRKEPVYLSGYGVELAIKSTEYKAKDDTQVKGTEVNTTVIGENDPIDEVQGFLFGKLRDLHPDLEGQLKELRKHLVESTNEMAPLKVWQLQDLSFQTAARILASPVELALVVMKDLSQNFPTKARAITKTAVSSELRTEVEENQKYFKGTLGLQPGDSALFINGLHMDLDTQDIFSLFDVLRNEARVMEGLHRLGIEGLSLHNVLKLNIQPSEADYAVDIRSPAISWVNNLEVDSRYNSWPSSLQELLRPTFPGVIRQIRKNLHNMVFIVDPAHETTAELMNTAEMFLSNHIPLRIGFIFVVNDSEDVDGMQDAGVAVLRAYNYVAQEVDDYHAFQTLTHIYNKVRTGEKVKVEHVVSVLEKKYPYVEVNSILGIDSAYDRNRKEARGYYEQTGVGPLPVVLFNGMPFEREQLDPDELETITMHKILETTTFFQRAVYLGELPHDQDVVEYIMNQPNVVPRINSRILTAERDYLDLTASNNFFVDDYARFTILDSQGKTAAVANSMNYLTKKGMSSKEIYDDSFIRPVTFWIVGDFDSPSGRQLLYDAIKHQKSSNNVRISMINNPAKEISYENTQISRAIWAALQTQTSNAAKNFITKMAKEGAAEALAAGADIAEFSVGGMDFSLFKEVFESSKMDFILSHAVYCRDVLKLKKGQRAVISNGRIIGPLEDSELFNQDDFHLLENIILKTSGQKIKSHIQQLRVEEDVASDLVMKVDALLSAQPKGDPRIEYQFFEDRHSAIKLRPKEGETYFDVVAVVDPVTREAQRLAPLLLVLAQLINMNLRVFMNCQSKLSDMPLKSFYRYVLEPEISFTSDNSFAKGPIAKFLDMPQSPLFTLNLNTPESWMVESVRTPYDLDNIYLEEVDSVVAAEYELEYLLLEGHCYDITTGQPPRGLQFTLGTSANPVIVDTIVMANLGYFQLKANPGAWILRLRKGRSEDIYRIYSHDGTDSPPDADEVVIVLNNFKSKIIKVKVQKKADMVNEDLLSDGTSENESGFWDSFKWGFTGQKTEEVKQDKDDIINIFSVASGHLYERFLRIMMLSVLKNTKTPVKFWFLKNYLSPTFKEFIPYMANEYNFQYELVQYKWPRWLHQQTEKQRIIWGYKILFLDVLFPLVVDKFLFVDADQIVRTDLKELRDFNLDGAPYGYTPFCDSRREMDGYRFWKSGYWASHLAGRKYHISALYVVDLKKFRKIAAGDRLRGQYQGLSQDPNSLSNLDQDLPNNMIHQVPIKSLPQEWLWCETWCDDASKKRAKTIDLCNNPMTKEPKLEAAVRIVPEWQDYDQEIKQLQIRFQKEKETGALYKEKTKEPSREGPQKREEL", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGLPQNKLSFFCFFFLVSVLTLAPLAFSEIFLEEHFEGGWKSRWVLSDWKRNEGKAGTFKHTAGKWPGDPDNKGIQTYNDAKHYAISAKIPEFSNKNRTLVVQYSVKIEQDIECGGAYIKLLSGYVNQKQFGGDTPYSLMFGPDICGTQTKKLHVIVSYQGQNYPIKKDLQCETDKLNHFYTFILRPDASYSVLVDNKEREFGSMYTDWDILPPRKIKVKNAKKPEDWDDREYIDDPNDVKPEGFDSIPREIPDRKAKEPEDWDEEENGLWEPPKIPNSAYKGPWKAKRIKNPNYKGKWKNPWIDNPEFEDDPDLYVLKSIKYAGIEVWQVKAGSIFDNILICDDPAYARSIVDDYFAQHRESEKELFAEAEKERKAREDEEARIAREEGERRRKERDHRYGDRRRRYKRPNPRDYMDDYHDEL", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEAANEPVNGGSVQIRTENNERRKLPNFLQSVNMKYVKLGYHYLITHLFKLCLVPLMAVLVTEISRLTTDDLYQIWLHLQYNLVAFIFLSALAIFGSTVYIMSRPRSVYLVDYSCYLPPESLQVKYQKFMDHSKLIEDFNESSLEFQRKILERSGLGEETYLPEALHCIPPRPTMMAAREESEQVMFGALDKLFENTKINPRDIGVLVVNCSLFNPTPSLSAMIVNKYKLRGNVKSFNLGGMGCSAGVISIDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKAMLIPNCLFRVGGSAILLSNKGKDRRRSKYKLVHTVRTHKGAVEKAFNCVYQEQDDNGKTGVSLSKDLMAIAGEALKANITTLGPLVLPISEQILFFMTLVTKKLFNSKLKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLSQTHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRMKKGNRVWQIAFGSGFKCNSAVWVALNNVKPSVSSPWEHCIDRYPVKLDF", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAVPHPSSSSSRSHPFLSHVYHTSFHHHHHHNHPSLVLFWCLVFSLLSPLALSSSSSSSSSSSDSSSSSSSHISLGIGETEGTKHDLHQAILRDEAVARLHELGQVSDAATHLERTFMSPASIRAIPLIRGWMEDAGLSTWVDYMGNVHGRVEPKNGSSQALLIGSHMDTVIDAGKYDGSLGIISAISALKVLKIDGRLGELKRPVEVIAFSDEEGVRFQSTFLGSAALAGIMPVSRLEVTDKSGISVQDALKENSIDITDENLMQLKYDPASVWGYVEVHIEQGPVLEWVGYPLGVVKGIAGQTRLKVTVKGSQGHAGTVPMSMRQDPMTGAAELIVLLESVCKNPKDYLSCNVQCNEDTVESLANSLVCTVGEISTWPSASNVIPGQVTFTVDLRTIDDVGRKAILHDLSTRMYQICDKRSLLCSIERKHDADAVMSDPQLSLQLKSAAQSALKKMTGEVQDEVPVLMSGAGHDAMAMAHLTKVGMLFVRCRGGISHSPAEHVLDDDVGAAGLAILEFLESQM", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDPVRLYYSYNDIHKMCAQQAEKILETFRPDVIIAIGGGGFIPARILRTFLKKKGSKNIPIQAIGLSLYEELVSDSPEEVPGLEVKRTQWLDFSTLGMVDLVGKNILIVDEVDDTRTTLHYALRELQRDVAEQAKKLNREGEKTTFGIFVVHNKVKPKNAQLDKEILDKYYFTGCNTPDCWIMYPWEAQDIEEHDSHVAKMGDLKP", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRSMNCTTNNTNNTGQNTKNSLGSSFNSSNYTSYRFQTCLTDQIISEAQTWSLSSLFNFSWVVSYFVMGASRMIFRYGWYLATLSLLRIPKWIFFKLHHVQFTLSFWLILFALAVIVFVTYTIMKERILSQYKRLTPEFLPLENTGKSGSSANINAASTQSANAPPAIGSSTTGASSIIDSKKHSLKDGNENETFLSSYLDQFLSAIKIFGYLEKPVFHDLTKNMKTQKMDEGEILLLDSTIGFAIVVEGTLQLYHEVDHSDKDHGDETDHSDTDGLDDQDRDEEDEEEDDDIDNYDTKSCSSNLIDEEDESVGYIHLKNGLGNFQLLNTVKPGNPLTSLVSILNLFTHSMSSYGNSNFPSELSSPIDTTVSVNNMFCSSEQNFSNTDSMTNSTNSFPTFPSSMPKLVARAATDCTIGIIPPQSFAKLTAKYPRSASHIIQMVLTKLYHVTFQTAHDYLGLTKEIMDIEVLLNKSIVYELPYYLKEAVIRKFKTVDKSSGSADLEPKPKNSNASSKLKKPPKAKPSDGIIQSLKIANANANTSSNSLSLKPEFTHHPSSRHVVLGSRDQFNPGDLLSNVPLSRTMDILSPNPIHNNNRNKSNGINTSTSNQHKRSSRSSSNNASVHSKKFSSLSPELRNAQLSTSPLSLDNTSVHDHIHPSPVHLKGRVSPRPNLLPTTSFSAAQEETEDSALRMALVEAMLTYLGVNKSNMSVSSSSIANMSSLNSPQLNEMYSRRPSNASFLMSPHCTPSDISVASSFASPQTQPTMLRILPKEYTISNKRHNKSKSQDKKKPRAYKEELTPNLDFEDVKKDFAQGIQLKFFKKGTTIVEQNARGKGLFYIISGKVNVTTNSSSSVVSSMSKPEQVSAQSSHKGENPHHTQHLLYSVGSGGIVGYLSSLIGYKSFVNIVAKSDVYVGFLSSATLERLFDKYFLIYLRISDSLTKLLSSRLLKLDHALEWVHLRASETLFSQGDSANGIYVVLNGRLRQLQQQSLSNSNTSSEEVETQNIILGELAQGESFGEVEVLTAMNRYSTIVAVRDSELARIPRTLFELLALEHPSIMIRVSRLVAKKIVGDRTVPALTGDPLSIKENDFTSLIPPTKASYSSSLSHKPQNITSGTITFRTITILPITSGLPVEAFAMKLVQAFKQVGRTTIGLNQRTTLTHLGRHAFDRLSKLKQSGYFAELEEMYQTVVYISDTPVKSNWTRTCIAQGDCILLLADARSPSAEIGEYEKLLLNSKTTARTELILLHPERYVEPGLTHKWLRYRPWVHSHHHIQFSLTGTTLMNEGKMHVLNNGALALMDKLIQTEFSRKTQQNISKLLPDSIKNTVENFSSRFMKSKRQYYTPVHRHKNDFLRLARILSGQAIGLVLGGGGARGISHLGVIQAIEEQGIPVDVIGGTSIGSFVGGLYAKDYDLVPIYGRVKKFAGRISSIWRMLTDLTWPVTSYTTGHEFNRGIWKTFGDTRIEDFWIQYYCNSTNITDSVQEIHSFGYAWRYIRASMSLAGLLPPLEENGSMLLDGGYVDNLPVTEMRARGCQTIFAVDVGSADDRTPMEYGDSLNGFWIIFNRWNPFSSHPNIPNMAEIQVRLGYVASVNALEKAKNTPGVVYVRPPIEEYATLDFSKFEEIYHVGVDYGRIFLQGLIDDDKMPYIPGSQETTLNSQVPEFLLHRRNSI", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEWWASSPLRLWLLLFLLPSAQGRQKESGSKWKVFIDQINRSLENYEPCSSQNCSCYHGVIEEDLTPFRGGISRKMMAEVVRRKLGTHYQITKNRLYRENDCMFPSRCSGVEHFILEVIGRLPDMEMVINVRDYPQVPKWMEPAIPVFSFSKTSEYHDIMYPAWTFWEGGPAVWPIYPTGLGRWDLFREDLVRSAAQWPWKKKNSTAYFRGSRTSPERDPLILLSRKNPKLVDAEYTKNQAWKSMKDTLGKPAAKDVHLVDHCKYKYLFNFRGVAASFRFKHLFLCGSLVFHVGDEWLEFFYPQLKPWVHYIPVKTDLSNVQELLQFVKANDDVAQEIAERGSQFIRNHLQMDDITCYWENLLSEYSKFLSYNVTRRKGYDQIIPKMLKTEL", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLVGQGAGLLGPAVVTAAVVLLLSGVGPAHGSEDIVVGCGGFVKSDVEINYSLIEIKLYTKHGTLKYQTDCAPNNGYFMIPLYDKGDFILKIEPPLGWSFEPTTVELHVDGVSDICTKGGDINFVFTGFSVNGKVLSKGQPLGPAGVQVSLRNTGTEAKIQSTVTQPGGKFAFFKVLPGDYEILATHPTWALKEASTTVRVTNSNANAASPLIVAGYNVSGSVRSDGEPMKGVKFLLFSSLVTKEDVLGCNVSPVPGFQPQDESLVYLCYTVSREDGSFSFYSLPSGGYTVIPFYRGERITFDVAPSRLDFTVEHDSLKIEPVFHVMGFSVTGRVLNGPEGDGVPEAVVTLNNQIKVKTKADGSFRLENITTGTYTIHAQKEHLYFETVTIKIAPNTPQLADIVATGFSVCGRISIIRFPDTVKQMNKYKVVLSSQDKDKSLVTVETDAHGSFCFKAKPGTYKVQVMVPEAETRAGLTLKPQTFPLTVTDRPVMDVAFVQFLASVSGKVSCLDTCGDLLVTLQSLSRQGEKRSLQLSGKVNAMTFTFDNVLPGKYKISIMHEDWCWKNKSLEVEVLEDDVSAVEFRQTGYMLRCSLSHAITLEFYQDGNGRENVGIYNLSKGVNRFCLSKPGVYKVTPRSCHRFEQAFYTYDTSSPSILTLTAIRHHVLGTITTDKMMDVTVTIKSSIDSEPALVLGPLKSVQELRREQQLAEIEARRQEREKNGNEEGEERMTKPPVQEMVDELQGPFSYDFSYWARSGEKITVTPSSKELLFYPPSMEAVVSGESCPGKLIEIHGKAGLFLEGQIHPELEGVEIVISEKGASSPLITVFTDDKGAYSVGPLHSDLEYTVTSQKEGYVLTAVEGTIGDFKAYALAGVSFEIKAEDDQPLPGVLLSLSGGLFRSNLLTQDNGILTFSNLSPGQYYFKPMMKEFRFEPSSQMIEVQEGQNLKITITGYRTAYSCYGTVSSLNGEPEQGVAMEAVGQNDCSIYGEDTVTDEEGKFRLRGLLPGCVYHVQLKAEGNDHIERALPHHRVIEVGNNDIDDVNIIVFRQINQFDLSGNVITSSEYLPTLWVKLYKSENLDNPIQTVSLGQSLFFHFPPLLRDGENYVVLLDSTLPRSQYDYILPQVSFTAVGYHKHITLIFNPTRKLPEQDIAQGSYIALPLTLLVLLAGYNHDKLIPLLLQLTSRLQGVGALGQAASDNSGPEDAKRQAKKQKTRRTLRLQEEFQLMWCLVPWRGTLGIHLFSSLPFASEILLETTATCIHY", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSDIGDWFRSIPAITRYWFAATVAVPLVGKLGLISPAYLFLWPEAFLYRFQIWRPITATFYFPVGPGTGFLYLVNLYFLYQYSTRLETGAFDGRPADYLFMLLFNWICIVITGLAMDMQLLMIPLIMSVLYVWAQLNRDMIVSFWFGTRFKACYLPWVILGFNYIIGGSVINELIGNLVGHLYFFLMFRYPMDLGGRNFLSTPQFLYRWLPSRRGGVSGFGVPPASMRRAADQNGGGGRHNWGQGFRLGDQ", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAPQNLSTFCLLLLYLIGAVIAGRDFYKILGVPRSASIKDIKKAYRKLALQLHPDRNPDDPQAQEKFQDLGAAYEVLSDSEKRKQYDTYGEEGLKDGHQSSHGDIFSHFFGDFGFMFGGTPRQQDRNIPRGSDIIVDLEVTLEEVYAGNFVEVVRNKPVARQAPGKRKCNCRQEMRTTQLGPGRFQMTQEVVCDECPNVKLVNEERTLEVEIEPGVRDGMEYPFIGEGEPHVDGEPGDLRFRIKVVKHPIFERRGDDLYTNVTISLVESLVGFEMDITHLDGHKVHISRDKITRPGAKLWKKGEGLPNFDNNNIKGSLIITFDVDFPKEQLTEEAREGIKQLLKQGSVQKVYNGLQGY", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPARRLLLLLTLLLPGLGIFGSTSTVTLPETLLFVSTLDGSLHAVSKRTGSIKWTLKEDPVLQVPTHVEEPAFLPDPNDGSLYTLGSKNNEGLTKLPFTIPELVQASPCRSSDGILYMGKKQDIWYVIDLLTGEKQQTLSSAFADSLCPSTSLLYLGRTEYTITMYDTKTRELRWNATYFDYAASLPEDDVDYKMSHFVSNGDGLVVTVDSESGDVLWIQNYASPVVAFYVWQREGLRKVMHINVAVETLRYLTFMSGEVGRITKWKYPFPKETEAKSKLTPTLYVGKYSTSLYASPSMVHEGVAVVPRGSTLPLLEGPQTDGVTIGDKGECVITPSTDVKFDPGLKSKNKLNYLRNYWLLIGHHETPLSASTKMLERFPNNLPKHRENVIPADSEKKSFEEVINLVDQTSENAPTTVSRDVEEKPAHAPARPEAPVDSMLKDMATIILSTFLLIGWVAFIITYPLSMHQQQQLQHQQFQKELEKIQLLQQQQQQLPFHPPGDTAQDGELLDTSGPYSESSGTSSPSTSPRASNHSLCSGSSASKAGSSPSLEQDDGDEETSVVIVGKISFCPKDVLGHGAEGTIVYRGMFDNRDVAVKRILPECFSFADREVQLLRESDEHPNVIRYFCTEKDRQFQYIAIELCAATLQEYVEQKDFAHLGLEPITLLQQTTSGLAHLHSLNIVHRDLKPHNILISMPNAHGKIKAMISDFGLCKKLAVGRHSFSRRSGVPGTEGWIAPEMLSEDCKENPTYTVDIFSAGCVFYYVISEGSHPFGKSLQRQANILLGACSLDCLHPEKHEDVIARELIEKMIAMDPQKRPSAKHVLKHPFFWSLEKQLQFFQDVSDRIEKESLDGPIVKQLERGGRAVVKMDWRENITVPLQTDLRKFRTYKGGSVRDLLRAMRNKKHHYRELPAEVRETLGSLPDDFVCYFTSRFPHLLAHTYRAMELCSHERLFQPYYFHEPPEPQPPVTPDAL", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTESIISSRTASISSKEGYEIRQGSTDSSSLDLEKKENAVDTTIAKPFDSDEDIADVEKAGGKKINNSLIDETFAFMQDAKKLDPLTPKQESKLKWKLYIYLLLMLGFLDMMLFIGKATLSYSTILGLFDDVHITSNQYNNLNTLFYVGYIVGQFPGHYIMQTFPLGKFVGLVTFSWSVIVFLHCCAYNYGGLIALRFFLGFTESCLLPAMEATMGMFFTHQEQAFLQPVFWISCLSCGIPAGFIAYGLEFVTKSIAPWKLFMIITGGITFFLSIFLFFYYPDNPSKARFLTDEEKLYTIDRVRKSTRGGIENKIFKKHQFIEALKDPITWLFTFAAFTLMLSNNLAYQQNLIFTSLNVSDLNSTLVGVALAGYNTVSAIIATFAMYLIPNQSAYHAMFWMLPSITGGIAFVALPWSNRIGELATMIIASDFGITYIIALGWTTATSTGYTKKLTRGLMFMVGYAIANIISPQLWQSRDAPRYYPAWIVQIVVAWFVTPIIYLVARVILARRNKQRKELKDKKIAEGSLETIEKTYVDTVDEFGNPVKVLIDNSMLDLTDMENLNFVYPL", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLNVPSQSFPAPRSQQRVASGGRSKVPLKQGRSLMDWIRLTKSGKDLTGLKGRLIEVTEEELKKHNKKDDCWICIRGFVYNVSPYMEYHPGGEDELMRAAGSDGTELFDQVHRWVNYESMLKECLVGRMAIKPAVLKDYREEEKKVLNGMLPKSQVTDTLAKEGPSYPSYDWFQTDSLVTIAIYTKQKDINLDSIIVDHQNDSFRAETIIKDCLYLIHIGLSHEVQEDFSVRVVESVGKIEIVLQKKENTSWDFLGHPLKNHNSLIPRKDTGLYYRKCQLISKEDVTHDTRLFCLMLPPSTHLQVPIGQHVYLKLPITGTEIVKPYTPVSGSLLSEFKEPVLPNNKYIYFLIKIYPTGLFTPELDRLQIGDFVSVSSPEGNFKISKFQELEDLFLLAAGTGFTPMVKILNYALTDIPSLRKVKLMFFNKTEDDIIWRSQLEKLAFKDKRLDVEFVLSAPISEWNGKQGHISPALLSEFLKRNLDKSKVLVCICGPVPFTEQGVRLLHDLNFSKNEIHSFTA", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAGPRNVRTLHGNGGRNNDVMGPKEFWLNIPPITRTLFTLAIVMTIVGRLNLINPWYFIYVWNLTFKKVQIWRLLTSCVMLSSRAMPALMELYSIYDRSSQLERGHFGPGLSNRRGPMVTVDYAYYLCFCILAITTATTIIYGSYYPVVLTSGFISCITYTWSIDNANVQIMFYGLIPVWGKYFPLIQLFISFVFNEGDFVISLIGFTTGYLYTCLDTHTLGPIWGMISRKADPTYGISPNGKFSTPWWFTSLYARITGAHNETATFNNNFANVPSSQRETRTFSGRGQRLGTAPATLSQTSGTDSGRASGSQLRSGPSNLNQFQGRGQRVGQTNSPSDSQ", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLEEQLYLLACIFASRADTRNIKKLSTRLGSQSKYLEILCVLWPELDDPKNLLFLRELEEEVQSPEGEETTDEDVIVELLESDSSLIPLIESDTTTRSNRYHELQEFISKKLNNKTLENFEEWLRERILICNEMIPETPLLYSVLWETAKSKVLSTKFIGWVEGVLKPLDHLNKRLHLIFKINEWEKMPDSELFKIIFDGVEDMQGYIGIADVIEDELAPTLSYGKKWETFITEFFNKQQFSLKSDTNYQLFIKLYYSLEKGVKDNSEASRKLQSNVVDILFHNSENLFNLSSLTHKLDELWSILSGFPDEITIEEQKTITALEMKQFMEFFIKCSTKFSFKEIFAITQEEESAQLAHFSSLCHEEFNKANEISSFLQAMYETVLDISKDDKIFTRISMDEKLYSILEILLQMNEFAYIEAIIERFDYSNNTQIYELLVKFFWHFFNNASNGLRKEPEMKKASQTLQIIQKHMSQRAGTNLTKLEVLLEISDKLSHYSINLNKSHNGARDTAFKPSNILEYRDCPLDIISNLLELNPRLYKDLPTTKSLLFGIYDSLSINREGQTGKVEVDLMVLHIDYALVNLDFGTAYELGKQVFEICQEAGQHMMKALGDEHWLTFYQMGKFVDPNWVDNEIPTEIIVLQMSILGRLLEVCPLEEVEIVTSQWSTLELELSARDLVKDKYALDGQNDNKSKVGGIAREIFHNVTNF", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPQAPMPEFSSSVKLKYVKLGYQYLVNHFLSFLLIPIMAIVAVELLRMGPEEILNVWNSLQFDLVQVLCSSFFVIFISTVYFMSKPRTIYLVDYSCYKPPVTCRVPFATFMEHSRLILKDKPKSVEFQMRILERSGLGEETCLPPAIHYIPPTPTMDAARSEAQMVIFEAMDDLFKKTGLKPKDVDILIVNCSLFSPTPSLSAMVINKYKLRSNIKSFNLSGMGCSAGLISVDLARDLLQVHPNSNAIIVSTEIITPNYYQGNERAMLLPNCLFRMGAAAIHMSNRRSDRWRAKYKLSHLVRTHRGADDKSFYCVYEQEDKEGHVGINLSKDLMAIAGEALKANITTIGPLVLPASEQLLFLTSLIGRKIFNPKWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLQLSGEHVEASRMTLHRFGNTSSSSLWYELSYIESKGRMRRGDRVWQIAFGSGFKCNSAVWKCNRTIKTPKDGPWSDCIDRYPVFIPEVVKL", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MARKRTNKRNNSDKENGNVGVVQNKDSASSKTTEPARLTKHKSLARKPSQNFITRTIWTFLLLGIFFTALAMGHFWVVLLVTIVQIGVYKEVIAIASVPSREKDLPWTRFINWYFLMTTLYYAYGESIYAYFHHLFIMDSFMLPLVLHHRFISFMLYIIGFVLFVASLKKGNYKFQFSQFCWTHMTLLLVVGQSHFMINNLFEGLFWFFVPVCYVVCNDVFAYLCGKMFGKHPLIQVSPKKTVEGFLGGWICTVVIGSLISYVLMHFKYFICPTRDLSTSAFSGLNCTPNSVFLPHTYTIPAVFVDTFRLPETITLAPIYFHLAIFATFSSLIAPFGGFFASGLKRAFKIKDFGASIPGHGGLTDRMDCQFLNGVFVYMYFQSFIAEKSTSVADLLDTAVYSLTTTQQVQLVEDLQNYLISHGKTSVQAICSKLLQNSK", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRFQGVGLCLGLLFITVNADFMDDGVEVEDFSENSDESNIKDEPSSGTFKYKTPQPIGEVYFTETFDSGNLAGWVLSKAKKDDMDSEIAIYDGRWEIEELKENQVPGDRGLVLKSKAKHHAIAAVLEKPFIFADKPLIVQYEVNFQDGIDCGGAYIKLLADTGDLILENFYDKTSYTIMFGPDKCGEDYKLHLIFRHKHPKTGVFEEKHAKPPDVDLKEFFTDRKTHLYTLVMNPDDTFEVLIDQKVVNQGTLLDDVVPPINPPREIDDPSDKKPEEWDDRAKIPDPTAVRPEDWDENEPAQIEDSSAVKPDGWLDDEPKFIPNPKAEKPEDWSDDMDGEWEAPHIPNPACQIGCGEWKPPMIDNPKYKGIWRPPMINNPNYQGLWSPQKIPNPDYFEDDHPFLLTSFSALGLELWSMTPDIYFDNFIICSEKEVADQWATDGWELKIMVANANEPGVLRQLVIAAEERPWLWLMYLVMAGLPVALVASFCWPRKVKKKYEDTGPKKTELCKLQSKAALEQEAEEEKAPEKPEDVQEEKKPGEAEVVTVEKEVIGEPEEKSKEDRETLEGQEEVSKLSKSGSEDEMKDADESPGSGDAPLKSLRKRRVRKD", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLLPVPLLLGLLGLAAADPTVYFKEQFLDGDGWTERWIESKHKPDFGKFVLSSGKFYGDQEKDKGLQTSQDARFYALSARFEPFSNKGQTLVVQFTVKHEQNIDCGGGYVKLFPAGLDQTDMHGDSEYNIMFGPDICGPGTKKVHVIFNYKGKNVLINKDIRCKDDEFTHLYTLIVRPNNTYEVKIDNSQVESGSLEDDWDFLPPKKIKDPDAAKPEDWDDRAKIDDPTDSKPEDWDKPEHIPDPDAKKPEDWDEEMDGEWEPPVIQNPEYKGEWKPRQIDNPEYKGIWIHPEIDNPEYSPDSNIYAYENFAVLGLDLWQVKSGTIFDNFLITNDEAYAEEFGNETWGVTKAAEKQMKDKQDEEQRLHEEEEEKKGKEEEEADKDDDEDKDEDEEDEDEKEEEEEEDAAAGQAKDEL", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSEQEKGKGDLDDPNSKNTKCPDKFEQKVEEYLEVLNELHLTGRTSGFCMRNFTVEGAFNPKFQIRSFFAQLLHPINIIFRTGPKRDIIPLVKGFDGYLQPGSSLLVLGHEGSGGSTLLKALCGIVEENERLNGSLHYDGLDYKIAHSQFKADLSYCGEGHSKVATITVRRLLEFVCSCRLPASKYDHPRSHYIRRICEIIRDAFDLGDFYNHRILRVFNSGDQIKVDVAQTMCARPLIQCWDNNMRDFDSISVIDILSRIKVLSHKLGTTLVAIVSQASDRIFHMFDMVTLMYEGEQIFYGPTSRLKPYFLDLGFIPAKHSTTVEFVTSLTYPEMRIINKKHQGFIPSTPAEFRECWLRSEDYAKLIKFMDRYEENHSDIHAFKDAKFDQTRLQKFLRWLNSNPCLIPYRLQVFATAKVTFFQYLHDYSYIATFVFTYVFQALMLGSLFYNLRNESSELYSRGSVLSNAIVFTAIQTMSEVDIIFLKKSLFKEHRVQSLYHPSAALMGSSLVEFPMRIVVVTMYDIIVYFLSDLKRNARSFFIFYLFTIVITFCMSAVFRFIALLSTTAEIAALIGGIGALVLIIFCGAVMPVQYIGWWFRWIAYANPVNYGYESIMLNEFDGREIPCSLMAPAPDTAPIENNFCLATAGRTGTSIVSGYQYLQVVYQYKADFLWRNCGIILGFAIFILASSLILANFIRYDRESVHIPEFQKRKSYSQVASSFLIEPQDKPPSQTEPDNKKVDVSTTLSTNDNLVLCWRDLNFTVVTKTSKKQILTNVSGYLKKNTLTALLGENKSGKSVLLRILSQRGIAGSVEGEITLSGLKNPKNLRKRIGYVRKNPLFISEYTVRETLRLHAALRQSKQRSLSEQYAYVEYVIDFLGLGEVADFIVGDMGKGLSLYHKRLLSIAVELSARPGSILLLDEPANGLDSQSAWMLVCILQKLARSGLSILCSVSQPSSRILELFDMMLILDLNGNTVYYDTMGRDLSKLVNYFKRIHGTNEHSKDTADFVLHCIQFLKQGPEFDYAGAWASTNTHKQIIEHVNFIMDNPELTDDDFPNETRFMTSFFFQIYKISMRNFVAYWRDSSLLRARVAFNIVAGLIIGFSFYKQGVGVEETQNKMFSAYMLTVASTSTMNGLQPKFIYFRSIYEQYEQNTAIYSRTAFIIAFFLVEAVINCCFATLFFFGWYYPSGFYEFNHNIPFYGGFAWLMLMIFTLYYTTLGIGIATISPSIGTASIISGTAFVFIQYFNGMIQLPGVIVGFWKWMDALSPYKYFLEGMIGGVLHDAPITCEKFEIHYVDPPPNYSCGEYFSSFLNSSGHGIVYNPEAYSSCQYCPYKNADELMVGFGYHYNHKWRNFCIMIGYTAFNLGAAIALYYIIHKTPWKRLAARFVPD", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MADEHKHEESSPNLDPAVEVVERESLMEKLSEKIHHKGDSSSSSSSDDENEKKSSSSSPKSLKSKVYRLFGRERPVHKVLGGGKPADIFMWKDKKMSGGVFGGATVAWVLFELMEYHLLTLLCHVMIVALAVLFLWSNATMFIHKSPPKIPEVHIPEEPLLQLASGLRIEINRGISSLREIASGRDIKKFLSAIAGLWVLSILGGCYSFLTLAYIALVLLFTVPLFYDKYEDKVDSYGEKAMAELKKQYAVLDAKVFSKIPRGPLKDKKKD", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MELGAAARAWSLLWLLLPLLGLVGASGPRTLVLLDNLNLRETHSLFFRSLKDRGFVLTFKTADDPSLSLIKYGEFLYDNLIVFSPSVEDFGGNINVETISTFIDGGGSVLVAASSDIGDPLRELGSECGIEFDEEKTAVIDHHNYDVSDLAQHTLIVADTENLLKAPTIVGKSSLNPILFRGVGMVADPDNPLVLDILTGSSTSYSFFPDKPITQYPHAVGKNTLLIAGLQARNNARVIFSGSLDFFSDAFFNSAVQKATPGSQRYPQTGNYELAVALSRWVFKEEGVLRVGPVSHHRVGEKAPPNAYTVTDLVEYSIVIEQLSEGRWVPFDGDDIQLEFVRIDPFVRTFLKRKGGKYSVQFKFPDVYGVFQFKVDYNRLGYTHLYSSTQVSVRPLQHTQYERFIPSAYPYYASAFSMMVGLFIFSVVFLHMKEKEKSD", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSDVDNWEPVSDNEDSTDSVKQLGPPFEHASNNDNAGDTEAESLQEVPLNTETNDVRKNLVVITNQSAADEHPTEIKHDQSRTSSTSSFFSGMISSFKSNVPSPVSRSTTPTSPVSQPSIISHRREPSMGSKRRSSRRISNATIAEIGSPLQQVEKPDEVKTRLTPSQMKEDNYDHRRFVEERYMDTPYHYASEQRNKDFHETFKSVPKDDRLLDDFNCGLNRELLYQGKLYITETHLCFNSNVLGWIAKVLIAFEDVTFMEKTSAAGLFPSAISIETKMGKTLFNGFISRDAAFGLMKEVWSRTLLQKDMASENINTKAEKSGNGKEIDDAINSIDEENNDKDANDNDTNENDDENISTNETTPNSTSSSPDKEKEKAYKLRADSSYQYDGPIYHHSTSFPAEPMANNEFVLKELPFDCAPGILFEIMFNSEQNEFLLDFLRGQEGSQITTIPNFTSIDGSSMTLKREYSYEKALHFPAGPKSTTCYVAEVIKRKDPDTYYEVISSIRTPNVPSGGSFSTKTRYLIRWNDEITCLLRVSFWVEWTGSSWIKGMVENGCKNGQLEAAQLMERILSKFIKNNVEECQITISKEEEEQDDKEVKNKLKEVDLEQPREAVVTAPAIAEQQGLKVTMETWLFLYLIVVVLLLFNLFYIRSIAVSLHQLVKLQLVELKL", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFLLQRFFIYGLFLACFYTTVFGEKHFEAEEYRDSFLSQENMNKINHTTIERLFREMTENDPSLLSSSKTLAELSKGELAKAREDLKSVLSFLKNNLPVDTESSSEAFTIEKDNNSCVWLNSVKSFVEKQFSYSSGTNGILATFLTAIPPNIFILLVPKSFDTSMLNLFVAVSAGSLLGDVFLQLLPTVYSTNGGDFPASSVYSILIGALVFFLMDKGIRILIHERPSSLSKPKKDGEETSSVNKPSASSTQTDVKGVEGLRKRNVKDDQNSKGHEPDLIRHVVEEVSEEYNDKTVVYLNLLCDSFHNFMDGLAITSAFFTNTSIGISTTFAVLLHEIPAEIGDLAILLRNGYTKSQVLVLQMITMVTGLLGAIVATYIYTASSSSSPYGSFLLQLEDKLLPFTAGGFLYIAYLGVFPELLEINLSKGKLGNMIYTALYMMFIVGGFSFLYYV", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAGIKLTHKLYQYYQLATSFLYAALLIRWLILMPLVGSRFLPGGIHEFLIYLMFYSSIMEVIWLLRFHGFKYGLLSRTFLKDLNFIYLVSVIHFYDDYEHALILKNASYSSFIISLSLSQAYCHWCKLFKRKGVKERTLVWKVNTFVTLPILYLSEFALLLLNIQVKNYHSTPTLDIINRVVLLAYFPVLLTAYKKLLTK", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MQAKIIYALSAISALIPLGSSLLAPIEDPIVSNKYLISYIDEDDWSDRILQNQSVMNSGYIVNMGDDLECFIQNASTQLNDVLEDSNEHSNSEKTALLTKTLNQGVKTIFDKLNERCIFYQAGFWIYEYCPGIEFVQFHGRVNTKTGEIVNRDESLVYRLGKPKANVEEREFELLYDDVGYYISEIIGSGDICDVTGAERMVEIQYVCGGSNSGPSTIQWVRETKICVYEAQVTIPELCNLELLAKNEDQKNASPILCRMPAKSKIGSNSIDLITKYEPIFLGSGIYFLRPFNTDERDKLMVTDNAMSNWDEITETYYQKFGNAINKMLSLRLVSLPNGHILQPGDSCVWLAEVVDMKDRFQTTLSLNILNSQRAEIFFNKTFTFNEDNGNFLSYKIGDHGESTELGQITHSNKADINTAEIRSDEYLINTDNELFLRISKEIAEVKELLNEIVSPHEMEVIFENMRNQPNNDFELALMNKLKSSLNDDNKVEQINNARMDDDESTSHTTRDIGEAGSQTTGNTESEVTNVAAGVFIEHDEL", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDTSMNFSRGLKMDLMQPYDFETFQDLRPFLEEYWVSSFLIVVVYLLLIVVGQTYMRTRKSFSLQRPLILWSFFLAIFSILGTLRMWKFMATVMFTVGLKQTVCFAIYTDDAVVRFWSFLFLLSKVVELGDTAFIILRKRPLIFVHWYHHSTVLLFTSFGYKNKVPSGGWFMTMNFGVHSVMYTYYTMKAAKLKHPNLLPMVITSLQILQMVLGTIFGILNYIWRQEKGCHTTTEHFFWSFMLYGTYFILFAHFFHRAYLRPKGKVASKSQ", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKINVSRPLQFLQWSSYIVVAFLIQLLIILPLSILIYHDFYLRLLPADSSNVVPLNTFNILNGVQFGTKFFQSIKSIPVGTDLPQTIDNGLSQLIPMRDNMEYKLDLNLQLYCQSKTDHLNLDNLLIDVYRGPGPLLGAPGGSNSKDEKIFHTSRPIVCLALTDSMSPQEIEQLGPSRLDVYDEEWLNTIRIEDKISLESSYETISVFLKTEIAQRNLIIHPESGIKFRMNFEQGLRNLMLRKRFLSYIIGISIFHCIICVLFFITGCTAFIFVRKGQEKSKKHS", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKGFFLIAGFLLFARALCASWNVEEGTLQLSSQDLESENAYDLKFSQIQSSTVYELTGDETLNLKFTCILNGTGAIPHQAHLLLSDTEHPTLAVIYPASVSQGGVASLELRLFDIPTSLLRSDSTLTAKLLVASFGETIPFSLPLGQLSINVPPSLYHKAEFSPLDELSPKEVILHTFSPPPKRANYFLSICFSVSVVVSLIGLLGVWQKLLPKSNVYSVSSSSFARTFGFASLAVAEILLFIYWTSLSIFQFGAYAAGVAIMCGIAAKSL", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSFVSKLLYTVSALVLFHSGFSSYEFHHLLKLNSLNNAQGAISKLPKDIMYETYAGLILFVLAVFTSFEKLQYLPIESNDGKIISQGNYLKEIALNKATNVDNLIGSNPNGEIIFTPSFVDVHMKRKICREWASNTVKKEK", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSNELLTIDPVDLQFPFELKKQISCSLYLGNKTDNYVAFKVKTTNPKKYCVRPNTGVVHPRSSSEVLVTMQAQKEAPADLQCKDKFLLQCVVASPGATPKDVTHEMFSKEAGHRVEETKLRVVYVAPPRPPSPVREGSEEGSSPRASVSDNGNASDFTAAPRFSADRVDAQDNSSEARALVTKLTEEKNSAVQLNNRLQQELDQLRRESKRSKSGGIPFMYVLLVGLIGLILGYIMKRT", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPNPNQAIKKENEPIQVENPTELVRDDGEVEGYQKEEGKFKLVLSILKQCIGVKDIASLRFSLPAQLLEPVGNLEYWNYVDRPDYFAVMGDSDDELERMLGVLRWWFTKDLRFVRGRVVKPYNSVLGEFFRCKWVVTDPTVREDHTLDPDSSQLPTYKTEYSETTKFPLGKSYRPKASRTTSSQSVASTMTKSSTKTSKKKSSKKNSKSESNQDSSNDRSSTAPSTAESNNEHLSSSQKSKHSIVFMAEQTSHHPAVSAFYVTCPSKGIEVYGQDQIAVGFTGTSFKVCAGDLNKGVYVRFNKRDNEEYLCTHPSASVGGILRGNLHINLLDSTVILCPKTRIKTIITYIEERWLGKPRSLVEGVCYRYDPSNDTIDSIKAVPKENILATFKGNWRNCIFYSYAGESESRMLVDLNELDLVHKRCPPLDKQFPFESRKIWFPVTHNILAKHYTQATKAKQEIEDQQRQASAAREESHTEWKPRFFVPDEKEGRPTLTEEGKKVLEQSLSDEYIHGS", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKASHICSYLLSIAPLVVSHGVHHNRDHGHEANHESKQSFLILKQESIFYSLVCFLQNHLFVLGPRYNAIVAILIIQLMPCLFVLFVPGLRKNDRASLTLSLLVSFSLGTLLGDILLHVIPESLSGVTDVTMVGGAIFLGFISFLTLDKTMRILSGTSNDDGSIHSHSHSHTPQQTAEKKAGFNMSAYLNVISGIAHHITDGIALATSFYSSTQVGIMTSIAVTFHEIPHELGDFAILLSSGFTFPQAIRAQAVTAFGAVVGTSIGCWMNEIGNNSHKATSSSANASELMLPFTAGGLIYIATTSVVPQILHSSAPDSKLREFKKWALQLVFIFVGFAVMALMDEH", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGTFCSVIKFENLQELKRLCHWGPIIALGVIAICSTMAMIDSVLWYWPLHTTGGSVNFIMLINWTVMILYNYFNAMFVGPGFVPLGWKPEISQDTMYLQYCKVCQAYKAPRSHHCRKCNRCVMKMDHHCPWINNCCGYQNHASFTLFLLLAPLGCIHAAFIFVMTMYTQLYHRLSFGWNTVKIDMSAARRDPLPIVPFGLAAFATTLFALGLALGTTIAVGMLFFIQMKIILRNKTSIESWIEEKAKDRIQYYQLDEVFVFPYDMGSRWRNFKQVFTWSGVPEGDGLEWPVREGCHQYSLTIEQLKQKADKRVRSVRYKVIEDYSGACCPLNKGIKTFFTSPCTEEPRIQLQKGEFILATRGLRYWLYGDKILDDSFIEGVSRIRGWFPRKCVEKCPCDAETDQAPEGEKKNR", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MIHLPQGSFTQGLIVGQLLTLAIIYVFLRFFLFCSPIPKSVANSPKQTGNETPDETPSTPLSNNKKRYKKPLTILEPHILNLLYDVNEHEPESLDWFNVLIAQALIQFRYDACSNDVALRKLETVLNKGAQDKSMVDHIYVRDLSLGDGFPVFSHCRVLPHQHNSSQLRAEMLVSLTDNINCTVDTKLLLNFPKPAFATLPLSITVRICKFVGKIMIYFSPSNGAGQPAYMNLSFDPNFVISLQVSSLVGARSKLQDIPKITQLIESRIRQWFTNRCVSPQFQQIAIPNLWPTSAKEGHARSHAPQEESSNED", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVTAMNVSHEVNQLFQPYNFELSKDMRPFFEEYWATSFPIALIYLVLIAVGQNYMKERKGFNLQGPLILWSFCLAIFSILGAVRMWGIMGTVLLTGGLKQTVCFINFIDNSTVKFWSWVFLLSKVIELGDTAFIILRKRPLIFIHWYHHSTVLVYTSFGYKNKVPAGGWFVTMNFGVHAIMYTYYTLKAANVKPPKMLPMLITSLQILQMFVGAIVSILTYIWRQDQGCHTTMEHLFWSFILYMTYFILFAHFFCQTYIRPKVKAKTKSQ", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAETDVGSVKGKEKGSGKRWILLIGAIAAVLLAVVVAVFLNTQNSSISEFTGKICNCRQAEQQKYIGIVEDCCCDYETVNRLNTEVLNPLLQDLVKTPFYRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPESEFPEVFKKPLSQYNPVCQEGKPQATVDRTLDTRAFRGWTVTDNPWTSDDETDNDEMTYVNLRLNPERYTGYIGPSARRIWEAIYSENCPKHTSEGSCQEEKILYKLVSGLHSSISVHIASDYLLDEATNLWGQNLTLLYDRVLRYPDRVQNLYFTFLFVLRAVTKAEDYLGEAEYETGNVIEDLKTKSLVKQVVSDPKTKAACPVPFDEAKLWKGQRGPELKQQLEKQFRNISAIMDCVGCEKCRLWGKLQILGLGTALKILFTVNGEDNLRHNLELQRNEVIALMNLLHRLSESVKYVHDMSPAAERIAGGHASSGNSFWQRIVTSIAQSKAVSGKRS", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTDSENESTETDSLMTFDDYISKELPEHLQRLIMENLKGSTTNDLKQTSNNSEFNVSKNGSFKGLDDAIQALQMQSVLHPSSLGSLATSSKFSGWSFAQGFFVGQLSIVLLFIFFLKFFIFSDEPSKSKNPKPAASRHRSKFKEYPFISREFLTSLVRKGAKQHYELNEEAENEHLQELALILEKTYYNVDVHPAESLDWFNVLVAQIIQQFRSEAWHRDNILHSLNDFIGRKSPDLPEYLDTIKITELDTGDDFPIFSNCRIQYSPNSGNKKLEAKIDIDLNDHLTLGVETKLLLNYPKPGIAALPINLVVSIVRFQACLTVSLTNAEEFASTSNGSSSENGMEGNSGYFLMFSFSPEYRMEFEIKSLIGSRSKLENIPKIGSVIEYQIKKWFVERCVEPRFQFVRLPSMWPRSKNTREEKPTEL", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPGPATDAGKIPFCDAKEEIRAGLESSEGGGGPERPGARGQRQNIVWRNVVLMSLLHLGAVYSLVLIPKAKPLTLLWAYFCFLLAALGVTAGAHRLWSHRSYRAKLPLRIFLAVANSMAFQNDIFEWSRDHRAHHKYSETDADPHNARRGFFFSHIGWLFVRKHRDVIEKGRKLDVTDLLADPVVRIQRKYYKISVVLMCFVVPTLVPWYIWGESLWNSYFLASILRYTISLNISWLVNSAAHMYGNRPYDKHISPRQNPLVALGAIGEGFHNYHHTFPFDYSASEFGLNFNPTTWFIDFMCWLGLATDRKRATKPMIEARKARTGDSSA", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLVRLLRVILLASMVFCADILQLSYSDDAKDAIPLGTFEIDSTSDGNVTVTTVNIQDVEVSGEYCLNAQIEGKLDMPCFSYMKLRTPLKYDLIVDVDEDNEVKQVSLSYDETNDAITATVRYPEAGPTAPVTKLKKKTKTYADKKASKNKDGSTAQFEEDEEVKEVSWFQKNWKMLLLGLLIYNFVAGSAKKQQQGGAGADQKTE", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSLYYTLVFAILVVEIFMFSILALPIPSRYRRPLTLLLLKPFKSSTVQVAIKCVLGFILLLFIDCINRVYSIDKELQLSSASQNNGAIIAQDRIEVLSRKFFAQRNMYLTGITLFLTFVVVRTFGLVIELLTMKDIYRASPPVASSDVKKNDSVTAEAAAQSGASKDDHGDEKNFELLKKIQDIDDEIARLKEKSESLQEEIN", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNIFDRKINFDALLKFSHITPSTQQHLKKVYASFALCMFVAAAGAYVHMVTHFIQAGLLSALGSLILMIWLMATPHSHETEQKRLGLLAGFAFLTGVGLGPALEFCIAVNPSILPTAFMGTAMIFTCFTLSALYARRRSYLFLGGILMSALSLLLLSSLGNVFFGSIWLFQANLYVGLVVMCGFVLFDTQLIIEKAEHGDQDYIWHCIDLFLDFITVFRKLMMILAMNEKDKKKEKK", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAASRWLRAVLLFLCASDLLLLPPPNAYAADTPGEATPPPRKKKDIRDYNDADMARLLEQWEKDDDIEEGDLPEHKRPSAPIDFSKLDPGKPESILKMTKKGKTLMMFVTVSGNPTEKETEEITSLWQGSLFNANYDVQRFIVGSDRAIFMLRDGSYAWEIKDFLVSQDRCAEVTLEGQMYPGKGGGSKEKNKTKPEKAKKKEGDPKPRASKEDNRAGSRREDL", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MALIGKSERFSADFVMGGAAAIVAKSAAAPIERVKLLLQNQGEMIKTGHLIRPYTGLGNCFTRIYREEGVLSFWRGNQANVIRYFPTQASNFAFKGYFKNLLGCSKEKDGYLKWFAGNVASGSAAGATTSLFLYHLDYARTRLGTDAKECSVNGKRQFKGMIDVYRKTLSSDGIKGLYRGFGVSIVGITLYRGMYFGMYDTIKPIVLVGSLEGNFLASFLLGWSITTSAGVIAYPFDTLRRRMMLTSGQPVKYRNTIHALREILKSEGFYALYRGVTANMLLGVAGAGVLAGYDQLHQIAYKHWVQ", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLLSVPLLLGLLGLAAADPAIYFKEQFLDGDAWTNRWVESKHKSDFGKFVLSSGKFYGDQEKDKGLQTSQDARFYALSARFEPFSNKGQTLVVQFTVKHEQNIDCGGGYVKLFPGGLDQKDMHGDSEYNIMFGPDICGPGTKKVHVIFNYKGKNVLINKDIRCKDDEFTHLYTLIVRPDNTYEVKIDNSQVESGSLEDDWDFLPPKKIKDPDAAKPEDWDERAKIDDPTDSKPEDWDKPEHIPDPDAKKPEDWDEEMDGEWEPPVIQNPEYKGEWKPRQIDNPDYKGTWIHPEIDNPEYSPDANIYAYDSFAVLGLDLWQVKSGTIFDNFLITNDEAYAEEFGNETWGVTKAAEKQMKDKQDEEQRLKEEEEDKKRKEEEEAEDKEDEDDRDEDEDEEDEKEEDEEDATGQAKDEL", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MWMTPKRSKMEVDEALVFRPEWTQRYLVVEPPEGDGALCLVCRRLIVATRERDVRRHYEAEHEYYERYVADGERAALVERLRQGDLPVASFTPEERAARAGLGLCRLLALKGRGWGEGDFVYQCMEVLLREVLPEHVSVLQGVDLSPDITRQRILSIDRNLRNQLFNRARDFKAYSLALDDQAFVAYENYLLVFIRGVGPELEVQEDLLTIINLTHHFSVGALMSAILESLQTAGLSLQRMVGLTTTHTLRMIGENSGLVSYMREKAVSPNCWNVIHYSGFLHLELLSSYDVDVNQIINTISEWIVLIKTRGVRRPEFQTLLTESESEHGERVNGRCLNNWLRRGKTLKLIFSLRKEMEAFLVSVGATTVHFSDKQWLCDFGFLVDIMEHLRELSEELRVSKVFAAAAFDHICTFEVKLNLFQRHIEEKNLTDFPALREVVDELKQQNKEDEKIFDPDRYQMVICRLQKEFERHFKDLRFIKKDLELFSNPFNFKPEYAPISVRVELTKLQANTNLWNEYRIKDLGQFYAGLSAESYPIIKGVACKVASLFDSNQICEKAFSYLTRNQHTLSQPLTDEHLQALFRVATTEMEPGWDDLVRERNESNP", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAATVRRQRPRRLLCWTLVAVLLADLLALSDTLAVMSVDLGSESMKVAIVKPGVPMEIVLNKESRRKTPVTVTLKENERFLGDSAAGMAIKNPKATLRYFQHLLGKQADNPHVALYRDRFPEHELNIDPQRQTVRFQISPQLQFSPEEVLGMVLNYSRSLAEDFAEQPIKDAVITVPAFFNQAERRAVLQAARMAGLKVLQLINDNTATALSYGVFRRKDINSTAQNVMFYDMGSGTTVCTIVTYQTVKTKEAGMQPQLQIRGVGFDRTLGGLEMELRLREHLAKLFNEQRRGQKAKDVRENPRAMAKLLREANRLKTVLSANADHMAQIEGLMDDVDFKAKVTRVESEELCADLFERVPGPVQQALQSAEMSLDEIEQVILVGGATRVPKVQEVLLKAVGKEELGKNINADEAAAMGAVYQAAALSKAFKVKPFVVRDAVIYPILVEFTREVEEEPGVRSLKHNKRVLFSRMGPYPQGKVITFNRYSHDFNFHINYGDLGFLGPEDLRVFGSQNLTTVKLKGVGESLKKYPDYESKGIKAHFNLDESGVLSLDRVESVFETLVEDSPEEESTLTKLGNTISSLFGGGTSSDAKENGTDAVQEEEESPTEGSKDEPGEQGDLKEETEAPVEDTSQPPPPEPKGDAAPEGEKPDEKESGGKSEAQKPEEKGQSGPEGVPPAPEEEKKQKPARKQKMVEEIGVELAVLDLPDLPEDELARSVKKLEDLTLRDLEKQEREKAANSLEAFIFETQDKLYQPEYQEVSTEEQREEISGKLSATSTWLEDEGFGATTVMLKEKLAELKKLCQGLFFRVEERRKWPERLSALDNLLNHSSIFLKGARLIPEMDQIFTEVEMTTLEKVINDTWAWKNATLAEQAKLPATEKPVLLSKDIEAKMMALDREVQYLLNKAKFTKPRPRPKDKNGTRTEPPLNATAGDQEEKVIPPAGQPEEAKPILEPDKEETTTEPTDSEPLELGGPGAESEPKEQTAGQKRSSKNDEL", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGRGWGFLFGLLGAVWLLSSGHGEEQPPETAAQRCFCQVSGYLDDCTCDVETIDRFNNYRLFPRLQKLLESDYFRYYKVNLKRPCPFWNDISQCGRRDCAVKPCQSDEVPDGIKSASYKYSEEANNLIEECEQAERLGAVDESLSEETQKAVLQWTKHDDSSDNFCEADDIQSPEAEYVDLLLNPERYTGYKGPDAWKIWNVIYEENCFKPQTIKRPLNPLASGQGTSEENTFYSWLEGLCVEKRAFYRLISGLHASINVHLSARYLLQETWLEKKWGHNITEFQQRFDGILTEGEGPRRLKNLYFLYLIELRALSKVLPFFERPDFQLFTGNKIQDEENKMLLLEILHEIKSFPLHFDENSFFAGDKKEAHKLKEDFRLHFRNISRIMDCVGCFKCRLWGKLQTQGLGTALKILFSEKLIANMPESGPSYEFHLTRQEIVSLFNAFGRISTSVKELENFRNLLQNIH", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAILPEFISQTPPVTRYIVLGTLFTTLAVNFGYVSDLKIFFNWKLFLAKGEYWRAITTFLYVGPFGLELILYLSFLLRFMSMLERSSPPPQTQSFLKTVLIVWFSLLVTSYFSYMPFAASYFSFTMLYIWSWKHPLYRISILGLFDVKAPYVPWVMVLLRWLRTGIFPLLDLISALIGHVYFFVTDFSTV", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGLLSDPVRRRALARLVLRLNAPLCVLSYVAGIAWFLALVFPPLTQRTYMSENAMGSTMVEEQFAGGDRARAFARDFAAHRKKSGALPVAWLERTMRSVGLEVYTQSFSRKLPFPDETHERYMVSGTNVYGILRAPRAASTESLVLTVPCGSDSTNSQAVGLLLALAAHFRGQIYWAKDIVFLVTEHDLLGTEAWLEAYHDVNVTGMQSSPLQGRAGAIQAAVALELSSDVVTSLDVAVEGLNGQLPNLDLLNLFQTFCQKGGLLCTLQGKLQPEDWTSLDGPLQGLQTLLLMVLRQASGRPHGSHGLFLRYRVEALTLRGINSFRQYKYDLVAVGKALEGMFRKLNHLLERLHQSFFLYLLPGLSRFVSIGLYMPAVGFLLLVLGLKALELWMQLHEAGMGLEEPGGAPGPSVPLPPSQGVGLASLVAPLLISQAMGLALYVLPVLGQHVATQHFPVAEAEAVVLTLLAIYAAGLALPHNTHRVVSTQAPDRGWMALKLVALIYLALQLGCIALTNFSLGFLLATTMVPTAALAKPHGPRTLYAALLVLTSPAATLLGSLFLWRELQEAPLSLAEGWQLFLAALAQGVLEHHTYGALLFPLLSLGLYPCWLLFWNVLFWK", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MANDVTKDPTPKSDIVEDIYLWRRKKLAFSTLLVSTSTWILLSFYGFTTITIVSWIGIAVVSMIFLWGSLLRLLSKVEPELSGLEVSEEFVVETVRSCRMLMEEMVRWMFRVGAESEWFVFARTVLGFWILSRIGNLLDFHTCLFIGLVMGLTVPKLWEEYGDQIQKHLGSLKDKSKGAYNTTHEKILEMKNKLHHGTEEKVKKSE", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTGPIVYVQNADGIFFKLAEGKGTNDAVIHLANQDQGVRVLGAEEFPVQGEVVKIASLMGFIKLKLNRYAIIANTVEETGRFNGHVFYRVLQHSIVSTKFNSRIDSEEAEYIKLLELHLKNSTFYFSYTYDLTNSLQRNEKVGPAASWKTADERFFWNHYLTEDLRNFAHQDPRIDSFIQPVIYGYAKTVDAVLNATPIVLGLITRRSIFRAGTRYFRRGVDKDGNVGNFNETEQILLAENPESEKIHVFSFLQTRGSVPIYWAEINNLKYKPNLVLGENSLDATKKHFDQQKELYGDNYLVNLVNQKGHELPVKEGYESVVHALNDPKIHYVYFDFHHECRKMQWHRVKLLIDHLEKLGLSNEDFFHKVIDSNGNTVEIVNEQHSVVRTNCMDCLDRTNVVQSVLAQWVLQKEFESADVVATGSTWEDNAPLLTSYQNLWADNADAVSVAYSGTGALKTDFTRTGKRTRLGAFNDFLNSASRYYQNNWTDGPRQDSYDLFLGGFRPHTASIKSPFPDRRPVYIQLIPMIICAALTVLGATIFFPKDRFTSSKNLLYFAGASIVLALSTKFMFKNGIQFVNWPKLVDVGFLVVHQTHDKEQQFKGLKYAQSPKFSKPDPLKRD", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSSQELVTLNVGGKIFTTRFSTIKQFPASRLARMLDGRDQEFKMVGGQIFVDRDGDLFSFILDFLRTHQLLLPTEFSDYLRLQREALFYELRSLVDLLNPYLLQPRPALVEVHFLSRNTQAFFRVFGSCSKTIEMLTGRITVFTEQPSAPTWNGNFFPPQMTLLPLPPQRPSYHDLVFQCGSDSTTDNQTGVRYVSIKPDNRKLANGTNVLGLLIDTLLKEGFHLVSTRTVSSEDKTECYSFERIKSPEVLITNETPKPETIIIPEQSQIKK", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSLFTFVQIRVFPFLQRHLFFLQLSLTLIGLSWIFILPRNEIIDRLHVSESALLPGQVNTYFENRYSKTVSSSLTAANTWSHLDASVGTNTMYDDLEQIFTAMGLPTQKQNYSINIPGSEFNGSNFITTLRAPRGDATESLLLCVPWKDHIGQYNEAGVALAISLLKYFQGWSLWSKDIILVIFDDPVYGPSSFLTSYFDQTTPYISYTPLKIRSGSIQAGLSLELVTTENNSDVLEVLYQATNGQLPNLDLFNTISRIFMQHFNYPLRLQGYDFHANSGSSYTSRLKSLWMGMLTQAVSNVTSAHALFPQYRIDMLTLRMKVKDPFSFDMFRFGQAIESTFRSLNNLLEHLHQSFFFYFILDHLHFISIGNYMPSILILAASFMLGAYRHWINHEKKIDLWRPFSFWLFSIFCTIAAYYLVSSSTKITVFIFLYLMLTFIGIIFSTFMTSEDAELVLSYDLMSKSLFISVVSTLNFSLSFVVAILLVPLQFISFRFNRRLSLLFAVLTYFSTFIFLCSLSKILNGPLVPFWLWAKEYELFNSWLMPSVFMILVLPEIIFSVTSFFSLWNEPSVKTKTKTL", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKLHGFLFSVLSTCVVILPALAYSEAVTMVKSIEQYFDICNRNDSYTMIKYYTSWCQHCKTLAPVYEELGELYAKKANKDDTPINFLEVNCEFFGPTLCTDLPGFPIIELVKPRTKPLVLPKLDWSSMKFHERLWQRIKTWFNNPKYQLDTSRVVRFEGSRNLKSLSNFIDTVRSKDTEERFIEHIFDDSRNCNEELRSQQLLCKAGKEYYSDTLSKLYGDVNGLEKERRRLEALIKQNGDDLSKEVKEKLKIIRLQLSLLSHIEDQLEDTSSHDEL", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGNSAARSDFEWVYSDQPHTQRRKEMLAKYPAIKALMRPDPHIKWTVSGMVLVQVLACWLVRGLSWRWLLFWAYAFGGCINHSLTLAIHDISHNTAFGTSCVSRNRWFAIFANLPIGLPYATSFKKYHVDHHRYLGGDGLDVDIPTNFEGWFFCTPARKLLWLVLQPFFYSLRPLCVNPKVVTRMEILNALVQLAFDVTIFALWGIKPIVYLLGSSLLGLGLHPISGHFVAEHYMFLKGHETYSYYGPLNWITFNVGYHMEHHDFPSIPGYYLPLVRKIAPEYYDHLPQHHSWVKVLWDFVFEDSMGPYSRVKRKCKLAKDHL", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKLDYVLLLLFHLCFVNTELISVITGKIKDSGTTIAISAGAFWGLKDRLKCYLYECCHEPDVNFNYHTLDADIANLLFGQHLVKDVVVNSIKSHWYNENPRKPLVLSFHGYTGSGKNYVAEIIANNTFRLGLRSTFVQHIVATNDFPDKNKLEEYQVELRNRILTTVQKCQRSIFIFDEADKLPEQLLGAIKPFLDYYSTISGVDFRRSIFILLSNKGGGEIARITKEQYESGYPREQLRLEAFERELMNFSYNEKGGLQMSELISNHLIDHFVPFLPLQREHVRSCVGAYLRKRGRGDLVSNVDFVERVLNSLQYFPESSKAFSSSGCKRVDAKTDLEMAKIRPLLSSVHFDDEL", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MHYPTALLFLILANGAQAFRICAFNAQRLTLAKVAREQVMDTLVRILARCDIMVLQEVVDSSGSAIPLLLRELNRFDGSGPYSTLSSPQLGRSTYMETYVYFYRSHKTQVLSSYVYNDEDDVFAREPFVAQFSLPSNVLPSLVLVPLHTTPKAVEKELNALYDVFLEVSQHWQSKDVILLGDFNADCASLTKKRLDKLELRTEPGFHWVIADGEDTTVRASTHCTYDRVVLHGERCRSLLHTAAAFDFPTSFQLTEEEALNISDHYPVEVELKLSQAHSVQPLSLTVLLLLSLLSPQLCPAA", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNADDEICRVCRCEGAPDSPLFHPCKCTGSIRYVHQECLVEWLGHSKKTHCELCKAKFEFTKVYSESMPRTIPFTILCRKLASTLKQRVIFFTRVLLTFFCWTVLLPLIFKHVWNLNFKIGDTYTIHARNKTFTAPQKPGYFESISQITSSPRLNTLIANTAEGQVLTFVVTFILITAFLVREWVLQNAVQVADELQGQQFENVNQNNQAQAAAAAAQNLREVREARQRLAMVMEHLRERQEQRNLELQRNGSFEEIERARQRFALLGDNIREPQEEENDVDVDEIFNRQQLNQPALDLNDANSSNSVPVEFNSLHSQNVDYRDEVDSLRPQFNVDEQSSISHSSNASENIVDGAVTQANGIESDFTRVDHEPIIVNNDDENGNNESENEEVIEEDNLNRNVIAEAQNQVVADEERNAVARAAQIAEADDADDFDGILEFLGLRGPITGFLQNCLVIAFVVSVFLTTAVGIPYMSGRLMVEWILFIIHRPTFILRFILSFVNILFDWTVGGAFNIVKILTKLPLLSTVFVKLKLQGIFSSSFQQVSNNMYSWIYDHVFSSSDHAYESLIYYMKTGHKQVVQSFSIFPVFRVCQMFAVILKDFVENYSNRPVDRVFTTLIGYCMFTFLGISYLNRKQFLFNDPQIRNVELAFREVLRQCGSIAKFGIIFSIELVVFPIFCGILLSMCLIGTFKKLAAENLLNVMTVYPAQSIFLAWFIGITFMFEFAVFISMVRKIVRPGVLYFLRDPNDPQFHPIREILEKPMLFQLKKIGFSAILYFAFIIGCVGSVIHLLKSTGIIFPIEFTTKPAVFEAPIDLLALEILIFLSIKLFKPLELTRSFWRTLVSTFCRCLRLSSYVMGQRYSDEEGYYPKQYFSFLRRIISKPSDTENQDDGDKQKAKKDFVQDGFFLWCPSKDVVPVRQGAMLIPVTENGYEIFGEKKKVEENADYTITYAPSNFYKRLIALLLFCWICSTLVTVLLVFVPLSLGRAIYAWCFPNVVKHDFYAYAIGFYSISFPMYAIHASVKFLKLDYLRSLMNKLNLKIVMRSLVMALKYLLLAFLGIFILPLLLGAIWELYVAIPFRTIFNRGTLALDAFQNWVIGLFMLRMIYFTVTSNEERFVSRLFQDAFRDRWTNPQILPLLKNVLIPFTSALIAAVVLPSVFTYVTYPFLSSIFPSASKTLMYRLMHPIFLALLGLALLGRRFVETSSKWSQGIRDDLYLVGTRLHNFGESAPPAISESAEK", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDFLHRNGVLIIQHLQKDYRAYYTFLNFMSNVGDPRNIFFIYFPLCFQFNQTVGTKMIWVAVIGDWLNLIFKWILFGHRPYWWVQETQIYPNHSSPCLEQFPTTCETGPGSPSGHAMGASCVWYVMVTAALSHTVCGMDKFSITLHRLTWSFLWSVFWLIQISVCISRVFIATHFPHQVILGVIGGMLVAEAFEHTPGIQTASLGTYLKTNLFLFLFAVGFYLLLRVLNIDLLWSVPIAKKWCANPDWIHIDTTPFAGLVRNLGVLFGLGFAINSEMFLLSCRGGNNYTLSFRLLCALTSLTILQLYHFLQIPTHEEHLFYVLSFCKSASIPLTVVAFIPYSVHMLMKQSGKKSQ", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSAVTPSDQGLLPRGPIALEEFVKPFCDRFGLTKLPRHMHVILLSALFYQIINILSPVISRHLSTHYAKLSKKTRLNWDAHVVSSVQSIVLICLGYTCLKEVNAFPDKLFGYSVVAGDIYALTAGYFVWDLYITVRYVHITGIGFVIHAIAALFVITFSYRPYLMYYGPTYLSWELSTPFLNIHYFLDKTNRTGSKFQMINGFILIVTFICVRIAWGWFSAYSTAIEILNHINVAPWALSLFYLAANMSLNCLNLFWVSKMIDAIRRRAHGEKKSTPLQVTSEYAKKNI", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSRKEIYEPRPRYPDGYNGNRAVKKSLSVLSLDNMKSTLSGLFAPLKLDEEQAEDDESLSSYEDYASRQIDDDLKKQRKKGITFIDYSSLITFFCKLCVIFGLGFVFTYLAEQIVQDAKLPLLTVNLKSWKFEPPWPAIFGFVAVILGLSYRRMDTKYPLGAAPLRPSQSSKWQWISRYLAAFATLLLSMKKLLFISNSHSIVALVASSASIWYIFDRSRNGIILSTITSVLGSILYYNLVDTSKIELNGVEFPEIQFRLWIPMILFSASTIVGNAGRLLF", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGPLALPAWLQPRYRKNAYLFIYYLIQFCGHSWIFTNMTVRFFSFGKDSMVDTFYAIGLVMRLCQSVSLLELLHIYVGIESNHLLPRFLQLTERIIILFVVITSQEEVQEKYVVCVLFVFWNLLDMVRYTYSMLSVIGISYAVLTWLSQTLWMPIYPLCVLAEAFAIYQSLPYFESFGTYSTKLPFDLSIYFPYVLKIYLMMLFIGMYFTYSHLYSERRDILGIFPIKKKKM", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSQGSPGDWAPLDPTPGPPASPNPFVHELHLSRLQRVKFCLLGALLAPIRVLLAFIVLFLLWPFAWLQVAGLSEEQLQEPITGWRKTVCHNGVLGLSRLLFFLLGFLRIRVRGQRASRLQAPVLVAAPHSTFFDPIVLLPCDLPKVVSRAENLSVPVIGALLRFNQAILVSRHDPASRRRVVEEVRRRATSGGKWPQVLFFPEGTCSNKKALLKFKPGAFIAGVPVQPVLIRYPNSLDTTSWAWRGPGVLKVLWLTASQPCSIVDVEFLPVYHPSPEESRDPTLYANNVQRVMAQALGIPATECEFVGSLPVIVVGRLKVALEPQLWELGKVLRKAGLSAGYVDAGAEPGRSRMISQEEFARQLQLSDPQTVAGAFGYFQQDTKGLVDFRDVALALAALDGGRSLEELTRLAFELFAEEQAEGPNRLLYKDGFSTILHLLLGSPHPAATALHAELCQAGSSQGLSLCQFQNFSLHDPLYGKLFSTYLRPPHTSRGTSQTPNASSPGNPTALANGTVQAPKQKGD", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVRRDRLRRMREWWVQVGLLAVPLLAAYLHIPPPQLSPALHSWKTSGKFFTYKGLRIFYQDSVGVVGSPEIVVLLHGFPTSSYDWYKIWEGLTLRFHRVIALDFLGFGFSDKPRPHQYSIFEQASIVESLLRHLGLQNRRINLLSHDYGDIVAQELLYRYKQNRSGRLTIKSLCLSNGGIFPETHRPLLLQKLLKDGGVLSPILTRLMNFFVFSRGLTPVFGPYTRPTESELWDMWAVIRNNDGNLVIDSLLQYINQRKKFRRRWVGALASVSIPIHFIYGPLDPINPYPEFLELYRKTLPRSTVSILDDHISHYPQLEDPMGFLNAYMGFINSF", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDSQMLVALGLSLVGGLSTSLGALFVVLSETPNMKMLGLLQGFASGLMLSISFLDLAHNAINSIGFFKANLWFFGGVIFFACITKFIPEPTLGPSTDGKRRKKNGDEGGKDMMKKHRKQVLYSGLITAIGISLHNFPEGMAVFLGSIKGMRVGVNLALAIALHNIPEGVAVALPIYFATESKWQAFKLATLSGLAEPLGVIIVAYLFPRSLSPEILEGLLGAVGGIMAFLTLHEMLPLAFDYAGQKQAVKAVFFGMACMSASLYFLELSLPETMSL", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEGAELAGKILSTWLTLVLGFILLPSVFGVSLGISEIYMKILVKTLEWATIRIEKGTPKESILKNSASVGIIQRDESPMEKGLSGLRGRDFELSDVFYFSKKGLEAIVEDEVTQRFSSEELVSWNLLTRTNVNFQYISLRLTMVWVLGVIVRYCVLLPLRVTLAFIGISLLVIGTTLVGQLPDSSLKNWLSELVHLTCCRICVRALSGTIHYHNKQYRPQKGGICVANHTSPIDVLILTTDGCYAMVGQVHGGLMGIIQRAMVKACPHVWFERSEMKDRHLVTKRLKEHIADKKKLPILIFPEGTCINNTSVMMFKKGSFEIGGTIHPVAIKYNPQFGDAFWNSSKYNMVSYLLRMMTSWAIVCDVWYMPPMTREEGEDAVQFANRVKSAIAIQGGLTELPWDGGLKRAKVKDIFKEEQQKNYSKMIVGNGSLS", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MATPQSVFVFAICILMITELILASKSYYDILGVPKSASERQIKKAFHKLAMKYHPDKNKSPDAEAKFREIAEAYETLSDANSRKEYDTIGHSAFTNGKGQRGNGSPFEQSFNFNFDDLFKDFNFFGQNQNTRSKKHFENHFHTRQDGSSRQRHHFQEFSFGGGLFDDMFEDMEKMFSFSGFDTTNRHTVQTENRFHGSSKHCRTVTQRRGNMVTTYTDCSGQ", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEFVKCLGHPEEFYNLLRFRMGGRRNFIPKMDRNSLSNSLKTCYKYLDQTSRSFAAVIQALDGDIRHAVCVFYLILRAMDTVEDDMAISVEKKIPLLRNFHTFLYEPEWRFTESKEKHRVVLEDFPTISLEFRNLAEKYQTVIADICHRMGCGMAEFLNKDVTSKQDWDKYCHYVAGLVGIGLSRLFSASEFEDPIVGEDTECANSMGLFLQKTNIIRDYLEDQQEGRQFWPQEVWGKYVKKLEDFVKPENVDVAVKCLNELITNALQHIPDVITYLSRLRNQSVFNFCAIPQVMAIATLAACYNNHQVFKGVVKIRKGQAVTLMMDATNMPAVKAIIYQYIEEIYHRVPNSDPSASKAKQLISNIRTQSLPNCQLISRSHYSPIYLSFIMLLAALSWQYLSTLSQVTEDYVQREH", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRLFLWNAVLTLFVTSLIGALIPEPEVKIEVLQKPFICHRKTKGGDLMLVHYEGYLEKDGSLFHSTHKHNNGQPIWFTLGILEALKGWDQGLKGMCVGEKRKLIIPPALGYGKEGKGKIPPESTLIFNIDLLEIRNGPRSHESFQEMDLNDDWKLSKDEVKAYLKKEFEKHGAVVNESHHDALVEDIFDKEDEDKDGFISAREFTYKHDEL", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MARASEKGEEKKQSNNQVEKLVEAPVEFVREGTQFLAKCKKPDLKEYTKIVKAVGIGFIAVGIIGYAIKLIHIPIRYVIV", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGTTTNLRSWLYLILLFIVVVGVNAQNRRPKNVQVAVKAKWQGTPLLLEAGELISKESKQLFWEFTDAWLGSDGDDSDCKSARDCLLKISKQASTLLAQPVASLFHFSLTLRSASPRLVLYRQLADESLSSFPHGDDPSATGCCWVDTGSSLFYDVADLQSWLASAPAVGDAVQGPELFDFDHVHFDSRAGSPVAVLYGAVGTDCFRKFHLSLAKAAKEGKVTYVVRPVLPLGCEGKTRPCGAIGARDNVSLAGYGVELALKNMEYKAMDDSAIKKGITLEDPRTEDLSQDVRGFIFSKILDRKPELRSEVMAFRDYLLSSTVSDTLDVWELKDLGHQTAQRIVHASDPLQSMQEINQNFPSVVSSLSRMKLNESIKDEILSNQRMVPPGKALLALNGALLNIEDIDLYMLMDLAHQELSLANHFSKLKIPDGAIRKLLLTTPLPEPDSYRVDFRSVHVTYLNNLEEDDMYKRWRSNINEILMPAFPGQLRYIRKNLFHAVYVIDPATACGLESIETLRSLYENQLPVRFGVILYSTQLIKTIENNGGQIPSSDAVTNAQVKEDLSTMVIRLFLYIKEHHGIQTAFQFLGNLNTLRTESADSSEADIEQEHVDGAFVETILPKVKTLPQDILLKLRQEHTLKEASEASSMFVFKLGLAKLKCSFLMNGLVFDSVEEETLLNAMNEELPKIQEQVYYGQIESHTKVLDKLLSESGLSRYNPQIISGGKNKPRFVSLASSTRKGESMLNDVNYLHSPETSEDVKYVTHLLAADVATKKGMKLLHEGVRYLIGGSKSARLGVLFSSSQNADPHSLLFIKFFEKTASSFSHKEKVLYFLDKLCLFYEREYLLKTSVESASSQMFIDKVLELADEYGLSSKAYRSCLVESVDEELLKRLTKVAQFLSWELGLESDANAIISNGRVIFPVDERTFLGQDLHLLESMEFNQRVKPVQEIIEGIEWQDVDPDLLTSKYFSDVFMFVSSAMATRDRSSESARFEVLNSEYSAVLLGNENATIHIDAVIDPLSPTGQKLASLLQVLQKHVQTSMRIVLNPMSSLVDIPLKNYYRYVLPNTDDYSSTGFDVDGPKAFFANMPLSKTLTMNLDVPEPWLVEPVIAIHDLDNILLENLGDTTTLQAVFEVESLVLTGHCAEKDHEAPRGLQLILGTKNRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSSELYALKGGNDGSQDQSSLKRITIDDLRGKVVHLEVVKRKGKEHEKLLVPSDGDDAVQQNKEGSWNSNFLKWASGFVGGRQQSMKGGPDKEHEKGGRQGKTINIFSIASGHLYERFLKIMILSVLKNTNRPVKFWFIKNYLSPQFKDVIPHMAQEYNFEYELITYKWPSWLHKQKEKQRIIWAYKILFLDVIFPLSLEKVIFVDADQIIRTDMGELYDMDIKGRPLAYTPFCDNNREMDGYKFWKQGFWKEHLRGRPYHISALYVVDLVKFRETAAGDNLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKAKARTIDLCNNPMTKEPKLQGARRIVTEWPDLDLEARKFTAKILGEDVELNEPVAAPATDKPNPLPSNDISEDTEQDLESKAEL", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MCGWPLLVLWALLPATAAGSPGRSYPHRVVLDPEGKYWLHWGRQGERLAFRLEVRTNGYVGFGFSPTGSMAAADIVVGGVAHGRPYLQDYFTNADRELEKDAQQDYHLDYAMENSTHTVIEFSRELHTCDVNDKSLTDSTVRVIWAYHHDDPGESGPKYHDLNRGTRSLRLLNPEKANVVSTVLPYFDLVNQNVPIPNKGTTYWCQMFKIPTFQEKHHVIKVEPIIERGHENLVHHILVYQCSSNFNDSVLDFGHECYHPNMPDAFLTCETVILAWGIGGEGFTYPPHVGLSLGMPLDPRYVLLEVHYDNPARRKGLIDSSGLRVFHTTDIRRYDAGVIEAGLWVSLFHTIPPGMPEFHSEGHCTLECLEEALGAEKPSGIHVFAVLLHAHLAGKGIRLRHFRKGEEMKLLAYDDDYDFNFQEFQYLREEQTILPGDNLITECRYNTKDRAVMTWGGLSTRNEMCLSYLLYYPRVNLTRCSSIPDIMEQLQFIGVKEIYRPVTTWPFIIKSPKQYRNLSFMDAMNKFKWTKKEGLSFNKLVLSLPVNVRCSKTDNAEWSIQGMTAIPPDIKRPYEAEPLVCEKAASPPLHGIFSLRLLTCALLLGSMLSSQGL", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEITTIIFLIISSLTFSIFLKLIFFFSTHKLPPGPPRFPVIGNIIWLKKNNFSDFQGVLRDLASRHGPIITLHVGSKPSIWVTDRSLAHQALVQNGAVFSDRSLALPTTKVITSNQHDIHSSVYGSLWRTLRRNLTSEILQPSRVKAHAPSRKWSLEILVDLFETEQREKGHISDALDHLRHAMFYLLALMCFGEKLRKEEIREIEEAQYQMLISYTKFSVLNIFPSVTKFLLRRKWKEFLELRKSQESVILRYVNARSKETTGDVLCYVDTLLNLEIPTEEKEGGKKRKLSDSEIVSLCSEFLNAATDPTATSMQWIMAIMVKYPEIQRKVYEEMKTVFAGEEEEREEIREEDLGKLSYLKAVILECLRRHPPGHYLSYHKVTHDTVLGGFLIPRQGTINFMVGEMGRDPKIWEDPLTFKPERFLENGEACDFDMTGTREIKMMPFGAGRRMCPGYALSLLHLEYYVANLVWKFEWKCVEGEEVDLSEKQQFITMVMKNPFKANIYPRRK", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKTHERAANLALAGLSLAPLVVKVNPNANVILTACLAVYVGCYRSVKPTPPAETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWRAPLFHSLSVEFTRSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLSGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEEACEEDTDSKQNKKKE", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEPSWLQELMAHPFLLLILLCMSLLLFQVIRLYQRRRWMIRALHLFPAPPAHWFYGHKEFYPVKEFEVYHKLMEKYPCAVPLWVGPFTMFFSVHDPDYAKILLKRQDPKSAVSHKILESWVGRGLVTLDGSKWKKHRQIVKPGFNISILKIFITMMSESVRMMLNKWEEHIAQNSRLELFQHVSLMTLDSIMKCAFSHQGSIQLDSTLDSYLKAVFNLSKISNQRMNNFLHHNDLVFKFSSQGQIFSKFNQELHQFTEKVIQDRKESLKDKLKQDTTQKRRWDFLDILLSAKSENTKDFSEADLQAEVKTFMFAGHDTTSSAISWILYCLAKYPEHQQRCRDEIRELLGDGSSITWEHLSQMPYTTMCIKECLRLYAPVVNISRLLDKPITFPDGRSLPAGITVFINIWALHHNPYFWEDPQVFNPLRFSRENSEKIHPYAFIPFSAGLRNCIGQHFAIIECKVAVALTLLRFKLAPDHSRPPQPVRQVVLKSKNGIHVFAKKVC", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MITGNEFYTVMCAMAPLYFAMFVAYGSVKWCKIFTPAQCSGINRFVSVFAVPVLSFHFISQNNPYKMDTMFILADTLSKIFVFVLLSLWAVFFKAGGLDWLITLFSIATLPNTLVMGIPLLQAMYGDYTQTLMVQLVVLQCIIWYTLLLFLFELRAARLLIRAEFPGQAAGSIAKIQVDDDVISLDGMDPLRTETETDVNGRIRLRIRRSVSSVPDSVMSSSLCLTPRASNLSNAEIFSVNTPNNRFFHGGGGSGTLQFYNGSNEIMFCNGDLGGFGFTRPGLGASPRRLSGYASSDAYSLQPTPRASNFNELDVNGNGTPVWMKSPAAGRIYRQSSPKMMWESGQRHAAKDINGSVPEKEISFRDALKAAPQATAAGGGASMEEGAAGKDTTPVAAIGKQEMPSAIVMMRLILTVVGRKLSRNPNTYSSLLGLVWSLISFKWNIPMPNIVDFSIKIISDAGLGMAMFSLGLFMALQPKMIPCGAKKATMGMLIRFISGPLFMAGASLLVGLRGSRLHAAIVQAALPQGIVPFVFAREYNLHPDLLSTLVIFGMIVSLPVTILYYVLLGL", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRLLGCFFLIFLTWGSARAQGEYCHGWLDSAGNYQAGFQCPEDFDTADANICCGSCALRYCCAAADARLEQGSCTNHRELEKSGVSAQPVYVPFLIVGSIFIAFIIVGSLVAVYCCTCLRPKQTSQQPMRFTLRSYPPETLPMILTSGNLRTPSRQSSTATSSTSTGGSVRRLSSSRADPGYLVSSPPPPYSSAHSIHLNPSSTFLVSNQYFPYPLQPEAIANKSCPDFRQS", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSSRKLSGPKGRRLSIHVVTWNVASAAPPLDLSDLLQLNNRNLNLDIYVIGLQELNSGIISLLSDAAFNDSWSSFLMDVLSPLSFIKVSHVRMQGILLLVFAKYQHLPYIQILSTKSTPTGLFGYWGNKGGVNICLKLYGYYVSIINCHLPPHISNNYQRLEHFDRILEMQNCEGRDIPNILDHDLIIWFGDMNFRIEDFGLHFVRESIKNRCYGGLWEKDQLSIAKKHDPLLREFQEGRLLFPPTYKFDRNSNDYDTSEKKRKPAWTDRILWRLKRQPCAGPDTPIPPASHFSLSLRGYSSHMTYGISDHKPVSGTFDLELKPLVSAPLIVLMPEDLWTVENDMMVSYSSTSDFPSSPWDWIGLYKVGLRDVNDYVSYAWVGDSKVSCSDNLNQVYIDISNIPTTEDEFLLCYYSNSLRSVVGISRPFQIPPGSLREDPLGEAQPQI", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTRDSKKKHHWGTAFLRTIGVKRKHKKDRNFLNNTTGENVSTTASAERFRRVGGNPDIPSLLKPETFTESPAKGSQKAAASSLAHSQGVFNIPIVIDPMETNRLEKTNTNLTAGSLKGRFQDGNSNSNSVPSLSVQALEKEKLQSGKREGSSNQAEEKTPDGHDEHTAFETFLSFAHNAVSHIPKINVQDADNGTISRNEPKDRKKNSSNISGALSENSTNNKNTSSTKESDGPFLKNLDNILAASKSSTPSNQQLNTTEAGSKSKPSSLSRLAFGNLKGHIHSNSHSSSNAISGDDTSLDDTRKMTDDMARKVVFEPIRHSHDKPTPGVGNLKLEHFDDSQATLEGLEAMSAESLPEADHLDSRGPVQQSNLERKTVPSKWSVVSSSTTDGVKPRRRAKSMISAMADKQNTSSDVLQDCKKRLSFNSSNGLTNNDPEYEDREPREMSKKFLNRRSFSPGSISMGMKVLPSTALKYSLNKVKNSTDIASTIIPRPSMSNGRPSSGLRRSSSKSFSSTPVNIIEPSEENGRQSSIRIKGVEYASEKKDAEFHAIFKDSGVSPNERLILDHSCALSRDILLQGRMYISDQHIGFYSNILGWVSTVFIPFKTIVQIEKRATAGIFPNGIVIDTLHTKYTFASFTSRDATYDLITEVWNQIILGKRFRSNSNNTNSSSNSISDDENDDYDDDYDDYGDDDDDLYDNSNNISDSTDMTSSVSIGKPEDLPMPLQTDTPYGTGIPPLGPKIHSPTETVYKPAPNEKLVNESTIHASLGRVVNILFGKDVSYIMAILKAQKNSDISPIPVLVDSPTVSEGKKRDYSYVKTTPGAIGPGKTKCMITETIQHFNLEEYVQVLQTTKTPDVPSGNSFYVRTVYLLSWANNNETKLKLYVSVEWTGKSLIKSPIEKGTFDGVTDATKILVEELGNILTRSATKRKRSSKENTVTVSTLPKMEPSSHAPTEPDIQKDKDDSIIRENENIPAPLGTVVQLLFGSNTEYMQKVITRDKNNVNVETIPKFTPSLVEGGSRHYEYTKKLNNSIGPKQTKCLLTESIEHMDINNYVLVTQTTKTPDVPSGSNFAVESKIFLFWGQHDTTNMTVITKINWTSKSFLKGAIEKGSVEGQKVSVDYMLSELRDIISRAKSKKPVKKVMKSHDKHRPFHSKVEQKSSESRKSDDNKDILTHILDFVQNNFSSEIFMNKLLSPQKLFLILGLTIMLFWSPRLHVFQEKNNLQIIKPGRLLIDGQEYNYVPSFGTLYNSYENAISSKKKRENVNYARDKSPIVGRESDIWDWISNRGSAISPRGRAMLRNDDEHKLQQLSESIKITEMQLNHMKTMLDNIERDANDLS", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLRTILDAPQRLLKEGRASRQLVLVVVFVALLLDNMLFTVVVPIVPTFLYDMEFKEVNSSLHLGHAGSSPHALASPAFSTIFSFFNNNTVAVEESVPSGIAWMNDTASTIPPPATEAISAHKNNCLQGTGFLEEEITRVGVLFASKAVMQLLVNPFVGPLTNRIGYHIPMFAGFVIMFLSTVMFAFSGTYTLLFVARTLQGIGSSFSSVAGLGMLASVYTDDHERGRAMGTALGGLALGLLVGAPFGSVMYEFVGKSAPFLILAFLALLDGALQLCILQPSKVSPESAKGTPLFMLLKDPYILVAAGSICFANMGVAILEPTLPIWMMQTMCSPKWQLGLAFLPASVSYLIGTNLFGVLANKMGRWLCSLIGMLVVGTSLLCVPLAHNIFGLIGPNAGLGLAIGMVDSSMMPIMGHLVDLRHTSVYGSVYAIADVAFCMGFAIGYSESGLPHGDPDVCNPEAHEGISSGGGQ", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MELWPCLAAALLLLLLLVQLSRAAEFYAKVALYCALCFTVSAVASLVCLLRHGGRTVENMSIIGWFVRSFKYFYGLRFEVRDPRRLQEARPCVIVSNHQSILDMMGLMEVLPERCVQIAKRELLFLGPVGLIMYLGGVFFINRQRSSTAMTVMADLGERMVRENLKVWIYPEGTRNDNGDLLPFKKGAFYLAVQAQVPIVPVVYSSFSSFYNTKKKFFTSGTVTVQVLEAIPTSGLTAADVPALVDTCHRAMRTTFLHISKTPQENGATAGSGVQPAQ", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNPSYGRVRKKVSIISIPEFVPEHYEYENETTASGGASGGGSTRIEFDFDDFEEQIMRKSSMALPSRKLTIANSIDHGNNGNLHIGDIISLYTESSSNQEQRGFLSTLGLVDDRCIVELKDGRPESPPKKFRDCLFKVCPVNRYAAQKHLWTEQKRFQTGDSMFDDDLMNKLKVAADKEREENESEFQKTLGNVIQYGSMVQLLHVKSNKYITVQKNSPAKRERNAMKVYLDRAGNEGSWFIIEPAYKHYAIGDNVSAGNKISLIPNSVSTTQAGHVKSQLHLSSFNLLDHQSAAEVNCLNEPTEWQVFMFLLFDENQQNSVKSGDVVRLFHADQQTFLTLDTIPKQNPPTDVVFLRMTNRPSAADATSSRALWEVQVVQTNAYRGGTAKWNKAYRFKHLATDMYLSAEPSQVQVKPAMNGRRASLIYSKTNNPMAMYSDGPNGVTNESTDTTQQNIPSVWVLGPTKSEFPEEDANLLFQLDPSTFMKSNKEVPRRSYVRLLHQSSDKWVHATNATEKQNLHYSSKNEKGWVKVICEKNRVDKETFALLPVNPDEVRDLDFANDACKALRNFIKLIKIGQVISKESINSTTQLLIDCILFVTNSSDHLADPLKISDFSPSRDRQKLLREQEVLNQVFLLLKAPFLPRQGTTELGPLLSSPSELSDSRNEIFKTMFQLCYCLLKYSQVSYRKNQEFLAEKFGEIQEQIGFDLMAEDTMTAVLHNNPKLLEKYVKTPHVERFVELVRNNRQGKFLDYLADLCVCRGEANKKIQELICTSVLSSKHRDIFMDTKIIDGEIEVGWAPNFRKLVDIAEGAKSNSDDAEHLDYYRHQLDLLSQMCQEQQYLAIDPPPERRLMNISQQLPAELVLQCMSDNRLPYDLRGSFTRLMLHLHVVRGSPMSAIRHARLWWSIPENVNVSTYESVSVEAYSDGSRMRIGEGIAHKVLATVETYLMGLRNQSMEERQSVNSSKLTYEIVNLAKALAQFNFYSFNDLLQLTQNLLAIINEGPATEQVPSHRAMVNAIRNMSKSMMRGGNKENSKDLAKTPSVTAEEAGRTKEGRALNVKTKLIVAEILQFVMDVRRDYRITMALSWFKNVFPCDEDGSLMHSASINERMASELYDAIYRSSGHELHLDGRDGQLLLAILLQMTMSDYPPLTSIALKVFFRHFTQYQELLEDLKQVQLLVSNNDVENYRQIDRDLFILKNLTEKSELWVHGDRHHSIDTKEVDEKERTTEHDLLDHDLKSPRAFDSGDSMEALMAVLNEHYPSIRNECLQLLNRLLIKDDRNDAAVALQELSDKAPLIAYPLIRQMLVRLTGMCYRKGDPKPDTMNQQLLKNMRVYEVVLEFISVPHDKKHDHDMMKLITLSHEFLRSFCKTNKENQSRLYKFISYEKDAKEGMLRVETIEEVGTLVAIFRNNRELASNVPEELIAHIVGLIEHNSRNPIFLELLQALVCVYDKEIESGQEKVANEICAASDEVRQLYVDNASFEELEAMMKDEKESKGRSSDSRRKLKYHIELVRLLAMCTRGKNGNTELKCASQIPMDHIVRVVTAKQCLVEVKTVYLQLLLHCYIDTDAEMKDAYKTEYVDHILNNLLEDIRSLRVEKLTGAETATLEHYICHTVTEVLIKFFEAPYSALQQAKVDVHHHKKTFSEVLLELTYLEKGKLRGSKSSRNWYRVAECIKRLTKWAEEHNITLPATLAGPQMSGQTSVRQKWQQAASSAKWIGIGKRLNRQNTLNPGHRLYGTSNSMTEHTSANVVTCYHMMIGEFKFYLHPLHAAEGSVLVEVLHTPELLFPEGSALRDQCARGGVVAKLIQHCKTLMQNKQDNLCARVLQTLCKMCDCTKQQLTHQGQQLRQLLLQRYFGHHNNHHPPLDRQQSKIGEVIEAVKEKKEETWSQERDLYAIQCKLNDAGASDLVTDIIIMEPSREIFLKAIHLARALLHEGNDKVQHSFYMRMKQKDIHEPFFKAILTRIQTAQNRLKSDMMSCSDSKPKVSLSATVSRRSSTVLTPLIDAGDTGFNGALFEVPQQVRHPSISEMSQLSNDLTHSIPDLAPYQDEEKSTDALPPEVALVEPILRVLQLLCENHNSLLQNFLRKQSDRTNHNLVSETLSFLDTVCGSTKGSLGVFGEIGEHNFSLITQTLATLTEFCQGPCHENQNTMAMQENGLNIIISLVLNEIKPLADDHMELALEIKSQASKLLLAIMESRHDGENANRVLRNMANMSGGPKQLVHAIKQAYEMTNSNHHMLKSISRDLFRQAEDDLKKKSGPQITVNTVTLPEINVDASGIVSIHTEKNISSSLDDKFNDDDIPSVDPREVGHNIYILAHQLAIHDGELEIWLDGSDEKKDDLTREALNYYKERTAQIEIVRRDRTLERVVFPINDICSYLTKDTKDYVYNNTERDNQGSKVTEFFDEWETMYHEMIWQRKLQDRKWLSWCAFRLPLWTRLSFHFAFIVNALVARYYPLPEHSNSSISLGNLYSWFAVFSSFLLAHYLRHDKIYLHKTSLLILASLCFLLLSSIGVTLTLYIFGILQLVNKIVHVVAFVSNKGLEDRPIAEILACRNLHYLLVYLFICILGLLVHPMIYCILLFDIIFTEETLQNVIASVTRNYQSIVWTGLLALILLYFFSILGFLYFRHDFYLEVDPVENDSSATISSGIPSETCPSEGCPGLQPSEKDDNDDEKKVKSCETLWMCILQTGYQGLRNGGGIGDVLRNPAPWEDMFIWRVAYDMTFFVVLIVIVLNLIFGVIIDTFGDLRAEKNEKEQILKNNCFICGLDRSRFDNRSVTFETHRETEHNIWHYLYYIVMLQIKDETEFTGPESYVAQCVKDRNLDWFPRMQALSLQDSELDTDQSEVKQMKDQLLQMMTLMREIISQNEESRAFMEQFQPR", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MARAAGERGRAARCGRWRRGALLAFAAWTAGWVLAAALLLRAHPSVLSERCTDEKSRRILAALCQDYRRGWLTGALCEDLCVGGELLYQRCLYYERGKKVLQAQWRGRTVVLKSKREAFSSFPPLTLLEEEAGAGAPGIPEAELLLMVAGEVKNTLGLELPNNSIAPLWPARQGPGWRQQLASAWSLLQQEEYVYFSLLPDLSRHILPVLGSCGHFYAVEYLAAGSPHHKALFPLDDAGQAQAISHIALSFLDMVSHFDSDFSHRLHLCDVKPENFAIKRDFTVVAIDVDMAFFEPKMREILEQNCTGDEDCNFFDCFSKCDLRVHKCGAQRVNSNLQVICDKIFRHWFSSTHRSPAVSLQLRLQLQQAVQECAQHGGSSGNSWTASSSVFWKLRWLLQATLKELQEAEK", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAPQNLGTLCLLLLYLLGAAIAGRDFYKILGVPRSASIKDIKKAYRKLALQLHPDRNPDDPRAQEKFQDLGAAYEVLSDSEKRKQYDTYGEEGLKDGHQSSHGDIFSHFFGDFGFMFGGTPRQQDRNIPRGSDIIVDLEVTLEEVYAGNFVEVVRNKPVARQAPGKRKCNCRQEMRTTQLGPGRFQMTQEVVCDECPNVKLVNEERTLEVEIEPGVRDGMEYPFIGEGEPHVDGEPGDLRFRIKVVKHPIFERRGDDLYTNVTISLVESLVGFDMDITHLDGHKVHISRDKITRPGAKLWKKGEGLPNFDNNNIKGSLIITFDVDFPKEQLTEEAREGIKQLLNQGSVQKVYNGLQGY", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVTTVEMLTTWNPVTVSLVSPVIIYWVASAFFGFLHYIELPVFEKYRIHPPEEIARRNRVPQMAVVKAVLFQQLCEVVVGIALAMFEGYPEPIDEAKQMLRYEAFFSKNLPALLQVAPFAPKLAYNFIVPAFQYFFAFFIIDSWQYFWHRYLHYNKKLYNMIHAHHHRLQVPYAMGALYNHPFEGLILDTFGAGVAYLAAGLSPQQAVIFFTLSTLKTVDDHCGYVFPYDPLQMFFANNARYHDLHHQPYGFQKNFSQPFFTFWDHVLGTYMPPKSETPYEKKQKAKNAKKVN", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSWNLLFVLLIGFVVLILLSPVFKSTWPFSTFYRNVFQPFLVDDQKYRWKLHLVPLFYTSIYLYLVYTYHMRVESTIKNELFLLERILIVPIIILPPVALGILAMVSRAEDSKDHKSGSTEEYPYDYLLYYPAIKCSTCRIVKPARSKHCSICNRCVLVADHHCIWINNCIGKGNYLQFYLFLISNIFSMCYAFLRLWYISLNSTSTLPRAVLTLTILCGCFTIICAIFTYLQLAIVKEGMTTNEQDKWYTIQEYMREGKLVRSLDDDCPSWFFKCTEQKDDAAEPLQDQHVTFYSTNAYDHKHYNLTHYITIKDASEIPNIYDKGTFLANLTDLI", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MMSCLVPTRFTLTLNTACLLTSTWGFVRATSVVLPPSLSKAGHKQFLTIISIIATIINNAVNISNYYIQRNNKMNLETKKKSDFISRHVTLPVSLVLESIVATVYWPLRLFFVNLIMHGVESTAKTPFPMTVDMAIHLYPILYLLADHYLSGSGTKFKLSNKHAWLIVTSLAFSYFQYLAFLIDAGQGQAYPYPFLDVNEPYKSIIFVVVATITWAYYVFYQKFPPKYIKKSAKKGDKN", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSQAEPSKGASNADPNEKVEKMHLPTDTAGGGVKVKVKEQEASPSDKNNLNPQSAGVSEVQVQDDTGARGSGARDLKVPKQMQAPKSSEEKSDVDGVPTRPVSERELRKRDAMQFIQRHQKVRNILAQYCPWLTDERLQLCIELKILFMQHFQDSRLVLYTAVMSFLFGYLRFGFLSLFIIMAVCIQYYRICDRRVKVNFKDDYTRYLSTRKLENDSETVTWLNTFLQQFWYIFEPSLSERITEITDQILSENVPSFIDSMALSEFTLGTKSPRMGFIRSYPKTEEDTVMMDLRLAFSPNDISDLTGREIAACIKPKIALDLKIGKSIASAKMPVLIEDLSFTGNLRVKVKLIDKYPYAKTVGLTFTEKPVFSYILKPLGGDKFGFDIGNIPGLTTFITEQIHNTLGPMMYSPNVYELDIESMMGAAGLNTALGAVEFKLRKGDGFKDGLGGAVDPYVVIKNSADRVIGKSKVAHNTGSPVFNETFYSVLNSFSENLNLEVYDFNDIRSDKLLGSAVLPLATLEAMPVTNDAFVELTLKGKTVGRLNYDMKFHAVVPDSGEEITKVDGPGVLQFTVHQCKELSNDPSKRPTAYAKLIINNKEVYTTRKIKKNNNPSWEESFGTLLPEGKNATLGVQIFTEESEHPFGTANVSLQDLFAATKTGLLWFPLQHAPSGRVRMSVMWKPAQLNNDSISSMALATPIGAIRIHLRSANNLHSKIPGKKCDSYARIMSHNTKQFRTVVIASNVNPFWDEYMYAPVITKHDIFFLQVMNYNSSGEDKLIGQTPINISNFINQGENGALMEYHDPRELTVPLSSTRGIKGNATITFKCDFFPSAVTTSLSPDVTPAPKASSTVATDKVNIEVLPESQKTPTAVDNTSTSRGSTSVKTSKPKKISELLMPSEAVNAALDFESGFMGFDIISYKIAKPAQELAIFLDDLPHHIFLSSALNVTGGATLHEYGNTFIRQLEYSQCTFKLLDGDKEVGSKTMLSRDLISKGATKPLEIAFPDGASILVAFRLTPVPVKLEEVEMYENMGEMTVDVIKATDLPAADSNGKSDPFVVFELQGEEVYRTKTHKRTLNPTFNESFEVELPCKQTCNFVANVFDWDFGNKDDHLGSCVIDCKLLQQQQQTNYEIPLDSKQGVLYLRITLSPKWVLRSKRAGNSSLVEGILGQTASIVGMPLKGISTVGNVAVDGVASVANLTNKMRKGISRGFKGIHHEKAK", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MERTNSIEMDRERLTAEMAFRDSSSAVIRIRRRLPDLLTSVKLKYVKLGLHNSCNVTTILFFLIILPLTGTVLVQLTGLTFDTFSELWSNQAVQLDTATRLTCLVFLSFVLTLYVANRSKPVYLVDFSCYKPEDERKISVDSFLTMTEENGSFTDDTVQFQQRISNRAGLGDETYLPRGITSTPPKLNMSEARAEAEAVMFGALDSLFEKTGIKPAEVGILIVNCSLFNPTPSLSAMIVNHYKMREDIKSYNLGGMGCSAGLISIDLANNLLKANPNSYAVVVSTENITLNWYFGNDRSMLLCNCIFRMGGAAILLSNRRQDRKKSKYSLVNVVRTHKGSDDKNYNCVYQKEDERGTIGVSLARELMSVAGDALKTNITTLGPMVLPLSEQLMFLISLVKRKMFKLKVKPYIPDFKLAFEHFCIHAGGRAVLDEVQKNLDLKDWHMEPSRMTLHRFGNTSSSSLWYEMAYTEAKGRVKAGDRLWQIAFGSGFKCNSAVWKALRPVSTEEMTGNAWAGSIDQYPVKVVQ", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGSTWGSPGWVRLALCLTGLVLSLYALHVKAARARDRDYRALCDVGTAISCSRVFSSRWGRGFGLVEHVLGQDSILNQSNSIFGCIFYTLQLLLGCLRTRWASVLMLLSSLVSLAGSVYLAWILFFVLYDFCIVCITTYAINVSLMWLSFRKVQEPQGKAKRH", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVELMFPLLLLLLPFLLYMAAPQIRKMLSSGVCTSTVQLPGKVVVVTGANTGIGKETAKELAQRGARVYLACRDVEKGELVAKEIQTTTGNQQVLVRKLDLSDTKSIRAFAKGFLAEEKHLHVLINNAGVMMCPYSKTADGFEMHIGVNHLGHFLLTHLLLEKLKESAPSRIVNVSSLAHHLGRIHFHNLQGEKFYNAGLAYCHSKLANILFTQELARRLKGSGVTTYSVHPGTVQSELVRHSSFMRWMWWLFSFFIKTPQQGAQTSLHCALTEGLEILSGNHFSDCHVAWVSAQARNETIARRLWDVSCDLLGLPID", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVGAKPVENGSDGGSSTGLLHGRYELGRLLGHGTFAKVYHARNIQTGKSVAMKVVGKEKVVKVGMVDQIKREISVMRMVKHPNIVELHEVMASKSKIYFAMELVRGGELFAKVAKGRLREDVARVYFQQLISAVDFCHSRGVYHRDLKPENLLLDEEGNLKVTDFGLSAFTEHLKQDGLLHTTCGTPAYVAPEVILKKGYDGAKADLWSCGVILFVLLAGYLPFQDDNLVNMYRKIYRGDFKCPGWLSSDARRLVTKLLDPNPNTRITIEKVMDSPWFKKQATRSRNEPVAATITTTEEDVDFLVHKSKEETETLNAFHIIALSEGFDLSPLFEEKKKEEKREMRFATSRPASSVISSLEEAARVGNKFDVRKSESRVRIEGKQNGRKGKLAVEAEIFAVAPSFVVVEVKKDHGDTLEYNNFCSTALRPALKDIFWTSTPA", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATKPGVLTDWPWTPLGSFKYIVIAPWAVHSTYRFVTDDPEKRDLGYFLVFPFLLFRILHNQVWISLSRYYTSSGKRRIVDKGIDFNQVDRETNWDDQILFNGVLFYIGINLLPEAKQLPWWRTDGVLMAALIHTGPVEFLYYWLHKALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFILFAIPLLTTLLTKTASIISFAGYIIYIDFMNNMGHCNFELIPKRLFHLFPPLKFLCYTPSYHSLHHTQFRTNYSLFMPLYDYIYGTMDESTDTLYEKTLERGDDIVDVVHLTHLTTPESIYHLRIGLASFASYPFAYRWFMRLLWPFTSLSMIFTLFYARLFVAERNSFNKLNLQSWVIPRYNLQYLLKWRKEAINNMIEKAILEADKKGVKVLSLGLMNQGEELNRNGEVYIHNHPDMKVRLVDGSRLAAAVVINSVPKATTSVVMTGNLTKVAYTIASALCQRGVQVSTLRLDEYEKIRSCVPQECRDHLVYLTSEALSSNKVWLVGEGTTREEQEKATKGTLFIPFSQFPLKQLRRDCIYHTTPALIVPKSLVNVHSCENWLPRKAMSATRVAGILHALEGWEMHECGTSLLLSDLDQVWEACLSHGFQPLLLPHH", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAACEGRRSGALGSSQSDFLTPPVGGAPWAVATTVVMYPPPPPPPHRDFISVTLSFGENYDNSKSWRRRSCWRKWKQLSRLQRNMILFLLAFLLFCGLLFYINLADHWKALAFRLEEEQKMRPEIAGLKPANPPVLPAPQKADTDPENLPEISSQKTQRHIQRGPPHLQIRPPSQDLKDGTQEEATKRQEAPVDPRPEGDPQRTVISWRGAVIEPEQGTELPSRRAEVPTKPPLPPARTQGTPVHLNYRQKGVIDVFLHAWKGYRKFAWGHDELKPVSRSFSEWFGLGLTLIDALDTMWILGLRKEFEEARKWVSKKLHFEKDVDVNLFESTIRILGGLLSAYHLSGDSLFLRKAEDFGNRLMPAFRTPSKIPYSDVNIGTGVAHPPRWTSDSTVAEVTSIQLEFRELSRLTGDKKFQEAVEKVTQHIHGLSGKKDGLVPMFINTHSGLFTHLGVFTLGARADSYYEYLLKQWIQGGKQETQLLEDYVEAIEGVRTHLLRHSEPSKLTFVGELAHGRFSAKMDHLVCFLPGTLALGVYHGLPASHMELAQELMETCYQMNRQMETGLSPEIVHFNLYPQPGRRDVEVKPADRHNLLRPETVESLFYLYRVTGDRKYQDWGWEILQSFSRFTRVPSGGYSSINNVQDPQKPEPRDKMESFFLGETLKYLFLLFSDDPNLLSLDAYVFNTEAHPLPIWTPA", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLLPRSVSSERAPGVPEPEELWEAEMERLRGSGTPVRGLPYAMMDKRLIWQLREPAGVQTLRWQRWQRRRQTVERRLREAAQRLARGLGLWEGALYEIGGLFGTGIRSYFTFLRFLLLLNLLSLLLTASFVLLPLVWLRPPDPGPTLNLTLQCPGSRQSPPGVLRFHNQLWHVLTGRAFTNTYLFYGAYRVGPESSSVYSIRLAYLLSPLACLLLCFCGTLRRMVKGLPQKTLLGQGYQAPLSAKVFSSWDFCIRVQEAATIKKHEISNEFKVELEEGRRFQLMQQQTRAQTACRLLSYLRVNVLNGLLVVGAISAIFWATKYSQDNKEESLFLLLQYLPPGVIALVNFLGPLLFTFLVQLENYPPNTEVNLTLIWCVVLKLASLGMFSVSLGQTILCIGRDKSSCESYGYNVCDYQCWENSVGEELYKLSIFNFLLTVAFAFLVTLPRRLLVDRFSGRFWAWLEREEFLVPKNVLDIVAGQTVTWMGLFYCPLLPLLNSVFLFLTFYIKKYTLLKNSRASSRPFRASSSTFFFQLVLLLGLLLAAVPLGYVVSSIHSSWDCGLFTNYSAPWQVVPELVALGLPPIGQRALHYLGSHAFSFPLLIMLSLVLTVCVSQTQANARAIHRLRKQLVWQVQEKWHLVEDLSRLLPEPGPSDSPGPKYPASQASRPQSFCPGCPCPGSPGHQAPRPGPSVVDAAGLRSPCPGQHGAPASARRFRFPSGAEL", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPAFPTLDLDGKLGKMDRVVLGWTAVFWLTAMVEGLQVTVPDKKKVAMLFQPTVLRCHFSTSSHQPAVVQWKFKSYCQDRMGESLGMSSPRAQALSKRNLEWDPYLDCLDSRRTVRVVASKQGSTVTLGDFYRGREITIVHDADLQIGKLMWGDSGLYYCIITTPDDLEGKNEDSVELLVLGRTGLLADLLPSFAVEIMPEWVFVGLVILGIFLFFVLVGICWCQCCPHSCCCYVRCPCCPDSCCCPQALYEAGKAAKAGYPPSVSGVPGPYSIPSVPLGGAPSSGMLMDKPHPPPLAPSDSTGGSHSVRKGYRIQADKERDSMKVLYYVEKELAQFDPARRMRGRYNNTISELSSLHDDDSNFRQSYHQMRNKQFPMSGDLESNPDYWSGVMGGNSGTNRGPALEYNKEDRESFRHSQQRSKSEMLSRKNFATGVPAVSMDELAAFADSYGQRSRRANGNSHEARAGSRFERSESRAHGAFYQDGSLDEYYGRGRSREPPGDGERGWTYSPAPARRRPPEDAPLPRLVSRTPGTAPKYDHSYLSSVLERQARPESSSRGGSLETPSKLGAQLGPRSASYYAWSPPTTYKAGASEGEDEDDAADEDALPPYSELELSRGELSRGPSYRGRDLSFHSNSEKRRKKEPAKKPGDFPTRMSLVV", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MIGSINESPIEEHMNDSPSTKEKADSVDISDYIVSHSDDSLSKDIKKDTKSFLDVEHGEISTVDEFEEDSPYPEVRAAVPPTDDPSMPCNTIRMWTIGLIYSTVGAAVNMFFSLRNPTVTLSVLISELLAYPALQIWDLIFPDREFRIGRLKFNFKPGPFNVKEHALIVVMSSVSFGNAYSTDIILAQRVHYKQRFGFGYEICLTLATQLIGYGLAGLSRRLLVRPASMLWPVNLVQCTLIKTLHRKDLRNAVANGWRISPFRFFLYVFIASFIWNWFPSYIFQALSLFAWVTWIRPNSPTVNQIFGESTGISILPMTFDWNQISAYILSPLMAPADALMNILLGVILFFWIVTPALNFTNTWYGDYLPISSSGIIDHFGNSYNVTRILTKDATFDLDAYQNYSPIFMSTTYALAFGLSFASITSVIFHVILYHGKEIYDRLRDPPAPDIHEKLMKAYDEVPFYWYLSVFLAFFGMMMGTIYGWKTETPWWVIIVGVIFSAVWFIPIGIVQAITNIQLGLNVFTEFIVGYMYPGRPLAMMIFKTVGYITMTQGLAFAADLKFGHYMKLPPRIMFYTQMIATIWSCFVQIGVLDWALGNIDNVCQADQPDNYTCPNATVFFNSSVIWGVIGPKRMFSGKNTYTGLQYFWLAGVLGTILFWALWKKWPQKWWGQLNGPLIFGGTGYIPPATPVNYLAWSGIGLFFNYYLKKIFADWWQKYNFTLSAALDTGTQLSVIILFFCLQLPMVNFPDWWGNDGAFNTLDATGAAVRKLVNESAGEFFGPAEW", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRRGERRVAGGSGSESPLLKGRRSTESEVYDDGTNTFFWRAHTLTVLFILTCALGYVTLLEETPQDTAYNTKRGIVASILVFLCFGVTQAKDGPFSRPHPAYWRFWLCVSVVYELFLIFILFQTVQDGRQFLKYVDPRLGVPLPERDYGGNCLIYDADNKTDPFHNIWDKLDGFVPAHFIGWYLKTLMIRDWWMCMIISVMFEFLEYSLEHQLPNFSECWWDHWIMDVLVCNGLGIYCGMKTLEWLSLKTYKWQGLWNIPTYKGKMKRIAFQFTPYSWVRFEWKPASSLHRWLAVCGIILVFLLAELNTFYLKFVLWMPPEHYLVLLRLVFFVNVGGVAMREIYDFMDELKPHRKLGQQAWLVAAITVTELLIVVKYDPHTLTLSLPFYISQCWTLGSILVLTWTVWRFFLRDITMRYKETRRQKQQSHQARAVNNRDGHPGPDDDLLGTGTAEEEGTTNDGVTAEEGTSAAS", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGDRGGAGSSRRRRTGSRVSVQGGSGPKVEEDEVRDAAVSPDLGAGGDAPAPAPAPAHTRDKDGRTSVGDGYWDLRCHRLQDSLFSSDSGFSNYRGILNWCVVMLILSNARLFLENLIKYGILVDPIQVVSLFLKDPYSWPAPCVIIASNIFVVAAFQIEKRLAVGALTEQMGLLLHVVNLATIICFPAAVALLVESITPVGSVFALASYSIMFLKLYSYRDVNLWCRQRRVKAKAVSTGKKVSGAAAQQAVSYPDNLTYRDLYYFIFAPTLCYELNFPRSPRIRKRFLLRRVLEMLFFTQLQVGLIQQWMVPTIQNSMKPFKDMDYSRIIERLLKLAVPNHLIWLIFFYWFFHSCLNAVAELLQFGDREFYRDWWNAESVTYFWQNWNIPVHKWCIRHFYKPMLRHGSSKWVARTGVFLTSAFFHEYLVSVPLRMFRLWAFTAMMAQVPLAWIVGRFFQGNYGNAAVWVTLIIGQPVAVLMYVHDYYVLNYDAPVGV", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MALKWTSVLLLIHLGCYFSSGSCGKVLVWTGEYSHWMNMKTILKELVQRGHEVTVLASSASILFDPNDAFTLKLEVYPTSLTKTEFENIIMQQVKRWSDIQKDSFWLYFSQEQEILWEFHDIFRNFCKDVVSNKKVMKKLQESRFDIIFADAFFPCGELLAALLNIPFVYSLCFTPGYTIERHSGGLIFPPSYIPVVMSKLSDQMTFMERVKNMIYVLYFDFWFQMCDMKKWDQFYSEVLGRPTTLFETMGKADIWLMRNSWSFQFPHPFLPNIDFVGGLHCKPAKPLPKEMEEFVQSSGENGVVVFSLGSVISNMTAERANVIATALAKIPQKVLWRFDGNKPDALGLNTRLYKWIPQNDLLGLPKTRAFITHGGANGIYEAIYHGIPMVGIPLFWDQPDNIAHMKAKGAAVRLDFHTMSSTDLLNALKTVINDPSYKENVMKLSIIQHDQPVKPLHRAVFWIEFVMCHKGAKHLRVAARDLTWFQYHSLDVIGFLLACVATVIFVVTKFCLFCFWKFARKGKKGKRD", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAINRIAHGSLFLTVVLFFLTVNYGEAIWLTIPTTGGTKCVSEEIQSNVVVLADYYVVDEHNPENTPAVSSKVTSPYGNNLHHQENVTHGQFAFTTQEAGNYLACFWIDSSHHLANPITLGVDWKMGIAAKDWDSVAKKEKIEGVELQLRRLEGLVLSIRENLNYIKDREAEMREVSETTNSRVAWFSIMSLGVCVVVVGSQILYLKRYFHKKKLI", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAANSGLDSKVEYYRLQENEIISAVSSEDADQNDAGFRLSTIHLHLFHGLKFAALLFTVVPVFIILDSMKIIFQRKRRFCLDHVNRSFLRQSSWILDERICQYVLNPLFVCLYPSTFSSPTYVKCNIPIEDQKSPENNIFQDHQLNAPKIVSTKFYQYVMPEGFDPTTDPVLVFYHGGGYALKLTPTSFSFLNNMRNAFPKMAILVPDYTVTATDDQSKKYPLQILQNVAIFDYVVKTMGCKNVVIMGDSAGGNAVLNIVLYLRKCHREIYPKKVIAISPWANATFFHEGEKEYMQGTQEWDGLCLKSHSMFGRMFVGNNPNVDFTSDPFVNIEKNFETKMWQDILKKCSVMITYGSDELLSFQNKILAKKMSDASEGCNHFTAKNVLVEHQGYHTGPILNYSRNMDRWTNIPSIARILEFMQS", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAGAENWPGQQLELDEDEASCCRWGAQHAGARELAALYSPGKRLQEWCSVILCFSLIAHNLVHLLLLARWEDTPLVILGVVAGALIADFLSGLVHWGADTWGSVELPIVGKAFIRPFREHHIDPTAITRHDFIETNGDNCLVTLLPLLNMAYKFRTHSPEALEQLYPWECFVFCLIIFGTFTNQIHKWSHTYFGLPRWVTLLQDWHVILPRKHHRIHHVSPHETYFCITTGWLNYPLEKIGFWRRLEDLIQGLTGEKPRADDMKWAQKIK", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDYQYFEEESDYIDLDEEEEDDDVVTAGSLDHRFGQPNGEEDYYFGGDDVEEELVVDGHGVLELAGRLLESLQSCVQPSVLQVMQYVAPMLLLCLLCRLLCLLYSQRRRLTSLAPLHLFHFACGLIILQITVGYRLLLLLLLAAVGYLLLQLLRLGRRGAQVLAVLTVGSQFLYELLIWRRRSDWPQLRGIQMVVNMKLISLGFDLTASGQLQARIPGPFAYLGYIYSPATCALGPWVSFGCYMDCLVPRNSWLVSLRRLLPNVVICVLAVTVSNCVAPALSDFFGDSSHFLVMYWDALSVRSSHYFVGMMAQALLVASDQRLDGATKESDMLGPLISQPWRIEWPRSISSLVRSWNIPMHEWLKRYIYAPCKPTASTSRGRILVVVLSTYLVSSLLHGMDLRIYLVLISLAFLAEGESLLRRQLASLLNACITANLCPGKERCRYSHCPSKRRLNSLSYWLARLTNLAFTALAIFHLAYLGVVLLGDDLEVGEDGDSFLWHWQQAGYLSHYIGLGTFVLYLFIS", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSDLRFLDLVKPFAPFLPEIAAPERKVPFKQKMLWTGVTLLIFLVMSQVPLYGIVSSDSSDPLLWLRMILAANRGTLMELGISPIVTSSMLVQLLVGSQLIEVNMELKSDREMYQLVQKFLAIIIAFGQATAYVLTGMYGRPQDLGAGICLLLILQLAAASLIVLLLDELLQKGYGLGSGISLFIATINCENIFWKAFSPTTYHIANGVQFEGAVINFVYVMFTWDNKAAALYQAFFRSGLTSSQIQLPNLWNFFATLLVFGVVIYLQDFRVEIPIRSQKFRGYRSTFPVKLLYTSNTPIMLQSALTSNLFFASRLLFNRFSSNFLVRFLGVWEQTATSGLSYYLSPPASFQDALIDPIHTLVYVFFTMFACALFSKLWIEVSGASPRDVAKQLKSQQLVMAGHREGSMYKELKRIIPTAAWLSGAVVGALAVASDLLGALGSGTAVLLCTTTIYGYYEQLQKEIKGDQYGLPVTPMMQ", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MALIPDLAMETWLLLAVSLVLLYLYGTHSHGLFKKLGIPGPTPLPFLGNILSYHKGFCMFDMECHKKYGKVWGFYDGQQPVLAITDPDMIKTVLVKECYSVFTNRRPFGPVGFMKSAISIAEDEEWKRLRSLLSPTFTSGKLKEMVPIIAQYGDVLVRNLRREAETGKPVTLKDVFGAYSMDVITSTSFGVNIDSLNNPQDPFVENTKKLLRFDFLDPFFLSITVFPFLIPILEVLNICVFPREVTNFLRKSVKRMKESRLEDTQKHRVDFLQLMIDSQNSKETESHKALSDLELVAQSIIFIFAGYETTSSVLSFIMYELATHPDVQQKLQEEIDAVLPNKAPPTYDTVLQMEYLDMVVNETLRLFPIAMRLERVCKKDVEINGMFIPKGVVVMIPSYALHRDPKYWTEPEKFLPERFSKKNKDNIDPYIYTPFGSGPRNCIGMRFALMNMKLALIRVLQNFSFKPCKETQIPLKLSLGGLLQPEKPVVLKVESRDGTVSGA", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRWSVLDTVLLTVISFHLIQAPFTKVEESFNIQAIHDILTYSVFDISQYDHLKFPGVVPRTFVGAVIIAMLSRPYLYLSSLIQTSRPTSIDVQLVVRGIVGLTNGLSFIYLKNCLQDMFDEITEKKKEENEDKDIYIYDSAGTWFLLFLIGSFHLMFYSTRTLPNFVMTLPLTNVALGWVLLGRYNAAIFLSALVAIVFRLEVSALSAGIALFSVIFKKISLFDAIKFGIFGLGLGSAISITVDSYFWQEWCLPEVDGFLFNVVAGYASKWGVEPVTAYFTHYLRMMFMPPTVLLLNYFGYKLAPAKLKIVSLASLFHIIVLSFQPHKEWRFIIYAVPSIMLLGATGAAHLWENMKVKKITNVLCLAILPLSIMTSFFISMAFLYISRMNYPGGEALTSFNDMIVEKNITNATVHISIPPCMTGVTLFGELNYGVYGINYDKTENTTLLQEMWPSFDFLITHEPTASQLPFENKTTNHWELVNTTKMFTGFDPTYIKNFVFQERVNVLSLLKQIIFDKTPTVFLKELTANSIVKSDVFFTYKRIKQDEKTD", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNVGVAHSEVNPNTRVMNSRGIWLAYIILVGLLHMVLLSIPFFSIPVVWTLTNVIHNLATYVFLHTVKGTPFETPDQGKARLLTHWEQMDYGLQFTSSRKFLSISPIVLYLLASFYTKYDAAHFLINTASLLSVLLPKLPQFHGVRVFGINKY", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "METPQMNAIQEEDNLSPEVAFQTPKLNDSDASSFSLSNMNAVGNVDGIPSQNRTFFASPRPSSLFYSAKEGNNSSSSIIYNPSFTFGENASSNANINEAALMKGKGNEGRRQSLKYIPAPKLVPPPPRTRSPVRGISPDAGSSKRSSMTLDSPFNFTTSTLQPHQQTPPSSAASRTSFRKGHRYKHSSVSMNFFQEPEVKIPLNIAKSLPIPDFNDLLSNLPWPKAYIQLSIAALQIFACLITFQVGHLYSWSNFITLSHFITYDIIGSLVIIFVENLSQFQVWFTGTITFPFGLNRIDVLLSFALAVSLCFVGLDLLFHIIEEFIVLFVESGSSLTNNHDHDEINEQIPHSHIANANDSQNENITLWYSILMINLVLSTLSLYKTFYANKYSNLKTKNPIITITYTAYLFIYPLLLDLLSSISDYLATLVISSLILWHGLTIARWTSTVLLMGFSTTSLSNSALFNNNDSTDTTAHTQQVESKAAKEKPSVRPRSMSSLPIATKNTKIRKTGFLNSAGFTENPTTIKNMIKDQIERLSEFKSRYILNYDDIVISKVNFTLYVVLIKITMKGGSDDDELMLRLAIDKCIQTSIPTCETTIDIDRI", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MIPKLYIHLILSLLLLPLILAQDYYAILEIDKDATEKEIKSAYRQLSKKYHPDKNAGSEEAHQKFIEVGEAYDVLSDPEKKKIYDQFGADAVKNGGGGGGPGGPGAGGFHDPFDIFERMFQGGHGGPGGGFGQRQRQRGPMIKVQEKLSLKQFYSGSSIEFTLNLNDECDACHGSGSADGKLAQCPDCQGRGVIIQVLRMGIMTQQIQQMCGRCGGTGQIIKNECKTCHGKKVTKKNKFFHVDVPPGAPRNYMDTRVGEAEKGPDFDAGDLVIEFKEKDTENMGYRRRGDNLYRTEVLSAAEALYGGWQRTIEFLDENKPVKLSRPAHVVVSNGEVEVVKGFGMPKGSKGYGDLYIDYVVVMPKTFKSGQNMLKDEL", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MIFRTNYIVIFIVSIFISMLWQPVHLSVFVILIVAWLYVYSRDNEPWVIFGSVIDDSTLVLVLLVLTIGIFLLTDVSRGIVIGVLAGLPVVLVHGMCRRNTEMLFVLEDDEEKVAMNTSSSSLSSSS", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLQFSTFLVLLYISISYVLPLYATSQPEGSKRDNPRTIKSRMQKLTIMLISNLFLVPFLQSQLSSTTSHISFKDAFLGLGIIPGYYAALPNPWQFSQFVKDLTKCVAMLLTLYCGPVLDFVLYHLLNPKSSILEDFYHEFLNIWSFRNFIFAPITEEIFYTSMLLTTYLNLIPHSQLSYQQLFWQPSLFFGLAHAHHAYEQLQEGSMTTVSILLTTCFQILYTTLFGGLTKFVFVRTGGNLWCCIILHALCNIMGFPGPSRLNLHFTVVDKKAGRISKLVSIWNKCYFALLVLGLISLKDTLQTLVGTPGYRITL", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MWITALLLAVLLLVILHRVYVGLYAASSPNPFAEDVKRPPEPLVTDKEARKKVLKQAFSVSRVPEKLDAVVIGSGIGGLASAAVLAKAGKRVLVLEQHTKAGGCCHTFGENGLEFDTGIHYIGRMREGNIGRFILDQITEGQLDWAPMASPFDLMILEGPNGRKEFPMYSGRKEYIQGLKKKFPKEEAVIDKYMELVKVVARGVSHAVLLKFLPLPLTQLLSKFGLLTRFSPFCRASTQSLAEVLQQLGASRELQAVLSYIFPTYGVTPSHTAFSLHALLVDHYIQGAYYPRGGSSEIAFHTIPLIQRAGGAVLTRATVQSVLLDSAGRACGVSVKKGQELVNIYCPVVISNAGMFNTYQHLLPETVRHLPDVKKQLAMVRPGLSMLSIFICLKGTKEDLKLQSTNYYVYFDTDMDKAMERYVSMPKEKAPEHIPLLFIAFPSSKDPTWEERFPDRSTMTALVPMAFEWFEEWQEEPKGKRGVDYETLKNAFVEASMSVIMKLFPQLEGKVESVTGGSPLTNQYYLAAPRGATYGADHDLARLHPHAMASIRAQTPIPNLYLTGQDIFTCGLMGALQGALLCSSAILKRNLYSDLQALGSKVKAQKKKM", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSQHLLLLILLSLLLLHKPISATTIIQKFKEAPQFYNSADCPLIDDSESDDDVVAKPIFCSRRAVHVAMTLDAAYIRGSVAAVLSVLQHSSCPENIVFHFVASASADASSLRATISSSFPYLDFTVYVFNVSSVSRLISSSIRSALDCPLNYARSYLADLLPPCVRRVVYLDSDLILVDDIAKLAATDLGRDSVLAAPEYCNANFTSYFTSTFWSNPTLSLTFADRKACYFNTGVMVIDLSRWREGAYTSRIEEWMAMQKRMRIYELGSLPPFLLVFAGLIKPVNHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDAGRPCPLDALWAPYDLLQTPFALDS", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAAGQGGWLRPALGLRLLLATAFQAVSALGAEFSSEACRELGFSSNLLCSSCDLLGQFNLLPLDPVCRGCCQEEAQFETKKLYAGAILEVCGUKLGRFPQVQAFVRSDKPKLFRGLQIKYVRGSDPVLKLLDDNGNIAEELSILKWNTDSVEEFLSEKLERI", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MARALVQLWAICMLRVALATVYFQEEFLDGEHWRNRWLQSTNDSRFGHFRLSSGKFYGHKEKDKGLQTTQNGRFYAISARFKPFSNKGKTLVIQYTVKHEQKMDCGGGYIKVFPADIDQKNLNGKSQYYIMFGPDICGFDIKKVHVILHFKNKYHENKKLIRCKVDGFTHLYTLILRPDLSYDVKIDGQSIESGSIEYDWNLTSLKKETSPAESKDWEQTKDNKAQDWEKHFLDASTSKQSDWNGDLDGDWPAPMLQKPPYQDGLKPEGIHKDVWLHRKMKNTDYLTQYDLSEFENIGAIGLELWQVRSGTIFDNFLITDDEEYADNFGKATWGETKGPEREMDAIQAKEEMKKAREEEEEELLSGKINRHEHYFNQFHRRNEL", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRNPGGSLPHTLPRALQHAGRTGVVEQPGRWAPERTAGGDRSEDRLPRGGGASAAAAAAAAAASGALLGAYLERHGLPAASDLPAPAGALAGGPGSGGGVVVGVAEVRNWRCCCLGSTCWCRSLVLVCVLAALCFASLALVRRYLQHLLLWVESLDSLLGVLLFVVGFIVVSFPCGWGYIVLNVAAGYLYGFVLGMGLMVVGVLIGTFIAHVVCKRLLTAWVAARIQNSDKLSAVIRVVEGGSGLKVVALARLTPIPFGLQNAVFSITDVPLPSYLMASSAGLLPTQLLNSYLGTTLRTMEDVIAEQSLSGYFVFCLQIVISIGLMFYVVHRAQVELNAAIVACEMELKTSLVKGNQSDPSGSSFYNKRTLTFSGGGINIV", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDLTGAHMLKIHRPSIDHPFGVDLWHLFEQLSIKTIGWNPSEFEYIPGKTPMSQWSSVIVSITAYYVIILSGRAIMTNRKPLKQRRLFQLHNFILTIISGALLALLVEEVFRNYMRNGLFYCVCDSRHFTQRLVTLYYLNYLTKYLELMDTVFLFLKKKPLAFLHCYHHGITALLCFTQLLGRTSVQWGVIGLNLYVHVIMYSYYFLAACGRRVWWKQWVTRVQIIQFVLDLILCYFGTYSHIAFRYFPWLPHVGDCSGSLFAAFFGCGVLSSYLFLFIGFYINTYIKRGAKKNQRKAAGKADNTSVAAAAGSEALAATTATNASPFSARSRKL", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAITLEEAPWLGWLLVKALMRFAFMVVNNLVAIPSYICYVIILQPLRVLDSKRFWYIEGIMYKWLLGMVASWGWYAGYTVMEWGEDIKAVSKDEAVMLVNHQATGDVCTLMMCLQDKGLVVAQMMWLMDHIFKYTNFGIVSLVHGDFFIRQGRSYRDQQLLLLKKHLENNYRSRDRKWIVLFPEGGFLRKRRETSQAFAKKNNLPFLTNVTLPRSGATKIILNALVAQQKNGSPAGGDAKELDSKSKGLQWIIDTTIAYPKAEPIDIQTWILGYRKPTVTHVHYRIFPIKDVPLETDDLTTWLYQRFVEKEDLLSHFYETGAFPPSKGHKEAVSREMTLSNLWIFLIQSFAFLSGYMWYNIIQYFYHCLF", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MWLKLFFLLLYFLVLFVLARFFEAIVWYETGIFATQLVDPVALSFKKLKTILECRGLGYSGLPEKKDVRELVEKSGDLMEGELYSALKEEEASESVSSTNFSGEMHFYELVEDTKDGIWLVQVIANDRSPLVGKIHWEKMVKKVSRFGIRTGTFNCSSDPRYCRRRGWVRSTLIMSVPQTSTSKGKVMLKEYSGRKIEVEHIFKWITAHAASRIKTIYNAEHLKEEWNKSDQYWLKIYLFANLDQPPAFFSALSIKFTGRVEFIFVNVENWDNKSYMTDIGIYNMPSYILRTPEGIYRYGNHTGEFISLQAMDSFLRSLQPEVNDLFVLSLVLVNLMAWMDLFITQGATIKRFVVLISTLGTYNSLLIISWLPVLGFLQLPYLDSFYEYSLKLLRYSNTTTLASWVRADWMFYSSHPALFLSTYLGHGLLIDYFEKKRRRNNNNDEVNANNLEWLSSLWDWYTSYLFHPIASFQNFPVESDWDEDPDLFLERLAFPDLWLHPLIPTDYIKNLPMWRFKCLGVQSEEEMSEGSQDTENDSESENTDTLSSEKEVFEDKQSVLHNSPGTASHCDAEACSCANKYCQTSPCERKGRSYGSYNTNEDMEPDWLTWPADMLHCTECVVCLENFENGCLLMGLPCGHVFHQNCIVMWLAGGRHCCPVCRWPSYKKKQPYAQHQPLSNDVPS", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSNPEQYDMGFYQSNYIIDNQEPSCNDSNAYGNVYGYREPQATEQPSSPAPPEMFLPSDYGGQLFQPASNLDYYSQSPSVDTFDEEPPLLEELGINFDHIWQKTLTVLNPMKPADGSIMNETDLTGPILFCVALGATLLMAGKAQFGYVYGMSAIGCLGIHALLNLMSNSGVSYGCVASVLGYCLLPMVLLSSCAVFFSLQGTIGTMSALLIITWCSLSASKIFISALAMEGQQLLVAYPCALLYGLFALLTVF", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MERLSGCRLRPWMLLLLLFPVQGRQKDSGSKWKVFIDQINRALENYEPCSSQNCSCYHGVIEEDLTPFRGGISRKMMAEVVRRRLGTHYQIIKHRLFREDDCMFPSRCSGVEHFILEVIRRLPDMEMVINVRDYPQVPKWMEPTIPVFSFSKTSEYHDIMYPAWTFWEGGPAVWPLYPTGLGRWDLFREDLLRSAAQWPWEKKNSTAYFRGSRTSPERDPLILLSRKNPKLVDAEYTKNQAWKSMKDTLGKPAAKDVHLIDHCKYKYLFNFRGVAASFRFKHLFLCGSLVFHVGDEWVEFFYPQLKPWVHYIPVKTDLSDVQELLQFVKANDDLAQEIAKRGSQFIINHLQMDDITCYWENLLTEYSKFLSYNVTRRKDYYQIIPRRLKTEL", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAKYQGEVQSLKLDDDSVIEGVSDQVLVAVVVSFALIATLVYALFRNVHQNIHPENQELVRVLREQLQTEQDAPAATRQQFYTDMYCPICLHQASFPVETNCGHLFCGACIIAYWRYGSWLGAISCPICRQTVTLLLTVFGEDDQSQDVLRLHQDINDYNRRFSGQPRSIMERIMDLPTLLRHAFREMFSVGGLFWMFRIRIILCLMGAFFYLISPLDFVPEALFGILGFLDDFFVIFLLLIYISIMYREVITQRLTR", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAASERLYELWLLYYAQKDLGYLQQWLKAFVGAFKKSISLSSLEPRRPEEAGAEVPLLPLDELHVLAEQLHQADLEQALLLLKLFIILCRNLENIEAGRGQVLVPRVLALLTKLVAELKGCPPPQGRGTQLENVALHALLLCEGLFDPYQTWRRQRSGEVISSKEKSKYKFPPAALPQEFSAFFQESLQNADHLPPILLLRLIHLFCAVLAGGKENGQMAVSDGSVKGLLSVVRGWSRGPAPDPCLVPLALEALVGAVHVLHASRAPPRGPELRALLESYFHVLNADWPAGLSSGPEEALVTLRVSMLDAIPMMLACEDRPVLQATFLSNNCFEHLTRLIQNSKLYLQSRAPPEGDSDLATRLLTEPDVQKVLDQDTDAIAVHVVRVLTCIMSDSPSAKEVFKERIGYPHLQEVLQSHGPPTHRLLQELLNMAVEGDHSMCPPPPIRNEQPVLVLAQWLPSLPTAELRLFLAQRLRWLCDSCPASRATCVQAGLVGCLLETLSTGLALEARCQEQLLALLQALGRVSIRPMELRHLLRPRPGLDSEPGGAEAGKARHAGAVIRTLSGMARHQGPARALRYFDLTPSMAGIMVPPVQRWPGPGFTFHAWLCLHPMDTAPTPAPTRPLQRKQLYSFFTSSGSGFEAFFTAAGTLVVAVCTRKEYLTMSLPEVSFADSAWHCVAIVHVPGRRPFSQNLVHVYKDGHLVKTAPLRCPSLSEPFSSCCIGSAGYRTTTTTTGLPTPPVPATLAYTHPALTRSQSVPASTGLGWGSGLVAPLQEGSIDSTLAGTQDTRWGSPTSLEGELGAVAIFHEALQATALRTLCTLGPNETAPFKPEGELHELSTRLLLHYSPQACKNNICLDLSPSHGLDGRLTGHRVETWDVKDVVNCVGGMGALLPLLERVAAQPKEAEAGPAETHDLVGPELTSGHNTQGLVLPLGKSSEERMERNAVAAFLLMLRNFLQGHMVNQESLVQCQGPAIIGALLRKVPSWAMDMNVLMSAQLLMEQVAAEGSGPLLYLLYQHLLFNFHLWTLSDFAVRLGHIQYMSSIVREHRQKLRKKYGVQFILDALRTHYSPQRERPLAADDLRTVQTSLLGLAREFLVRSLSADDVQVTQTMLSFLAATGDDGQAVGALDLLLALLHGSLVQESLAVFLLEPGNLEVLLALLVRPGSLPLLPDRVCKILRRLQQNERLPERSRQRLRLRECGLQGLVACLPEGTVSPQLCQGLYKLFLGADCLNLSDLLAVVQLSLQADLSVRLDICRQLFHLIYGQPDVVRLLARQAGWQDVLTRLYVLEAATAGSPPPSSPESPTSPKPAPPKPPTESPAEPSDVFLPSEAPCPDPDGFYHALSPFCTPFDLGLERSSVGSGNTAGGGGSSGTLTPASQPGTPSPLDGPRPFPAAPGRHSSSLSNVLEDGSLPEPTISGDDTSNTSNPQQTSEEELCNLLTNVLFSVTWRGVEGSDEAAWRERGQVFSVLTQLGASATLVRPPDCIKRSLLEMMLESALTDIKEAPVGVLASLTQQALWLLRLLQDFLCAEGHGNQELWSEKLFEGVCSLLDRLGAWPHLANGTADLREMAQIGLRLVLGYILLEDPQLHAQAYVRLHMLLQTAVPARREEACYVLSKLEAALGRVLNTSSLESATDEAGSPLAAAAAAAAAERCSWLVPLVRTLLDRAYEPLGLQWGLPSLPPTNGSPTFFEDFQAFCATPEWRHFIDKQVQPTMSQFEMDTYAKSHDLMSGFWNACYDMLMSSGQRRQWERAQSRRAFQELVLEPAQRRARLEGLRYTAVLKQQATQHSMALLHWGALWRQLASPCGAWALRDTPIPRWKLSSAETYSRMRLKLVPNHHFDPHLEASALRDNLGEVPLTPTEEASLPLAVTKEAKVSTPPELLQEDQLGEDELAELETPMEAAELDEQREKLVLSAECQLVTVVAVVPGLLEVTTQNVYFYDGSTERVETEEGIGYDFRRPLAQLREVHLRRFNLRRSALELFFIDQANYFLNFPCKVGTTPVSSPSQTPRPQPGPIPPHTQVRNQVYSWLLRLRPPSQGYLSSRSPQEMLRASGLTQKWVQREISNFEYLMQLNTIAGRTYNDLSQYPVFPWVLQDYVSPTLDLSNPAVFRDLSKPIGVVNPKHAQLVREKYESFEDPAGTIDKFHYGTHYSNAAGVMHYLIRVEPFTSLHVQLQSGRFDCSDRQFHSVAAAWQARLESPADVKELIPEFFYFPDFLENQNGFDLGCLQLTNEKVGDVVLPPWASSPEDFIQQHRQALESEYVSAHLHEWIDLIFGYKQRGPAAEEALNVFYYCTYEGAVDLDHVTDERERKALEGIISNFGQTPCQLLKEPHPTRLSAEEAAHRLARLDTNSPSIFQHLDELKAFFAEVVSDGVPLVLALVPHRQPHSFITQGSPDLLVTVSASGLLGTHSWLPYDRNISNYFSFSKDPTMGSHKTQRLLSGPWVPGSGVSGQALAVAPDGKLLFSGGHWDGSLRVTALPRGKLLSQLSCHLDVVTCLALDTCGIYLISGSRDTTCMVWRLLHQGGLSVGLAPKPVQVLYGHGAAVSCVAISTELDMAVSGSEDGTVIIHTVRRGQFVAALRPLGATFPGPIFHLALGSEGQIVVQSSAWERPGAQVTYSLHLYSVNGKLRASLPLAEQPTALTVTEDFVLLGTAQCALHILQLNTLLPAAPPLPMKVAIRSVAVTKERSHVLVGLEDGKLIVVVAGQPSEVRSSQFARKLWRSSRRISQVSSGETEYNPTEAR", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTSREASQAPLEFGGPLGVAALLILLPATMFHLLLAARSGPARLLALPAYLPGLEELWSPWALLLLFIWLGLQVALYLLPARKVAEGLELKDKSRLRYPINGFQALVLTALLMGLGVSVGLPLGALPGMLLPLAFATTLTSFIFSLLLYAKALVAPASALAPGGNSGNSMYDFFLGRELNPRLGSFDFKYFCELRPGLIGWVFINLALLMQEAELRGSPSLAMWLVNGFQLLYVGDALWYEESVLTTMDIIHDGFGFMLVFGDLAWVPFTYSLQAQFLLYHPQPLGLPMALLICLLKVIGYYIFRGANSQKNTFRKNPSDPSVAGLETIPTATGRQLLVSGWWGMVRHPNYLGDLIMALAWSLPCGLSHLLPYFYVLYFTALLVHREARDEQQCLQKYGRAWQEYCKRVPYRIIPYVY", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKSALCIALALTWSLLVQAARQTVLAVADHDIGGYSTFLQSLTDRDFDVKTSYIKDESAKLFEYGERLYDNLILLSSQSKSLGPVFSPKSLLEFVQSGGNLFVVAGSQLPEGIRELGRQLDMFLAERQSVVVDHFNHAEGSDDIILLDGISENPYIISDETRAAGPILYKGIGHYLGPNPQTQPILRGNPTSYIYNTKTEAEVSKNPWAAGTQLFLVSVLQSSTGERVGLSGSIDMLKDEYLSPQSPSFSKSNFLFARDLTNWVFQRKGVLQATNMTYGKVAEPLESRNASCYRIKDEMIFSIDISLLEDGQQTPYVADDVQLELIMLDPYYRVNLVPVPSDSQTSQHYEAVLVAPDHYGDFTFKIEYKRPGLTPIEEKSTFTLRQFFHNEFPRFLPHAYPYYASCFSVLGAFLLFCGIWLLQKPAKPVVPSAKKQN", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDWLQLFFLHPLSFYQGAAFPFALLFNYLCILDTFSTRARYLFLLAGGGVLAFAAMGPYSLLIFIPALCAVALVSFLSPQEVHRLTFFFQMGWQTLCHLGLHYTEYYLGEPPPVRFYITLSSLMLLTQRVTSLSLDICEGKVEAPRRGIRSKSSFSEHLWDALPHFSYLLFFPALLGGSLCSFRRFQACVQRSSSLYPSISFRALTWRGLQILGLECLKVALRSAVSAGAGLDDCQRLECIYLMWSTAWLFKLTYYSHWILDDSLLHAAGFGAEAGQGPGEEGYVPDVDIWTLETTHRISLFARQWNRSTALWLRRLVFRKSRRWPLLQTFAFSAWWHGLHPGQVFGFLCWSVMVKADYLIHTFANVCIRSWPLRLLYRALTWAHTQLIIAYIMLAVEGRSLSSLCQLCCSYNSLFPVMYGLLLFLLAERKDKRN", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSVQYDAFSVEQTCADLETDMYNGLSSLQEITRRNKVHGDNDLKVEDEENMVVQFLKQFVKDPLILLLFASSAISVTLGNIDDAISIALAIVIVVTVGFVQEYRSEQSLKALNNLVPHYCNVIRSGKTEHIVASKLVPGDLVILQIGDRVPADLRIVEATELEIDESNLTGENSPRKKSSEAISSNISLTERNNIAFMGTLVRHGHGRGIVVATGSDTEFGRVFLTMQQTEKPKTPLQNSMDDLGKQLSLISLIGIAVIVLVGFFQGKNWLEMLTIGVSLAVAAIPEGLPIIVTVTLALGVLRMSKKRAIIRRLPSVETLGSVNVICSDKTGTLTMNHMTVTKIYTCGMLAAFSLPESEHIELSVRRTVGIEKALLAAALCNNSKVHNKADSILDTTCPWAGFPVDVALIECSERFGLKDPRETYSRISEVSFSSERKYMSVAVQYNSSKMNFMKGATEQVLSSCAYFSDQDGVQHELTAEMKENIQRNEFEMAASGLRIIAVASGINTNKLVFHGLFGINDPPRPQVRESVQYLMTGGVRVIMITGDSVVTAISIARSLGMAIPSNDEEAIRNYALTGAQLDDLDSSSLRDAVSRVVVFARTTPQHKMKIVEALQSLGDVVAMTGDGVNDAPALKLADIGIAMGRQGTDVAKEAADMILTDDSFATILSAVEEGKGIFNNIKNFITFQLSTSVAALSLIAISSVFGFQNPLNAMQILWINILMDGPPAQSLGVESVDEDVMMKPPRPRNAPIISVQLLQRVLLSAFIIVTVTIVVFRVQMQDGNVTARDTTMTFTCFVFFDMFNALACRSETKSVFKLGIFSNRMFNIAVGGSLIGQALVVYASPFQRIFQTEAIGLKDVLILLACTSSVLWVDEIRKWYRRRKGLVRTKSNYLLRNV", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKKNGCLLLFAYSLLSFSLTAATIDETYDESLFIKSFSSRYSYVSFAFEIGASTDSTHSSVFSESSFSLFPLSIARVMDECQVSELHIRATRGRWDYENWKESPDNGFYSGGLGFEVWAFMANDPSMKYWLKLTNQLSGLLCASLNYIDSSNTYQPQLSYPGSFSFSNNTQYFASLPQEDVCTENLSPLFKLLPCKRKAGIASLLDSHLFFDTDWHSFSIDVYPSENQSLASVKMGIIIQAVVDVERNGRRKGKTTFQPPSEYCHDEDMDSLHCLMSGYSTEHHTVDDLFHKVPKERCLLSSTFSDVFVSNGDKIDTFSLDEAANIQIPIQSTSDNHTVTVDRSLSNDGNHWGSLSSTIYNPSSSPRTIVYFEKFPWFVRVYLHTLTITLNGTRINTKDFIEKLYYQPLRDRKAGTMMEIQFSIPPHTNLIVHFNVEKTPLRLDEYPPDANRGYNLPPAIISVFDENNTKLCSLRTAALLMFIPTPDFSMPYNVIIFTSTVIALTFGGIFNLLTRRFVPQQSKFQNRQPSMLQRLKEKIFHKKRG", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MYNVRGDLNRKTPSDGNVNEIGSMYASRDTSTSSFTNPTDSSTRLLYNNASNATFSSAALAAGVGGTRASGYTHRFSIRPSSQTYNRYPNGGNSAGSDMYSTSPQNNSIVDDIENINKLEAVAFAGPTAGESDFSLSREDKEIDDFLHYPLPAKDAKKLSYFVGGEGLMQLLFLLFLAAGTGMLFIGLPILTYTGHNSLASTRVTGITNHQFRILRLLRYGSLIDPDTPESAYTFDSQDLGTLDLVFSDEFNYPGRAFYDGDDQFWLATDLHYAATTDYEYYDADTPTTANGTLRLRMDAFYNHDLNFRSGMVTTWNKLCFKGGRIEVSASLGGSPYIPGFWPGIWTIGNLVRPGYLATSDGVWPYSYNSCDAGITPNQSDPSGISYLGGQRLNQCVCKGEDHPNVGTGRGGPEIDALEGTFGSGIPYNGSIYLETMPVVSQSAQYAPFDLYMYPNYDFVTIYNQSVSAMNGWAGGVYQQALSCASQLNNSWLSGNAYQKYGFDYKPGSGPDALISWFVGDEYTWTMRQPAVGQNGNIASRPVSEEPMIVVLNFGISPTWIYFYWYELTFPQTMYVDYVRIYQDSSDSSSVLGCDPEGFPTTEYIANHPKAYLNYNATSWSEAGYVRPKNSLMDGC", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEDSSNTIIPSPTDVGALANRINFQTRDAHNKINTFMGIKMAIAMRHGFIYRQGILAYYYVFDAIEQEIDRLLNDPVTEEELQTSTILKQFWLEDFRRSTQIYKDLKLLYSNTFKSTESLNEFLATFQKPPLLQQFINNIHENIHKEPCTILSYCHVLYLALFAGGKLIRSNLYRRLGLFPNFEKLSQKELVKKGTNFFTFSDLGPTEETRLKWEYKKNYELATRTELTEAQKLQIISVAEGIFDWNFNIVAEIGELNRRELMGKFSFKCITYLYEEWMFNKDSATRRALHTVMLLVLSIIAIWVLYFLVKSFLSIV", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAPAVFTSDYWKKYFSNKKKPTVKNTSDIDLLHINRGRQPFDEGLSINEDSFFYRHNIHVPRIVYLIIVACGSFIITGGIEFAIAYGMYKKTETSVRLWRLPDTLSGDAAVTNFVQAIVTYWVESILVQGDLRSGLVKPIYFGWWPENFLLREVLRAKPRYHFKFIVFRWMEWLVFVGLRGLVWSVPLWFLFWPATVGILCAPGRHEGNDYYFNNYPAPQVFKLIFGGGEGFVLTPWIAFLHMYMYGHYLHVAKNQKSLPKTSDLEQQRGTSSSQPSENDANITALPKPEPKMYENSDLTPARTPVTPAPLEKPVNLAPEVVEPTNAAASPLQLNAPKLTDVDDSALAYDPTKVQDGEDRFVHNDVPLENAENPSRFVHSDAPIDMTHTTTVISEAQNLPSTLLPQDGNAVHHDTDAPSLSNVRKSVDSPRVPPSFSDDAVSSFSLVTAPSINNVGGSTAPSVNNQEREYDYDDTSSRSSTLTERPVVH", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAFPRFLSLLAVVTLSLFLTTTDASSRSLSTPPKTNVLDVVSSLQQTQTILSLDPTRSSLTTTKPESLSDPVFFNSSSPLSLELHSRDTFVASQHKDYKSLTLSRLERDSSRVAGIVAKIRFAVEGVDRSDLKPVYNEDTRYQTEDLTTPVVSGASQGSGEYFSRIGVGTPAKEMYLVLDTGSDVNWIQCEPCADCYQQSDPVFNPTSSSTYKSLTCSAPQCSLLETSACRSNKCLYQVSYGDGSFTVGELATDTVTFGNSGKINNVALGCGHDNEGLFTGAAGLLGLGGGVLSITNQMKATSFSYCLVDRDSGKSSSLDFNSVQLGGGDATAPLLRNKKIDTFYYVGLSGFSVGGEKVVLPDAIFDVDASGSGGVILDCGTAVTRLQTQAYNSLRDAFLKLTVNLKKGSSSISLFDTCYDFSSLSTVKVPTVAFHFTGGKSLDLPAKNYLIPVDDSGTFCFAFAPTSSSLSIIGNVQQQGTRITYDLSKNVIGLSGNKC", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASLFIIMDKRFAVFASSDKPNNCSRKNMFFLKNIIVLSNYLYLLYKAWIVCTTISLCCDFPLFNFLFIAIPYFTEILYNDSSLLWFLFVSLCFITLSFQSLEI", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDWGNVTVDDLFDALREVDWSSPPRPPSEFFSRFTVPKSVPKWDSRLKCNLYYYRTNYFIMIVVILGLGVLTRPLAIFAALLTALSLAFLNDSFAGSFSEKATRTVRRFSPQLAAKMRPPLTPVTRGRPSSKRAIHVCGQPRWVFVLTCSLVSFALWYISSGLLRVSVALLIAHLATILHASLRTPNLKARFNTFREEFRAVWRNYSEI", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEDSRLLITLILVFGVIFLKKFFQSNQHPSAQRLSATGVNAHGRPQGSTQNALRRTGRVNGGHPVTTQMVETVQNLAPNLHPEQIRYSLENTGSVEETVERYLRGDEFSFPPGFEPSRAPMGANAAVDNNAAGGGEFNDPRKKNMICAENLLDKFHVDLNEDMSNLSFKDLDIEERKRLLVWQARKNLETKLQSDKDLQSLLT", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNPASDGGTSESIFDLDYASWGIRSTLMVAGFVFYLGVFVVCHQLSSSLNATYRSLVAREKVFWDLAATRAVFGVQSTAAGLWALLGDPVLHADKARGQQNWCWFHITTATGFFCFENVAVHLSNLIFRTFDLFLVIHHLFAFLGFLGCLVNLQAGHYLAMTTLLLEMSTPFTCVSWMLLKAGWSESLFWKLNQWLMIHMFHCRMVLTYHMWWVCFWHWDGLVSSLYLPHLTLFLVGLALLTLIINPYWTHKKTQQLLNPVDWNFAQPEAKSRPEGNGQLLRKKRP", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRWGFWFAIATLITICYAAKPLDVKIAATFNAPSFSALIAESLYQEKKEGFIWYLNHLSDLLDAENTTEKELYINVVNSLKREYVLSDEELSSLQFSLGLFSGAPKLQAFSSIVQSRSCDCDTWLQLDEESQVCFSDLPKDSPLFSKLYSKNPLDYEVVKTSATGIPYAVVVTSFERDLIPFHELYYKLALEGKCNYVIRYSPPSSSKLNSKLYVKGFGTHVSLKRTDYLVVDDREFPREKGDNPASFTSSRNKRSNERLFGMTSDSLQTVTPDKIAILDLLATQSIASSTDMLTAFRELTQDFPIYAHYLSIQPDVSNDLIEELNQFQSQYVPEGINTIWLNGLSLDLEETDAFSILSLIKKEKDMFDRFEALGIKSSKVLDIVTNEAFANEDSDFKFVKFHCQDDIEDWKAIHWVNEIESNPKYDNWPKSIQILLKPIYPGQLHMLGKQLHTVIYPIFPSSPSSLPLLSELIQFSRRPSPVQTGMVCAANDDDEFAQTVCKSFFYISKESGTDSALKFLYKCLNSDSSADLYSLLEEHLPLSEHDDDTLANLKKDLSSSFFDHYMSKSNSWVNRLGIDSSASEVIVNGRIISHDENYDRSMYGIFLEDIPEVQIAVAEGKISEDDNLLDFILRDASLTRNPLVYPSAKSSIKSIDIKRVLENVGSLNHEDILLIGSSNAKYSFWLVADFNEKEGLEILSLLADLLSENKDANLMLIQEGKNHVVPPLFAKLLSSPKRSSKHLQEILNSSLDPSSGVVNDMDKALKFLKKSKAVVKELGLTGECKSALLLNGRMICSFSVDSLNTADLKMLMQMEYDNYLSKLSNIAGSSRRLKNSRAISFLSSYLKTLESTPMSTSSPTKEEKLFPRDFIYNKLGVGNATFETDDFSKAYYQFVAVLDPLSKDSQKWSAILEAVSKLNGVGVRIHFNPKQTLSELPLTRFYRYSISAEPEFDALGHLEESYVEFDNLPADTLLTMDIEARDAWTVMQKDVDIDLFNIKLEHTSEAEALDSHTAIYELKNILVQGYSQEEFRKSPPRGMQLKLGNLTNSHVTDTIVLSNLGYFQLKANPGVWTLEPMDGRSSQFYEILSLNKKNSYKDPQVIVDSFEGVTLNPVMRRKPGFESADIMDEDLSSHKFFDKIKKSLSFFNFKRKEASINIFSVASGHLYERFLYIMTKSVIEHTDKKVKFWFIENFLSPSFKSSIPAIAKKYNFEYEYITYNWPHWLRKQEEKQREIWGYKILFLDVLFPLELHKVIYVDADQIVRADLQELMDMDLHGAPYGYTPMCDSREEMEGFRFWKKGYWKKFLRGLKYHISALYVVDLDRFRKMGAGDLLRRQYQLLSADPNSLSNLDQDLPNHLQHLIPIYSLPQDWLWCETWCSDESLKTAKTIDLCQNPLTKEKKLDRARRQVSEWTSYDNEIASVLQTASSQSDKEFEEKDNNSSPDEL", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAETSNDPFLSYVLSSKQLTNLNRLRRKAVTKQLGSSDDNKVSEEFLRYQHTYQREAFEYLQTKHDAHKIMESQYEQYQSSSKTRRYSIDLDSVDAVDTESQTEYPNEEFIDRNEDSEAVMELRKRLLGKGQNKGLGYETTKSVDRQIEDQDTLQQDLIQDMSKLVGSLKQGAVAFQSALDEDKQVLGAAEIGIQVASQGLMDVSGKLRKYDKSKLSYLFYITVFIFMILGLVFTFIIIQLFPAL", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDSISTAILLLLLALVCLLLTLSSRDKGKLPPGPRPLSILGNLLLLCSQDMLTSLTKLSKEYGSMYTVHLGPRRVVVLSGYQAVKEALVDQGEEFSGRGDYPAFFNFTKGNGIAFSSGDRWKVLRQFSIQILRNFGMGKRSIEERILEEGSFLLAELRKTEGEPFDPTFVLSRSVSNIICSVLFGSRFDYDDERLLTIIRLINDNFQIMSSPWGELYDIFPSLLDWVPGPHQRIFQNFKCLRDLIAHSVHDHQASLDPRSPRDFIQCFLTKMAEEKEDPLSHFHMDTLLMTTHNLLFGGTKTVSTTLHHAFLALMKYPKVQARVQEEIDLVVGRARLPALKDRAAMPYTDAVIHEVQRFADIIPMNLPHRVTRDTAFRGFLIPKGTDVITLLNTVHYDPSQFLTPQEFNPEHFLDANQSFKKSPAFMPFSAGRRLCLGESLARMELFLYLTAILQSFSLQPLGAPEDIDLTPLSSGLGNLPRPFQLCLRPR", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASEFSGQIQELLSRIPPVTRYILLGTAATTILTLCQLLSPSMLVLHYPLVVRQKQWYRLFTNYLYAGTGFDFIMNIYFFYQYSTYLENFVFARNAKKYIIYLVKVALLIDAFSLISGLGSALNQSLAAAIAYNWSLFNSFSKIQFLFGFHVQGKYLPYVLLGFSFLTGGLPSLVVLGFGIISAMIVNFFDSIHTPVVHRSNSPKLNSQKVSGTFIGKGKKLGT", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGVWLNKDDFIRDLKRISLCLLILYVVVVVGTDQNFYSLLGVSKTASSREIRQAFKKLALKLHPDKNPNNPNAHGDFLKINRAYEVLKDEDLRKKYDKYGEKGLEDNQGGQYESWSYYRYDFGIYDDDPEIITLERREFDAAVNSGELWFVNFYSPGCSHCHDLAPTWREFAKEVDGLLRIGAVNCGDDRMLCRMKGVNSYPSLFIFRSGMAAVKYNGDRSKESLVAFAMQHVRSTVTELSTGNFVNAIETAFAAGVGWLITFCSKGEDCLTSQTRLRLSGMLDGLVNVGWVDCDAQDSLCKSLDTTASTTAYFPPGATLNDREKSSVLFLNSLDAKEIYMEIIHNLPDFELLSANQLEDRLAHHRWLVFFHFGKNENANDPELKKLKTLLKNEHIQVGRFDCSSAPGICSDLYVFQPCLAVFKGQGTKEYEIHHGKKILYDILAFAKESVNSHVTTLGPQNFPASDKEPWLVDFFAPWCPPCRALLPELRKASTLLYGQLKVGTLDCTIHEGLCNMYNIQAYPTTVVFNQSSIHEYEGHHSAEQILEFIEDLRNPSVVSLTPSTFNELVKQRKHDEVWMVDFYSPWCHPCQVLMPEWKRMARTLTGLINVGSVDCQQYHSFCTQENVQRYPEIRFYPQKSSKAYQYHSYNGWNRDAYSLRSWGLGFLPQASIDLTPQTFNEKVLQGKTHWVVDFYAPWCGPCQNFAPEFELLARMIKGKVRAGKVDCQAYPQTCQKAGIKAYPSVKLYQYERAKKSIWEEQINSRDAKTIAALIYGKLETLQSQVKRNKDEL", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MQFFGSLFVSLLGAAGLANALPSPGIPSNDKDLAIYPPVDANNTTSQDVVIDVVLFNGTEWISSRERFSLVSPGWASIYGPSGQSSVGSVLYSPILDYIDNAQLLVLDDVSFGVFSSLANSVTGYASNYTQSSSNSTSTMNSTGSVSGGSVYPTNSTTNSSISWNSSTSAATNTSSSSSSSSQSSVVSVNSEIFSYFGLSQQYVNYSTSRLCVVGTPRANMSTVSVTNNGSAVSNYTVNTNGWTSSNFKCVDDVVANIFGLDFYTAAVLSEVSILRSFALCNATTSSSLFRQIASYGVYGSFHFSSSESGSFANLIGTNNYFMTDVKSSSVVIVQSETSCSINSASMSSNTTYFYWNSTSSLSSSVFTNTTSSSNSTNSSIPTTYPSNSTTYQNITTSYPWSQPVVNITDYLSDNGDGHFVLAGDGNQTIGDFYVMNWTTIASGEYLVPFNY", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDYLTIILTLLFALTLYEAFSYLSRRTKNLPPGPSPLPFIGSLHLLGDQPHKSLAKLSKKHGPIMSLKLGQITTIVISSSTMAKEVLQKQDLAFSSRSVPNALHAHNQFKFSVVWLPVASRWRSLRKVLNSNIFSGNRLDANQHLRTRKVQELIAYCRKNSQSGEAVDVGRAAFRTSLNLLSNLIFSKDLTDPYSDSAKEFKDLVWNIMVEAGKPNLVDFFPLLEKVDPQGIRHRMTIHFGEVLKLFGGLVNERLEQRRSKGEKNDVLDVLLTTSQESPEEIDRTHIERMCLDLFVAGTDTTSSTLEWAMSEMLKNPDKMKKTQDELAQVIGRGKTIEESDINRLPYLRCVMKETLRIHPPVPFLIPRKVEQSVEVCGYNVPKGSQVLVNAWAIGRDETVWDDALAFKPERFMESELDIRGRDFELIPFGAGRRICPGLPLALRTVPLMLGSLLNSFNWKLEGGMAPKDLDMEEKFGITLQKAHPLRAVPSTL", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPPAAPSVARSREGGGIGQRRLVFPKSARRTLPCPIALCLGLCLAAAAATTTRASAAAFASAGDTTAMSAFNLLHLVTKSQPVAPRACGLPSGSCRDKKNCKVVFSQQELRKRLTPLQYHVTQEKGTESAFEGEYTHHKDPGIYKCVVCGTPLFKSETKFDSGSGWPAFHDVISSEAIEFTDDFSYGMHRVETSCSQCGAHLGHIFDDGPRPTGKRYCINSASLSFTPADSSEAEGSGIKESGSPAAADRAEL", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSETSEQMEETVQSGNEPISKSTWMGFIKNLATFTGDLSTLSAPSFILSGTSLLEYMSYWFEFPELFVTIVDFPTPKERMLAVLKWYITGLSREYASRNKNYGTEKKPLNPILGELFYGSWDSSKGKVELTAEQVSHHGPESAAHVVCKEAGITVDTHNKYRSGFSGRTVYVNQLGQLRVHLEKYNETYYITLPNISLEGLWFMAPYIELYGSTYIVSNTNYITKIDYSGRGYFRGTKNSFKATIFEKNEDPDYIVEGVWTGESKLTIPSLKSTIFFLSIPSLEATPITVKPESEMGDWESRNVWKEVSAALASGNYDIVSSKKSTIEQSQRDMRKKEEAEGAVWARRYFKWEEHDSDARNALAQAVLEVIEPGFWIYIGDTHPSLPAGEQPVKRME", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGVRQQLALLLLLLLLLWGLGQPVWPVAVALTLRWLLGDPTCCVLLGLAMLARPWLGPWVPHGLSLAAAALALTLLPARLPPGLRWLPADVIFLAKILHLGLKIRGCLSRQPPDTFVDAFERRARAQPGRALLVWTGPGAGSVTFGELDARACQAAWALKAELGDPASLCAGEPTALLVLASQAVPALCMWLGLAKLGCPTAWINPHGRGMPLAHSVLSSGARVLVVDPDLRESLEEILPKLQAENIRCFYLSHTSPTPGVGALGAALDAAPSHPVPADLRAGITWRSPALFIYTSGTTGLPKPAILTHERVLQMSKMLSLSGATADDVVYTVLPLYHVMGLVVGILGCLDLGATCVLAPKFSTSCFWDDCRQHGVTVILYVGELLRYLCNIPQQPEDRTHTVRLAMGNGLRADVWETFQQRFGPIRIWEVYGSTEGNMGLVNYVGRCGALGKMSCLLRMLSPFELVQFDMEAAEPVRDNQGFCIPVGLGEPGLLLTKVVSQQPFVGYRGPRELSERKLVRNVRQSGDVYYNTGDVLAMDREGFLYFRDRLGDTFRWKGENVSTHEVEGVLSQVDFLQQVNVYGVCVPGCEGKVGMAAVQLAPGQTFDGEKLYQHVRAWLPAYATPHFIRIQDAMEVTSTFKLMKTRLVREGFNVGIVVDPLFVLDNRAQSFRPLTAEMYQAVCEGTWRL", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAQAVEEWYRQMPIITRSYLTAAVVTTVGCTLEIISPYHLYLNPKLVVQHYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTSIVLIGGMIPYISETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVAQPPNAGIGAGARFGAMGLDPQAQ", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSSPAEYYKSLPPISKAYGTLCFFTTVLVRLHILNPLFLYLYYPRVFKKFEVWRIFTSFFFLGPFSINFGIRLLMIARYGVMLEKGAFDKRTADFLWMMIFGAISLLVLSVIPQLNTYVLGLPMVSMLVYVWSRENPNAQINIYGILQLKAFYLPWVMLLLDVIFGSPLMPGLLGIMVGHLYYYFAVLHPLATGKNYLKTPKWVHKIVARFRIGMQANAPVRAPANGNAGTGAFRGRSYRLNQ", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSPAEYYKSLPPISKAYGTLCFFTTVLVQLQILHPLFLYLDYPLVFKKFEIWRLLTSFFFLAPFSMKFGIRLLMIARYGVMLEKGAFDKRTADFLWMMIFGAISLLVLSIIPLFNSFFLGIPMVSMLLYVWSRENPNAQINIYGLVQLRSFYLPWAMLLLDVIFGSSLMPGLLGIMVGHLYYFFAVLHPLATGKSYLKTPKWVHKIVARFRIGMQANSPVRPPANGNSGSGVFRGRSYRLNQ", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLWPRLAAAEWAALAWELLGASVLLIAVRWLVRRLGPRPGGLGRSGTPVPPPSAAAAPASGEMTMDALLARLKLLNPDDLREEIVKAGLKCGPITSTTRFIFEKKLAQALLEQGGRLSSFYHHEAGVTALSQDPQRILKPAEGNPTDQAGFSEDRDFGYSVGLNPPEEEAVTSKTCSVPPSDTDTYRAGATASKEPPLYYGVCPVYEDVPARNERIYVYENKKEALQAVKMIKGSRFKAFSTREDAEKFARGICDYFPSPSKTSLPLSPVKTAPLFSNDRLKDGLCLSESETVNKERANSYKNPRTQDLTAKLRKAVEKGEEDTFSDLIWSNPRYLIGSGDNPTIVQEGCRYNVMHVAAKENQASICQLTLDVLENPDFMRLMYPDDDEAMLQKRIRYVVDLYLNTPDKMGYDTPLHFACKFGNADVVNVLSSHHLIVKNSRNKYDKTPEDVICERSKNKSVELKERIREYLKGHYYVPLLRAEETSSPVIGELWSPDQTAEASHVSRYGGSPRDPVLTLRAFAGPLSPAKAEDFRKLWKTPPREKAGFLHHVKKSDPERGFERVGRELAHELGYPWVEYWEFLGCFVDLSSQEGLQRLEEYLTQQEIGKKAQQETGEREASCRDKATTSGSNSISVRAFLDEDDMSLEEIKNRQNAARNNSPPTVGAFGHTRCSAFPLEQEADLIEAAEPGGPHSSRNGLCHPLNHSRTLAGKRPKAPRGEEAHLPPVSDLTVEFDKLNLQNIGRSVSKTPDESTKTKDQILTSRINAVERDLLEPSPADQLGNGHRRTESEMSARIAKMSLSPSSPRHEDQLEVTREPARRLFLFGEEPSKLDQDVLAALECADVDPHQFPAVHRWKSAVLCYSPSDRQSWPSPAVKGRFKSQLPDLSGPHSYSPGRNSVAGSNPAKPGLGSPGRYSPVHGSQLRRMARLAELAAL", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAQAVEEWYRQMPIITRSYLTAAVVTTVGCTLEIISPYHLYLNPKLVVQHYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYISETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVAQPPNAGIGAGARFGAIGVDPQAQ", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAEGDEAARGQQPHQGLWRRRRTSDPSAAVNHVSSTTSLGENYEDDDLVNSDEVMKKPCPVQIVLAHEDDHNFELDEEALEQILLQEHIRDLNIVVVSVAGAFRKGKSFLLDFMLRYMYNKDSQSWIGGNNEPLTGFTWRGGCERETTGIQVWNEVFVIDRPNGTKVAVLLMDTQGAFDSQSTIKDCATVFALSTMTSSVQVYNLSQNIQEDDLQHLQLFTEYGRLAMEEIYQKPFQTLMFLIRDWSYPYEHSYGLEGGKQFLEKRLQVKQNQHEELQNVRKHIHNCFSNLGCFLLPHPGLKVATNPSFDGRLKDIDEDFKRELRNLVPLLLAPENLVEKEISGSKVTCRDLVEYFKAYIKIYQGEELPHPKSMLQATAEANNLAAVAGARDTYCKSMEQVCGGDKPYIAPSDLERKHLDLKEVAIKQFRSVKKMGGDEFCRRYQDQLEAEIEETYANFIKHNDGKNIFYAARTPATLFAVMFAMYIISGLTGFIGLNSIAVLCNLVMGLALIFLCTWAYVKYSGEFREIGTVIDQIAETLWEQVLKPLGDNLMEENIRQSVTNSIKAGLTDQVSHHARLKTD", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGKKKSKNQLNTGGVPNGVHNTKKEAALPPLGNKLGSASFTAINTLTKPALFSFYDDDITKNEGNVYDKALLSNASQLEMVPPSATARHERSLYAKIINTIAAFFILFIAGILFPMISECLFDNDQLAKGDIVSFLKHGIEIKNKIVAEPDMVPDWAVFGTEGVIFGSIVPFIDSFVRYQHQPKTRSSVYKNTLGSFIRCANTLLGLIFGIRKLEWSSSLQAAGAWSLLNIVLWLFFDGTLTVFFPGLVIGALSAFTCSQCFSQLSLALYFIDFYFFGFLMFSKLGRYLFN", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSRSFRNGFRLLKLSQMDFERAWWDMANLFRAPRRVYRSITLRKQNINRYGREDFSFIVLFSCMIVISALLWALFYMNTPKGYVTTITFMLFVDFGAVGVIMATMYYFIAKRFLMKSNDTILSSTDYQLEWNYCFDVHCNSFFPSFVLLYVIQLFLLPVITRDNFISLFMGNTLYLVALCYYSYLTFIGYQILPFLKNTHALLLPIPMFFIMWALSLLGFNVPKHVVDVYFGKSA", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSEYRIRVTTVDQKVGIFQVPRTKTVLELKELIAVTFEAPADRLKLIHAGRVLRNETPLEEILHDATDLVTFHLVIAVFNSTSTTLPSATSSSVPQSRTSELSSTNSIPTPRITSLNPEELSRRERAQRLLQTYNSFHGSGLGGLFPNIHRELESHGFSLPTHEQSSPVAESLDNSVSSALSPHLETLRRRNLSIHHQHIQAHEMAQESLETRNPGNISSSSAPLASDQSPTVSSNHIHASGNLALGSNSGLNPRSPNSFSSPLDNPALHTVDSTNVNGSLSPLSNSSSINQVHQNETHGSTISVPNPNLSQMGPSHSSSVPSNLSPNPAQNENPSTTSIPSINNQPFPSGLSASNSNFASSSFIPQSVPQLLPIYYQTIFYNGNYYLQQLPSASPPTMFRDHSFAPLVSPSIVSPYGVLENEETGECAFLFSPNASQPHFQPRAPTFGIPRNVRSLFTLPFFHTIRNIERHFRLFIRLALFCVLTTYNVSLSQTILLTSIMSVVFLLQTGALAPFINDNPLIQSGMRHIRNLQDEYRRRRNRTAQRVVEIPNETQTEDEQDGTNTPDNRADAEERELTRSQRIYRTVVRTIVAFALSFVPRA", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSIGGLRPAAGEQPGVGPHLQAVGGALLLCGLAVLLDWVWLQRQRAGGIPPGPKPRPLVGNFGYLLLPRFLRLHFWLGSGSQTDTVGRHVYLARLARVYGNIFSFFIGHRLVVVLSDFQSVREALVQQAEVFSDRPRMPLISILTKEKGIVFAHYGPIWKQQRRFSHSTLRHFGLGKLSLEPRIIEEFAYVKAEMQKHGEAPFSPFPVISNAVSNIICSLCFGQRFDYTNKEFKKVLDFMSRGLEICLHSQLFLINLCPWFYYLPFGPFKELRQIERDITCFLKNIIKEHQESLDANNPQDFIDMYLLHTQEEKDKCKGTNFDEDYLFYIIGDLFIAGTDTTTNSLLWCLLYMSLNPGVQKKVHEEIERVIGRDRAPSLTDKAQMPYTEATIMEVQRLSMVVPLAIPHMTSEKTVLQGYSIPKGTVVLPNLWSIHRDPVIWEKPDDFCPHRFLDDQGQLLKRETFIPFGIGKRVCMGEQLAKMELFLMFVSLMQSFTFALPEGSEKPIMTGRFGLTLAPHPFNVTVSKR", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEPSGSEQLFEDPDPGGKSQDAEARKQTESEQKLSKMTHNALENINVIGQGLKHLFQHQRRRSSVSPHDVQQIQADPEPEMDLESQNACAEIDGVPTHPTALNRVLQQIRVPPKMKRGTSLHSRRGKPEAPKGSPQINRKSGQEMTAVMQSGRPRSSSTTDAPTSSAMMEIACAAAAAAAACLPGEEGTAERIERLEVSSLAQTSSAVASSTDGSIHTDSVDGTPDPQRTKAAIAHLQQKILKLTEQIKIAQTARDDNVAEYLKLANSADKQQAARIKQVFEKKNQKSAQTILQLQKKLEHYHRKLREVEQNGIPRQPKDVFRDMHQGLKDVGAKVTGFSEGVVDSVKGGFSSFSQATHSAAGAVVSKPREIASLIRNKFGSADNIPNLKDSLEEGQVDDAGKALGVISNFQSSPKYGSEEDCSSATSGSVGANSTTGGIAVGASSSKTNTLDMQSSGFDALLHEIQEIRETQARLEESFETLKEHYQRDYSLIMQTLQEERYRCERLEEQLNDLTELHQNEILNLKQELASMEEKIAYQSYERARDIQEALEACQTRISKMELQQQQQQVVQLEGLENATARNLLGKLINILLAVMAVLLVFVSTVANCVVPLMKTRNRTFSTLFLVVFIAFLWKHWDALFSYVERFFSSPR", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGILSYLCYSLFYLSIFFIIRLLFQSRKFKNLPPGPTSLPIIGNLHHLKRPLNRTFKALTEKYGNVISLWFGSRLVVVVSSLSEFQECFTKNDVVLANRPRFLSGKYIFYNYTTLGSTSYGEHWRNLRRITSLDVLSNHRINNFAPIRRDETQRLIKKLAEDSSTKFAEVELTFRFFDMTFNNIMRMISGKRYYGDDCDISEVQEASQFRDMVSELLQLSGANNKTDFMPLLKFLDFENLEKRVKRIGEKNDVFLSGLLQEQRSKKERTNTMIDHLLNMQESQPEYYTDTIIKGLCLAMLLAGTDSSAVTLEWTMSNILNYPEVLKKVRDEVDTHVGQDRLVDESDLPKLTYLRNVIYETLRLYTPAPLLLPHSTADECIMGGYKVPRDTIVLINAWAIHRDPETWSEATTFKPERFDKKGELEKMIAFGMGRRACPGEGLALRAISMTLALLVQCFDWKRINDEKIDMSERDGFTMTKLLPLKAMCKTRPVVNKVFK", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGKKDASTTRTPVDQYRKQIGRQDYKKNKPVLKATRLKAEAKKAAIGIKEVILVTIAILVLLFAFYAFFFLNLTKTDIYEDSNN", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTKKSFVSSPIVRDSTLLVPKSLIAKPYVLPFFPLYATFAQLYFQQYDRYIKGPEWTFVYLGTLVSLNILVMLMPAWNVKIKAKFNYSTTKNVNEATHILIYTTPNNGSDGIVEIQRVTEAGSLQTFFQFQKKRFLWHENEQVFSSPKFLVDESPKIGDFQKCKGHSGDLTHLKRLYGENSFDIPIPTFMELFKEHAVAPLFVFQVFCVALWLLDEFWYYSLFNLFMIISMEAAAVFQRLTALKEFRTMGIKPYTINVFRNKKWVALQTNELLPMDLVSITRTAEESAIPCDLILLDGSAIVNEAMLSGESTPLLKESIKLRPSEDNLQLDGVDKIAVLHGGTKALQVTPPEHKSDIPPPPDGGALAIVTKTGFETSQGSLVRVMIYSAERVSVDNKEALMFILFLLIFAVIASWYVWVEGTKMGRIQSKLILDCILIITSVVPPELPMELTMAVNSSLAALAKFYVYCTEPFRIPFAGRIDVCCFDKTGTLTGEDLVFEGLAGISADSENIRHLYSAAEAPESTILVIGAAHALVKLEDGDIVGDPMEKATLKAVGWAVERKNSNYREGTGKLDIIRRFQFSSALKRSASIASHNDALFAAVKGAPETIRERLSDIPKNYDEIYKSFTRSGSRVLALASKSLPKMSQSKIDDLNRDDVESELTFNGFLIFHCPLKDDAIETIKMLNESSHRSIMITGDNPLTAVHVAKEVGIVFGETLILDRAGKSDDNQLLFRDVEETVSIPFDPSKDTFDHSKLFDRYDIAVTGYALNALEGHSQLRDLLRHTWVYARVSPSQKEFLLNTLKDMGYQTLMCGDGTNDVGALKQAHVGIALLNGTEEGLKKLGEQRRLEGMKMMYIKQTEFMARWNQPQPPVPEPIAHLFPPGPKNPHYLKALESKGTVITPEIRKAVEEANSKPVEVIKPNGLSEKKPADLASLLLNSAGDAQGDEAPALKLGDASCAAPFTSKLANVSAVTNIIRQGRCALVNTIQMYKILALNCLISAYSLSIIYMAGVKFGDGQATVSGLLLSVCFLSISRGKPLEKLSKQRPQSGIFNVYIMGSILSQFAVHIATLVYITTEIYKLEPREPQVDLEKEFAPSLLNTGIFIIQLVQQVSTFAVNYQGEPFRENIRSNKGMYYGLLGVTGLALASATEFLPELNEAMKFVPMTDDFKIKLTLTLLLDFFGSWGVEHFFKFFFMDDKPSDISVQQVKIASK", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTEIFSDTGFRQLTQMFLAIIFFHTSEYILAIAIHGASKVTLSSLLISKHYALAMLISVLEYIAEIVFFPGLKQHWWISNFGLTMIILGEILRKTAIITAGRSFTHLIKIRREEHHKLVTEGVYQIMRHPSYSGFLIWSVGTQVMLCNPISAIAFAVVVWRFFAERIPYEEHYLKQFFGRQYVEYAQRVPSGVPFVN", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVVIANKGALWAYYCKRLLNSVTYMMYPLIRKRTMKKLLLIVGLLLACSTVMRRIPLFHESFHLPSLDPRASTTTSQKFQEYRSDFLEKLETAEPPEDVIMFTAYGLGVHTHNLFMLACDMAKTSDSQIRFLLLTDGTILPEALYDYNRETVSTCPLSFLSYSTGVERLSKELILKDLLSLQFQQALLAISPSVIVTSEHSPLVMFQAINPYLNNNYYTHDTVDTNALEENSWITKLDMQSLQHFRTPRINVVLIVEDGTYKYLLNLMRDLGRDFKNSEEYPHLFIHLFMSENIPNLSSIRANWPQHRLFINLHFNQKDLNLIEVWTPPNDYTYALVVDLQPDSPPQLSSNLITWLKYKILLIYYHKSSSTYKNNIAAIVPSFDFSNEEAVILSQTINSNIVLFAPVVFQKFQEYMAVRLLNPNFELPESNGIEFAHEDSVLGHSKPSLTEFHAILGLYSLVISYNHFEGSLSNEYV", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MERPQPDSMPQDLSEALKEATKEVHTQAENAEFMRNFQKGQVTRDGFKLVMASLYHIYVALEEEIERNKESPVFAPVYFPEELHRKAALEQDLAFWYGPRWQEVIPYTPAMQRYVKRLHEVGRTEPELLVAHAYTRYLGDLSGGQVLKKIAQKALDLPSSGEGLAFFTFPNIASATKFKQLYRSRMNSLEMTPAVRQRVIEEAKTAFLLNIQLFEELQELLTHDTKDQSPSRAPGLRQRASNKVQDSAPVETPRGKPPLNTRSQAPLLRWVLTLSFLVATVAVGLYAM", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRPPACWWLLAPPALLALLTCSLAFGLASEDTKKEVKQSQDLEKSGISRKNDIDLKGIVFVIQSQSNSFHAKRAEQLKKSILKQAADLTQELPSVLLLHQLAKQEGAWTILPLLPHFSVTYSRNSSWIFFCEEETRIQIPKLLETLRRYDPSKEWFLGKALHDEEATIIHHYAFSENPTVFKYPDFAAGWALSIPLVNKLTKRLKSESLKSDFTIDLKHEIALYIWDKGGGPPLTPVPEFCTNDVDFYCATTFHSFLPLCRKPVKKKDIFVAVKTCKKFHGDRIPIVKQTWESQASLIEYYSDYTENSIPTVDLGIPNTDRGHCGKTFAILERFLNRSQDKTAWLVIVDDDTLISISRLQHLLSCYDSGEPVFLGERYGYGLGTGGYSYITGGGGMVFSREAVRRLLASKCRCYSNDAPDDMVLGMCFSGLGIPVTHSPLFHQARPVDYPKDYLSHQVPISFHKHWNIDPVKVYFTWLAPSDEDKARQETQKGFREEL", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "METRYNLKSPAVKRLMKEAAELKDPTDHYHAQPLEDNLFEWHFTVRGPPDSDFDGGVYHGRIVLPPEYPMKPPSIILLTANGRFEVGKKICLSISGHHPETWQPSWSIRTALLAIIGFMPTKGEGAIGSLDYTPEERRALAKKSQDFCCEGCGSAMKDVLLPLKSGSDSSQADQEAKELARQISFKAEVNSSGKTISESDLNHSFSLTDLQDDIPTTFQGATASTSYGLQNSSAASFHQPTQPVAKNTSMSPRQRRAQQQSQRRLSTSPDVIQGHQPRDNHTDHGGSAVLIVILTLALAALIFRRIYLANEYIFDFEL", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDVFYPLKSTVAKFNECFPAILFIVLSAVAGIVLPLLLFLRSKRRSSVGLPPGKLGYPFIGESLLFLKALRSNTVEQFLDERVKNFGNVFKTSLIGHPTVVLCGPAGNRLILANEEKLVQMSWPKSSMKLMGEKSITAKRGEGHMIIRSALQGFFSPGALQKYIGQMSKTIENHINEKWKGNDQVSVVALVGDLVFDISACLFFNINEKHERERLFELLEIIAVGVLAVPVDLPGFAYHRALQARSKLNAILSGLIEKRKMDLSSGLATSNQDLLSVFLTFKDDRGNPCSDEEILDNFSGLLHGSYDTTVSAMACVFKLLSSNPECYEKVVQEQLGILSNKLEGDEITWKDVKSMKYTWQVVQETLRLYPSIFGSFRQAITDIHYNGYIIPKGWKLLWTPYTTHPKEMYFSEPEKFLPSRFDQEGKLVAPYTFLPFGGGQRSCPGWEFSKMEILLSVHHFVKTFSTFTPVDPAEIIARDSLCPLPSNGFSVKLFPRSYSLHTGNQVKKI", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDLLFLFFSLLLSYLFFKIWKLIDSKQDKDCYILDYQCHKPTDDRMVSTQFSGEIIYRNQNLGLTEYKFLLKAIVSSGIGEQTYAPRLVFEGREERPSLQDGISEMEEFYVDSIGKLLERNQISPKDIDILVVNVSMLSSTPSLASRIINHYKMRDDVKVFNLTGMGCSASLISVDIVKNIFKSYANKLALVATSESLSPNWYSGNNRSMILANCLFRSGGCAILLTNKRSLRKKAMFKLKCMVRTHHGAREESYNCCIQAEDEQGRVGFYLGKNLPKAATRAFVENLKVITPKILPVTELIRFMLKLLIKKIKIRQNPSKGSTNLPPGTPLKAGINFKTGIEHFCIHTGGKAVIDGIGHSLDLNEYDIEPARMTLHRFGNTSASSLWYVLAYMEAKKRLKRGDRVFMISFGAGFKCNSCVWEVVRDLTGGESKGNVWNHCIDDYPPKSILNPYLEKFGWIQDEDPDTFKVPDAFM", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MWLRAFILATLSASAAWGHPSSPPVVDTVHGKVLGKFVSLEGFAQPVAIFLGIPFAKPPLGPLRFTPPQPAEPWSFVKNATSYPPMCTQDPKAGQLLSELFTNRKENIPLKLSEDCLYLNIYTPADLTKKNRLPVMVWIHGGGLMVGAASTYDGLALAAHENVVVVTIQYRLGIWGFFSTGDEHSRGNWGHLDQVAALRWVQDNIASFGGNPGSVTIFGESAGGESVSVLVLSPLAKNLFHRAISESGVALTSVLVKKGDVKPLAEQIAITAGCKTTTSAVMVHCLRQKTEEELLETTLKMKFLSLDLQGDPRESQPLLGTVIDGMLLLKTPEELQAERNFHTVPYMVGINKQEFGWLIPMQLMSYPLSEGQLDQKTAMSLLWKSYPLVCIAKELIPEATEKYLGGTDDTVKKKDLFLDLIADVMFGVPSVIVARNHRDAGAPTYMYEFQYRPSFSSDMKPKTVIGDHGDELFSVFGAPFLKEGASEEEIRLSKMVMKFWANFARNGNPNGEGLPHWPEYNQKEGYLQIGANTQAAQKLKDKEVAFWTNLFAKKAVEKPPQTEHIEL", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEATGTWALLLALALLLLLTLALSGTRARGHLPPGPTPLPLLGNLLQLRPGALYSGLMRLSKKYGPVFTIYLGPWRPVVVLVGQEAVREALGGQAEEFSGRGTVAMLEGTFDGHGVFFSNGERWRQLRKFTMLALRDLGMGKREGEELIQAEARCLVETFQGTEGRPFDPSLLLAQATSNVVCSLLFGLRFSYEDKEFQAVVRAAGGTLLGVSSQGGQTYEMFSWFLRPLPGPHKQLLHHVSTLAAFTVRQVQQHQGNLDASGPARDLVDAFLLKMAQEEQNPGTEFTNKNMLMTVIYLLFAGTMTVSTTVGYTLLLLMKYPHVQKWVREELNRELGAGQAPSLGDRTRLPYTDAVLHEAQRLLALVPMGIPRTLMRTTRFRGYTLPQGTEVFPLLGSILHDPNIFKHPEEFNPDRFLDADGRFRKHEAFLPFSLGKRVCLGEGLAKAELFLFFTTILQAFSLESPCPPDTLSLKPTVSGLFNIPPAFQLQVRPTDLHSTTQTR", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAAMPLALLVLLLLGPGGWCLAEPPRDSLREELVITPLPSGDVAATFQFRTRWDSELQREGVSHYRLFPKALGQLISKYSLRELHLSFTQGFWRTRYWGPPFLQAPSGAELWVWFQDTVTDVDKSWKELSNVLSGIFCASLNFIDSTNTVTPTASFKPLGLANDTDHYFLRYAVLPREVVCTENLTPWKKLLPCSSKAGLSVLLKADRLFHTSYHSQAVHIRPVCRNARCTSISWELRQTLSVVFDAFITGQGKKDWSLFRMFSRTLTEPCPLASESRVYVDITTYNQDNETLEVHPPPTTTYQDVILGTRKTYAIYDLLDTAMINNSRNLNIQLKWKRPPENEAPPVPFLHAQRYVSGYGLQKGELSTLLYNTHPYRAFPVLLLDTVPWYLRLYVHTLTITSKGKENKPSYIHYQPAQDRLQPHLLEMLIQLPANSVTKVSIQFERALLKWTEYTPDPNHGFYVSPSVLSALVPSMVAAKPVDWEESPLFNSLFPVSDGSNYFVRLYTEPLLVNLPTPDFSMPYNVICLTCTVVAVCYGSFYNLLTRTFHIEEPRTGGLAKRLANLIRRARGVPPL", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEDLDQSPLVSSSDSPPRPQPAFKYQFVREPEDEEEEEEEEEEDEDEDLEELEVLERKPAAGLSAAPVPTAPAAGAPLMDFGNDFVPPAPRGPLPAAPPVAPERQPSWDPSPVSSTVPAPSPLSAAAVSPSKLPEDDEPPARPPPPPPASVSPQAEPVWTPPAPAPAAPPSTPAAPKRRGSSGSVDETLFALPAASEPVIRSSAENMDLKEQPGNTISAGQEDFPSVLLETAASLPSLSPLSAASFKEHEYLGNLSTVLPTEGTLQENVSEASKEVSEKAKTLLIDRDLTEFSELEYSEMGSSFSVSPKAESAVIVANPREEIIVKNKDEEEKLVSNNILHNQQELPTALTKLVKEDEVVSSEKAKDSFNEKRVAVEAPMREEYADFKPFERVWEVKDSKEDSDMLAAGGKIESNLESKVDKKCFADSLEQTNHEKDSESSNDDTSFPSTPEGIKDRSGAYITCAPFNPAATESIATNIFPLLGDPTSENKTDEKKIEEKKAQIVTEKNTSTKTSNPFLVAAQDSETDYVTTDNLTKVTEEVVANMPEGLTPDLVQEACESELNEVTGTKIAYETKMDLVQTSEVMQESLYPAAQLCPSFEESEATPSPVLPDIVMEAPLNSAVPSAGASVIQPSSSPLEASSVNYESIKHEPENPPPYEEAMSVSLKKVSGIKEEIKEPENINAALQETEAPYISIACDLIKETKLSAEPAPDFSDYSEMAKVEQPVPDHSELVEDSSPDSEPVDLFSDDSIPDVPQKQDETVMLVKESLTETSFESMIEYENKEKLSALPPEGGKPYLESFKLSLDNTKDTLLPDEVSTLSKKEKIPLQMEELSTAVYSNDDLFISKEAQIRETETFSDSSPIEIIDEFPTLISSKTDSFSKLAREYTDLEVSHKSEIANAPDGAGSLPCTELPHDLSLKNIQPKVEEKISFSDDFSKNGSATSKVLLLPPDVSALATQAEIESIVKPKVLVKEAEKKLPSDTEKEDRSPSAIFSAELSKTSVVDLLYWRDIKKTGVVFGASLFLLLSLTVFSIVSVTAYIALALLSVTISFRIYKGVIQAIQKSDEGHPFRAYLESEVAISEELVQKYSNSALGHVNCTIKELRRLFLVDDLVDSLKFAVLMWVFTYVGALFNGLTLLILALISLFSVPVIYERHQAQIDHYLGLANKNVKDAMAKIQAKIPGLKRKAE", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKNCERFANLALAGLTLAPLVVRVNPNLNVILTACITVYVGCFRSVKDTPPTETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFVLGIVALSATLLPAIRRFLPNPWNDNLIVWRFPYFKSLEVEFTKSQVVAGIPGTFFCAWYAWKKHWLANNILGLSFCIQGIEMLSLGSFKTGAILLAGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDALRPYSMLGLGDIVIPGIFVALALRFDVSRRRQPQYFTSAFIGYAVGVILTIVVMNWFQAAQPALLYIVPAVIGFLASHCIWNGDIKPLLAFDESKTEEATTDESKTSEEVNKAHDE", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MERDKGVAPIVVENVAYNEQVVSFVRNLTSSFFGCAAGILGLTSYEGLALYVLGYFFVSFLLFALKMRGNLTKYYQPGYKFWIAKILDGAPSYVLTWTLFYSLVYVYE", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGKLSMLYNAAIASTFVKVFLFPSYRSTDFEVHRNWLAITHSLPISEWYKSSISEWTLDYPPFFAYMECVLSWIAYFFGFDKAMLDPYNLNYVSPSTVVFQRGSVIVLELVLLFALREYVLSSNVKDQRNALLTAIDIFLSPGLLIIDHIHFQYNGFLFGLLLWSIVLAKPEKNMLLSAAIFSALICFKHIFLYVAPAYFVYLLRVYCFTPNFRPQFLNILKLGSTVISIFLLAFGPWIYMKQIPQLLSRLFPFSRGLCHAYWAPNFWALYSFVDRVAFAVLPRFGYALNQGTSINAPTRGLVGESSFAVLPNIPPALTFYICLGLQITVLIKLFIKPTWRVFVGAVTLCGWISFLFGWHVHEKAILMVILPFSILSLIDRRYLEAFRPLAVSGYLSLLPLLFTLNEAPIKYLFTGAWIAMLLTFDKCAPVPVKRVFLLNRVNIAYISGFVPLFIYNCFIHKLVMGDKFEFLPLMLLSTYAAWGIFWSFVSLLWLYFTDLK", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAARPPASLSYRTTGSTCLHPLSQLLGIPLDQVNFVACQLFALSAAFWFRIYLHPGKASPEVRHTLATILGIYFVVFCFGWYAVHLFVLVLMCYGVMVTASVSNIHRYSFFVAMGYLTICHISRIYIFHYGILTTDFSGPLMIVTQKITTLAFQVHDGLGRKAEDLSAEQHRLAVKAKPSLLEYLSYHLNFMSVIAGPCNNFKDYVAFIEGRHIHMKLLEVNWTQRGFQSLPEPSPMGAVIQKLCVTLMSLLLFLTLSKSFPVTFLIDDWFVHKANFLSRLWYLYVVMQAAKPKYYFAWTLADAVHNAAGFGFNGMDTDGKSRWDLLSNLNIWKIETATSFKMYLENWNIQTSTWLKCVCYERVPWYPTVLTFLLSALWHGVYPGYYFTFLTGVPVTLAARAVRNNYRHHFLSSKARKIAYDVVTWAVTQLAVSYTAAPFVMLAVEPTISLYKSVFFFLHIICLLIILFLPIKPHQPQRQSRSPNSVKKKAD", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEHLERCAWFLRGTLVRATVRRHLPWALVAAMLAGSVVKELSPLPESYLSNKRNVLNVYFVKLAWAWTVCLLLPFIALTNYHLTGKTSLVLRRLSTLLVGTAIWYICTALFSNIEHYTGSCYQSPALEGIRQEHRSKQQCHREGGFWHGFDISGHSFLLTFCALMIVEEMAVLHEVKTDRGHHLHAAITTLVVALGFLTFIWVWMFLCTAVYFHDLTQKVFGTMFGLLGWYGTYGYWYLKSFSPGLPPQSCSLTLKRDTYKK", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSNGSDLGHNNRRNEKGNPDSVHSSMRGSMSSTRRKSINFQNQLQLALESNECDVMYCSLSSGRFRAPNGENFPPHGGKLKLSPLEKYDDANRGVPPPSPAPNSDCFATCVANQLPSQSCSVGSAKPETSVMQKHGMAGNGMVGSGMSGNGMAANGTNYHQRGVSPNSRYRLERYRESQSTPQSKLMDNMGGMPSAVPSVSATRLLLPSNVPLPLAQCENYNAYLGSTVHTPVKRYVPTPPPAMELYSDLSISSSPAANLPTPAAAVASSASTSASAMQSQYANMPYNYRSKCCHSEASHGSLSRTSQTYASCSPACTSPSPAPSTSMSMVFSAASSCSPIMTATSSARSGNHRGDDSDSVIVVPPGGGAMAQIEAENPSGTCLHCNTVRRTTGVHQTTQTTGPISPVPISLPVPMAMPVMSGLNEQMPSQSLESSMVSVQAKRLEGGGNMAVPPGSNQHQLYRSQMASNPRLQHIHHQTQQHQSLQVLPQPQNHHLSCKKRIGMYMRRTTSQFFGVEPSTEAADCALWQGRHRRLAIRCFGMFDTELEYHMQQAIGGGNEDAGQSNGTNGNYAPDRPDILPVQDAIGMDMTMSGDSRRQKCYTNRDFLAGEFVERKASVGYMFVAMVSYLVHMFNKRRPIQMHRVRCPWQWSRSFAPIHVQSHSNQQTDADGCLTDGLEAIIDDEVFFDSPCEITTSAVNDESSDIGRQAAKPRPCADQSGVGVSVYMAERQQNGWRTSALNSGGNATSDINLTGDQSSHQPGAAHIPLCSSVSSQMQPAMRSSHSTTSTCNRGNRITAQLLDGVLENSRRPPLRCIKYFSVNDLDDRTDHRPFFTYWINTVQVVVLILSIICYGIAPIGIGSEQKTGQVLVTSLSLQTVQHVEQRNLWIGPRNIDLVHMGAKFAACMRRDIKITEVVTKTRRHERETACCIRNDDSGCVQSSQAECSIRGLYPTKSISTWKKWSPSESGPGGRISGSVCGLDPKFCDAPASIAPYEWPDDITKWPICRKTNSFTQRYRYKDHTSEHMVCEVIGHPCCTGLYGECRITTREYCDFIKGYFHEEASLCSQISCLNNVCGMFPFISVETPDQLYRLLTSLCMHAGILHLAITLIFQHLFLADLERLIGTVRTAIVYIMSGFAGNLTSAILVPHRPEVGPSASLSGVVASLIALLVWMHWKYLHKPHIALFKLLLLCSVLVGIGTLPYQLNFLGLLAGVICGCLLTMSLVPFTTFSKYGRKKKINLIWTCVLFHVVVYTAMIVTFYIHPSEFHSISFVDMFSNSNGYDNFTNADHHGVDVVSSNTRYSQTQNSQYYYHHHSDDIIRKSVTFTEKALVSHILYPTAPRKTSAQQWQEVEYSRSFNHLSNYSDRIKKSIGNISKLKQVFTSPIRFSNKNNHSNLMTELTSVHSENKQKYLGYINNNTEFNVL", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGKGAIKEEESEKKRKTWRWPLATLVVVFLAVAVSSRTNSNVGFFFSDRNSCSCSLQKTGKYKGMIEDCCCDYETVDNLNTEVLNPLLQDLVTTPFFRYYKVKLWCDCPFWPDDGMCRLRDCSVCECPENEFPEPFKKPFVPGLPSDDLKCQEGKPQGAVDRTIDNRAFRGWVETKNPWTHDDDTDSGEMSYVNLQLNPERYTGYTGPSARRIWDSIYSENCPKYSSGETCPEKKVLYKLISGLHSSISMHIAADYLLDESRNQWGQNIELMYDRILRHPDRVRNMYFTYLFVLRAVTKATAYLEQAEYDTGNHAEDLKTQSLIKQLLYSPKLQTACPVPFDEAKLWQGQSGPELKQQIQKQFRNISALMDCVGCEKCRLWGKLQVQGLGTALKILFSVGNQDIGDQTLQLQRNEVIALVNLLNRLSESVKMVHDMSPDVERLMEDQIAKVSAKPARLRRIWDLAVSFW", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MQFSVLCKFLLLVTAVMAQTEYTPGFTTDVATTVTPTPLPSANVTTTSFSSASTETSTHSVTSTNITSIVPPPSTSHNSTTTTVPPTTSMNTTTTVPPTTSLNTTTTTAPPTTHVNSTTTVVPPTTHVNTTTVVPPTTHVNTTTVVPPTTHANTTSFVPTTTESSIHPITTGFYNTTFTTGYFNTSVTSVAVHNSTTVFPTSVPIVNTTSFNVTTIPSSAVHYASPSGLLALVVMLISAFAFLA", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSQKQLKEAFVSNLSGTSVLEVTQGLCFPAFCILCRGLLIIFSQHLCSFLHTWTTQFFMDFVVLIVPLVITLTVLSSFILLENLTVILCGAWLLYQIYHRRTCYAKVPVQKVFASFLKISLESEYNPAITCYRVINSVFTAIAILAVDFPLFPRRFAKTELYGTGAMDFGVGGFIFGAAMVCPEVRRKYTEGSRFNHLRKSLYSVWPLVFLGMGRLVIIKSIGYQEHSTEYGVHWNFFFTIIVVKLITSLLLIIFPLNKSWIVAISITVLYQLALDFTPLKGIILYGTDGRGTRVGLLNANREGIISTLGYVAIYMAGVQTGFYVFKRRAQVRDWIKATCWVFSVAVGFFISLNIVQVNVEAVSRRMANLAFCLWVVASSLMLLSCLLLSGIVLSFAKFLIKGALVPCSWKLIQSATTNRQSESLIVEAEKNKPSFCLITALNRNQLFFFLLSNVATGLINLTVDTLHTGAFWTLAVLSIYMFANCLVIYVLDLQGKTIKFW", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAPQNLSTFCLLLLYLIGTVIAGRDFYKILGVPRSASIKDIKKAYRKLALQLHPDRNPDDPQAQEKFQDLGAAYEVLSDSEKRKQYDTYGEEGLKDGHQSSHGDIFSHFFGDFGFMFGGTPRQQDRNIPRGSDIIVDLEVTLEEVYAGNFVEVVRNKPVARQAPGKRKCNCRQEMRTTQLGPGRFQMTQEVVCDECPNVKLVNEERTLEVEIEPGVRDGMEYPFIGEGEPHVDGEPGDLRFRIKVVKHRIFERRGDDLYTNVTVSLVEALVGFEMDITHLDGHKVHISRDKITRPGAKLWKKGEGLPNFDNNNIKGSLIITFDVDFPKEQLTEEAKEGIKQLLKQGPVQKVYNGLQGY", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSSFRVGGKVVEKVDLCRKKQLVWRLDVWPFAILYTVWLTTIVPSIDFSDACIALGGLSAFHILVLLFTTWSVDFKCFVQFSKVNSIDQADACKVTPAKFSGSKEVVPLHFRSQMTDSASSGDMEEIFFDFRKQRFIYSKELGAFSKLPYPTKETFGHYLKCTGHGTEAKIATATEKWGRNVFDYPQPTFQKLMKENCMEPFFVFQVFCVGLWCLDEFWYYSVFTLFMLFMFESTMAKSRLKTLTDLRSVRVDSQTVMVYRSGKWVKLLGTDLLPGDVVSIGRPSTQTGGEDKTVPADMLLLVGSAIVNEAILTGESTPQWKVPIVGQRSDEKLSIKRNKNHVLFGGTKILQHSPDKSFSLKTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFAVIAAGYVLVKGLEDPTRSKYKLLLGCSLIITSVIPPELPMELSIAVNTSLLALVRRGIFCTEPFRIPFAGKVDLCCFDKTGTLTSDDMEFRGVGGLSNCEEAETDMSKVPVRTLEILASCHALVFVENKLVGDPLEKAALKGIDWSYKADEKALPRRGNGNSVQIMQRYHFASHLKRMSVIVRIQEEYLAFVKGAPETIQERLVDVPAQYIETYKRYTRQGSRVLALAYKRLPDMMVSEARDMDRDAVESDLTFAGFAVFNCPIRPDSAPVLLELKNSSHDLVMITGDQALTACHVAGQVHIVSNPVLILGRSGSGNEYKWVSPDEKEIIPYSEKEIETLAETHDLCIGGDSIEMLQATSAVLRVIPFVKVFARVAPQQKELILTTFKAVGRGTLMCGDGTNDVGALKQAHVGVALLNNKLPLSPSDSSKDDKSKSKKSKLPLEPASKTITQNGEGSSKGKIPPQNRHLTAAELQRQKLKKIMDDLNNDEGDGRSAPLVKLGDASMASPFTAKHASVAPVTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVLTAAFFLFISHARPLQTLSAERPHPSVFSVYLFLSLIGQFAVHLTFLVYSVKEAEKHMPEECIEPDASFHPNLVNTVSYMVSMMLQVATFAVNYMGHPFNQSIRENKPFFYALIAGAGFFTVIASDLFRDLNDSLKLVPLPQGLRDKLLIWASLMFIICYSWERLLRWAFPGKISSWKHKQRAVTANLEKKKKV", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MWFLRETIFGELVEYFSGGTRQVDVEKLGVCTDNSNVELSSCPDSSFDDEKTNQVNSEGLIIVTWDGEDDPENPKNWPLWAKLVVTFDVCFLTFAVYMGSAIFTPGIQEIRETMHVGTVPVILGLTLFVEGYAVGPLIFSPLSEVPQIGRQKIYVLSLIVFICLQIPTALGSSLGVLLPMRFLAGVFGSPALSTGGASLADIWQPWLYPYFMCFWSLGAVGGPVLGPLLGAAMVVAKSWRWQFWLLMMISALVLVIITFFMPETSEWHLLYKRAKRLRELTGNPNYKTEAEIASSQLSKGQFAKQILVRPIILCVSEPIVLSLTIYIGLVYSILYLWFEAFPILFTTVYHFTTIENGLVYMGILVGSVLTVAFYFIYLRKVMIPKFVENKGKFPAEEILIISFPAAFFIPISLFWFGWTGRESVHWIVPIVGTLFYASGSFLLFQSMFQYLAAAYPKYVASVFAGNALFRSSMAAASPLYARAMFNNTGPSYAPVGWGSTILGVISCIMIPIPFLIYKWGLKLRSRSKYAT", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MWGDSMRELGDAMDNELNAVKPVVEEGGMDGARKFIKGKSFQKSSTEHMLISPGRDGSVPLNGLKSSPADPHLSDVNSILDNHRGGGETALTSVNNIIMATSTNGDSDGVDGDAKRPSISNCSSRSSFFDTVLSTFSLKSNSQDTVTNEVKNIEVQFASEEANKKFRQMFKPLAPNTRLITDYFCYFHREFPYQGRIYLSNTHLCFNSTVLNWMAKLQIPLNEIKYLDKVTTNSSAISVETVTNRYTFSGFIARDEVFQLITRVWSKENLTNINDVLEVDERVSKKKGISSTPSSIFNNVSTNAYNDFISTTTTEPTSRASYMSENDMLIEEAIRSVDDYMGTPRASPSSSSSSSSSSSSLGSSTTYYCRPVYRLKPNAPFQYEGPFHVQETMDFPYKPEANNEYVLLERQFSVPPGLLFIMMFNEDNPVFELSFLKTQDSSNISHIGTFEKVNKDGQHYREFQYTKQLHFPVGPKSTNCEVAEILLHCDWERYINVLSITRTPNVPSGTSFSTRTRYMFRWDDQGQGCILKISFWVDWNASSWIKPMVESNCKNGQISATKDLVKLVEEFVEKYVELSKEKADTLKPLPSVTSFGSPRKVAAPELTMVQPESKPEAEAEISEIGSDRWRFNWVNIIILVLLVLNLLYLMKLNKKMDKLTNLMTHKDEVVAHATLLDIPAKVQWSRPRRGDVL", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGSAWTNYNFEEVKSHFGFKKYVVSSLVLVYGLIKVLTWIFRQWVYSSLNPFSKKSSLLNRAVASCGEKNVKVFGFFHPYCNAGGGGEKVLWKAVDITLRKDAKNVIVIYSGDFVNGENVTPENILNNVKAKFDYDLDSDRIFFISLKLRYLVDSSTWKHFTLIGQAIGSMILAFESIIQCPPDIWIDTMGYPFSYPIIARFLRRIPIVTYTHYPIMSKDMLNKLFKMPKKGIKVYGKILYWKVFMLIYQSIGSKIDIVITNSTWTNNHIKQIWQSNTCKIIYPPCSTEKLVDWKQKFGTAKGERLNQAIVLAQFRPEKRHKLIIESFATFLKNLPDSVSPIKLIMAGSTRSKQDENYVKSLQDWSENVLKIPKHLISFEKNLPFDKIEILLNKSTFGVNAMWNEHFGIAVVEYMASGLIPIVHASAGPLLDIVTPWDANGNIGKAPPQWELQKKYFAKLEDDGETTGFFFKEPSDPDYNTTKDPLRYPNLSDLFLQITKLDYDCLRVMGARNQQYSLYKFSDLKFDKDWENFVLNPICKLLEEEERG", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEHVSKRSIGQFFKRKTSTVDGSKSQKCGTTNQLRKLLHKRRVQKQAVPVESQYRIPGDFRDNQSVRVKNSMYNSSPSVTPSTHHINERYVRYDINTRPLVVVLAISIVFFGCLLVLKDIIIQSSENILSVSKWKIIGASFMGTPYTGLLTGLVGPLLSPFSAVSSWLSFIF", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEIAPSTSRFKLYDQFELLEFPDKYVVKPIESPEEGFSVNRRDGNIKPLDENASSGSPTRVSTIYGVGGTIRLLAGTYLLVITSREEVGNFLGLPIFRVTAMKFLPCNEALRFATAQEKKDETYFRTLLQALETTPGLYFSYETDLTLNLQRRCKLAEGWNRKPMWKQADPRYVWNWHLLEDLIECKLDGFIIPILQGSYQVAELKLKNSPAVVSIMSRRCTRRLGTRMWRRGANLEGDAANFVESEQIVEINGFKFSLLQVRGSIPLLWEQIVDLSYKPRLKINKHEETPKVVQRHFHDLCQRYGEIMAVDLTDQHGDEGALSKAYATEMEKLPDVRYVSFDFHQVCGTTNFDNLGVLYEQIGDEFEKQGYFLVDADENILEEQKGVIRSNCIDCLDRTNVTQSFMGQKSLNLQLQRIGVCDSTECISTFEDDYTKFRTIWAEQGDEVSLQYAGTYALKGDLVRYGKQTMTGAIKDGLSAMSRYYLNNFQDGVRQDALDLISGRYTVGTHSPSQLQPIGSQPSFLPVASALLIGGVTVTSFTIHQAGRNTQQYLASALWAGVTAGVVAMIKANGRHLTSRPRLCHLI", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MARPVQRFQLWSPLGFLLQLVTLLGKLGPQVQSVRPESLLFVSTLDGSLHALNKQTGDLKWTVKDDPIIQGPMYVTEMAFLSDPADGSLYVLGTQKQQGLMKLPFTIPELVHASPCRSSDGVFYTGRKQDAWFVVDPESGETQMTLTTEGLSTPQLFIGRTQYTVSMHDLRTPALRWNTTYRRYSAPLLNGSPGKYMSHLTSCGMGLLLTVDPGSGIVLWTQDLGVPVTGIYTWHQDGLHQLPHLTLARDTLHFLVLRWGHIRLPASSYQDTATQFSSLDTQLLMTLYVGKEEAGFYVSKALVHAGVALVPRGLTLAPMDGPTTDEVTLQVSGEREGSPSTAVRYPSGSVALPSQWLLIGYHEPPPVLHTTMLRVHPIPGKVSAETRASEDLHAPPVFFELLNLRREDPELHPEEKASDSYPGLGSQDLLAATFTAILLGAWVLYLMRQQQQSPSAPAGPPDLSQDAQGQLSRDILQDQRRFQSPSEPAQPPHDPEAGQPTVVGKISFNPKDVLGRGAGGTFVFRGQFEGRAVAVKRLLRECFGLVRREVQLLQESDRHPNVLRYFCTEHGPQFHYIALELCQASLQEYVESPDLDRWGLEPTTVLQQMMSGLAHLHSLHIVHRDLKPANILMAGPDSQGQGRVVISDFGLCKKLPVGRCSFSLHSGIPGTEGWMAPELLQLPPDSPTSAVDIFSAGCVFYYVLSGGSHPFGESLYRQANILSGDPCLAQLQEETHDKVVALDLVRAMLSLLPQDRPSAGWVLAHPLFWSRAKELQFFQDVSDWLEKEPDQGPLVSALEAGSYKVVREDWHKHISAPLQADLKRFRSYKGTSVRDLLRAMRNKKHHYRELPAEVRQTLGQLPAGFIQYFTQRFPRLLLHTHRAMRTCASESLFLPYYPPALEARRPDATKS", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSADIVDIGHTGWMPSVQSLSILLVPGALVLVILYLCERQCNDLMGAPPPGPWGLPFLGYLPFLDARAPHKSLQKLAKRYGGIFELKMGRVPTVVLSDAALVRDFFRRDVMTGRAPLYLTHGIMGGFGIICAQEDIWRHARRETIDWLKALGMTRRPGELRARLERRIARGVDECVRLFDTEAKKSCASEVNPLPALHHSLGNIINDLVFGITYKRDDPDWLYLQRLQEEGVKLIGVSGVVNFLPWLRHLPANVRNIRFLLEGKAKTHAIYDRIVEACGQRLKEKQKVFKELQEQKRLQRQLEKEQLRQSKEADPSQEQSEADEDDEESDEEDTYEPECILEHFLAVRDTDSQLYCDDQLRHLLADLFGAGVDTSLATLRWFLLYLAREQRCQRRLHELLLPLGPSPTLEELEPLAYLRACISETMRIRSVVPLGIPHGCKENFVVGDYFIKGGSMIVCSEWAIHMDPVAFPEPEEFRPERFLTADGAYQAPPQFIPFSSGYRMCPGEEMARMILTLFTGRILRRFHLELPSGTEVDMAGESGITLTPTPHMLRFTKLPAVEMRHAPDGAVVQD", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVRFEKVHLVLGLALVLTLVGAPTKAQGPVCGAGLPDKFSRLNFPEGFIWGTATAAFQVEGAVNEGCRGPSMWDTFTKKFPHRCENHNADVAVDFYHRYKEDIQLMKDLNTDAFRLSIAWPRIFPHGRMSKGISKVGVQFYHDLIDELLKNNIIPLVTVFHWDTPQDLEDEYGGFLSGRIVQDFTEYANFTFHEYGHKVKHWITFNEPWVFSRAGYDNGKKAPGRCSPYIPGYGQHCQDGRSGYEAYQVSHNLLLSHAYAVDAFRNCKQCAGGKIGIAHSPAWFEPQDLEHVGGSIERVLDFILGWHLAPTTYGDYPQSMKDRVGHRLPKFTEAEKKLLKGSTDYVGMNYYTSVFAKEISPDPKSPSWTTDSLVDWDSKSVDGYKIGSKPFNGKLDVYSKGLRYLLKYIKDNYGDPEVIIAENGYGEDLGEKHNDVNFGTQDHNRKYYIQRHLLSMHDAICKDKVNVTGYFVWSLMDNFEWQDGYKARFGLYYIDFQNNLTRHQKVSGKWYSEFLKPQFPTSKLREEL", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSLRFGATCLLSFSFLLLITSSDGRTGLGKGFGDHIHWRTLEDGKKEAAASGLPLMVIIHKSWCGACKALKPKFAESTEISELSHNFVMVNLEDEEEPRDEDFSPDGGYIPRILFLDPSGKVRPEIINESGNPSYKYFYVSAEQVVQGMKEAQERLTGDAFREKHFQDEL", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVGEEKMSLRNRLSKSRENPEEDEDQRNPAKESLETPSNGRIDIKQLIAKKIKLTAEAEELKPFFMKEVGSHFDDFVTNLIEKSASLDNGGCALTTFSVLEGEKNNHRAKDLRAPPEQGKIFIARRSLLDELLEVDHIRTIYHMFIALLILFILSTLVVDYIDEGRLVLEFSLLSYAFGKFPTVVWTWWIMFLSTFSVPYFLFQHWATGYSKSSHPLIRSLFHGFLFMIFQIGVLGFGPTYVVLAYTLPPASRFIIIFEQIRFVMKAHSFVRENVPRVLNSAKEKSSTVPIPTVNQYLYFLFAPTLIYRDSYPRNPTVRWGYVAMKFAQVFGCFFYVYYIFERLCAPLFRNIKQEPFSARVLVLCVFNSILPGVLILFLTFFAFLHCWLNAFAEMLRFGDRMFYKDWWNSTSYSNYYRTWNVVVHDWLYYYAYKDFLWFFSKRFKSAAMLAVFAVSAVVHEYALAVCLSFFYPVLFVLFMFFGMAFNFIVNDSRKKPIWNVLMWTSLFLGNGVLLCFYSQEWYARQHCPLKNPTFLDYVRPRSWTCRYVF", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDGLRQRFERFLEQKNVATEALGALEARTGVEKRYLAAGALALLGLYLLFGYGASLLCNVIGFVYPAYASVKAIESPSKEDDTVWLTYWVVYALFGLVEFFSDLLLFWFPFYYAGKCAFLLFCMTPGPWNGALLLYHRVIRPLFLKHHMALDSAASQLSGRALDLAAGITRDVLQALARGRALVTPASTSEPPAALELDPK", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MESTLGAGIVIAEALQNQLAWLENVWLWITFLGDPKILFLFYFPAAYYASRRVGIAVLWISLITEWLNLIFKWFLFGDRPFWWVHESGYYSQAPAQVHQFPSSCETGPGSPSGHCMITGAALWPIMTALSSQVATRARSRWVRVMPSLAYCTFLLAVGLSRIFILAHFPHQVLAGLITGAVLGWLMTPRVPMERELSFYGLTALALMLGTSLIYWTLFTLGLDLSWSISLAFKWCERPEWIHVDSRPFASLSRDSGAALGLGIALHSPCYAQVRRAQLGNGQKIACLVLAMGLLGPLDWLGHPPQISLFYIFNFLKYTLWPCLVLALVPWAVHMFSAQEAPPIHSS", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDALSLVNSTVAKFNEVTQLQASPAILSTALTAIAGIIVLLVITSKRRSSLKLPPGKLGLPFIGETLEFVKALRSDTLRQFVEEREGKFGRVFKTSLLGKPTVILCGPAGNRLVLSNEEKLLHVSWSAQIARILGLNSVAVKRGDDHRVLRVALAGFLGSAGLQLYIGKMSALIRNHINEKWKGKDEVNVLSLVRDLVMDNSAILFFNIYDKERKQQLHEILKIILASHFGIPLNIPGFLYRKALKGSLKRKKILSALLEKRKDELRSRLASSNQDLLSVLLSFRDERGKPLSDEAVLDNCFAMLDASYDTTTSQMTLILKMLSSNPECFEKVVQEQLEIASNKKEGEEITMKDIKAMKYTWQVLQESLRMLSPVFGTLRKTMNDINHDGYTIPKGWQVVWTTYSTHQKDIYFKQPDKFMPSRFEEEDGHLDAYTFVPFGGGRRTCPGWEYAKVEILLFLHHFVKAFSGYTPTDPHERICGYPVPLVPVKGFPIKLIARS", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATVAEQWVLVEMVQALYEAPAYHLILEGILILWIIRLVFSKTYKLQERSDLTAKEKEELIEEWQPEPLVPPVSKNHPALNYNIVSGPPTHNIVVNGKECVNFASFNFLGLLANPRVKATAFSSLKKYGVGTCGPRGFYGTFDVHLDLEERLAKFMKTEEAIIYSYGFSTIASAIPAYSKRGDIIFVDSAACFAIQKGLQASRSDIKLFKHNDVADLERLLKEQEIEDQKNPRKARVTRRFIVVEGLYMNTGTICPLPELVKLKYKYKARIFLEESLSFGVLGEHGRGVTEHYGISIDDIDLISANMENALASVGGFCCGRSFVVDHQRLSGQGYCFSASLPPLLAAAAIEALNIMEENPDIFAVLKKKCQNIHKSLQGVSGLKVVGESLSPALHLQLEESTGSREKDVKLLQAIVDQCMDKGIALTQARYLDKEEKCLPPPSIRVVVTVEQTEEELQRAASTIREAAQAVLL", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPAHMLQEISSSYTTTTTITAPPSGNEREKVKTVPLHLEEDIRPEMKEDIHDPTYQDEEGPPPKLEYVWRNIILMVLLHLGGLYGIILVPSCKLYTCLFGIFYYMTSALGITAGAHRLWSHRTYKARLPLRIFLIIANTMAFQNDVYEWARDHRAHHKFSETHADPHNSRRGFFFSHVGWLLVRKHPAVKEKGGKLDMSDLKAEKLVMFQRRYYKPGLLLMCFILPTLVPWYCWGETFVNSLFVSTFLRYTLVLNATWLVNSAAHLYGYRPYDKNIQSRENILVSLGAVGEGFHNYHHTFPFDYSASEYRWHINFTTFFIDCMAALGLAYDRKKVSKATVLARIKRTGDGSHKSS", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAEEIEKSVPTEESLMEKISEKIHHHDSSSSSESEYEKPDSPSAVKAKIYRMFGREKPVHKVLGGGKPADVFLWRDKKLSGAVLGVATAIWVLFELVEYHLLSLLCHISILALGGLFLWSNAHTLINKTSPQIPEIHVPEEAFLVVASSLRNELNQAFVILRSIALGRDLKKFLMVVVGLWIISVVGNWFNFLTLVYICFVILHTVPMLYEKHEDKVDPLAEKAMKELQKQYVVFDEKVLSKIPIASLKAKAKLG", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGIRRLVSVITRPIINKVNSSGQYSRVLATREDQDKASPKYMNNDKIAKKPYTYRLFSILGILSICSLLLISLLKPFNGADAPQCESIYMFPSYARIDGFDERYTPLAHKYHLYLYREQSVDREPLNGDELQLDGIPVLFIPGNAGSFRQCRSIASACSNIYFDSNTRATLRNENVRNLDFFTADFNEDFTAFHGETMLDQAEYLNDAIKYILSLYERTPDYPHPKPQSVIIVGHSMGGIVSRVMLTLKNHVPGSISTILTLSSPHAASPVTFDGDILKLYKNTNEYWRKQLSQNDSFFSKNISLVSITGGILDTTLPADYASVEDLVSLENGFTSFTTTIPDVWTPIDHLAIVWCKQLREVLARLLLESIDASKPEKVKPLNQRLQIARKLLLSGFEDYSWMNSKLNYPQENLQEFSDNFFSDYATLEMNDVLDFEMFNLEKWHNNYTKINIPSNISSTEHLHFTLLTSLDMPMIYFCTESRVNLSCITAVDSILTVPRSSKDTQFAADSSFGEAKNPFKAVSVGKNILQKYDYLMISKPTYGEFSEQEGMEDNQGFLLALLRNVSNVQIVNTTPSQILLFGEQLHLDGKDIEQVISFSNLWDSLLSYKLETKIEASNEGIASEETLFQPFIRQWVYEPFESKWHLNIINKSLDINMHNVAPFIPLNESEPRSLQLSFFIPPGMSLEAKMTINWSLTLKMLFIRYRLALASFPVAFIALVLSYQFYWYNKTSEFPSFDSTLGYILRKHGILMFFTLFLASPVVNNKLVQRILYLLDPVGLNYPFLLSERNMHANFYYLGIRDWFMSTIGILFGVMTVGLLALVSKIFGSLEILVIFLQRKLSKKNTEDKEAFDTIEHKAYGKGRLMASVLLLLLVFFHIPYQMAFVISLVIQIATCIRVALLKLSNNEQKLNLLNYNMTLLLLLLFVSAINIPIIIVFLHNVAIKWETSFRSHHNILAVAPIIFLVGNNSIFKMPNSVPLDTWDGKVTIILFVYLTVFSFIYGIRNLYWIHHLVNIICAWLLFFETIH", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKTTATSFVTGERVVVFVVVSRILLSLPLSLISHGFSLFLLSLSAFLVEIRVETSPFLLSHFSSRRGASSGILLGAVTLPSVMISKLVQLSRAISIHEAEQDELAHVTMQYWAASASCCAILIYLSVIMSQVRKDESLSSSSIWLTRVSLTGTVLYGVACFVSLSMISHTGLNTSLKMLWMLFHGLAAVKLIRHLLCTFPSCASIGEALLVTSGLVLYFGDFLACTIAKIFEKLIPVDLVSISYGIKRTETGIIVQGLLLGLLLFPMVFRFVLHIYESSLRKRDARQRNCSDAAKSVLFFVSLLFFMVVAVPSWMQFVHDFNQHPFLWVLTFVFSEPLKRLSLCIYWILLIVVSVSRFYNISRSSKVERILLRKYYHLMAVLMFLPALVLQPKFLDLAFGAALAVFVALEIIRIWRIQPLGEPLHQFMNAFTDHRDSEHLIVSHFSLLLGCALPIWMSSGFNDRALSPFAGILSLGIGDTMASMVGHKYGVLRWSKTGKKTVEGTAAGITSMMAVCFVLVPILASMGYILSQGWWSLLVAVTATGMLEAYTAQLDNAFIPLVFYSLLCL", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDAKKNTGEANNDVLEEEAAIQLIAPGIARNLTQEVITGIFCNVVIYPLLLIYFVLTFRYMTTNIVPYEFIDEKFHVGQTLTYLKGKWTQWDPKITTPPGIYILGLINYYCIKPIFKSWSTLTILRLVNLLGGIIVFPILVLRPIFLFNALGFWPVSLMSFPLMTTYYYLFYTDVWSTILILQSLSCVLTLPFGPVKSIWLSAFFAGVSCLFRQTNIIWTGFIMILAVERPAILQKQFNTHTFNNYLKLFIHAIDDFSNLVLPYMINFVLFFIYLIWNRSITLGDKSSHSAGLHIVQIFYCFTFITVFSLPIWISRNFMKLYKLRIKRKPVQTFFEFIGIMLIIRYFTKVHPFLLADNRHYTFYLFRRLIGNKSRLIKYFFMTPIYHFSTFAYLEVMRPNQLTFHPITPLPIKEPVHLPIQLTHVSWTALITCTMVTIVPSPLFEPRYYILPYFFWRIFITCSCEPLIKDLKPAKEGENPITISSTKRLFMEFLWFMLFNVVTLVIFSKVSFPWTTEPYLQRIIW", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNVGVAHSEVNPNTRVMNSRGMWLTYALGVGLLHIVLLSIPFFSVPVAWTLTNIIHNLGMYVFLHAVKGTPFETPDQGKARLLTHWEQLDYGVQFTSSRKFFTISPIILYFLASFYTKYDPTHFILNTASLLSVLIPKMPQLHGVRIFGINKY", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MINNWLAVGLLVVSGILAFNWKRKHPYGQTVEIGEKPENGGRIRRNSACADHLISFLEDDEIYTLYDSLVKSCKKYGERKCFGERKKDSNGNLGKFEWISYNTYLERCEYIQQGLCELGLKPKSKVGIFSKNRLEWLIVHSASFIQSYCVVSFYETLGVESLSYVTEHAEIGLAFCSAETLQKTLDIAKGVKVLKTIICFDSIDKEHYNIAKELGVTLYTYDEIMKKGKEANGKHKHTPPTPDTLSTIMYTSGTTGPPKGVMITHKNLTSVVCAVSDFIKVYDTDVHYSYLPYAHVLERVVILAAFHFGAAIGIFSGDISNILVEVKLLSPTLFIGVPRVFERIKTNVFKEISKKPALLRTLFNGAYNLKYLSIQHGFKLPIIEKVLDLVFFSKIKQALGGKVRVILSGSAPLSFDTEVFLRVVMCCCVLQGYGASEGCGGDACKRLDDESVGTIGPPFASNEIKLVDVPELGYDSNGEVQTGEVCLRGPSISSGYYKDEEKTREEFKDGWFHTGDIGRWNRDGSLSIVDRKKNIFKLSQGEYVAVEKIETIVVKSEYVEQVCIYGDSQKSCVIAIIHPHPESCSEWAGSKKTDKDIKEICKNQDFIKVVLDDIIKNCKKSGLHGFEIPKAIHLTPEAFSDQNNLLTPSFKLKRHEIKKYFEDEIKKLYSKLD", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVSQQQIEAIGGVLNNKERPLKERFRALFTLKNIGGGAAIEAISKAFDDDSALLKHELAYCLGQMQDAQALDILTKVLKDTTQEPMVRHEAAEAMGAIGHPDVLPILEEYKQDPVVEVAETCAIALDRVRWLQSGQKVDDSNPYASVDPSPPTAGDKSVTELKAIYLDAQQSLFDRYRAMFSLRNLRTEESVLAIAEGLKDSSALFRHEVAFVLGQLQEPCSIPFLQENLEDRLENEMVRHECAEALGAIATEDCIQILNRYAEDDKRVVKESCVIALDMCEYENSPEFQYADGLAKLDATK", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEHLKAFDDEINAFLDNMFGPRDSRVRGWFMLDSYLPTFFLTVMYLLSIWLGNKYMKNRPALSLRGILTLYNLGITLLSAYMLAELILSTWEGGYNLQCQDLTSAGEADIRVAKVLWWYYFSKSVEFLDTIFFVLRKKTSQITFLHVYHHASMFNIWWCVLNWIPCGQSFFGPTLNSFIHILMYSYYGLSVFPSMHKYLWWKKYLTQAQLVQFVLTITHTMSAVVKPCGFPFGCLIFQSSYMLTLVILFLNFYVQTYRKKPMKKDMQEPPAGKEVKNGFSKAYFTAANGVMNKKAQ", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAAAAVGNAVPCGARPCGVRPDGQPKPGPQPRALLAAGPALIANGDELVAAVWPYRRLALLRRLTVLPFAGLLYPAWLGAAAAGCWGWGSSWVQIPEAALLVLATICLAHALTVLSGHWSVHAHCALTCTPEYDPSKATFVKVVPTPNNGSTELVALHRNEGEDGLEVLSFEFQKIKYSYDALEKKQFLPVAFPVGNAFSYYQSNRGFQEDSEIRAAEKKFGSNKAEMVVPDFSELFKERATAPFFVFQVFCVGLWCLDEYWYYSVFTLSMLVAFEASLVQQQMRNMSEIRKMGNKPHMIQVYRSRKWRPIASDEIVPGDIVSIGRSPQENLVPCDVLLLRGRCIVDEAMLTGESVPQMKEPIEDLSPDRVLDLQADSRLHVIFGGTKVVQHIPPQKATTGLKPVDSGCVAYVLRTGFNTSQGKLLRTILFGVKRVTANNLETFIFILFLLVFAIAAAAYVWIEGTKDPSRNRYKLFLECTLILTSVVPPELPIELSLAVNTSLIALAKLYMYCTEPFRIPFAGKVEVCCFDKTGTLTSDSLVVRGVAGLRDGKEVTPVSSIPVETHRALASCHSLMQLDDGTLVGDPLEKAMLTAVDWTLTKDEKVFPRSIKTQGLKIHQRFHFASALKRMSVLASYEKLGSTDLCYIAAVKGAPETLHSMFSQCPPDYHHIHTEISREGARVLALGYKELGHLTHQQAREVKREALECSLKFVGFIVVSCPLKADSKAVIREIQNASHRVVMITGDNPLTACHVAQELHFIEKAHTLILQPPSEKGRQCEWRSIDGSIVLPLARGSPKALALEYALCLTGDGLAHLQATDPQQLLRLIPHVQVFARVAPKQKEFVITSLKELGYVTLMCGDGTNDVGALKHADVGVALLANAPERVVERRRRPRDSPTLSNSGIRATSRTAKQRSGLPPSEEQPTSQRDRLSQVLRDLEDESTPIVKLGDASIAAPFTSKLSSIQCICHVIKQGRCTLVTTLQMFKILALNALILAYSQSVLYLEGVKFSDFQATLQGLLLAGCFLFISRSKPLKTLSRERPLPNIFNLYTILTVMLQFFVHFLSLVYLYREAQARSPEKQEQFVDLYKEFEPSLVNSTVYIMAMAMQMATFAINYKGPPFMESLPENKPLVWSLAVSLLAIIGLLLGSSPDFNSQFGLVDIPVEFKLVIAQVLLLDFCLALLADRVLQFFLGTPKLKVPS", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAGCAARAPPGSEARLSLATFLLGASVLALPLLTRAGLQGRTGLALYVAGLNALLLLLYRPPRYQIAIRACFLGFVFGCGTLLSFSQSSWSHFGWYMCSLSLFHYSEYLVTAVNNPKSLSLDSFLLNHSLEYTVAALSSWLEFTLENIFWPELKQITWLSVTGLLMVVFGECLRKAAMFTAGSNFNHVVQNEKSDTHTLVTSGVYAWFRHPSYVGWFYWSIGTQVMLCNPICGVSYALTVWRFFRDRTEEEEISLIHFFGEEYLEYKKRVPTGLPFIKGVKVDL", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDDEVYKQRLTALNNLRIVIFFDILIILLGYIGTWNLKTLQLVNPSLWSFSPSLWIYIRGTVLCADCIFTAGSANEYSAVQNSVFQVSSLMFYGLMMEFFGYSFDRVGCMELAFISFSAACYFNIRSIKSLSLKNKNWTIISIIEIPIKLHFILNVLLFLKFSEYMLPLLGRVHLSYHLFALWVINLYIWIKLIDSKDFVLGFLAGLSVLLLNTGSLITAKPLISYFNLLTSCLIIFPSIWIYAIEKKNFKNLSYEDDDYRNYW", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNSLVTQYAAPLFERYPQLHDYLPTLERPFFNISLWEHFDDVVTRVTNGRFVPSEFQFIAGELPLSTLPPVLYAITAYYVIIFGGRFLLSKSKPFKLNGLFQLHNLVLTSLSLTLLLLMVEQLVPIIVQHGLYFAICNIGAWTQPLVTLYYMNYIVKFIEFIDTFFLVLKHKKLTFLHTYHHGATALLCYTQLMGTTSISWVPISLNLGVHVVMYWYYFLAARGIRVWWKEWVTRFQIIQFVLDIGFIYFAVYQKAVHLYFPILPHCGDCVGSTTATFAGCAIISSYLVLFISFYINVYKRKGTKTSRVVKRAHGGVAAKVNEYVNVDLKNVPTPSPSPKPQHRRKR", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MANRLLIYGLILWVSIIGSFALDRNKTAQNAKIGLHDTTVITTGSTTNVQKEHSSPLSTGSLRTHDFRQASKVDIRQADIRENGERKEQDALTQPATPRNPGDSSNSFLSFDEWKKVKSKEHSSGPERHLSRVREPVDPSCYKEKECIGEELEIDLGFLTNKNEWSEREENQKGFNEEKDIEKVYKKKFNYASLDCAATIVKSNPEAIGATSTLIESKDKYLLNPCSAPQQFIVIELCEDILVEEIEIANYEFFSSTFKRFRVSVSDRIPMVKNEWTILGEFEARNSRELQKFQIHNPQIWASYLKIEILSHYEDEFYCPISLIKVYGKSMMDEFKIDQLKAQEDKEQSIGTNNINNLNEQNIQDRCNNIETRLETPNTSNLSDLAGALSCTSKLIPLKFDEFFKVLNASFCPSKQMISSSSSSAVPVIPEESIFKNIMKRLSQLETNSSLTVSYIEEQSKLLSKSFEQLEMAHEAKFSHLVTIFNETMMSNLDLLNNFANQLKDQSLRILEEQKLENDKFTNRHLLHLERLEKEVSFQRRIVYASFFAFVGLISYLLITRELYFEDFEESKNGAIEKADIVQQAIR", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLFWVLGLLILCGFLWTRKGKLKIEDITDKYIFITGCDSGFGNLAARTFDKKGFHVIAACLTESGSTALKAETSERLRTVLLDVTDPENVKRTAQWVKNQVGEKGLWGLINNAGVPGVLAPTDWLTLEDYREPIEVNLFGLISVTLNMLPLVKKAQGRVINVSSVGGRLAIVGGGYTPSKYAVEGFNDSLRRDMKAFGVHVSCIEPGLFKTNLADPVKVIEKKLAIWEQLSPDIKQQYGEGYIEKSLDKLKGNKSYVNMDLSPVVECMDHALTSLFPKTHYAAGKDAKIFWIPLSHMPAALQDFLLLKQKAELANPKAV", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAVESQGGRPLVLGLLLCVLGPVVSHAGKILLIPVDGSHWLSMLGAIQQLQQRGHEIVVLAPDASLYIRDGAFYTLKTYPVPFQREDVKESFVSLGHNVFENDSFLQRVIKTYKKIKKDSAMLLSGCSHLLHNKELMASLAESSFDVMLTDPFLPCSPIVAQYLSLPTVFFLHALPCSLEFEATQCPNPFSYVPRPLSSHSDHMTFLQRVKNMLIAFSQNFLCDVVYSPYATLASEFLQREVTVQDLLSSASVWLFRSDFVKDYPRPIMPNMVFVGGINCLHQNPLSQEFEAYINASGEHGIVVFSLGSMVSEIPEKKAMAIADALGKIPQTVLWRYTGTRPSNLANNTILVKWLPQNDLLGHPMTRAFITHAGSHGVYESICNGVPMVMMPLFGDQMDNAKRMETKGAGVTLNVLEMTSEDLENALKAVINDKRKKQQSGRQM", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEGNRDEAEKCVEIAREALNAGNREKAQRFLQKAEKLYPLPSARALLEIIMKNGSTAGNSPHCRKPSGSGDQSKPNCTKDSTSGSGEGGKGYTKDQVDGVLSINKCKNYYEVLGVTKDAGDEDLKKAYRKLALKFHPDKNHAPGATDAFKKIGNAYAVLSNPEKRKQYDLTGNEEQACNHQNNGRFNFHRGCEADITPEDLFNIFFGGGFPSGSVHSFSNGRAGYSQQHQHRHSGHEREEERGDGGFSVFIQLMPIIVLILVSLLSQLMVSNPPYSLYPRSGTGQTIKMQTENLGVVYYVNKDFKNEYKGMLLQKVEKSVEEDYVTNIRNNCWKERQQKTDMQYAAKVYRDDRLRRKADALSMDNCKELERLTSLYKGG", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRKVSVLLFLAWVCFLFYAGIALFTSGFLLTRLELTNQSSCQELPGPGPLPWGSHGKPGACWMPSRFSRVVLVLIDALRFDFAQPQRSHVPGEPPVSVPFLGKLGSLQRILESQPHHGRLYRSQVDPPTTTMQRLKALTTGSLPTFIDAGSNFASHAIVEDNVIQQLNSAGRRVVFMGDDTWRDLFPGAFSQAFFFSSFNVRDLHTVDNGILEHLYPTLDGGSWDVLIAHFLGVDHCGHKHGPHHPEMAKKLSQMDQVIQGLIERLENDTLLVVAGDHGMTMNGDHGGDSELEVSAALFLYSPTALFPSVPPEEPEVIPQVSLVPTLALLLGLPIPFGNTGEVMAELFSGGSDSSHPHSSALAQVSALHINAQQVSRFLHTYSAATQDLQVKELHRLQTLFSKASARYQHFLRDPQEAEAALSTLTAEFQQFLRGARALCIESWARFSLVRMAGGAALLAAACLLCLLASQLAVAPGFLFRPLLLIPVAWGLTWTILYAGVSVTTGSKIDLVVLGAVAAAGSLLPFLWKAWVSRGSKRPLAPLLPVPRPVLILLLIRLATFFSDSFFVVEARATPFLLGSLVFFLVAQLHWEGQLLPPKPLTMSRLGSSAPTAPPRHSGAHALWLGIGLLLFTRLAGLFHRCPEETPACRSSPWLSPLASMVGGRAKNLWYGACVGALVALLVVVRLWLRRYGNLKSPEPPVLFVRWGMPLMVLGTAAYWALASGAEEAPPRLRALVAGASAVLPRAVMGLAALGLVLLLWRPVTVLVKAGAATSRTRTILTPFSGPPTSQADLDYVVPQIYRHMQEEFQGRLERTKAQGPITVAAYQLGSVYSAAMVTALLLLAFPLMLLHVERVSLVFLLLFLQSFLLLHLLAAGTPVATPGPFTVLWQAVSAWVLLATQTFYSTGHQPVFSAIHWHAAFVGFPDGHGSSTWLPALLVGANTFASHLLFAVGCPLLLLWPFLCERQGPKRRQPLPGSESEARVRPEEEEELQEPLMEVRLRDAPNHFNAALLQLGLKYLFILGAQILACALAASILRRHLMVWKVFAPKFIFEAVGFIVSSVGLLLGIALVMRVDVAVSSWFKKLVLAQQR", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRLRTAIATLCLTAFTSATSNNSYIATDQTQNAFNDTHFCKVDRNDHVSPSCNVTFNELNAINENIRDDLSALLKSDFFKYFRLDLYKQCSFWDANDGLCLNRACSVDVVEDWDTLPEYWQPEILGSFNNDTMKEADDSDDECKFLDQLCQTSKKPVDIEDTINYCDVNDFNGKNAVLIDLTANPERFTGYGGKQAGQIWSTIYQDNCFTIGETGESLAKDAFYRLVSGFHASIGTHLSKEYLNTKTGKWEPNLDLFMARIGNFPDRVTNMYFNYAVVAKALWKIQPYLPEFSFCDLVNKEIKNKMDNVISQLDTKIFNEDLVFANDLSLTLKDEFRSRFKNVTKIMDCVQCDRCRLWGKIQTTGYATALKILFEINDADEFTKQHIVGKLTKYELIALLQTFGRLSESIESVNMFEKMYGKRLNGSENRLSSFFQNNFFNILKEAGKSIRYTIENINSTKEGKKKTNNSQSHVFDDLKMPKAEIVPRPSNGTVNKWKKAWNTEVNNVLEAFRFIYRSYLDLPRNIWELSLMKVYKFWNKFIGVADYVSEETREPISYKLDIQ", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNAYVRDSESVYSESYPPENFISNEPEKSKDKDNFNGEEVISYVGEVETVPAKEENVFRRFINGFKIEKNQQDSAGQGLKRRLKSRHIQMIGIGGAIGTGVWVGSSKSLYRGGAASVLIDYCIVGTMVFCTVYALGELAVAFPTRGSFVTHATRFIDESWGFALSWNYVFSFIVTIPLELTTGTMMIKYWTNLNSGIWVTVFIVFLFFINIFGVKGYGEMEFIMSTIKVVAMCGFIILGIIIDCGGVPTDHRGYMGTHIFRENAFRHKFKGFCAVFTSAAFSFSGTEYVGVAAAETENPAKAFPVAVRQTLFRIAIFYILSLFIVSLLISGADPRLTSYHGVDASPFVLAIKDANIKALPSILNAIILISVISSANAQLYAGSRAIHSLGCNGFAPKCFTLVDREGRPLVALLILFLFMFLGYLVETGQYDTVFDWMLSISGLGTLFCWGSICLAHIRYRAAMKHQNRSLKEVGFVSPFNVYASYYAFILVCLVLAAEFYVSIFPVGGKPDASAFFENYLSAPVILVFFICHKLYYKTKRITLSNMDLETDFAYKTPVEEEEEEEKSAGSLSIKQRMKKLSDMMC", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSLQWTAVATFLYAEVFVVLLLCIPFISPKRWQKIFKSRLVELLVSYGNTFFVVLIVILVLLVIDAVREIRKYDDVTEKVNLQNNPGAMEHFHMKLFRAQRNLYIAGFSLLLSFLLRRLVTLISQQATLLASNEAFKKQAESASEAAKKYMEENDQLKKGAAVDGGKLDVGNAEVKLEEENRSLKADLQKLKDELASTKQKLEKAENQVLAMRKQSEGLTKEYDRLLEEHAKLQAAVDGPMDKKEE", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRKLRCKQMIPKLLPFIFIYLSVANKIMFYCILNERAFKHYKTYRRITDCPEIKNKKSRRKNQRNSSSIGLSNPNKFSIYIYIYFFFYSFLCSPYLFKYISLF", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDIPPLAGKIAALSLSALPVSYALNHVSALSHPLWVALMSALILGLLFVAVYSLSHGEVSYDPLYAVFAVFAFTSVVDLIIALQEDSYVVGFMEFYTKEGEPYLRTAHGVFICYWDGTVHYLLYLAMAGAICRRKRYRNFGLYWLGSFAMSILVFLTGNILGKYSSEIRPAFFLTIPYLLVPCWAGMKVFSQPRALTRCTANMVQEEQRKGLLQRPADLALVIYLILAGFFTLFRGLVVLDCPTDACFVYIYQYEPYLRDPVAYPKVQMLMYMFYVLPFCGLAAYALTFPGCSWLPDWALVFAGGIGQAQFSHMGASMHLRTPFTYRVPEDTWGCFFVCNLLYALGPHLLAYRCLQWPAFFHQPPPSDPLALHKKQH", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPAKKRTRKTVKKTVSFSDDTTLTTHQNREKKNVDHDRPPVYVRKTPLMTFPYHLVALLYYYVFVSSNFNTVKLLSFLIPTQVAYLVLQFNKCTVYGNKIIKINYSLTIICLGVTFLLSFPTMLLTILFGAPLMDLLWETWLLSLHFAFLAYPAVYSVFNCDFKVGLWKKYFIFIVVGGWISCVVIPLDWDRDWQNWPIPIVVGGYLGALVGYTIGAYI", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MENAHTKTVEEVLGHFGVNESTGLSLEQVKKLKERWGSNELPAEEGKTLLELVIEQFEDLLVRILLLAACISFVLAWFEEGEETITAFVEPFVILLILVANAIVGVWQERNAENAIEALKEYEPEMGKVYRQDRKSVQRIKAKDIVPGDIVEIAVGDKVPADIRLTSIKSTTLRVDQSILTGESVSVIKHTDPVPDPRAVNQDKKNMLFSGTNIAAGKAMGVVVATGVNTEIGKIRDEMVATEQERTPLQQKLDEFGEQLSKVISLICIAVWIINIGHFNDPVHGGSWIRGAIYYFKIAVALAVAAIPEGLPAVITTCLALGTRRMAKKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQMSVCRMFILDKVEGDTCSLNEFSITGSTYAPIGEVQKDDKPVKCHQYDGLVELATICALCNDSALDYNEAKGVYEKVGEATETALTCLVEKMNVFDTELKGLSKIERANACNSVIKQLMKKEFTLEFSRDRKSMSVYCTPNKPSRTSMSKMFVKGAPEGVIDRCTHIRVGSTKVPMTPGVKQKIMSVIREWGSGSDTLRCLALATHDNPLKREEMHLEDSANFIKYETNLTFVGCVGMLDPPRIEVASSVKLCRQAGIRVIMITGDNKGTAVAICRRIGIFGQDEDVTSKAFTGREFDELSPSAQRDACLNARCFARVEPSHKSKIVEFLQSFDEITAMTGDGVNDAPALKKSEIGIAMGSGTAVAKTASEMVLADDNFSTIVAAVEEGRAIYNNMKQFIRYLISSNVGEVVCIFLTAALGFPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMNKPPRNPKEPLISGWLFFRYLAIGCYVGAATVGAAAWWFIAADGGPRVSFYQLSHFLQCKEDNPDFDGVDCAIFESPYPMTMALSVLVTIEMCNALNSLSENQSLLRMPPWENIWLVGSICLSMSLHFLILYVEPLPLIFQITPLNLTQWLMVLKISLPVILMDETLKFVARNYLEQPGKECVQPATKSSCSLSACTDGISWPFVLLIMPLVVWVYSTDTNFSDMFWS", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MFEIKLNDRITEFLRKFKNSAKSNEGIDEDIDLFLKRHAIPMQSLLFYVKEYRKDSDLQCSIKELLKPLEFEFKPKAVRGLHYSEDFKKKLEFLKYQEQELEYQSMVKRSKSVFSLQEDDELTPSQINKQIKEQVTTVFNVLVSVISVVVAIWYWTGSSTNFPVHVRLLLCLFFGILVLVADVVVYNSYLKKLEEAKVKEKTKVEKKKVLSKITL", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAATAYEHLKLHITPEKFYVEACDDGADDVLIIDRVSTEVTLAVKKDVPPSAVTRPIYGIMGTIHLVAGNYLVVITKKMKVGEFFNHVIWKATDFDVLSYKKTMLHLTDIQLQDNKTFLAMLNHVLSTDGFYFSTTYDLTHTLQRLSNTSPEFQEMSLLERADQRFVWNGHLLRELSAQPEVHRFALPVLHGFITMHSCSINGKYFDWILISRRSCFRAGVRYYVRGIDSEGHAANFVETEQIVHYSGNRASFVQTRGSIPVFWSQRPNLKYKPDPQINKVANHMDGFQRHFDSQVIIYGKQVIINLVNHKGSEKPLEQTFAKMVSSLGSGMIRYIAFDFHKECKNMRWDRLSILLDQVAEMQDELSYFLVDSAGKVVTNQEGVFRSNCMDCLDRTNVIQSLLARRSLQAQLQRLGVLHVGQKLEEQDEFEKIYKNAWADNANACAKQYAGTGALKTDFTRTGKRTQLGLVMDGFNSLLRYYKNNFSDGFRQDSIDLFLGNYSVDELDSHSPLSVPRDWKFLALPIIMVVAFSMCIICLLMAGDTWTETLAYVLFWGVASIGTFFIILYNGKDFVDAPRLVQKEKID", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSLAADNVLLVEEGRPATAEHPSAGPVYRCKYAKDGLLDLPTDIDSPWQFFSEAVKKYPNEQMLGQRVTTDSKVGPYTWITYKEAHDAAIRIGSAIRSRGVDPGHCCGIYGANCPEWIIAMEACMSQGITYVPLYDSLGVNAVEFIINHAEVSLVFVQEKTVSSILSCQKGCSSNLKTIVSFGEVSSTQKEEAKNQCVSLFSWNEFSLMGNLDEANLPRKRKTDICTIMYTSGTTGEPKGVILNNAAISVQVLSIDKMLEVTDRSCDTSDVFFSYLPLAHCYDQVMEIYFLSRGSSVGYWRGDIRYLMDDVQALKPTVFCGVPRVYDKLYAGIMQKISASGLIRKKLFDFAYNYKLGNMRKGFSQEEASPRLDRLMFDKIKEALGGRAHMLLSGAAPLPRHVEEFLRIIPASNLSQGYGLTESCGGSFTTLAGVFSMVGTVGVPMPTVEARLVSVPEMGYDAFSADVPRGEICLRGNSMFSGYHKRQDLTDQVLIDGWFHTGDIGEWQEDGSMKIIDRKKNIFKLSQGEYVAVENLENTYSRCPLIAQIWVYGNSFESFLVGVVVPDRKAIEDWAKLNYQSPNDFESLCQNLKAQKYFLDELNSTAKQYQLKGFEMLKAIHLEPNPFDIERDLITPTFKLKRPQLLQHYKGIVDQLYSEAKRSMA", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEGADLAVKLLSTWLTLVGGLILLPSAFGLSLGISEIYMKILVKTLEWATLRIQKGAPKESALKNSASVGIIQRDESPMEKGLSGLRGRDFELSDVFYFSKKGLEAIVEDEVTQRFSSEELVSWNLLTRTNVNFQYISPRLTMVWVLGVLVRYCFLLPLRVTLAFIGISLLIIGTTLVGQLPDSSLKNWLSELVHLTCCRICVRSLSGTIHYHNKQYRPQKGGICVANHTSPIDVLILATDGCYAMVGQVHGGLMGIIQRAMVKACPHVWFERSEIKDRHLVTKRLKEHIADKKKLPILIFPEGTCINNTSVMMFKKGSFEIGGTIYPVAIKYNPQFGDAFWNSSKYNLVSYLLRIMTSWAIVCDVWYMPPMTREEGEDAVQFANRVKSAIAVQGGLTELPWDGGLKRAKVKDTFKEEQQKNYSKMIVGNGSPNLARD", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MATKLNVNIRTLLANCEELAKSEQNFWRLQKFIKSLDTMLAELEAMGDPQSVKRIPGYLERLQALKISTGYADVPGSTTKTPSQSSAVSETGENALKEIRQLQNSKYHNELRKELLQDSDALRRRRGADESSSSSGSANVQETSGENMNQAAKYYTNAQEKITEHMLSLTRNLKEQTETANRIIRRDTEVVSRSAGMADRNINSLGKEAEKLEQHSKKAYKCWLWLMIAFVIATFIGMVLFMKIMKKKKS", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTELDYQGTAEAASTSYSRNQTDLKPFPSAGSASSSIKTTEPVKDHRRRRSSSIISHVEPETFEDENDQQLLPNMNATWVDQRGAWIIHVVIIILLKLFYNLFPGVTTEWSWTLTNMTYVIGSYVMFHLIKGTPFDFNGGAYDNLTMWEQIDDETLYTPSRKFLISVPIALFLVSTHYAHYDLKLFSWNCFLTTFGAVVPKLPVTHRLRISIPGITGRAQIS", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNSMSEYVKPRKNEFLRKFENFYFEIPFLSKLPPKVSVPIFSLISVNIVVWIVAAIVISLVNRSLFLSVLLSWTLGLRHALDADHITAIDNLTRRLLSTDKPMSTVGTWFSIGHSTVVLITCIVVAATSSKFADRWNNFQTIGGIIGTSVSMGLLLLLAIGNTVLLVRLSYWLWMYRKSGVTKDEGVTGFLARKMQRLFRLVDSPWKIYVLGFVFGLGFDTSTEVSLLGIATLQALKGTSIWAILLFPIVFLVGMCLVDTTDGALMYYAYSYSSGETNPYFSRLYYSIILTFVSVIAAFTIGIIQMLMLIISVHPMESTFWNGLNRLSDNYEIVGGCICGAFVLAGLFGISMHNYFKKKFTPPVQVGNDREDEVLEKNKELENVSKNSISVQISESEKVSYDTVDSKV", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGRKDAATIKLPVDQYRKQIGKQDYKKTKPILRATKLKAEAKKTAIGIKEVGLVLAAILALLLAFYAFFYLRLTTDVDPDLDQDED", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTSSLDDIEPTAYNNMEADEEYCRRNDIHDLSSVVGDAVSQGVPDMDGQTTDSSKDPEPNSEDKKAFPPSSGSFFSPNLQGQRKKVLLKFVFTNCLLAIICFTMFVLFWGALYDTSKYLHKVKLLVVIQEPPVVILDNNSSMVVPSISYALPTFINKIPCDWDIYNSPTFQAKFDVNTPQQVNDKVVDLVYDEKYWFAINIKPNATETLFESLINDTAPLFNSTLFNQVVYETGRDPTNLKSTILPVAQTIEEYYHTFYTLNYLPPLLTNITQVYRYALTNNARYIAAAGKYNYEYYDHRPFTDRILLAPTQIGVVYCLLLTFFQFLLYGPLHVEMAKVLRPANGLIYRIAMSWFTFFFASLFFCTTTAIFQVDFTKSFGRGGFVVYWMSTWLFMLAAGGANENAVMLVITLGPQYLGFWILSFVILNIAPSFFPLALNNNVYRYGYMMPVHNVIDIYRVIFFDVTRRKMGRNYGILVALIALNTALLPFVGKYASRKLKQKALVAAKQS", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASTFSQSVFARSLYEDSAENKVDSSKNTEANFPITLPKVLPTDPKASSLHKPQEQQPNIIPSKEEDKKPVINSMKLPSIPAPGTDNINESHIPRGYWKHPAVDKIAKRLHDQAPSDRTWSRMVSNLFAFISIQFLNRYLPNTTAVKVVSWILQALLLFNLLESVWQFVRPQPTFDDLQLTPLQRKLMGLPEGGSTSGKHLTPPRYRPNFSPSRKAENVKSPVRSTTWA", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRYHGICWFIFQAAIIFAIFGSCQGAFRHQFKTAEQDGFARRNRDLAKFQKENLNWNGLFQLNSISYNSGVVSGVFEQQSENGENQHLFPFSISFLKNDVVRFQMDEKSRLEGTVEYEKNILTKRRFDASTELGFNERAEVYGKDAHLLEQTSTSLTIRYGSHGRFTVIVTFSPFKVEFQRDGEPQVVLNERHLLNMEYYRPKSSRTPEQEANGMWDETFDNFHDSKPKGPESVGLDIKFVDYGNVYGVPEHTSSLSLKETNNSDAGYTEPYRLYNVDLFEYEVDSPMSQYGAIPFMQAHKPNSDVAVFWSNAAATWIDVEKESGPSPHSQSTSTHWYSESGTLDLFIFLGPKASDVYESYSALVGRPLLPPLFSIGYHQCRWNYVSEEDVLNVDAKFDEVDMPYDTIWLDIEYASKRRYFTWDKATFPNPKAMLEKLDSKSRKLIVILDPHIKNDPNYFVSKELIDYNYAVKDKSGVDNYNADCWPGNSVWVDFFNPEAQAWWGSLYEFDRFESDKNLWIWNDMNEPSVFRGPETSMHRDAIHYGGWEHRDIHNIYGHKCINGTYNGLIKRGEGAVRPFILTRSFFAGTSALAANWIGDTMTTWEHLRGSIPTVLTNGISGMAFSGADVAGFFGNPDAELFVRWYETAIFYPFFRAHAHIDTKRREPWLYGEPYTSLVRELLRIRYRLLPTWYTAFYNSHTHGFPILYPQFLMHPEDEEGFAIDDQFYVGDSGLLVKPVTHPSIDKITIYLADDEVYFDLHDHTEYAGKGHQVVPAPLGRVPVLLRGGNILITRERIRRAAELTRNDPFTLTIAVSKIGKNASGFLYLDDGVTFNYKKGEYLIRHFSYENGILTMKDSHSNPPVSPKYSSSQKHLKVERINIYGEQTRKSIKIRKIIDSEVTEWDVSVDDSGCIRNPQLFLV", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEVHGSGFRRILLLALCISGIWSAYIYQGVLQETLSTKRFGPDEKRFEHLAFLNLAQSVVCLIWSYIMIKLWSNAGNGGAPWWTYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYTFPEYMCTFLVAGGVSIFALLKTSSKTISKLAHPNAPLGYALCSLNLAFDGFTNATQDSIASRYPKTEAWDIMLGMNLWGTIYNMIYMFGLPQGIGFKAIQFCKLHPEAAWDILKYCICGAVGQNFIFMTISNFGSLANTTITTTRKFVSIVVSSVMSGNPLSLKQWGCVSMVFGGLAYQIYLKWKKLQRVEKKKQKS", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLLTSLLQVFACCLVLPAQVTAFYYYTSGAERKCFHKELSKGTLFQATYKAQIYDDQLQNYRDAGAQDFGVLIDIEETFDDNHLVVHQKGSASGDLTFLASDSGEHKICIQPEAGGWLIKAKTKIDVEFQVGSDEKLDSKGKATIDILHAKVNVLNSKIGEIRREQKLMRDREATFRDASEAVNSRAMWWIVIQLIVLAVTCGWQMKHLGKFFVKQKIL", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MELSLTTSIALATIVLILYKLATRPKSNKKRLPEASRLPIIGHMHHLIGTMPHRGVMELARKHGSLMHLQLGEVSTIVVSSPKWAKEILTTYDITFANRPETLTGEIIAYHNTDIVLAPYGEYWRQLRKLCTLELLSVKKVKSFQSIREEECWNLVKEVKESGSGKPISLSESIFKMIATILSRAAFGKGIKDQREFTEIVKEILRQTGGFDVADIFPSKKFLHHLSGKRARLTSIHKKLDTLINNIVAEHHVSTSSKANETLLDVLLRLKDSAEFPLTADNVKAIILDMFGAGTDTSSATVEWAISELIRCPRAMEKVQAELRQALNGKEQIHEEDIQDLPYLNLVIRETLRLHPPLPLVMPRECREPVNLAGYEIANKTKLIVNVFAINRDPEYWKDAEAFIPERFENNPNNIMGADYEYLPFGAGRRMCPGAALGLANVQLPLANILYHFNWKLPNGASHDQLDMTESFGATVQRKTELILVPSF", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAFMKKYLLPILGLFMAYYYYSANEEFRPEMLQGKKVIVTGASKGIGREMAYHLAKMGAHVVVTARSKETLQKVVSHCLELGAASAHYIAGTMEDMTFAEQFVAQAGKLMGGLDMLILNHITNTSLNLFHDDIHHVRKSMEVNFLSYVVLTVAALPMLKQSNGSIVVVSSLAGKVAYPMVAAYSASKFALDGFFSSIRKEYSVSRVNVSITLCVLGLIDTETAMKAVSGIVHMQAAPKEECALEIIKGGALRQEEVYYDSSLWTTLLIRNPCRKILEFLYSTSYNMDRFINK", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKHYEVEILDAKTREKLCFLDKVEPHATIAEIKNLFTKTHPQWYPARQSLRLDPKGKSLKDEDVLQKLPVGTTATLYFRDLGAQISWVTVFLTEYAGPLFIYLLFYFRVPFIYGHKYDFTSSRHTVVHLACICHSFHYIKRLLETLFVHRFSHGTMPLRNIFKNCTYYWGFAAWMAYYINHPLYTPPTYGAQQVKLALAIFVICQLGNFSIHMALRDLRPAGSKTRKIPYPTKNPFTWLFLLVSCPNYTYEVGSWIGFAIMTQCLPVALFSLVGFTQMTIWAKGKHRSYLKEFRDYPPLRMPIIPFLL", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGLKLWVKQRRSLPFIIGTIAIALFTDLFLYGIITPILPFSLVDRVGISPDRVQSVISTLLAVYAVANIAASSPIGFLADKFLTRKVPMLIGLIFLTSATALLTFGNSVPMLIVARVLQGLSAAVVWTVGLALLVDVVGADNVGSTMGGIFGFISLGEIIAPVFGGIVYESLGYYASFGVCFIILLLDIALRFLMIEPREMKNDSTLQDVERTSILQRQDTQDHELKPKRSGLFSSLCLPIYSLLHHKQIFGPFWTSFVNSCLFSAFDATIPLELKTLFDFNSLQCGLMFGVLSTPYFFCGAWAGAMVDRRGSRTIGKRAYAILGCTLFLLCIPRTNTSLNIYLFSAFLAINGVVLAFTSSPGFVQSSHYVAEYELEHPTFFGHNGPYTQLFSAYNIVYSLGMIIGPLVAGFLRDQFNFITSIACLSLLCFSASLMANSCFTDRLDSE", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MALDAFFFIVSLFLLFPSPSASESTTQFCSAGRENGVGSCGVSSTRILIKGGTVVNAHHQELADVYVENGIIVAVQPNIKVGDEVTVLDATGKFVMPGGIDPHTHLAMEFMGTETIDDFFSGQAAALAGGTTMHIDFVIPVNGNLVAGFEAYENKSRESCMDYGFHMAITKWDEGVSRDMEMLVKEKGINSFKFFLAYKGSLMVTDDLLLEGLKRCKSLGALAMVHAENGDAVFEGQKRMIELGITGPEGHALSRPPVLEGEATARAIRLARFINTPLYVVHVMSVDAMDEIAKARKSGQKVIGEPVVSGLILDDHWLWDPDFTIASKYVMSPPIRPVGHGKALQDALSTGILQLVGTDHCTFNSTQKALGLDDFRRIPNGVNGLEERMHLIWDTMVESGQLSATDYVRITSTECARIFNIYPRKGAILAGSDADIIILNPNSSYEISSKSHHSRSDTNVYEGRRGKGKVEVTIAGGRIVWENEELKVVPRSGKYIEMPPFSYLFDGIEKSDANYLSSLRAPVKRVRTEAT", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDPILVLVFTLSCLFLLSLWRQSSERGKLPPGPTPLPIIGNILQINVKDICQSFTNLSKVYGPVYTLYLGRKPTVVLHGYEAVKEALVDHGEEFAGRGRLPVFDKATNGMGIIFSKGNVWKNTRRFSLTTLRNLGMGKRSIEDRVQEEARCLVEELRKTNGSPCDPTFILGCAPCNVICSIIFQDRFDYKDRDFLNLMEKLNEITKIMSTPWLQVCNTFPVLLDYCPGSHNKVFKNYACIKNFLLEKIKEHEESLDVTIPRDFIDYFLINGGQENGNYPLKNRLEHLAITVTDLFSAGTETTSTTLRYALLLLLKYPHVTAKVQEEIEHVIGKHRRPCMQDRSHMPYTDAMIHEVQRFIDLVPNSLPHEVTCDIKFRNYFIPKGTNVITSLSSVLRDSKEFPNPEKFDPGHFLDENGKFKKSDYFMPFSTGKRICAGEGLARMELFLFLTSILQNFNLKPLVHPKDIDVTPMLIGLASVPPAFQLCFIPS", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTLASTMPIIYSASDAAKWKVAVSPYLYQLSNLKLLFTGKLNFADFYYNTNPFVVGLLLSFILGNVLWGVSVWTKNTSQVDRLWPILPTAFSLHFLFYGLGYNIASRRLMIMAFLQTLWSARLTYNYYRKGGYNRGAEDYRWVRVRQIMPKWIYPLFHYFYIHIFQVLHLYLLASPTYIAMLAGNERAFGAWDWIALELFMFMFVLEMLADQQQWDYYEARNHYNVDKTVPPRFKYDLLSLGRGFNATGLFRWSRHPNFLAEQLIWLSFYLFGAIASESLLNWTIFAWLGLVGVFQGSTRLTEKMSCEKYPLYRVYQDKVGRFFPRLDGSHWDIVDDDASLKED", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSPDGELVPVDSSAVVTAKRKTSQLSRSWISIDATGQKTVLDVDKHVIMHRVQIHARDLRILDPNLFYPSAILGRERAIVLNLEHIKAIITAEEVLIRDSSDENVIPVLEEFQRRLPVGNEAHGVHGDGDLGEEDESPFEFRALEVALEAICSFLAARTTELEKFAYPALDELTLKISSRNLERVRKLKSAMTRLTARVQKVRDELEQLLDDDGDMADLYLTRKLVGASSSVSVSDEPIWYPTSPTIGSMISRASRVSLVTVRGDDETDVEELEMLLEAYFMQIDSTLNKLTELREYIDDTEDYINIQLDNHRNQLIQLELMLSAGTVCVSVYSMIAGIFGMNIPNTWNHDHGYIFKWVVSLTGTFCIVLFVIILSYARFRGLIGS", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVSLTTIEQSPVKCETTTEKESNDTRGTDSNENAETKETKKGFPFHDLAKLQKQYKNKSSRNESLVALIYLLGSMLSFCLLIFFTDFYLIPLFPTTTTMTDYIVFNFYLLNVFVFCMVHFIYHFVKNISLQQHLEHWQKFSYLSNINLLISSQITILYYLFYDYVFFFKIFTLLMNFIGLVAYFFILTDKLISSKRFNKTVFFISVSVVCCSLPLLTAIITFDGLENLKERIKVNAITWELVALVAASIIYVTRFPESLFRRNKKEEGWNHSEYLFHLLISGTAFYHFFILIQSYILMHSSLNQPELINFKS", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRRREPGRDVKRAAEEEFIELERRTDTYEDAVLNEDPQMKGFRFPSILSFPVPNKTIETGVTDENPFSARKSAFFTNRLIGREESDSSSSREDSPLGSTETGESCSTTDDEESKEKRIEYRDSQTQRCLGFCFRQLFFSRMWVFILQFIASYYAGDRFRTDGFNLVDKLIEPGQSVFGDVVVRRGLMGLRRWDAQQFLFIAEHHYIFEHSLAFFAGFPETVNYVRVGVNNGMESVFGWTFPPWVTITLAAVFVNLFCFLLCGMTLYQVVLIMTRSVKISLLAVSIFAFNPASIFFSSAYSESMFFTMTLTGFVFMLFGLRGKGFWHRMLKGFTGTICFGLTFAVRSNGLLNFLYVAWIWCGTLLWDEEMPIPDCHKLISTLAATKNERYKQEWQAKFWRFQQKRKQNRKVFRWTDPNFSRCVTLFIVIVCAISATLLFFTPYVFMTNFTADEFCKPQDSHKQAVETIAKTVRLSPKTVSVKNAWEKTTWCKKPKLFGIIARYYGEIQTKYWSVKFFGYWKIKKIPCFLMMLPAAILTVLAIKSSWNDVFLNKRWNNIWVLTARSDHSLPMAIHSSVLLFVAIFYINSEVFTRIIFSSSPFIYIYIATYIDKLTQGTIAGNRLWQYFESPGILPFFVFRRVWQDGWRGKLLYIYILGYFVFGTMAHSAWLPFT", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTLREGLPLFHQQFTALFKKNLLLSWRNKRATCLHLFSSFFFILLIFSIEESSKASDLTSTRHKNVTDPKALVSLPILPCEDKFFVRLPCFDFVWSGNQSRRVTDIVSAIMANNPGRPIPTNKVQSFTKPEEVDAWFMSHPSQVTGALHFVEKNATVISYGIQTNSSSEKKRGRREDPTFKFLVPLQIAAEREIARSLIGDPKFSWDFGFKEFARPAIGGEVIISAFYLMGPVFFLAFSMFGFVLQLGSVVTEKELKLREAMTTMGVYESAYWLSWLIWEGILTFVSSLFLVLFGMMFQFEFFLKNSFVLVFLLFFLFQFNMIGLAFALSSIISKSSSATTVGFLVFLVGFITQIVTTAGFPYSSAYSIGSRVIWSLFPPNTFSAGLQLLLEATSSPGDSGISWSERAICAGGESTCVITTNKIYIWLVGTFFFWFVLALYFDNIIPNASGVRKSIFYFLKPSYWTGKEGNKVEEGSICSCIGSVPPVEHITPEDEDVLEEEILVKQQAMDGRVDPNIAVQIHGLAKTYPGTTKLGCCKCTKTSPFHAVKGLWMNIAKDQLFCLLGPNGAGKTTTISCLTGINPVTGGDAKIYGNSIRSSVGMSNIRKMIGVCPQFDILWDALSSEEHLHLFASIKGLPPSSIKSIAEKLLVDVKLTGSAKIRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIQESKKGRAIILTTHSMEEADILSDRIGIMAKGRLRCIGTSIRLKSRFGTGFVATVSFIENKKDGAPEPLKRFFKERLKVEPTEENKAFMTFVIPHDKEQLLKGFFAELQDRESEFGIADIQLGLATLEEVFLNIARRAELESATVEGTMVTLELESGIAVEIPVGARFVGIPGTENAENPRGLMVEVYWQQDGSGSMCISGHSAEMRIPENVSVIYEPSSQVLGHGQRRVRGIVIDYESNN", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSPGPSQPPAEDPPWPARLLRAPLGLLRLDPSGGALLLCGLVALLGWSWLRRRRARGIPPGPTPWPLVGNFGHVLLPPFLRRRSWLSSRTRAAGIDPSVIGPQVLLAHLARVYGSIFSFFIGHYLVVVLSDFHSVREALVQQAEVFSDRPRVPLISIVTKEKGVVFAHYGPVWRQQRKFSHSTLRHFGLGKLSLEPKIIEEFKYVKAEMQKHGEDPFCPFSIISNAVSNIICSLCFGQRFDYTNSEFKKMLGFMSRGLEICLNSQVLLVNICPWLYYLPFGPFKELRQIEKDITSFLKKIIKDHQESLDRENPQDFIDMYLLHMEEERKNNSNSSFDEEYLFYIIGDLFIAGTDTTTNSLLWCLLYMSLNPDVQEKVHEEIERVIGANRAPSLTDKAQMPYTEATIMEVQRLTVVVPLAIPHMTSENTVLQGYTIPKGTLILPNLWSVHRDPAIWEKPEDFYPNRFLDDQGQLIKKETFIPFGIGKRVCMGEQLAKMELFLMFVSLMQSFAFALPEDSKKPLLTGRFGLTLAPHPFNITISRR", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAATVRRQRPRRLLCWALVAVLLADLLALSDTLAVMSVDLGSESMKVAIVKPGVPMEIVLNKESRRKTPVTVTLKENERFLGDSAAGMAIKNPKATLRYFQHLLGKQADNPHVALYRSRFPEHELIVDPQRQTVRFQISPQLQFSPEEVLGMVLNYSRSLAEDFAEQPIKDAVITVPAFFNQAERRAVLQAARMAGLKVLQLINDNTATALSYGVFRRKDINSTAQNVMFYDMGSGSTVCTIVTYQTVKTKEAGMQPQLQIRGVGFDRTLGGLEMELRLREHLAKLFNEQRKGQKAKDVRENPRAMAKLLREANRLKTVLSANADHMAQIEGLMDDVDFKAKVTRVEFEELCADLFDRVPGPVQQALQSAEMSLDQIEQVILVGGATRVPKVQEVLLKAVGKEELGKNINADEAAAMGAVYQAAALSKAFKVKPFVVRDAVIYPILVEFTREVEEEPGLRSLKHNKRVLFSRMGPYPQRKVITFNRYSHDFNFHINYGDLGFLGPEDLRVFGSQNLTTVKLKGVGESFKKYPDYESKGIKAHFNLDESGVLSLDRVESVFETLVEDSPEEESTLTKLGNTISSLFGGGTSSDAKENGTDAVQEEEESPAEGSKDEPAEQGELKEEAEPPAEETSQPPPSEPKGDAAREGEKPDEKESGDKPEAQKPNEKGQAGPEGAAPAPEEDKKPKPARKQKMVEEIGVELAVLDLPDLPEDELARSVQKLEELTLRDLEKQEREKAANSLEAFIFETQDKLYQPEYQEVSTEEQREEISGKLSATSTWLEDEGFGATTVMLKDKLAELRKLCQGLFFRVEERRKWPERLSALDNLLNHSSIFLKGARLIPEMDQVFTEVEMTTLEKVINDTWAWKNATLAEQAKLPATEKPVLLSKDIEAKMMALDREVQYLLNKAKFTKPRPRPKDKNGTRAEPPLNASAGDQEEKVIPPAGQTEEAKPILEPDKEETGTEPADSEPLELGGPGAGPEQEEQSAGQKRPSKNDEL", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVVGTGTSLALSSLLSLLLFAGMQMYSRQLASTEWLTIQGGLLGSGLFVFSLTAFNNLENLVFGKGFQAKIFPEILLCLLLALFASGLIHRVCVTTCFIFSMVGLYYINKISSTLYQAAAPVLTPAKVTGKSKKRN", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MALNLKALLGMLFVFIGCCSNVVFLELIIQIDPGAGNLITFAQFLFIALEGLVFTSKFFTVRPKIALKDYVILVALFFGANVCNNYAFNFNIPMPLHMIFRSGSLMANMIMGIVLLKKRYNLRQYSSVAMITAGIILCTLVSSGDVKDNTHHSLKVDTSYSDFFWWTVGIGLLTIALLVTAYMGIYQEVIYKKYGKHPSEALFFTHMLPLPGFLIMAGNIVQHFGIAWSSEPVAVPLLGAIGLEWKFPLMLFYLLCNVVTQYVCISAVYVLTTECASLTVTLVVTLRKFVSLLFSIIYFRNPFTLNHWVGTILVFFGTILFANVINQVRDAYRARSSRKTHFDTAPLAKKVE", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEKSISSISKASMNSDEKLDKKEWDQQLPIDFGEGEDVTTEVYILDHKAERRLCRKFDFRILPLLALLYLFNALDKSNVSNAKTNGMDKDLGFVGDQYNIMISIFYIPFVLCAFPFSYLYKRFGAARILPFFMLSFGAMSLCQAAVKNFGGMMAVRWFLGMAESAVLPGVVYYLTTFYRRTELARRLAIFYAAANVSSAFGGLLAYGVFHIKGGKLQGWQYLFLIEGGVTFLCAIVIFLVLPVSVETANFLTDEEKTLAKMRIENDSSSAISEKLSFKQSLTVFKHPIAILWLLEEMALGVPLNSINNWLPQIVAAMGFSSVNTNLMTVAPAISGAIWLLVFAFISDFLKNRGIVLIAAISTTMIGFIVYGSIDIMNHIGVSYFACFLMTAGAAASSVLTSTWYNNNTPNESRRAVFTSVGVPLANVMGLVSANIFRPQDAPKYVPALGITAGFGGLGILLVASISVYMFFDNRRRDNAQGVKKTFADVSTKDLGEGPANPNFRWFL", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPAHILQEISGAYSATTTITAPPSGGQQNGGEKFEKSSHHWGADVRPELKDDLYDPTYQDDEGPPPKLEYVWRNIILMALLHLGALYGITLVPSCKLYTCLFAYLYYVISALGITAGAHRLWSHRTYKARLPLRLFLIIANTMAFQNDVYEWARDHRAHHKFSETHADPHNSRRGFFFSHVGWLLVRKHPAVKEKGGKLDMSDLKAEKLVMFQRRYYKPGLLLMCFVLPTLVPWYCWGETFVNSLCVSTFLRYAVVLNATWLVNSAAHLYGYRPYDKNISSRENILVSMGAVGEGFHNYHHAFPYDYSASEYRWHINFTTFFIDCMALLGLAYDRKRVSRAAVLARIKRTGDGSCKSG", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTPDQNALVLSFLLTVGGLIGYLRKKSKVSLIAGTALGANFAWASKLMERGSSQGINYAFYGSLVLLASSGPRFYKSRKPVPMILTVLGVISTWYFYRLWA", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAAVAATAAAKGNGGGGGRAGAGDASGTRKKKGPGPLATAYLVIYNVVMTAGWLVIAVGLVRAYLAKGSYHSLYYSIEKPLKFFQTGALLEILHCAIGIVPSSVVLTSFQVMSRVFLIWAVTHSVKEVQSEDSVLLFVIAWTITEIIRYSFYTFSLLNHLPYLIKWARYTLFIVLYPMGVSGELLTIYAALPFVRQAGLYSISLPNKYNFSFDYYAFLILIMISYIPIFPQLYFHMIHQRRKILSHTEEHKKFE", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKKLLLIFLFSLVILQTACGFDYDDKEIESEEGLSTLYDRWRSHHSVPRSLNEREKRFNVFRHNVMHVHNTNKKNRSYKLKLNKFADLTINEFKNAYTGSNIKHHRMLQGPKRGSKQFMYDHENLSKLPSSVDWRKKGAVTEIKNQGKCGSCWAFSTVAAVEGINKIKTNKLVSLSEQELVDCDTKQNEGCNGGLMEIAFEFIKKNGGITTEDSYPYEGIDGKCDASKDNGVLVTIDGHEDVPENDENALLKAVANQPVSVAIDAGSSDFQFYSEGVFTGSCGTELNHGVAAVGYGSERGKKYWIVRNSWGAEWGEGGYIKIEREIDEPEGRCGIAMEASYPIKLSSSNPTPKDGDVKDEL", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTIWNVAALTGLLSVGLGAYGSHGLQKRVQDPHLLKSWSTACTYLMFHSLATMAVSLHPVYGKSRWTGPLLITGSCLFSGTIYGLCLLPKGHSLRRILGPLTPIGGLVMLTGWATMLV", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAQPLAFILDVPETPGDQGQGPSPYDESEVHDSFQQLIQEQSQCTAQEGLELQQREREVTGSSQQTLWRPEGTQSTATLRILASMPSRTIGRSRGAIISQYYNRTVQLRCRSSRPLLGNFVRSAWPSLRLYDLELDPTALEEEEKQSLLVKELQSLAVAQRDHMLRGMPLSLAEKRSLREKSRTPRGKWRGQPGSGGVCSCCGRLRYACVLALHSLGLALLSALQALMPWRYALKRIGGQFGSSVLSYFLFLKTLLAFNALLLLLLVAFIMGPQVAFPPALPGPAPVCTGLELLTGAGCFTHTVMYYGHYSNATLNQPCGSPLDGSQCTPRVGGLPYNMPLAYLSTVGVSFFITCITLVYSMAHSFGESYRVGSTSGIHAITVFCSWDYKVTQKRASRLQQDNIRTRLKELLAEWQLRHSPRSVCGRLRQAAVLGLVWLLCLGTALGCAVAVHVFSEFMIQSPEAAGQEAVLLVLPLVVGLLNLGAPYLCRVLAALEPHDSPVLEVYVAICRNLILKLAILGTLCYHWLGRRVGVLQGQCWEDFVGQELYRFLVMDFVLMLLDTLFGELVWRIISEKKLKRRRKPEFDIARNVLELIYGQTLTWLGVLFSPLLPAVQIIKLLLVFYVKKTSLLANCQAPRRPWLASHMSTVFLTLLCFPAFLGAAVFLCYAVWQVKPSSTCGPFRTLDTMYEAGRVWVRHLEAAGPRVSWLPWVHRYLMENTFFVFLVSALLLAVIYLNIQVVRGQRKVICLLKEQISNEGEDKIFLINKLHSIYERKEREERSRVGTTEEAAAPPALLTDEQDA", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAYQSLRLEYLQIPPVSRAYTTACVLTTAAVQLELITPFQLYFNPELIFKHFQIWRLITNFLFFGPVGFNFLFNMIFLYRYCRMLEEGSFRGRTADFVFMFLFGGFLMTLFGLFVSLVFLGQAFTIMLVYVWSRRNPYVRMNFFGLLNFQAPFLPWVLMGFSLLLGNSIIVDLLGIAVGHIYFFLEDVFPNQPGGIRILKTPSILKAIFDTPDEDPNYNPLPEERPGGFAWGEGQRLGG", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEICTYFKSQPTWLLILFVLGSISIFKFIFTLLRSFYIYFLRPSKNLRRYGSWAIITGPTDGIGKAFAFQLAQKGLNLILVARNPDKLKDVSDSIRSKYSQTQILTVVMDFSGDIDEGVKRIKESIEGLDVGILINNAGMSYPYAKYFHEVDEELINNLIKINVEGTTKVTQAVLPNMLKRKKGAIINMGSGAAALIPSYPFYSVYAGAKTYVDQFTKCLHVEYKKSGIDVQCQVPLYVATKMTKIRRASFLVASPEGYAKAALRFVGYEAQCTPYWPHALMGAVVSALPESVFESFNIKRCLQIRKKGLQKDSMKKE", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MANFFVRLWESVFEPGTSPQLIIATHVSFVALLLTLIWLIYATNGNIHFYALFCISLLLWITVIWFINELSHVKLKDNDELDKDANKKDDSAIKEDSEDKQESGKSTSTARRTQAQSRSRKA", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MARHGLPLLPLLSLLVGAWLKLGNGQATSMVQLQGGRFLMGTNSPDSRDGDGPVREATVKPFAIDIFPVTNKDFRDFVREKKYRTEAEMFGWSFVFEDFVSDELRNKATQPMKSVLWWLPVEKAFWRQPAGPGSGIRERLEHPVLHVSWNDARAYCAWRGKRLPTEEEWEFAARGGLKGQVYPWGNWFQPNRTNLWQGKFPKGDKAEDGFHGVSPVNAFPAQNNYGLYDLLGNVWEWTASPYQAAEQDMRVLRGASWIDTADGSANHRARVTTRMGNTPDSASDNLGFRCAADAGRPPGEL", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEVLIGDPITTCLSPSVYDIICNLGFQLRENCDINSIVTQNGEVCWKTITDCVSYTESEQGLDYWGSVRLLGPVCEAVHSHFLSLTKGQFEIRYAPWFQWTSFPELFPEIFDALESLQSPAISLSLMKLTSCLERALGDVFLLIGKECPFLLRDLLSSEELAQVFSQSVMNVLKVFVGSPCGLNLRNVLWHGFASPEEIPPKYCSMMILLTAGLGQLLKSYLQNTKLTLAHRSFISLTNLEDLIVFPDVTYEVLSVLEEVMMKSAFILKIMLPYWEVALVKFKSHRFADCAILLLTQLETGLRNVFATLNRCPKRLLTAESTALYTTFDQILAKHLNDGKINQLPLFLGEPAMEFLWDFLNHQEGPRIRDHLSHGEINLHEFSKETTNQLLAFSLVLLLRFVDDCLLSVFKEKSAVELLISLAEGYSSRCHPVFQLKKQVLSCEESIRVWALLPFPEELTRQAVRLEDNSETNACHSLITKMTDELYHHMPENRCVLKDLDRLPTETWPQLLRELCSTPVPTLFCPRIVLEVLVVLRSISEQCRRVSSQVTVASELRHRQWVERTLRSRQRQNYLRMWSSIRLLSPVLSLILLLIALELVNIHAVCGKNAHEYQQYLKFVKSILQYTENLVAYTSYEKNKWNETINLTHTALLKMWTFSEKKQMLIHLAKKSTSKVLL", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MQNAQIKSSSKGSGIDGTDRNSKDGVEKRPLEDVKQMIDAGTPDVGHKSTVETKPNVGWQASHSNLAALHEKEQKYEMEHHHARHKLHRQVIPDYTSASTAMFSDCMFNAAPDKVRSLSTMKSSGLSPKHPFNVVATFKGPFPQHSVESKPLDGGYSAKDHFPSFKMLQAQQHPAHRHYKDNDKYGLKSPSRSFVKDKKRLVHRFLKSMEPSSSGQSKDSSALAPAFDPILPNVISKPSKRPTHHSHSSDGSSSTQTDISLQSLLYHDLESSPKKHVSPSRPPSVASESSPAVANPIGLSPKDACNASFSQSSSSSLSSSSSSSSSTSFSQSVAVDPLEPPGNITYSSSNLSLNSDELDYYQRHIGLQLQQTEALLKHSLKDEVLKDENDLVKNIANFDKIVKELRDLRSRTIGWKELVEEDYLMNLKQDFDKENPESFEARLSDTINTNVAKLQDLEKRMASCKDRLASRKEVMRKMESLLSLENSLMISKKNVTFASKYRNEALDIVFLIIIIVICYTFKHLVSHK", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKLGHREQQFYLWYFIVHIPITIFIDSSVVIPAKWQLGIAQKVVSDHIAKQHDFLLSEKPEWLYWFVVLELVLQLPLFVYFVNKFWNSSELQVNTNSRLKKWLRIYGWNASLTTLICIVVIFKRGYIPYDVLKTSLSMTQKCQLASVYLPTFLIPLRLCFV", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MARLPAGIRFIISFSRDQWYRAFIFILTFLLYASFHLSRKPISIVKGELHKYCTAWDEADVRFSSQNRKSGSAAPHQLPDNETDCGWAPFDKNNYQQLLGALDYSFLCAYAVGMYLSGIIGERLPIRYYLTFGMLASGAFTALFGLGYFYNIHSFGFYVVTQVINGLVQTTGWPSVVTCLGNWFGKGRRGLIMGVWNSHTSVGNILGSLIAGYWVSTCWGLSFVVPGAIVAAMGIVCFLFLIEHPNDVRCSSTLVTHSKGYENGTNRLRLQKQILKSEKNKPLDPEMQCLLLSDGKGSIHPNHVVILPGDGGSGTAAISFTGALKIPGVIEFSLCLLFAKLVSYTFLFWLPLYITNVDHLDAKKAGELSTLFDVGGIFGGILAGVISDRLEKRASTCGLMLLLAAPTLYIFSTVSKMGLEATIAMLLLSGALVSGPYTLITTAVSADLGTHKSLKGNAHALSTVTAIIDGTGSVGAALGPLLAGLLSPSGWSNVFYMLMFADACALLFLIRLIHKELSCPGSATGDQVPFKEQ", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVPYQELTLQRSFSYNSRKINPVTSPARSSHVRSPSSSALIPSIPEHELFLVPCRRCSYVPLSSSSSASHNIGKFHLKFSLLLRSFINIINIPACKMLSLPSPPSSSSSVSNQLISLVTGGSSSLGRRVTGTLYGHKRGHVTFSVQYNQRSDPVLLLDLAMSTATLVKEMSSGLVRIALECEKRHRSGTKLFQEPKWTMYCNGRKCGYAVSRGGACTDTDWRVLNTVSRVTVGAGVIPTPKTIDDVSGVGSGTELGELLYMRGKFERVVGSRDSEAFYMMNPDKNGGPELSIFLLRI", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSSSIPPRLYDMSPTESKKQEDVSETELVYPVELADATIQPSKSDDDLFDSNDFSKTYLAKSRILSNAMNEIGFGRYQWYLFFVAGFGWMSDNIWPVCTSLILMRLDEVDGPHPPAEGRAPYLTLSQNLGLLVGAMVWSLSADTIGRRWAFNLTFLFTGVFAVIAGASPNFASICVFDALWSFGVGGNLPVDSAIFLEALPSSHQWLLTVMSFWWAIGQVIANLVSWGLISNFSCPDDESVCHRADNKGWRYFLFTMGGMTLLMFAARFLVSVYESPKFYLAKGDDYKAVETIHKIARINGKTCTLTVEELYAIDRQEQEESDLDDSKSSDAKSVTQGTTNLIVEKLRKYNFEHIRQCFGSRKLAISSILVILSWAVIGLAFPLYNAFLPYYLETRGNANEPLSVAKTYRNSLIVSAIGVPGSLIAGVLVEFRIGRKGTLCLSLILTGVFLFASTTAKTSNAYLGWNCTFSFFSDIMYGVLYAYTPEVFPSKVRGTAVGLAASANRILGIFSPVIAMRANLTTSAPIFVSGALFIFAGILVVFFPYEPRGKSSF", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGSTKHWGEWLLNLKVAPAGVFGVAFLARVALVFYGVFQDRTLHVRYTDIDYQVFTDAARFVTEGRSPYLRATYRYTPLLGWLLTPNIYLSELFGKFLFISCDLLTAFLLYRLLLLKGLGRRQACGYCVFWLLNPLPMAVSSRGNADSIVASLVLMVLYLIKKRLVACAAVFYGFAVHMKIYPVTYILPITLHLLPDRDNDKSLRQFRYTFQACLYELLKRLCNRAVLLFVAVAGLTFFALSFGFYYEYGWEFLEHTYFYHLTRRDIRHNFSPYFYMLYLTAESKWSFSLGIAAFLPQLILLSAVSFAYYRDLVFCCFLHTSIFVTFNKVCTSQYFLWYLCLLPLVMPLVRMPWKRAVVLLMLWFIGQAMWLAPAYVLEFQGKNTFLFIWLAGLFFLLINCSILIQIISHYKEEPLTERIKYD", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVSDMLGGNKRWILFGLLSFLLNCVLVSCSVEDIEKAANDSFLWGPYRPNLYVGIRPKIPDSLMTGLMWSNVDDYARFSKMRHSAEHGDDIGAFGWKHYDVRRGGQQVIDDFLMGIKLETDFVKLPEGNWALRVHGIPLPGAPTDLTTSLFFYAYVEGEGKVGTKVNHANHVYMEGKTPDLGKFRIQTFNRLGEHPVSPASVDLESMVMDKDFFAGFNVKKEGAWRTSELILYLLDTKMKVISDKEGYESLKDLPPAYSTLTLPNLPSEEGLQFIQKVFKGEFMFDIVFNYASSKKISEEMISQAIDKNLQEFEEKFQATFPLKAPYDTEKAHQIFAHTAFSNLFGNVGFFTGDSIVSKNPIELDDEDYEFMQGFESAAGKLAEGTAFHDIERSLFTIVPSRPHFPRGFYWDEGFHLLPVGLWDNDFSLEILKSWFSLVNEDGWVGREQILGEEARSKVPDEFQTQYPDIANPPTLILALKGYIERLQEQQGKLNNRFSGEGEDYSLDDLEYLRSVSISNPEKSVQFLRDLFPLLLRHYEWFRETQKGDFETWERECFSQVEGYRWRGRTYQHCLASGLDDYPRAQPPSTAELHVDLLSWMTSFTRSLHFVAEFLGETEEAEKLAGYENAMLRNLEDNHWDEEVQAYCDSSVDEYDDPINVCHKGYVTLLPMMLGLLPADSGRLTSLLKLIRDENELWSPYGIRSLSMNDVYFGTGENYWRGPIWINMNYLILSSLYQNYINTPGPNQNLARSIYEELRTNVVNNVFENWRQTGIFWEQYDPTTGKGQRTKDFTGWTSLVVNIMSENY", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTEIFSDTSIRQLSQMLLSLIFFHISEYILAITIHGASNVTLSSLLITKHYALAMLLSLLEYLTEIILFPGLKQHWWVSNFGLIMIIVGEIIRKAAIITAGRSFTHLIKINYEEHHGLVTHGVYRLMRHPSYCGFLIWSVGTQVMLCNPVSAVAFAVVVWRFFAQRIPYEEYFLNQFFGVQYLEYAESVASGVPFVN", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MESQKQEDNEYIFRSLYPSVPIPDKLTLPEFVLQGVEEYTENVAFVEAVTGKAVTYGDVVRDTKRLAKALTSLGLRKGQVMVVVLPNVAEYGIIALGIMSAGGVFSGANPTALVSEIKKQVEASGARGIITDATNYEKVKSLGLPVIVLGEEKIEGAVNWKDLLEAGDKCGDTDNEEILQTDLCALPFSSGTTGLQKGVMLTHRNLIANLCSTLFGVRSEMIGQIVTLGLIPFFHIYGIVGICCATMKNKGKVVAMSRYDLRIFLNALIAHEVSFAPIVPPIILNLVKNPIVDEFDLSKLKLQSVMTAAAPLAPELLTAFEAKFPNVQVQEAYGLTEHSCITLTHGDPEKGQGIAKRNSVGFILPNLEVKFIDPDTGRSLPKNTSGELCVRSQCVMQGYFMNKEETDKTIDEQGWLHTGDIGYIDDDGDIFIVDRIKELIKYKGFQVAPAELEAILLTHPSVEDVAVVPLPDEEAGEIPAACVVINPKATEKEEDILNFVAANVAHYKKVRAVHFVDSIPKSLSGKIMRRLLRDKILSINKK", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVAPGSVGSRLGAVFPFLLVLVDLQYEGAECGVNADVEKHLELGKKLLAAGQLADALSQFHAAVDGDPDNYIAYYRRATVFLAMGKSKAALPDLTKVIALKMDFTAARLQRGHLLLKQGKLDEAEDDFKKVLKSNPSEQEEKEAESQLVKADEMQRLRSQALDAFDGADYTAAITFLDKILEVCVWDAELRELRAECFIKEGEPRKAISDLKAASKLKSDNTEAFYKISTLYYQLGDHELSLSEVRECLKLDQDHKRCFAHYKQVKKLNKLIESAEELIRDGRYTDATSKYESVMKTEPSVAEYTVRSKERICHCFSKDEKPVEAIRICSEVLQMEPDNVNALKDRAEAYLIEEMYDEAIQDYEAAQEHNENDQQIREGLEKAQRLLKQSQKRDYYKILGVKRNAKKQEIIKAYRKLALQWHPDNFQNEEEKKKAEKKFIDIAAAKEVLSDPEMRKKFDDGEDPLDAESQQGGGGNPFHRSWNSWQGFNPFSSGGPFRFKFHFN", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKVGRFLVIIAFCFYVLYLEKIIHTRPHKKCDWRSWEQWESTGNPVRIALVADPQLVDDLTYDYPRPLIGIVKWISDQFLRRHWRYLHKSLKPDITFIMGDLMDTGREFATEEFKKDYFRMMNVLDPKFTNKLEIYPGNHDIGFGNHAIVKDIQRFESLFGPTSRSIDVGNHTLVIVDGIRLSNNVNPQVYQPARDFLKSFETNKDNSRPRILLSHVPLFRPAINSCGELREKDDVIKYGLGYQYQNLLLPELSESILKAVEPIAAFAGDDHDYCEVVHNYQVDTREAATTEYNVKAFSMTSGILYPGYQLLSLNYPYDNPKADQKSSYQTKLCILPNQIQIYVWYGASISIFFALILLRTAIFFFGTDRYSLPLYKTHARRFSLSTTIHLFKKIVRITLSTFISYTWIPFLLFIFLNIFII", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MHFAKLGAIGLLGSIICAYAASAASKVIIDNDGLTDLQVLFALQAKQQILGVTAIYGDYTLDDSLFLASDVLSTGNLTYCIPSFAGAAQPLLRTNNTFQIWQELYGSYVWQGYWQPEYETANTNNESYIYNTQISAAQFIIDMVKANPNEITIVAAGPMTNLAIALSIWPDLAKNTKSLVIMGGYVDSQIAQVTGGDFLNDMYSDFNLFMEPEAAQTAITADWPELIIAGNITSQVYPSQSLYNGIIARAGGMANIESDSGLSYAKQFVGNGTLPSGSFPFWDEVASAIAAWPEIVNSSYDAYVSVDTAYDSPFYGSLRMVPADLVPKKGVRTAKASMITGINVAMFYQKIYDSLTAEYSSYCMNGTIITPSNITISNTTNTTNTTGFY", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKSFVWTLLGALSLGSLTTAYGANASNSSVPTPDNTLVVSYTNTSCYTSGPLSPDTRFNRTTRGTFSKVRDALKFRLNGPIHHWDIANELFDTALGVEIIDTQYGINNRTSRDWCTAVSALEKGDLIEFAAAFTAFDDVNPTKEVVPDALVGTLALWAKYSYEYDLTSIVSLFGKNWTVDELGWGTYIAHSLNEISSNTTGAANATLFIDTQTKSDCYKLASTIESWKYAPLSAFPNYGPFYIYGQCVATFTSGYSPLVEPAFTFASALNTTLNSFPNGTLPTQTQVVGDIGVKFLQYFA", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRATFHLFTFIFLLLFSSVICISTPGFRNDHESIGDDEEKTSSTILVSTIDGRLRALDSETGEIKWTLQEEPVLRSPSAVKQGFTFLPNPLDGSLYVLKNSSLKKLPFNIPQLVHASPCKGNDGILYAGSKKDVWFGIDPKTGLKVETLSSASADRICPANQKQTIFLGRTEYRVSMFDEKNRGKTWNATFNDYSAHLLPEVNTWPFKHYASSSHGYILTFDRETGEMRWEQDLKQPVVALYLLRDDGLHKLPFEVMGKETMENVAKNIFTVDQWPTVLGVNAADPQTTSLTNQFFPALFVGESSFGLYAIEALVDHQTITYSPKLLGPPLLEGPAPIALTEMEKEEYLPPRRPIIRNIPPSITHKTSDGEYLLLGYHDRPMMTMATIIPTRYPVPGPHKAIGSTIERPPPQLLGPVEPQKHEDTSFILLLLNNHPIPFYATLVTMFALLLTVIWQCGRQWDQQKSTSRMDSFEIVNNPGESRSAQTSKQSNRGSFGWANRKIEIPEGWMAVGSKLMYSPSDILGTGCEGTVVYRGTFDGREVAVKRVVSEFVKFAHREADLLRESDTHPHVIRYFCMESDSQFRYLALELCIASLNDYVEQKEVQQNVTIALRDIMKQATDGLAHLHASKIVHRDMKPQNVLITMASQRGEMRAVISDFGLCKRVQPGKNSISRGIASGLAGTDGWIAPEVLISASTSYPVDIFSLGCIFYYVLTSGTHPFGKSLHRQANIVNGEYTLNKLADLDDWSLADDLISSMLNVEPLHRLTADAVLNHPFFWTSEKRLAYFSDVSDRVEKEEDNSPVVRRIETDARIVVCGGWREKICDALKEDLRKFRTYKSFSVRDLLRAMRNKKHHYRELPEDVRQSLGDIPDQFLHYFTSRFPRLLLHVYKATEYCSGEAVFKRYYSDDVRARMYPIVEEEERVRKKIKEEMANEVWARAPKPVEQRTPLKLDKRNIKKKSNPNTD", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEHLERCEWLLRGTLVRAAVRRYLPWALVASMLAGSLLKELSPLPESYLSNKRNVLNVYFVKVAWAWTFCLLLPFIALTNYHLTGKAGLVLRRLSTLLVGTAIWYICTSIFSNIEHYTGSCYQSPALEGVRKEHQSKQQCHQEGGFWHGFDISGHSFLLTFCALMIVEEMSVLHEVKTDRSHCLHTAITTLVVALGILTFIWVLMFLCTAVYFHNLSQKVFGTLFGLLSWYGTYGFWYPKAFSPGLPPQSCSLNLKQDSYKK", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKNPEAQQDVSVSQGFRMLFYTMKPSETSFQTLEEVPDYVKKATPFFISLMLLELVVSWILKGKPPGRLDDALTSISAGVLSRLPSLFFRSIELTSYIYIWENYRLFNLPWDSPWTWYSAFLGVDFGYYWFHRMAHEVNIMWAGHQTHHSSEDYNLSTALRQSVLQIYTSWIFYSPLALFIPPSVYAVHLQFNLLYQFWIHTEVINNLGPLELILNTPSHHRVHHGRNRYCIDKNYAGVLIIWDKIFGTFEAENEKVVYGLTHPINTFEPIKVQFHHLFSIWTTFWATPGFFNKFSVIFKGPGWGPGKPRLGLSEEIPEVTGKEVPFSSSSSQLLKIYTVVQFALMLAFYEETFADTAALSQVTLLLRVCFIILTLTSIGFLLDQRPKAAIMETLRCLMFLMLYRFGHLKPLVPSLSSAFEIVFSICIAFWGVRSMKQLTSHPWK", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAAPALGLVCGRCPELGLVLLLLLLSLLCGAAGSQEAGTGAGAGSLAGSCGCGTPQRPGAHGSSAAAHRYSREANAPGPVPGERQLAHSKMVPIPAGVFTMGTDDPQIKQDGEAPARRVTIDAFYMDAYEVSNTEFEKFVNSTGYLTEAEKFGDSFVFEGMLSEQVKTNIQQAVAAAPWWLPVKGANWRHPEGPDSTILHRPDHPVLHVSWNDAVAYCTWAGKRLPTEAEWEYSCRGGLHNRLFPWGNKLQPKGQHYANIWQGEFPVTNTGEDGFQGTAPVDAFPPNGYGLYNIVGNAWEWTSDWWTVHHSVEETLNPKGPPSGKDRVKKGGSYMCHRSYCYRYRCAARSQNTPDSSASNLGFRCAADRLPTMD", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRVRIGLTLLLCAVLLSLASASSDEEGSQDESLDSKTTLTSDESVKDHTTAGRVVAGQIFLDSEESELESSIQEEEDSLKSQEGESVTEDISFLESPNPENKDYEEPKKVRKPALTAIEGTAHGEPCHFPFLFLDKEYDECTSDGREDGRLWCATTYDYKADEKWGFCETEEEAAKRRQMQEAEMMYQTGMKILNGSNKKSQKREAYRYLQKAASMNHTKALERVSYALLFGDYLPQNIQAAREMFEKLTEEGSPKGQTALGFLYASGLGVNSSQAKALVYYTFGALGGNLIAHMVLGYRYWAGIGVLQSCESALTHYRLVANHVASDISLTGGSVVQRIRLPDEVENPGMNSGMLEEDLIQYYQFLAEKGDVQAQVGLGQLHLHGGRGVEQNHQRAFDYFNLAANAGNSHAMAFLGKMYSEGSDIVPQSNETALHYFKKAADMGNPVGQSGLGMAYLYGRGVQVNYDLALKYFQKAAEQGWVDGQLQLGSMYYNGIGVKRDYKQALKYFNLASQGGHILAFYNLAQMHASGTGVMRSCHTAVELFKNVCERGRWSERLMTAYNSYKDGDYNAAVIQYLLLAEQGYEVAQSNAAFILDQREASIVGENETYPRALLHWNRAASQGYTVARIKLGDYHFYGFGTDVDYETAFIHYRLASEQQHSAQAMFNLGYMHEKGLGIKQDIHLAKRFYDMAAEASPDAQVPVFLALCKLGVVYFLQYIRETNIRDMFTQLDMDQLLGPEWDLYLMTIIALLLGTVIAYRQRQHQDMPAPRPPGPRPAPPQQEGPPEQQPPQ", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSFVDLEQGRHKIEQNGDFPALASSIAQEIHALRGNTAAIHRYLVNNLTKNLHEVLEQSRELSQKVRSDLVRLANIKDTKYGEEASSFALSKLTRDFNTVLAELQRVQQKCAQQESDSVAAAQAALNQDVGQHFIEEEERNVSLSNNSSGQRQPLTESKISNSQLEYQQRLINERQGEIENLTQGINELNEIFRDLSTIINEQGELVTNIEYNVGNTSTNTKNASRQLQIANEHSRKARKRSFCFLVILVVILGVILTALIMG", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEPQILFLYLSLFILSLNFFFTNLKPRLVRLFQPSLESRVKTALLSRKEVAAFLDSPIVEDEEGEEREEEEEGGIVSNANFTFEFDPYMMSKAESVNKALEEAIPVGEPLKIHEAMRYAILAAGKRVRPILCLASCELVGGQENAAMPAACAVEMIHTMSLIKDDLPCMDNDDLRRGKPTTHKVYGEGVAILSGGALLSLAFEHMTTAEISSERMVWAVRELARSIGTRGLVAGQAMDISSEGLDLNEVGLEHLEFIHVHKTAVLLETAAVLGAIIGGGSDEEIESVRKFARCIGLLFQVVDDILDETKSSEELGKTAGKDQLAGKLTYPKLIGLEKSKEFVKRLTKDARQHLQGFSSEKVAPLVALTTFIANRNK", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEAAHSKSTEECLAYFGVSETTGLTPDQVKRHLEKYGHNELPAEEGKSLWELVIEQFEDLLVRILLLAACISFVLAWFEEGEETITAFVEPFVILLILIANAIVGVWQERNAENAIEALKEYEPEMGKVYRADRKSVQRIKARDIVPGDIVEVAVGDKVPADIRILSIKSTTLRVDQSILTGESVSVIKHTEPVPDPRAVNQDKKNMLFSGTNIAAGKALGIVATTGVSTEIGKIRDQMAATEQDKTPLQQKLDEFGEQLSKVISLICVAVWLINIGHFNDPVHGGSWIRGAIYYFKIAVALAVAAIPEGLPAVITTCLALGTRRMAKKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQMSVCKMFIIDKVDGDFCSLNEFSITGSTYAPEGEVLKNDKPIRSGQFDGLVELATICALCNDSSLDFNETKGVYEKVGEATETALTTLVEKMNVFNTEVRNLSKVERANACNSVIRQLMKKEFTLEFSRDRKSMSVYCSPAKSSRAAVGNKMFVKGAPEGVIDRCNYVRVGTTRVPMTGPVKEKILSVIKEWGTGRDTLRCLALATRDTPPKREEMVLDDSSRFMEYETDLTFVGVVGMLDPPRKEVMGSIQLCRDAGIRVIMITGDNKGTAIAICRRIGIFGENEEVADRAYTGREFDDLPLAEQREACRRACCFARVEPSHKSKIVEYLQSYDEITAMTGDGVNDAPALKKAEIGIAMGSGTAVAKTASEMVLADDNFSTIVAAVEEGRAIYNNMKQFIRYLISSNVGEVVCIFLTAALGLPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMDRPPRSPKEPLISGWLFFRYMAIGGYVGAATVGAAAWWFMYAEDGPGVTYHQLTHFMQCTEDHPHFEGLDCEIFEAPEPMTMALSVLVTIEMCNALNSLSENQSLMRMPPWVNIWLLGSICLSMSLHFLILYVDPLPMIFKLKALDLTQWLMVLKISLPVIGLDEILKFIARNYLEDPEDERRK", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MACLGFLLPVGFLLLISTVAGGKYGVAHVVSENWSKDYCILFSSDYITLPRDLHHAPLLPLYDGTKAPWCPGEDSPHQAQLRSPSQRPLRQTTAMVMRGNCSFHTKGWLAQGQGAHGLLIVSRVSDQQCSDTTLAPQDPRQPLADLTIPVAMLHYADMLDILSHTRGEAVVRVAMYAPPEPIIDYNMLVIFILAVGTVAAGGYWAGLTEANRLQRRRARRGGGSGGHHQLQEAAAAEGAQKEDNEDIPVDFTPAMTGVVVTLSCSLMLLLYFFYDHFVYVTIGIFGLGAGIGLYSCLSPLVCRLSLRQYQRPPHSLWASLPLPLLLLASLCATVIIFWVAYRNEDRWAWLLQDTLGISYCLFVLHRVRLPTLKNCSSFLLALLAFDVFFVFVTPFFTKTGESIMAQVALGPAESSSHERLPMVLKVPRLRVSALTLCSQPFSILGFGDIVVPGFLVAYCCRFDVQVCSRQIYFVACTVAYAVGLLVTFMAMVLMQMGQPALLYLVSSTLLTSLAVAACRQELSLFWTGQGRAKMCGLGCAPSAGSRQKQEGAADAHTASTLERGTSRGAGDLDSNPGEDTTEIVTISENEATNPEDRSDSSEGWSDAHLDPNELPFIPPGASEELMPLMPMAMLIPLMPLMPPPSELGHVHAQAQAHETGLPWAGLHKRKGLKVRKSMSTQAPL", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLRGARSHLSASVALAAVLAAALLSSFARCSLPGRGDPVASVLSPYFGTKTRYEDVNPWLLGDPVAPRRDPELLAGTCTPVQLVALIRHGTRYPTTKQIRKLRQLQGLLQTRESVDGGSRVAAALDQWPLWYDDWMDGQLVEKGRQDMRQLALRLAALFPDLFCRENYGRLRLITSSKHRCVDSSAAFLQGLWQHYHPGLPPPDVSDMECDPPRVNDKLMRFFDHCEKFLTEVERNATALYHVEAFKTGPEMQTVLKKVAATLQVPVNNLNADLIQVAFFTCSFDLAIQGVHSPWCDVFDVDDAKVLEYLNDLKQYWKRSYGYAINSRSSCNLFQDIFLHLDKAVEQKQRSQPVSSSVILQFGHAETLLPLLSLMGYFKDKEPLTAYNFEEQVHREFRSGHIVPYASNLIFVLYHCEDAQTPQEKFQIQMLLNEKVLPLAHSQKTVALYEDLKNHYQDILQSCQTSKECNLPKVNITSDEL", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLPGWELTLCLLVSLGFHFRSFYEVYKVSREHEEELDQEFELEMDTLFGGLKKDPTDFEWNFWMEWGKRRLVWLFIGHMAVSQLATLLTKKHRPWIVMVYGMWACWCVLGAPGVVMVLLHSTIAFCVAQFRSVLLSWLCSLLLLSTLRLQSVEEVKRRWYKTENEYYLLQFTLTVRCLYYTSFSLELCRQPPSAQPTPSAQGASHSYPWLLTYVFYYPVFHNGPILNFPEFFRQMQQPELNSLQHSLCIVAKGLGRLLCWWWLAELMVHLMYMHALYSSAPLLESVSCWTLGGLALAQVLFFYVKYLVLFGVPALLMRLDGLTPPPLPRCVSTMFSFTGMWRYFDVGLHNFLIRYVYIPLGGSQHGLLGTLLSTATTFAFVSYWHGSYEDLWCWAALNWLGVTVESGVRRLLETPCVRETLARHLSPQAHHRLHALLAACSTSMLILFNLVFLGGIQVGKTYWNRIFLQGWPWVTLSVLGFLYCYSHVDIAWAQTYTVL", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGKLIRRTSLTSKIINLPIDYFIYVCEQFDSVEWDKVSDRYSIPFSLAVNFIFLLMRIYIKSTHVPVQRNQLFVDKQSINTSRSWFRAFLSFLSICFLFISFLNFIFSTRFQNKLYRTLPQDKRTTTSTPNVKPVFQHSNNDDGDEQVFELKVWSPNQFLLNFACLFSPAHALILWFYSTSLRVTLLTFLLSFTTLHFVNKFSLLLKDQQYLHRQVFFEYDKKFVEPRLSVVKRDVAINTTRGPTTASIEYFTPRKPIDTFLEHRSSSHDHLTSTPRTPIALQRRSVHHLHDSGISRDSSSPFKRFPHLSDGSSRF", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRLGSGTFATCCVAIEVLGIAVFLRGFFPAPVRSSARAEHGAEPPAPEPSAGASSNWTTLPPPLFSKVVIVLIDALRDDFVFGSKGVKFMPYTTYLVEKGASHSFVAEAKPPTVTMPRIKALMTGSLPGFVDVIRNLNSPALLEDSVIRQAKAAGKRIVFYGDETWVKLFPKHFVEYDGTTSFFVSDYTEVDNNVTRHLDKVLKRGDWDILILHYLGLDHIGHISGPNSPLIGQKLSEMDSVLMKIHTSLQSKERETPLPNLLVLCGDHGMSETGSHGASSTEEVNTPLILISSAFERKPGDIRHPKHVQQTDVAATLAIALGLPIPKDSVGSLLFPVVEGRPMREQLRFLHLNTVQLSKLLQENVPSYEKDPGFEQFKMSERLHGNWIRLYLEEKHSEVLFNLGSKVLRQYLDALKTLSLSLSAQVAQYDIYSMMVGTVVVLEVLTLLLLSVPQALRRKAELEVPLSSPGFSLLFYLVILVLSAVHVIVCTSAESSCYFCGLSWLAAGGVMVLASALLCVIVSVLTNVLVGGNTPRKNPMHPSSRWSELDLLILLGTAGHVLSLGASSFVEEEHQTWYFLVNTLCLALSQETYRNYFLGDDGEPPCGLCVEQGHDGATAAWQDGPGCDVLERDKGHGSPSTSEVLRGREKWMVLASPWLILACCRLLRSLNQTGVQWAHRPDLGHWLTSSDHKAELSVLAALSLLVVFVLVQRGCSPVSKAALALGLLGVYCYRAAIGSVRFPWRPDSKDISKGIIEARFVYVFVLGILFTGTKDLLKSQVIAADFKLKTVGLWEIYSGLVLLAALLFRPHNLPVLAFSLLIQTLMTKFIWKPLRHDAAEITVMHYWFGQAFFYFQGNSNNIATVDISAGFVGLDTYVEIPAVLLTAFGTYAGPVLWASHLVHFLSSETRSGSALSHACFCYALICSIPVFTYIVLVTSLRYHLFIWSVFSPKLLYEGMHLLITAAVCVFFTAMDQTRLTQS", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNIRSFLLISIFTAISYLVVDGATPRTFAPSASVSINYGTLSTVFPSLYRRASTSSSSSSSSISTSHDSQPSTSSSSPSSTSTSSSSGTSVITASDVSASNEIISSSTNNSIHQQVSVVTEYVTIQPTTYVTTIFQYTSLASTIAAQSGIASLVPQTYTPYGGVKALIGILVGVVVGSVFLLAIVMVIARIWGPRLLANKDQNNNNEDLDSNLVSKDSEGTPQITYASNF", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MQTRLVLLLLALTPLVLAKKFKEEEKPAWAKKDIRDYSEADLERLLDQWEEDEEPLEDDELPEHLRPQPKLDLSNLDSKSPEDLLKVSKKGRTLMTFVSVTGNPTREESDTITKLWQTSLWNNHIQAERYMVDDNRAIFLFKDGTQAWDAKDFLIEQERCKGVTIENKEYPGVNAKKDEL", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEAQNIFLYLLIVFLSLHFVFTTLKGRLSPANTRRLIRLLHIPIKSPVAAAIFARKDTREFLDSSIKLVNEEDDFGFSFDFKPYMISKAETINRALDEAIPLIEPLNIHKAMRYAILAGGKRVRPILCLAACELVGGEERLAIQAACAVEMIHTMSLIKDDLPCMDNDDLRRGKPTTHKVFGESVAILSGGALLALAFEHLTEADVSSKKMVRAVKELAKSIGTKGLVAGQAKDLSSEGLEQNDVGLEDLEYIHVHKTGSLLEASAVIGAVIGGGTEKEIEKVRNFARCIGLLFQVVDDILDETKSSEELGKTAGKDKVAGKLTYPKVIGVEKSKEFVEKLKRDAREHLQGFDSDKVKPLIALTNFIANRNH", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MFSFVQRFQNVSNQAFSMGIVMVVFIMASSYYQLINNNAFSVPSNIDNVKTLINVRTSRYFGSQRGKAKENMKIKFDLNTDLTPLFNWNTKQVFVYLTAEYNSTEKITSEVTFWDKIIKSKDDAVIDVNDLRSKYSIWDIEDGKFEGKDLVFKLHWNVQPWVGLLTYGETVGNYTLTVENKNKV", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKITCTDLVYVFILLFLNTSCVQAVFSDDAFITDWQLANLGPWEKVIPDSRDRNRVLILSNPTETSCLVSSFNVSSGQILFRNVLPFTIDEIQLDSNDHNAMVCVNSSSNHWQKYDLHDWFLLEEGVDNAPSTTILPQSSYLNDQVSIKNNELHILDEQSKLAEWKLELPQGFNKVEYFHREDPLALVLNVNDTQYMGFSANGTELIPVWQRDEWLTNVVDYAVLDVFDSRDVELNKDMKAELDSNSLWNAYWLRLTTNWNRLINLLKENQFSPGRVFTKLLALDAKDTTVSDLKFGFAKILIVLTHDGFIGGLDMVNKGQLIWKLDLEIDQGVKMFWTDKNHDELVVFSHDGHYLTIEVTKDQPIIKSRSPLSERKTVDSVIRLNEHDHQYLIKFEDKDHLLFKLNPGKNTDVPIVANNHSSSHIFVTEHDTNGIYGYIIENDTVKQTWKKAVNSKEKMVAYSKRETTNLNTLGITLGDKSVLYKYLYPNLAAYLIANEEHHTITFNLIDTITGEILITQEHKDSPDFRFPMDIVFGEYWVVYSYFSSEPVPEQKLVVVELYESLTPDERLSNSSDNFSYDPLTGHINKPQFQTKQFIFPEIIKTMSISKTTDDITTKAIVMELENGQITYIPKLLLNARGKPAEEMAKDKKKEFMATPYTPVIPINDNFIITHFRNLLPGSDSQLISIPTNLESTSIICDLGLDVFCTRITPSGQFDLMSPTFEKGKLLITIFVLLVITYFIRPSVSNKKLKSQWLIK", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLYRLSEKQQTDLRCNKLTVDGFELVKMLKELRLHNRNINFLEFLRGVQIVPSDSVFLGEIDENSHTQDNTTTSILKEKELYDGIPLLPSMAGVSMDPEREKKSELRLMKNQISAIINILFTVVGTVTAVWYCTSSLSIEKKIALCAFSAILVLVADTFLYVRYLSAQPVRTSKNHTRQIIYTWTTNDPVLQSNEQLAIELGAIPSLKEKKNQ", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MQVLVTLWCLICTCLVLPVAAKKRTLTASSLVTCMENSQLSANSFDVSFSPDDRSLHYDLDMTTQIDSYIYAYVDVYAYGFKIITENFDVCSMGWKQFCPVHPGNIQIDSIEYIAQKYVKMIPGIAYQVPDIDAYVRLNIYNNVSENLACIQVFFSNGKTVSQIGVKWVTAVIAGIGLLTSAVLSTFGNSTAASHISANTMSLFLYFQSVAVVAMQHVDSVPPIAAAWSENLAWSMGLIRITFMQKIFRWYVEATGGSASLYLTATTMSVLTQRGLDYLKNTSVYKRAENVLYGNSNTLIFRGIKRMGYRMKIENTAIVCTGFTFFVLCGYFLAGFIMACKYSIELCIRCGWMRSDRFYQFRKNWRSVLKGSLLRYIYIGFTQLTILSFWEFTERDSAGVIVIACLFIVLSCGLMAWAAYRTIFFASKSVEMYNNPAALLYGDEYVLNKYGFFYTMFNAKHYWWNALLTTYILVKALFVGFAQASGKTQALAIFIIDLAYFVAIIRYKPYLDRPTNIVNIFICTVTLVNSFLFMFFSNLFNQKYAVSAIMGWVFFIMNAAFSLLLLLMILAFTTIILFSKNPDSRFKPAKDDRASFQKHAIPHEGALNKSVANELMALGNVAKDHTENWEYELKSQEGKSEDNLFGVEYDDEKTGTNSENAESSSKETTRPTFSEKVLRSLSIKRNKSKLGSFKRSAPDKITQQEVSPDRASSSPNSKSYPGVSHTRQESEANNGLINAYEDEQFSLMEPSILEDAASSTQMHAMPARDLSLSSVANAQDVTKKANILDPDYL", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSNSRMNGVEKLSSKSTRRVANAGKATLLALGKAFPSQVVPQENLVEGFLRDTKCDDAFIKEKLEHLCKTTTVKTRYTVLTREILAKYPELTTEGSPTIKQRLEIANEAVVEMALEASLGCIKEWGRPVEDITHIVYVSSSEIRLPGGDLYLSAKLGLRNDVNRVMLYFLGCYGGVTGLRVAKDIAENNPGSRVLLTTSETTILGFRPPNKARPYDLVGAALFGDGAAAVIIGADPRECEAPFMELHYAVQQFLPGTQNVIEGRLTEEGINFKLGRDLPQKIEENIEEFCKKLMGKAGDESMEFNDMFWAVHPGGPAILNRLETKLKLEKEKLESSRRALVDYGNVSSNTILYVMEYMRDELKKKGDAAQEWGLGLAFGPGITFEGLLIRSLTSS", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQWRALVLGLVLLRLGLHGVLWLVFGLGPSMGFYQRFPLSFGFQRLRSPDGPASPTSGPVGRPGGVSGPSWLQPPGTGAAQSPRKAPRRPGPGMCGPANWGYVLGGRGRGPDEYEKRYSGAFPPQLRAQMRDLARGMFVFGYDNYMAHAFPQDELNPIHCRGRGPDRGDPSNLNINDVLGNYSLTLVDALDTLAIMGNSSEFQKAVKLVINTVSFDKDSTVQVFEATIRVLGSLLSAHRIITDSKQPFGDMTIKDYDNELLYMAHDLAVRLLPAFENTKTGIPYPRVNLKTGVPPDTNNETCTAGAGSLLVEFGILSRLLGDSTFEWVARRAVKALWNLRSNDTGLLGNVVNIQTGHWVGKQSGLGAGLDSFYEYLLKSYILFGEKEDLEMFNAAYQSIQNYLRRGREACNEGEGDPPLYVNVNMFSGQLMNTWIDSLQAFFPGLQVLIGDVEDAICLHAFYYAIWKRYGALPERYNWQLQAPDVLFYPLRPELVESTYLLYQATKNPFYLHVGMDILQSLEKYTKVKCGYATLHHVIDKSTEDRMESFFLSETCKYLYLLFDEDNPVHKSGTRYMFTTEGHIVSVDEHLRELPWKEFFSEEGGQDQGGKSVHRPKPHELKVINSSSNCNRVPDERRYSLPLKSIYMRQIDQMVGLI", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MWLPLLLGALLWAVLWLLRDRQSLPASDAFIFITGCDSGFGRLLALQLDQKGFQVLAGCLTPSGAEDLQQMASSRLHTTLLDITDPQNVQQVAKWVKTRVGETGLFGLVNNAGVAGIIGPTPWLTQDDFQRVLSVNTLGPIGVTLALLPLLQQARGRVVNITSVLGRIAANGGGYCVSKFGLEAFSDSLRRDMAPFGVQVSIVEPGFFRTPVTNLESLESTLKACWARLPPAIQAHYGEAFLDTYLRVQRRIMNLICDPELTKVTSCLEHALTARHPRTRYSPGWDAKLLWLPASYLPARVVDAVLTWILPRPAQSVS", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASRGVVGIFFLSAVPLVCLELRRGIPDIGIKDFLLLCGRILLLLALLTLIISVTTSWLNSFKSPQVYLKEEEEKNEKRQKLVRKKQQEAQGEKASRYIENVLKPHQEMKLRKLEERFYQMTGEAWKLSSGHKLGGDEGTSQTSFETSNREAAKSQNLPKPLTEFPSPAEQPTCKEIPDLPEEPSQTAEEVVTVALRCPSGNVLRRRFLKSYSSQVLFDWMTRIGYHISLYSLSTSFPRRPLAVEGGQSLEDIGITVDTVLILEEKEQTN", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGLEALVPLAVIVAIFLLLVDLMHRRQRWAARYPPGPLPLPGLGNLLHVDFQNTPYCFDQLRRRFGDVFSLQLAWTPVVVLNGLAAVREALVTHGEDTADRPPVPITQILGFGPRSQGVFLARYGPAWREQRRFSVSTLRNLGLGKKSLEQWVTEEAACLCAAFANHSGRPFRPNGLLDKAVSNVIASLTCGRRFEYDDPRFLRLLDLAQEGLKEESGFLREVLNAVPVLLHIPALAGKVLRFQKAFLTQLDELLTEHRMTWDPAQPPRDLTEAFLAEMEKAKGNPESSFNDENLRIVVADLFSAGMVTTSTTLAWGLLLMILHPDVQRRVQQEIDDVIGQVRRPEMGDQAHMPYTTAVIHEVQRFGDIVPLGVTHMTSRDIEVQGFRIPKGTTLITNLSSVLKDEAVWEKPFRFHPEHFLDAQGHFVKPEAFLPFSAGRRACLGEPLARMELFLFFTSLLQHFSFSVPTGQPRPSHHGVFAFLVSPSPYELCAVPR", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPAPKLTEKFASSKSTQKTTNYSSIEAKSVKTSADQAYIYQEPSATKKILYSIATWLLYNIFHCFFREIRGRGSFKVPQQGPVIFVAAPHANQFVDPVILMGEVKKSVNRRVSFLIAESSLKQPPIGFLASFFMAIGVVRPQDNLKPAEGTIRVDPTDYKRVIGHDTHFLTDCMPKGLIGLPKSMGFGEIQSIESDTSLTLRKEFKMAKPEIKTALLTGTTYKYAAKVDQSCVYHRVFEHLAHNNCIGIFPEGGSHDRTNLLPLKAGVAIMALGCMDKHPDVNVKIVPCGMNYFHPHKFRSRAVVEFGDPIEIPKELVAKYHNPETNRDAVKELLDTISKGLQSVTVTCSDYETLMVVQTIRRLYMTQFSTKLPLPLIVEMNRRMVKGYEFYRNDPKIADLTKDIMAYNAALRHYNLPDHLVEEAKVNFAKNLGLVFFRSIGLCILFSLAMPGIIMFSPVFILAKRISQEKARTALSKSTVKIKANDVIATWKILIGMGFAPLLYIFWSVLITYYLRHKPWNKIYVFSGSYISCVIVTYSALIVGDIGMDGFKSLRPLVLSLTSPKGLQKLQKDRRNLAERIIEVVNNFGSELFPDFDSAALREEFDVIDEEEEDRKTSELNRRKMLRKQKIKRQEKDSSSPIISQRDNHDAYEHHNQDSDGVSLVNSDNSLSNIPLFSSTFHRKSESSLASTSVAPSSSSEFEVENEILEEKNGLASKIAQAVLNKRIGENTAREEEEEEEEEEEEEEEEEEGKEGDA", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MMNYVKSLGTYNTRNMEKESSKDLVQNEDTPLPVQKISMSLFHEIVFVFIACTAQLMTQAGLGQSIAPNNIIGKSLGTTNPGQLSWFPASYSLTVGTFILIAGRLGDIYGHKKMFVLGYIWFCIWSLISGFSYYAKSVIMFDVCRALTGIAPAFLLPNALALLGRVYPPGKKKNLIFALFGATAPNGFLLGSVFSGIFAQLSWWPWTYWTTAIVCIVFAIIGYFAIPHIEADEVEEKQKFDYLGAFFGVSGLVLINFSWNQGPVVGWQVPYVYILLIIGFLSLVVFVLVEKRVVQPILAPSMMNSEMGCVLICVAAGWACFGIWMYYLWQFLENLRFATPLLVTAQLTPVGISGCAAALTTGYLLKRLKPTKIMVVSMIAFTVGTILIATAPIHQTYWAQTFVSIIVTPWGMDMSFPAATLMLSDFVPKQHQGIAASLVSTVVNYSISIGLGIAGTVETHLNHKGVDLIRGYRSAWYMGTGFGGLGVCVAILTVFASYLKVGQQKSPKFPLIMKNTKAV", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSITLSSRGRKIRKLPKSLEFPLDGSIDKLRDEVSSVTRLPVERLRFSTADGTTLLPNTTLRKYGVGPGATIWVKDLGPQIGWRTVFMIEYLGPLVIHLFFILNYKWIYRKDYNLCLNQKIAFVLVMLHFMKREYESIFVHRFSLATMPLRNIFKNCAHYHLLSGLFLAYFIYGPWHANDYIKPNHLLFLIVGWAFAVLSNFRTHIILRDLRPAGSKKRVIPTGYGFNLVSFPNYFFESLGWLFFALLTKSWASWIFLFVGSAQMFVWAKKKHARYLKEFPNYPRSRKIMIPFFL", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MMGVLKSAIGDMLMTFSWVVLSATFGIQTAAIISAGDFQAITWAPLVILTSLIFVYVSIFTVIFGSASFNPTGSAAFYVAGVPGDTLFSLAIRLPAQAIGAAGGALAIMEFIPEKYKHMIGGPSLQVDVHTGAIAETILSFGITFAVLLIILRGPRRLLAKTFLLALATISFVVAGSKYTGPAMNPAIAFGWAYMYSSHNTWDHIYVYWISSFVGALSAALLFRSIFPPPRPQKKKQKKA", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAISPVLFIGLIYLAGGGSLFPGVEAIWLTVPESGERCVYEEIQANVVVVLDYICIDDAFTQLGPTLDVRVTSPYGKELYKIANVTHGQAAFTTSESGTFLACLAMHHDQSHHSVNSSVIVSLDWKMGIRAKDWDSVAKKEKIEGVELEIRRSTEYASAIRANILYLRIREAYMREINEKTNTRVNQLGLMSLGVAIVVSISQVLYLKRYFLKKKLI", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSSLGKLLKLTLLGILLSFSCKFVFGYFNVNQLFDHEFIKVFPNFKGNVEAKIKYPTVVYAIGDIHGDFPNALDVLSAAGVVSPVFPHEWTAGNATLVQTGDVVDRGPDTRKLFRWFNDLHKQAEKHGGRVVRLLGNHEFMNAKGDWRYVHPGDKASYPEPSEENRIIDWGHSGEIGNLLLSEYNVTYKDNTTGSHFMHAGLSPEWAYREETVNELGKELLSHFMSREKIPEYLEDFWAIEGPMWYRGLAQLSEEEACEVALNVTKTLNVNRLVMGHTPQFHGIVSRCEGRILLIDTGLCSAYAGERAVLRISQNDTDSIVEAVYRGKIVKL", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSLLRYFIFFIGGCTLALASGVSYTEASVYMSGLSGNENEVPSISPSDSRLVFAHKLGVSRFHKLKSHSGARKIINEMLHSHSELSSFFYAEKSNTFLTIAGLAESELFDTLNPAFRISSCPSSNAFASLMCRYERQLDSLDNGKTTDIYLNDIGGVISIHDSTGVYSQITTTPYKSLEDYEQLFGEDKASMFDISVKQDRVFLSEIYALKILVEFLSSKSQQERSDTSIVIGQLVGLEALYEKYGKDSKIYQVARENMMTLLSMIKQVSSTYTFILLPPIDSSETSTRYHKRQVDMEAFGEEIEEVVASYEKNYNGRCYISEEACSKATNDCSGHGRCSKYGQLDSCYVCQCSNSVVSNAAGQNKTIRWAGESCSKQDISVEFQFFFWFTIIGFGLLIFSIMLLFSIGQEELANVLTSTATVIKKTT", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNLKQFTCLSCAQLLAILLFIFAFFPRKIVLTGISKQDPDQDRDLQRDRPFQKLVFVIIDALRSDFLFDSQISHFNNVHQWLNTGEAWGYTSFANPPTVTLPRLKSITTGSTPSFIDLLLNVAQDIDSNDLSEHDSWLQQFIQHNNTIRFMGDDTWLKLFPQQWFDFADPTHSFFVSDFTQVDNNVTRNLPGKLFQEWAQWDVAILHYLGLDHIGHKDGPHSKFMAAKHQEMDSILKSIYDEVLEHEDDDDTLICVLGDHGMNELGNHGGSSAGETSAGLLFLSPKLAQFARPESQVNYTLPINASPDWNFQYLETVQQIDIVPTIAALFGMPIPMNSVGIIIPDFLQLLPNKLASMKENFMHLWKLSDHHGEVALDDFTAEDIYTKMYTIQETLTKSATNYNYPLLTLAFVGFLIITIIAIYVLLRYSGPDFWQLRVSSLSVLLVSIILGVSTFASSFIEEEHQLWWWIVTAFSAVPLFVYRLNVLIIVRWFIMMACVRSIKFWNNSGQKFIYSNVMSNLLNQNPSWKWCLNMLTFLVLIMASAGFQVLHFIVTTILVGLCFTYKISWEIVNGNQAEIPLFMHDLLAKIDFAPTESNLIVLARVFFQAWAIVVISRLVLTKLKVLNKNYLIKDMKVYITILLMFQTSSQNIGQFLVFQILESQIFYFFQNIPTASLTSTSKIYFSNLVSLILQNFTFFQFGGTNSISTIDLGNAYHGVSSDYNIYVVGILMSVANFAPAIYWSMLPWSINYASIPAQVKLQTFIRSKLPAFTYHCIFGTCLMTACVVLRFHLFIWSVFSPKLCYFLGWNFVMGLLNGWLPELALLCALD", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVVYIVLYTCLAGFILLFLVYYYLTHSHCPRKQLEGEETCVFIENGQKKSLTLEKWSTSDNIQITVIVPAYNESKRIGNMLQETVDHLEKYYRSSSSAGQRRWEILIVDDESKDTTVNAVLEFSNKLDLRDHLRVCSLKRNRGKGGAVTWGMLYARGQYAIFADADGASQFSDLELLFKNMPPGPRGGVVVGSRAHMVNTAAVVKRSFIRNFLMHCFHKLLQILGIREIGDTQCGFKLFSREAYQSIFPRMHVEGWIFDIEVLTLARFFGLPIIEVPITWHEVGGSKMTLLKDSISMAIDLLVIRLNYTFGIWERPSAKRIT", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGSNTSPGQADPLESENESSLTSRFLPNKRDGGKDNESVIPEKEEPDLNEPVLAVPLPKSRYALTKRSSSSEYPRRSASTSAKKNVIPITRSYSTTFFSKTNDQPTVTGNQDKPISRLRASKLQIQNFWNYICFELLANDTVPANPIKEKHVENFLATPYAIEKTFLFGWFVSVDSFLYIFTLFPIRVLISFFTLSRCIFQGLFSTFFHRNSSPNRSLPRSRKIDLLKLLLIFSTSILIRKIDVSRLYHIIRAQASIRFYVLYNVLEIADRLCCALGQDVLDCLFSNHILSFNFWNPAGWMTFFYYFAISLAYMVLHTLVLLYQIITLNVTVNSYSNAVLALLMSNQLVEIKGAVFKKFEKENLFQLTCSDVVERFQITIMVIIIFLRNLAELYTTSSLDQPLLTFKRLKTLLAPFFWVIGSELFVDWLKHAFIIKFNYIKPSIYSRFTDVLCHDYVASGAQLTQTVTGCSQQVARRMGLPVLPLVCVFIRTSMQTWSMFRSTHSMKQEIAKSIGTIFPTKDNYVYYLPNKEANTYNAGKEASWETLLLSVVRGKSGIAFLFFMAIMLKLLLGKAILAITQSRYESMQQREEKINSWERERKANNFFRGHIEIDKKTKDFLNNSKDDLPVPKSPLLTLERYAMHSKRIW", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MMMKISFNTCFQILLGFIVFIASITLLGRIFSRPSKTKDRCRQLPPGRPGWPILGNLPELIMTRPRSKYFHLAMKELKTDIACFNFAGTHTITINSDEIAREAFRERDADLADRPQLSIVESIGDNYKTMGTSSYGEHFMKMKKVITTEIMSVKTLNMLEAARTIEADNLIAYIHSMYQRSETVDVRELSRVYGYAVTMRMLFGRRHVTKENMFSDDGRLGKAEKHHLEVIFNTLNCLPGFSPVDYVDRWLGGWNIDGEEERAKVNVNLVRSYNNPIIDERVEIWREKGGKAAVEDWLDTFITLKDQNGNYLVTPDEIKAQCVEFCIAAIDNPANNMEWTLGEMLKNPEILRKALKELDEVVGKDRLVQESDIRNLNYLKACCRETFRIHPSAHYVPPHVARQDTTLGGYFIPKGSHIHVCRPGLGRNPKIWKDPLAYEPERHLQGDGITKEVTLVETEMRFVSFSTGRRGCVGVKVGTIMMAMMLARFLQGFNWKLHRDFGPLSLEEDDASLLMAKPLLLSVEPRLASNLYPKFRP", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNAQVLNLVAALGVMQYSKKLDFTDPQIVYYARAAYVISNTIIFGVYAIIQARINANNDETPLVYEEPAPPFSGQSNGKLVTTTVKEYDSEQLQKAKRSTMMGVAIMAFMHLYMGYAQPLVIQSILPLISLFTNNLVSIYIFNKAAEGSLSRPFAPPAGLFGGGNKPAAAVTGTSSNSNNASAKSDGPTITELNENETEKSS", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPLAMLLLLLLLLSPDSQTAHGHPLYTRLSPGALQVLSAQGTQALQAAQRSAQWAIKRVLMEIQHRLHECQGPGRPRPQAPLLQDPPEPVQCGERHQGVANTTRAHGRIVGGSTAPSGAWPWLVRLQLGGLPLCGGVLVAASWVLTAAHCFAGASNELLWTVMLAEGPQGEQAEEVQVNRILPHPKFDPQTFHNDLALVQLWTPVSPEGPARPICLPQGSREPPAGTPCAIAGWGALFEDGPESEAVREARVPLLSADTCQKVLGPGLRPSTMLCAGYLAGGIDSCQGDSGGPLTCSEPGPRPREVLFGVTSWGDGCGEPGKPGVYTRVTVFKDWLQEQMSAGPSTREPSCRELLNWNAREEEPFTDAPGLCAFYARQCLGSESSCARLALQQCLQRRRRCELRSLAHTLLGLLRGAQELLGPRPGLRRGVSAPARSAPSLQELPGHNPREQRLYSGSRIAGTWLQKPKPERRPETKGCPGLEPLQQKLAAIQRAHAWILQIPAEHLAMNFHEVLADLGSKTLTGLFRAWVRAGLGDQRVVFSGLVGLEPSTLAHSLPRLLVQALKAFRSASLTEGEPQAPWIGADQGQRLGKERQGQLQPPVP", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MALGFFCLAIFLYLSLDPDSGYTSASAAASGKEGVEITYGSAIKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPGVVDSNSYWIVKPVPGTTEKQGDAVKSGATIRLQHMKTRKWLHSHLHASPISGNLEVSCFGDDTNSDTGDHWKLIIEGSGKTWKQDQRVRLQHIDTSGYLHSHDKKYQRIAGGQQEVCGIREKKADNIWLAAEGVYLPLNESSK", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MQLLNNWYSLLLTEVAAYFTSTTLFVSILKNAPSLSWLMKYGGHDNFGLKPPLIATKLEVPKRWFWHFYAFISLLNPLFTFFILNTNFPIPIFKNIKEDLMYSKKLQVLLLIYEIHTLRRLYENLRFRKSGSKMLAGHYLLGYLFYTHTFLALLLCGRRSYENTMSSMQFVGLGIYAIGSIWQNASHEHLIAQKNHSQYLVLKKGCFKWITGPHYLGEIIVYTGIALIAQHWLIWLVLGWVLCNMVAISSSYACTVKNKEQSLDFRWTLIPFLY", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MATKQAHKRLTKEYKLMVENPPPYILARPNEDNILEWHYIITGPADTPYKGGQYHGTLTFPSDYPYKPPAIRMITPNGRFKPNTRLCLSMSDYHPDTWNPGWSVSTILNGLLSFMTSDEATTGSITTSDHQKKTLARNSISYNTFQNVRFKLIFPEVVQENVETLEKRKLDEGDAANTGDETEDPFTKAAKEKVISLEEILDPEDRIRAEQALRQSENNSKKDGKEPNDSSSMVYIGIAIFLFLVGLFMK", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSTASNRVSTQIVDEHKQFNNELPKFMQSVGLLDAGFNYHVVAVLGSQSTGKSTLLNNLFGTSFSVMDASKRQQTTKGIWLSKANNSPILVMDVEGTDGRERGEDQDFERKSALFSISTSEVIIVNMWENQVGLYQGSNMALLKTVLEVNLQLFHNKKERCLLQFVIRDFLGNTSMENLADTIMTDLNNIWASLSKPEGFENSVINDFFDVGFTGLPHKILCSDAFSEAVDSLRERFVDNNNSDYIFNVSYHKKIPADGFSLYTREIWDTIENNKDLDLPTQQQLLAQYRCDEIITEVMEPFSTACTILQKEFLPGNLCKDLPTKLLNMFETVIEAYDRQASRYNVHIYQKKKQELIASVDSHLYVFFQAQLNALHKELIKSFFDASNEFPSDTPFKESSSIKINELVNKMREEGESLSLPHVHWDVDPFILKLSEELTQNSETLCKEKLKEKLEELFTGFEFEVSEAVEVAFQKLSHNVWDTLLNEFLAAQNTTIEKIKNIVPFYVDIDDTKTTEEYIINFKKNSWLFFRKKIDSEMSEVLLQQRLRVYFEELFRYDSDGMPKLWKKSGTIDRDYRESLTKTLDLINVLASIKVSDGNYPDLNVDIKTLEPEYTSPASFFTILNRRRVSDISVNFKRSADLIFMDCKRSVINTTTRIPPYFWVLLIVLGWNEFMAILRNPFVFMILMFGGTVVYGLYISGLIWPAKMVLERATNNLVDLATDRFSNTYQEQVQQRAMQRTEKSGSPVASADDAEAEKTALS", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSATYTNTITQRRKTAKVRQQQQHQWTGSDLSGESNERLHFRSRSTNSMQQHTAISNSPSPLCCNGARALTMLNCCVDVNCHLNAPLRGSVNRHTTPTPTPTATPTPVATPKQASPSPTSDRSRSLSRSPSPSRSRSLSCQKQIDKNSAGAASAEERKTANASSQPFTVNLRIDLFSWTLFLLAFGTRFYKLATPPHIVFDELHYGKYISMYMRNIFFFDQHPPLGKQLIAGLVSLAGYDGNYTFTRIGEPYSPEMPIFWFRFLPAMCGSLLAPAVYNLLLEAKLSRWSSALGGLLVVLDNSLLTQSRFVLMESMLLLATTVGIACLLRFQRSRLGSLEWFFTGTAAAVCLGAAGTVKYVGFLALGLAFYLLCRHLWQLLYDAGLTDRQLWMHAISRLLIFVGIPLAVYLGVFYIHFKTLHRAGPHDSIMTSAFQASLDGGLASITKGQPLAVVHGSQITLRHTHGRTCWLHSHAAVYPVRYPDKRGSSHQQQVTCYSFKDVNNWWLVKRPTKENLVVGDEPDIIRHGEIIQLVHGITSRALNSHDVAAAMTPQCQEVSCYIDYEIKMAGELLWRVEILNRDSEGDIWHAIKSEVRLVHVSTEASLKFSGRQLPEWGFNQHEVVADREKAIHEDAIWNVEEHRYTQTEDHRERERQMLTAEMIPTKRTRISFWAKLLELQSKMLFQTKSVPNHMYSSMPHEWPLMDKGIAYWLDSQSSAQIYLLGNILLWYTATMGILVYAGLLAFYAMRRQRLCFDISEQEWQRFVLAGDTFFMGYVMHYIPYFCVDRTLFLHNYLPAFVFKLLLLCFVVEHLDYLLRRFCTGRGVHLVRLYRLMLILWLVGVLSIFSKFIPFSYGARKMTLNEVRSLRWKDTWDFVLHKNHHLY", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTGLYELVWRVLHALLCLHRTLTSWLRVRFGTWNWIWRRCCRAASAAVLAPLGFTLRKPPAVGRNRRHHRHPRGGSCLAAAHHRMRWRADGRSLEKLPVHMGLVITEVEQEPSFSDIASLVVWCMAVGISYISVYDHQGIFKRNNSRLMDEILKQQQELLGLDCSKYSPEFANSNDKDDQVLNCHLAVKVLSPEDGKADIVRAAQDFCQLVAQKQKRPTDLDVDTLASLLSSNGCPDPDLVLKFGPVDSTLGFLPWHIRLTEIVSLPSHLNISYEDFFSALRQYAACEQRLGK", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSTHSNDYFSASSGMVSETSSEVSSINSSQPVSFSKASIAAPVPCSDLHSTKSNDASRKLSISRTLTNRLNDIKKAVDDDNLQTEENSADVNKILESRFDVADAIRLQHNESVQSKLNIPVTHTTTAGASLSAPSSSAFSASSIQNDTTEHKASMDSKLMRNRLYPASTKHSGKDLEAQGITEFEPDEPTVKKVFTNKSTGQLELPPDGGYGWVVTFCVFLTMFSTWGCNASFGVDLAYYLNHDTYPGASKYDYALIAGLTVFLGQLLSPLVMALMRIIGLRTTMLFGDAVMLAAYLLASFTTKLWQLYVTQGFMVGCSISLIFVPATTVLPGWFLKKRAVAMGVSLLGTGAGGVVYGLATNKMLSDFGNTRWCLRIIGISCSISVLVAIALLKERNPTPAIGLKSPRAMFEQLKAMFSLKVITKPFVVLIALWFMFALFAYNMMVFTLSSYAISKGLSSHDASTLTAILNGSQSIGRPLMGLAGDKFGRANVTIVLTTLLTIYMFAFWIPAHTFVQLIFFSILVGSCVGVANVMNTVLIADMVKPEEFLPAWAFVNYCGAPFLLVCEVIAQALTVEKDKSNPYLHAQIFCGCCFIAALILISILREYSIRMKLTERQAMTNEKLKEWKASEYDTDSADEDWGKLKERKTKYDLLLGPGIKKYFLRMVYPMKV", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNLRFELQKLLNVCFLFASAYMFWQGLAIATNSASPIVVVLSGSMEPAFQRGDILFLWNRNTFNQVGDVVVYEVEGKQIPIVHRVLRQHNNHADKQFLLTKGDNNAGNDISLYANKKIYLNKSKEIVGTVKGYFPQLGYITIWISENKYAKFALLGMLGLSALLGGE", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRITQILLCLVIVALSSSSHVWSDQIFPAHLVGTFSRNNREPKYKIEFLPEDSPFHPGDNLESMVMLDKHGNRFLCYLPKEEKATSGWTSSQQNISTVMMETQQLVKLKTPDELLQPLSEKCLFRQEGWWSYEFCHQKYVRQLHVEDENKIVQEFFLGTFDPEATAAFNQTVSDASTDASQRYHSHVYTNGTTCDLTGSPREVEVRFVCAETRAMVTSITELSTCKYALTVQCPTLCKHPLFQLEKPVSHTIHCNAIPVEEDATRNKEEQAVDESPKMIADS", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFLLLPFDSLIVNLLGISLTVLFTLLLVFIIVPAIFGVSFGIRKLYMKSLLKIFAWATLRMERGAKEKNHQLYKPYTNGIIAKDPTSLEEEIKEIRRSGSSKALDNTPEFELSDIFYFCRKGMETIMDDEVTKRFSAEELESWNLLSRTNYNFQYISLRLTVLWGLGVLIRYCFLLPLRIALAFTGISLLVVGTTVVGYLPNGRFKEFMSKHVHLMCYRICVRALTAIITYHDRENRPRNGGICVANHTSPIDVIILASDGYYAMVGQVHGGLMGVIQRAMVKACPHVWFERSEVKDRHLVAKRLTEHVQDKSKLPILIFPEGTCINNTSVMMFKKGSFEIGATVYPVAIKYDPQFGDAFWNSSKYGMVTYLLRMMTSWAIVCSVWYLPPMTREADEDAVQFANRVKSAIARQGGLVDLLWDGGLKREKVKDTFKEEQQKLYSKMIVGNHKDRSRS", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGAGGRMSVPPPPKKLESEVLKRVPHSKPPFTLGQLKKAIPPHCFQRSVLRSFSYVVYDLTVAFIFYYIATNYFHLLPQPLSYVAWPIYWALQGCVLTGVWVIAHECGHHAFSDYQLLDDIVGLVLHSCLLVPYFSWKHSHRRHHSNTASLERDEVFVPKKKSSIRWFSKYLNNPPGRLFTLTITLTLGWPLYLAFNVSGRPYDRFACHYDPYGPIYTDRERTEIYISDAGVLAVTFGLYRLAAAKGLAWVICVYGVPLLIVNAFLVMITYLQHTHPSIPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYQFDGTPFYKAMWREAKECIYVEADDGDESKGVYWYNKKF", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGAGGRMSVAPNNSKCEKKESRSVKRVPHTKPPFTLGQLKQAIPSHCFKRSLLRSFSYVVYDLSLSFIFYSIATTYFHLLPSPITYIAWPVYWAFQGCILTSVWVLGHECGHHAFSEYNWLDDTIGLILHSSLLVPYFSFKISHRRHHSNIASLERDEVFVPRLKSAIPWYSKYLNNPPGRALTLVATLFIGWPLYLAFNVSGRYYDRFACHYDPYSPIYSDRERLQIYISDAMIFVAAYVLYKIAMAKGLAWLVCIYGVPLLIVNALVVTITSLQHTHVALPHYDSSEWDWLRGGLATVDRDYGVFNKIFHNATDTHVIHHLFSSMPHYHGVEATRAIKPILGDYYLFDDTPIHVALWREAKECLFVEPDEGDNNNGVFWYSNKF", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSDLQTPLVRPKRKKTWVDYFVKFRWIIVIFIVLPFSATFYFLIYLGDMWSESKSFEKRQKEHDENVKKVIKRLKGRDASKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLGEFRNILEINKEKMTARVEPLVNMGQISRATVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFADTVEAYEIVLAGGELVRATRDNEYSDLYYAIPWSQGTLGLLVAAEIRLIKVKEYMRLTYIPVKGDLQALAQGYIDSFAPKDGDKSKIPDFVEGMVYNPTEGVMMVGTYASKEEAKKKGNKINNVGWWFKPWFYQHAQTALKKGQFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRYLLGWLMPPKVSLLKATQGEAIRNYYHDMHVIQDMLVPLYKVGDALEWVHREMEVYPIWLCPHKLFKQPIKGQIYPEPGFEYENRQGDTEDAQMYTDVGVYYAPGCVLRGEEFDGSEAVRRMEKWLIENHGFQPQYAVSELDEKSFWRMFNGELYEECRKKYRAIGTFMSVYYKSKKGRKTEKEVREAEQAHLETAYAEAD", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAVDIQPACLGLYCGKTLLFKNGSSEIYGECGVCPRGQRTNAQKYCQPCTESPELYDWLYLGFMAMLPLVLHWFFIEWYSGKKSSSALFQHITALFECTMAAIITLLVSDPVGVLYIRSCRVLMLSDWYTMLYNPSPDYVTTVHCTHEAVYPLYTIVFVYYAFCLVLMMLLRPLLVKKIACGLGKSDRFKSIYAALYFFPILTVLQAVGGGLLYYAFPYIILVLSLVTLAVYMSASEIENCYDLLVRKKRLIVLFSHWLLHAYGIVSISRVDRLEHDLPLLALVPTPALFYLFTAKFTEPSRILSEGANGH", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MATKTAGVGRWEVVKKGRRPGVGAGAGGRGGGRNRRALGEANGVWKYDLTPAIQTTSTLYERGFENIMKRQNKEQVPPPAVEPKKPGNKKQPKKVATPPNQNQKQGRFRSLEEALKALDVADLQKELDKSQSVFSGNPSIWLKDLASYLNYKLQAPLSEPTLSQHTHDYPYSLVSRELRGIIRGLLAKAAGSLELFFDHCLFTMLQELDKTPGESLHGYRICIQAILQDKPKIATANLGKFLELLRSHQSRPAKCLTIMWALGQAGFANLTEGLKVWLGIMLPVLGIKSLSPFAITYLDRLLLMHPNLTKGFGMIGPKDFFPLLDFAYMPNNSLTPSLQEQLCQLYPRLKVLAFGAKPDSTLHTYFPSFLSRATPSCPPEMKKELLSSLTECLTVDPLSASVWRQLYPKHLSQSSLLLEHLLSSWEQIPKKVQKSLQETIQSLKLTNQELLRKGSSNNQDVVTCDMACKGLLQQVQGPRLPWTRLLLLLLVFAVGFLCHDLRSHSSFQASLTGRLLRSSGFLPASQQACAKLYSYSLQGYSWLGETLPLWGSHLLTVVRPSLQLAWAHTNATVSFLSAHCASHLAWFGDSLTSLSQRLQIQLPDSVNQLLRYLRELPLLFHQNVLLPLWHLLLEALAWAQEHCHEACRGEVTWDCMKTQLSEAVHWTWLCLQDITVAFLDWALALISQQ", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLPRWELALYLLASLGFHFYSFYEVYKVSREHEEELDQEFELETDTLFGGLKKDATDFEWSFWMEWGKQWLVWLLLGHMVVSQMATLLARKHRPWILMLYGMWACWCVLGTPGVAMVLLHTTISFCVAQFRSQLLTWLCSLLLLSTLRLQGVEEVKRRWYKTENEYYLLQFTLTVRCLYYTSFSLELCWQQLPAASTSYSFPWMLAYVFYYPVLHNGPILSFSEFIKQMQQQEHDSLKASLCVLALGLGRLLCWWWLAELMAHLMYMHAIYSSIPLLETVSCWTLGGLALAQVLFFYVKYLVLFGVPALLMRLDGLTPPALPRCVSTMFSFTGMWRYFDVGLHNFLIRYVYIPVGGSQHGLLGTLFSTAMTFAFVSYWHGGYDYLWCWAALNWLGVTVENGVRRLVETPCIQDSLARYFSPQARRRFHAALASCSTSMLILSNLVFLGGNEVGKTYWNRIFIQGWPWVTLSVLGFLYCYSHVGIAWAQTYATD", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAISKAWISLLLALAVVLSAPAARAEEAAAAEEGGDAAAEAVLTLDADGFDEAVAKHPFMVVEFYAPWCGHCKKLAPEYEKAAQELSKHDPPIVLAKVDANDEKNKPLATKYEIQGFPTLKIFRNQGKNIQEYKGPREAEGIVEYLKKQVGPASKEIKSPEDATNLIDDKKIYIVGIFSELSGTEYTNFIEVAEKLRSDYDFGHTLHANHLPRGDAAVERPLVRLFKPFDELVVDSKDFDVTALEKFIDASSTPKVVTFDKNPDNHPYLLKFFQSSAAKAMLFLNFSTGPFESFKSVYYGAAEEFKDKEIKFLIGDIEASQGAFQYFGLREDQVPLIIIQDGESKKFLKAHVEPDQIVSWLKEYFDGKLSPFRKSEPIPEVNDEPVKVVVADNVHDFVFKSGKNVLVEFYAPWCGHCKKLAPILDEAATTLKSDKDVVIAKMDATANDVPSEFDVQGYPTLYFVTPSGKMVPYESGRTADEIVDFIKKNKETAGQAKEKAESAPAEPLKDEL", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MESESESSSTHSSCDRFLDAEDEFFYDSFSNHYDCLNSSPPANLRRRRLPMDTDSSSSSSTSSLESCEKRSTVGENDELEVSLVDFETIEIDVDVTDSANSSIDSISEKGEDFEVIDSCTDTEKNMGENDSGRVDPFTVTTLNDERGEIYTGPEYTSTDWSLTSLVIRSIEFQVSLMITFIRFPPWLISKCLSFVFDPYRTMRRGRRYLVSWIVGLCDSGLKDDKPVLELVRRVTWGLFCAVYVGIMLFALLVSAFMISGFVITYLAHEPLVIKESLNFDYTKSSPEAYVPISSCAGVAFGLSGKESIETGKVKGLKDRTEITVSMTLPESEYNRNLGMFQVRVDFLSASGHVLASSRRPCMVKFSSEPIRLVQTLLKIAPLVTGYVSEIQTLNLKLKGLVEKDIIPTACLKIMIEQRAEFRPGAGIPEIYDASLFLESKLPFLKRIIWNWRKTLFVWISMSLFIMELLFALVFFRPLIIPRTGQRTQQRDGTHSINNNLYLDGQAGSR", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKMTAKMRREFPTAFLLLFLVPVMIPVGEAVWLDVPPTGTKCVSEEIQSNVVVLADYLIISEDHEVMPTISVKVTSPYGNNLHNMENVTHGQFAFTTQESGNYLACFWADEKSHGNKNVSINIDWRTGIAAKDWASIAKKEKIEGVELEIRKLEGAVEAIHENILYLRNREADMRTMSEKTNSRVAWYSIMSLGVCIAVSGFQVLYLKQYFEKKKLI", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTSVNVKLLYRYVLTNFFNLCLFPLTAFLAGKASRLTINDLHNFLSYLQHNLITVTLLFAFTVFGLVLYIVTRPNPVYLVDYSCYLPPPHLKVSVSKVMDIFYQIRKADTSSRNVACDDPSSLDFLRKIQERSGLGDETYSPEGLIHVPPRKTFAASREETEKVIIGALENLFENTKVNPREIGILVVNSSMFNPTPSLSAMVVNTFKLRSNIKSFNLGGMGCSAGVIAIDLAKDLLHVHKNTYALVVSTENITQGIYAGENRSMMVSNCLFRVGGAAILLSNKSGDRRRSKYKLVHTVRTHTGADDKSFRCVQQEDDESGKIGVCLSKDITNVAGTTLTKNIATLGPLILPLSEKFLFFATFVAKKLLKDKIKHYYVPDFKLAVDHFCIHAGGRAVIDELEKNLGLSPIDVEASRSTLHRFGNTSSSSIWYELAYIEAKGRMKKGNKAWQIALGSGFKCNSAVWVALRNVKASANSPWQHCIDRYPVKIDSDLSKSKTHVQNGRS", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGGGGRMSTVITSNNSEKKGGSSHLKRAPHTKPPFTLGDLKRAIPPHCFERSFVRSFSYVAYDVCLSFLFYSIATNFFPYISSPLSYVAWLVYWLFQGCILTGLWVIGHECGHHAFSEYQLADDIVGLIVHSALLVPYFSWKYSHRRHHSNIGSLERDEVFVPKSKSKISWYSKYSNNPPGRVLTLAATLLLGWPLYLAFNVSGRPYDRFACHYDPYGPIFSERERLQIYIADLGIFATTFVLYQATMAKGLAWVMRIYGVPLLIVNCFLVMITYLQHTHPAIPRYGSSEWDWLRGAMVTVDRDYGVLNKVFHNIADTHVAHHLFATVPHYHAMEATKAIKPIMGEYYRYDGTPFYKALWREAKECLFVEPDEGAPTQGVFWYRNKY", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVEFAPLFMPWERRLQTLAVLQFVFSFLALAEICTVGFIALLFTRFWLLTVLYAAWWYLDRDKPRQGGRHIQAIRCWTIWKYMKDYFPISLVKTAELDPSRNYIAGFHPHGVLAVGAFANLCTESTGFSSIFPGIRPHLMMLTLWFRAPFFRDYIMSAGLVTSEKESAAHILNRKGGGNLLGIIVGGAQEALDARPGSFTLLLRNRKGFVRLALTHGAPLVPIFSFGENDLFDQIPNSSGSWLRYIQNRLQKIMGISLPLFHGRGVFQYSFGLIPYRRPITTVVGKPIEVQKTLHPSEEEVNQLHQRYIKELCNLFEAHKLKFNIPADQHLEFC", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKLNIFKSHGDSNTAEERPVPLEQVEAEDQQHENRFWLGLTAKEFRLMMLAGVGFFLDSYDLFIINLVTPIFEYLYWGGIEKGPNGKGHYPSGIRGLVNAASNIGNIFGQLMFGFMGDFFGRKFVYGKEMIIVIIATILLIAMPKSIHSPLSKMMWVFCWRWLLGVGIGGDYPMSAAITSERSKLNRRGTLISLIFAFQGFGTLAGAIVTIILLGCFEHPLNREGHYRKLEGVWRLQFGLALVPAIGVLIPRLMMEETQKFKNSQQLNSGDNRDPKTSLNFEDDELVKNPSVTKGHPEIHESSENYLSRSNTVENEPENIEKQFESVSAPANRSGFIQYFRQWHHFKHLLGTSVCWFLLDIAFYGVNLNQSVILKNIGFSSGTNEYRTLMKNAIGNLIIAVAGYVPGYWFNVFLVEILGRKWIQLQGFVITGLMFAILAGRWNEISTGGRFACFVIAQLFSNFGPNSTTFIYPAEVFPARVRGTAHGISAALGKCGAILASLLFNFLTSIIGYGNVMWIFCGCMWGGILFTLLLPETKGRDADEIDRVELFYGGDGKVECNSKWKSWYVNGIF", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAPDLSELAAAAAARGAYLAGVGVAVLLAASFLPVAESSCVRDNSLVRDISQMPQSSYGIEGLSHITVAGALNHGMKEVEVWLQTISPGQRTPIHRHSCEEVFTVLKGKGTLLMGSSSLKYPGQPQEIPFFQNTTFSIPVNDPHQVWNSDEHEDLQVLVIISRPPAKIFLYDDWSMPHTAAVLKFPFVWDEDCFEAAKDEL", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNLLFRLAVFLSLWCCSDAQGQTKEESTEEVKIEVLHRPENCSKTSRKGDLLNAHYDGYLAKDGSKFYCSRTQDEGHPKWFVLGVGHVIKGLDIAMMDMCPGEKRKVIIPPSFAYGKEGYAEGKIPPNATLMFEIELYAVTKGPRSIETFKQIDTDNDRQLSKAEIELYLQKDFEKDANPRDKSYQKAVLEDIFKKNDHNGDGFISPKEYNVHQHDEL", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MMTISLIWGIAVLVSCCIWFIVGIRRRKAGEPPLENGLIPYLGCALKFGSNPLEFLRANQRKHGHVFTCKLMGKYVHFITNSLSYHKVLCHGKYFDWKKFHYTTSAKAFGHRSIDPNDGNTTENINNTFTKTLQGDALCSLSEAMMQNLQSVMRPPGLPKSKSNAWVTEGMYAFCYRVMFEAGYLTLFGRDISKTDTQKALILNNLDNFKQFDQVFPALVAGLPIHLFKTAHKAREKLAEGLKHKNLCVRDQVSELIRLRMFLNDTLSTFDDMEKAKTHLAILWASQANTIPATFWSLFQMIRSPEAMKAASEEVSGALQSAGQELSSGGSAIYLDQVQLNDLPVLDSIIKEALRLSSASLNIRTAKEDFTLHLEDGSYNIRKDDMIALYPQLMHLDPEIYPDPLTFKYDRYLDESGKAKTTFYSNGNKLKCFYMPFGSGATICPGRLFAVQEIKQFLILMLSCFELEFVESQVKCPPLDQSRAGLGILPPLHDIEFKYKLKH", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPITIKSRSKGLRDTEIDLSKKPTLDDVLKKISANNHNISKYRIRLTYKKESKQVPVISESFFQEEADDSMEFFIKDLGPQISWRLVFFCEYLGPVLVHSLFYYLSTIPTVVDRWHSASSDYNPFLNRVAYFLILGHYGKRLFETLFVHQFSLATMPIFNLFKNCFHYWVLSGLISFGYFGYGFPFGNAKLFKYYSYLKLDDLSTLIGLFVLSELWNFYCHIKLRLWGDYQKKHGNAKIRVPLNQGIFNLFVAPNYTFEVWSWIWFTFVFKFNLFAVLFLTVSTAQMYAWAQKKNKKYHTRRAFLIPFVF", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKSLKGRLLFLRKFVFFSLLILLFAHGASSSSSSFNYFDKRTNGKANNELALFSPTADSPSSVDGVLELPSAENVFAESSLYNAFIVATVDGSLHSYDRITGQELWSLFTNANPGLSYTKDENSLLSSKFLSQSNFKSYNSTHGEFYSDSTLNISYLSDDDTVWFVEPIDGGILYAFNLQTGLVRLPHSIKDLVHASPIRLLNNNVFVGSKNTTLFTIDVSNGDIVSQYPSGHRYETHHSVHNLGTKRDSVPSGADSDLSFKDPSGKKLSESLDLLDDFNYQVTVSNKSFVDIARTEYFITIYSDSNVILDLVYIDWTPTKNEIMYESFHSSSFDSKLALSSYDSSLHIVDTHSKFIKQNIPLMSPAATVFDIVTLPHNKKIDKSQTPAKFPTSVLLRQPIDTYLETMFPQIARNKTEHVYINHIGNAWFAMSERHYPLVSLAPEASFLYYNGFYIPLNSIFGLHSLMATPKPFFALPGLPGYDIPSYVESEGSTKTLPSIGKKPIPLLDPNPISSTPISITFWVIMFLSVSFTIVTFFSILRIRSSEVRPLKSQKNTVSINNKIDTSKRRRKGKRRKRVSDEHSASSNFNEIESQASFEQNQTLDILSENIVEIQDKSTDPLQKSLDSSLKSHLPEATVIQNTDGSVTVNSLTVYPEVIGYGSHGTIVYRGVYEDREVAVKRVLMEFYDLASREITLLQQSDNHPNIVRYYCKQKSDQFLYIVIELCKCNLSDLIEKPIAYDDLFKSIDLVSLLYQIAFGVSHLHSLDLVHRDLKPQNILLVVNNSPNLSKTRVRALISDFGLSKKLDFNQSSLRNTTFEAAGSYGWRSPEILSGSLSQQSKEIQVKTREGRIRQASHATDIFALGCIFYYTLTGGMHPFGSHYDCEGNILKGNYCLVHLQSLGECGVLAADLIEDMIAFEPSKRPTIEVVLNHPLFWDYAKKLDFLIDVSDRFEVEERDPPSPLLQMLENNSKSVIGENWTTCLHSSLVDNLGKYRKYDGSKILDILRVLRNKRHHYQDLPESVRRVLGDLPDGFTSYFVEKFPMLLLHCYHLVKDVLYEESQFKRYLEY", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGSQHSAAARPSSCRRKQEDDRDGLLAEREQEEAIAQFPYVEFTGRDSITCLTCQGTGYIPTEQVNELVALIPHSDQRLRPQRTKQYVLLSILLCLLASGLVVFFLFPHSVLVDDDGIKVVKVTFNKQDSLVILTIMATLKIRNSNFYTVAVTSLSSQIQYMNTVVSTYVTTNVSLIPPRSEQLVNFTGKAEMGGPFSYVYFFCTVPEILVHNIVIFMRTSVKISYIGLMTQSSLETHHYVDCGGNSTAI", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAGVGVGPLQGMVRFGLLVLTVCAACARGLYFHIGETEKRCFIEEIPDETMVIGNYRTQMWDKQKEVFLPSTPGLGMHVEVKDPDGKVVLSRQYGSEGRFTFTSHTPGDHQICLHSNSTRMALFAGGKLRVHLDIQVGEHANNYPEIAAKDKLTELQLRARQLLDQVEQIQKEQDYQRYREERFRLTSESTNQRVLWWSIAQTVILILTGIWQMRHLKSFFEAKKLV", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEVEKELKTFSEVWISAIAAACYCRFVPAVAPHGGALRLLLLLPVVLLFIFLPLRLSSFHLGGPTALYLVWLANFKLLLFAFHLGPLSNPSLSLLHFISTTLLPIKFRDDPSNDHEKNKRTLSFEWRKVVLFVAKLVFFAGILKIYEFRKDLPHFVISVLYCFHFYLGTEITLAASAVIARATLGLDLYPQFNEPYLATSLQDFWGRRWNLMVSDILGLTTYQPVRRVLSRWVRLRWEVAGAMLVAFTVSGLMHEVFFFYLTRARPSWEVTGFFVLHGVCTAVEMVVKKAVSGKVRLRREVSGALTVGFVMVTGGWLFLPQLVRHGVDLKTIDEYPVMFNYTQKKLMGLLGW", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPARWLLLLLALLLPPPGPGSFGRTSTVTLPETLLFVSTLDGSLHAVSKRTGSIKWTLKEDPVLQVPTHVEEPAFLPDPNDGSLYTLGGKNNEGLTKLPFTIPELVQASPCRSSDGILYMGKKQDIWYVIDLLTGEKQQTLSSAFADSLCPSTSLLYLGRTEYTITMYDTKTRELRWNATYFDYAASLPEDDVDYKMSHFVSNGDGLVVTVDSESGDVLWIQNYASPVVAFYVWQGEVLRKVVHINVAVETLRYLTFMSGEVGRITKWKYPFPKETEAKSKLTPTLYVGKYSTSLYASPSMVHEGVAVVPRGSTLPLLEGPQTDGVTIGDKGECVITPSTDLKFDPGLKGKSKLNYLRNYWLLIGHHETPLSASTKMLERFPNNLPKHRENVIPADSEKRSFEEVINIVGQTSDNTPTTVSQDVEEKLARAPAKPEAPVDSMLKDMATIILSTFLLVGWVAFIITYPLSVHQQRQLQHQQFQKELEKIQLLQQQQLPFHPHGDLTQDPEFLDSSGPFSESSGTSSPSPSPRASNHSLHPSSSASRAGTSPSLEQDDEDEETRMVIVGKISFCPKDVLGHGAEGTIVYKGMFDNRDVAVKRILPECFSFADREVQLLRESDEHPNVIRYFCTEKDRQFQYIAIELCAATLQEYVEQKDFAHLGLEPITLLHQTTSGLAHLHSLNIVHRDLKPHNILLSMPNAHGRIKAMISDFGLCKKLAVGRHSFSRRSGVPGTEGWIAPEMLSEDCKDNPTYTVDIFSAGCVFYYVISEGNHPFGKSLQRQANILLGACNLDCFHSDKHEDVIARELIEKMIAMDPQQRPSAKHVLKHPFFWSLEKQLQFFQDVSDRIEKEALDGPIVRQLERGGRAVVKMDWRENITVPLQTDLRKFRTYKGGSVRDLLRAMRNKKHHYRELPVEVQETLGSIPDDFVRYFTSRFPHLLSHTYQAMELCRHERLFQTYYWHEPTEPQPPVIPYAL", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MQRYWRFQDNKIQDICFGVLGESWIQRPVMARYYSEGQSLQQDDSFIEGVSDQVLVAVVVSLALTATLLYALLRNVQQNIHPENQELVRVLREQFQTEQDVPAPARQQFYTEMYCPICLHQASFPVETNCGHLFCGSCIIAYWRYGSWLGAISCPICRQTVTLLLTVFGEDDQSQDVIRLRQDVNDYNRRFSGQPRSIMERIMDLPTLLRHAFREVFSVGGLFWMFRIRIMLCLMGAFFYLISPLDFVPEALFGILGFLDDFFVIFLLLIYISIMYREVITQRLTR", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MMMGFAVSDELLGTVAPIVVYWLYSGIYVALSSLESYRLHSKVEEEEKNLVSKSSVVKGVLVQQVVQAVVAILLFTVTGSDAEADKAQQFSFLVLARQFVTAMIVLDTWQYFMHRYMHQNKFLYKHIHSQHHRLIVPYAYGALYNHPVEGLLLDTIGGALSFLVSGMSPRTSIFFFSFATIKTVDDHCGLWLPGNLFHMVFKNNSAYHDIHHQLYGTKYNFSQPFFVMWDRILGTYMPYSLEKREDGGFEARPTKEFKDD", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNVGTAHSEVNPNTRVMNSRGIWLSYVLAIGLLHIVLLSIPFVSVPVVWTLTNLIHNMGMYIFLHTVKGTPFETPDQGKARLLTHWEQMDYGVQFTASRKFLTITPIVLYFLTSFYTKYDQIHFVLNTVSLMSVLIPKLPQLHGVRIFGINKY", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKKYRRALALVSCLSLCSLVWLPSWHVCCKESSSASTSYYSQDDNCAIGSEDTQFQKKNEREEPSNAELSGKSNSYLTISPEGNKIKDDYTVDVQDLETTKLSLPVVEALPTVDLHEESSSVVVGSETIENSSSSSTSERTPVSELDEVEKSGTLSIAKPGEVEQPEADCDAGEAPDADAPVEQPAFVSPPESLVGQHIENVSSSHGKEKVTKSEFESKVSVSEQDGGDPKSALNTSDTLKNESSDYTKPGETDPTSVTSPKDPEDIPTFDEWKKKVMEVEKEKSQSLHPSSNGGPHATKKVQKNRNNYASVECGAKILAANPEAKSTSAILIENMDLYMLNPCSTKIWFVIELCEPIQVKQFDIANYELFSSTPKDFLVSISDRYPTNKWIKLGTFHGRDERNVQSFPLDEQMYAKYVKMFIKYIKVELLSHFGSEHFCPLSLIRVFGTSMVEEYEEIADSQYQSERQELFDEDYDYPLDYNTVEDKSSKNLLGSATNAILNMVNIAANILGAKTEDLTEGNKSISENATATTEPKMTESTRVSTPVPSPEYVIKEVHTHDREPSTSDPPKESPIVQLVQEEEEEASPSTVTLLGSGEQEDESSSWFESETHILCSELTSICCISSFSEYIYKWCSVRIALYRQRSRTVSKGKDFVPPQPSLLLPVESVEVSVPQPPSGDVDSENMEREAETVDLDDLSSVHQGHLINHTVDTIELEPSYPQTLSQSLLLDVTPEMNSLSKVEGSESVKSEGGYIPSQLMTQESSVEFDDKTEKKTESFSSAEKLSVIYETSKVNEVMDNTVKEDILSTEVVTKFPETVVPPPMNTATVPEGESVETKPSIADTLKHTVTPVMDPSLPEVKEDEQSPEDALLRGLQRTATDFYAELQNSTDLGYGNGNLVHGSNQKESVFMRLNNRIKALEVNMSLSGRYLEELSQRYRKQMEEMQKAFNKTIVKLQNTSRIAEEQDQRQTEAIHLLQAQLTNMTQLVSNLSATVAELKREVSDRQSYLVMSLVLCVVLGLMLCMQRCRTTSQFDGDYISKLPKSNQYPSPKRCFSSYDDMNLKRRTSFPLIRSKSLQFTGKEVDPNDLYIVEPLKFSPEKKKKRCKYKTEKIETIKPADPLHPIANGDIKGRKPFTNQRDFSNMGEVYHSSYKGPPSEGSSETSSQSEESYFCGISACTSLCNGQTQKTKTEKRALKRRRSKVQDQGKLIKALIQTKSGSLPSLHDIIKGNKEITVGAFGVTAVSGHI", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAKKNSQLPSTSEQILERSTTGATFLMMGQLFTKLVTFILNNLLIRFLSPRIFGITAFLEFIQGTVLFFSRDAIRLSTLRISDSGNGIIDDDDEEEYQETHYKSKVLQTAVNFAYIPFWIGFPLSIGLIAWQYRNINAYFITLPFFRWSIFLIWLSIIVELLSEPFFIVNQFMLNYAARSRFESIAVTTGCIVNFIVVYAVQQSRYPMGVVTSDIDKEGIAILAFALGKLAHSITLLACYYWDYLKNFKPKKLFSTRLTKIKTRENNELKKGYPKSTSYFFQNDILQHFKKVYFQLCFKHLLTEGDKLIINSLCTVEEQGIYALLSNYGSLLTRLLFAPIEESLRLFLARLLSSHNPKNLKLSIEVLVNLTRFYIYLSLMIIVFGPANSSFLLQFLIGSKWSTTSVLDTIRVYCFYIPFLSLNGIFEAFFQSVATGDQILKHSYFMMAFSGIFLLNSWLLIEKLKLSIEGLILSNIINMVLRILYCGVFLNKFHRELFTDSSFFFNFKDFKTVIIAGSTICLLDWWFIGYVKNLQQFVVNVLFAMGLLALILVKERQTIQSFINKRAVSNSKDV", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQGACISESQPWYLHFVCFIGFLFLLRVLFIPLLKWFTTRFLLTPKRLKRYGSWAMVTGATEGIGRAFAHELAKHGLNLILVSRNLSKLESVSDDFQQEFPHIKIKIIPFDFSSEGGYGAIEEGIKGLEVGILINNVGITYPRAMFFHEVDQLTWTKILRVNLEATTWVTRSLIGPMLHRRRGAIVNISSGAAVVVPSHPLYAIYAATKAYVDALSRSLHVEYKQFGIDVQCQVPLYVSTRMVSEVAAIDKPSLFVPSPEVYAKAAVAQIGIGSRCSPFWAHSLQWFLVGLVPDNLVDTWRLSIGLRRRSLS", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAMAAEQWVLVEMVQALYEAPAYHLILEGILILWIIRLVFSKTYKLQERSDLTAKEKEELIEEWQPEPLVPPVSKNHPALNYNIVSGPPTHNIVVNGKECVNFASFNFLGLLANPRVKAAALASLKKYGVGTCGPRGFYGTFDVHLDLEERLAKFMRTEEAIIYSYGFSTIASAIPAYSKRGDIVFVDSAACFAIQKGLQASRSDIKLFKHNDVADLERLLKEQEIEDQKNPRKARVTRRFIVVEGLYMNTGTVCPLPELVKLKYKYKARIFLEESLSFGVLGEHGRGVTEHYGISIDDIDLISANMENALASVGGFCCGRSFVVDHQRLSGQGYCFSASLPPLLAAAAIEALNIMEENPGIFAVLKKKCQHIHKSLQGISGLKVVGESLSPALHLQLEESTGSREKDVQLLQEMVIHCMNEGIALTQARYLDKEEKCLPPPSIRVVVTVEQTEEELERAASTIREAAQAVLL", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MMLHSALGLCLLLVTVSSNLAIAIKKEKRPPQTLSRGWGDDITWVQTYEEGLFYAQKSKKPLMVIHHLEDCQYSQALKKVFAQNEEIQEMAQNKFIMLNLMHETTDKNLSPDGQYVPRIMFVDPSLTVRADIAGRYSNRLYTYEPRDLPLLIENMKKALRLIQSEL", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPGHLLQEEMTPSYTTTTTITAPPSGSLQNGREKVKTVPLYLEEDIRPEMKEDIYDPTYQDEEGPPPKLEYVWRNIILMALLHVGALYGITLVPSCKLYTCLFAFVYYVISIEGIGAGVHRLWSHRTYKARLPLRIFLIIANTMAFQNDVYEWARDHRAHHKFSETHADPHNSRRGFFFSHVGWLLVRKHPAVKEKGGKLDMSDLKAEKLVMFQRRYYKPGILLMCFILPTLVPWYCWGETFLNSFYVATLLRYAVVLNATWLVNSAAHLYGYRPYDKNIDPRQNALVSLGSMGEGFHNYHHAFPYDYSASEYRWHINFTTFFIDCMAALGLAYDRKRVSKATVLARIKRTGDGSHKSG", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGSKKLTVGSDSHRLSKSSFSSNKSSHSATKDQPIDTDDIDEDDESGHNIILNIISQLRPGCDLTRITLPTFILEKKSMLERVTNQLQFPEFLLQAHSEKDPLKRFLYVMKWYLAGWHIAPKAVKKPLNPVLGEYFTAYWDLPNKQQAYYISEQTSHHPPECAYFYMIPESSIRVDGVVIPKSRFLGNSSAAMMDGSTVLQFLDIKDGNGKPEKYVLTQPNVYVRGILFGKMRIELGDHMIIKSPNFQADIEFKTKGYVFGTYDAIEGTVKDYDGNAYYEISGKWNDVMYLKDLKQPRSSPKVFLDTHKESPLRPKVRPLSEQGEYESRKLWKKVTDALAVRNHPVATEEKFQIEDHQRQLAKKRIEDGVEFHPKLFRRSKPGEDLDYCIYKNIPVDEDPEKQIRSILQIAPILPGQQFTDKFFIPAFEKIKSQKKMIENEKQNPAKQ", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSSAAADHWAWLLVLSFVFGCNVLRILLPSFSSFMSRVLQKDAEQESQMRAEIQDMKQELSTVNMMDEFARYARLERKINKMTDKLKTHVKARTAQLAKIKWVISVAFYVLQAALMISLIWKYYSVPVAVVPSKWITPLDRLVAFPTRVAGGVGITCWILVCNKVVAIVLHPFS", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDGTSQAFKIIVATIIIGAIISTLGIFFTRKTIQKKLPAVFLIGPSDSGKTSLFCELIYKEKKTTVPSIEPNEAVWKYGAWLVDLPGHPRAKRWITTKFSGNYNVKAVVFVLNSATIDRDVHEVGLMLFDTILKCRKHHVPHLLIACNKFDLFTAQPAEKIQQLLKAELHNILEEKNLQLESIVSEDVDWESVADQIEDTDLKFLPGSVAKMSNIEEWISWMESALQ", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMAKNCNLVSVLCVFLVLTLFNKPITVAGQNIPAVGLFTFGDSNFDAGNKQTLTKTLLPQTFWPYGKSRDDPNGKFSDGLIAPDFLAKFMRIPIVIPPALQPNVNVSRGASFAVADATLLGAPVESLTLNQQVRKFNQMKAANWNDDFVKKSVFMIYIGANDYLNFTKNNPNADASTQQAFVTSVTNKLKNDISLLYSSGASKFVIQTLAPLGCLPIVRQEFNTGMDQCYEKLNDLAKQHNEKIGPMLNELARTAPASAPFQFTVFDFYNAILTRTQRNQNFRFFVTNASCCGVGTHDAYGCGFPNVHSRLCEYQRSYLFFDGRHNTEKAQEMFGHLLFGADTNVIQPMNIRELVVYPADEPMRESWVPPTSATVQLRESRGYEYY", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLFKISFLALIASALAMSINSPTNGDTWQTNGEEQITWNVVSTDEPSAALYLTNFAVYPTVTQYLDTVDTSTGSYTTNTTNWPTGQGFQINMAYPGRPEQIYAQSQQFNIVEGAASSSSSSSSSSSSLVSSTTSSSSSATPSTTSSSSSSSSSSSSSSSKSSSSSSKSSSRSSSRTTSHRTTSHKSSSYRPTVFPYTTISHYNITNATNGTYCNGTNGTNFTCIVNASNATNSTFWLNGTNSTNGTNSTNSTSTTSHSLTKLPTSSKSLTTSKTTASGHITKGVMEALSTNDTTNTTDDATNTTSDSSSSSSASASSSSSSSSAASLVSQPVGISAVIAFFAVALSLTL", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MESFFVFFTAAALPVVVAAAVIAGLCITAAWLARPRRVAEVFRRQGIDGPPPSSFLAGNLPEMKARVAAAASAAAPTADGEETASAGGGGGGRDFEKDGFDDYCTRIFPYFHKWRKAYGETYLYWLRRRPALYVTDPELIGEIGRCVSLDMGKPKYLQKGQEPLFGGGVLKANGACWARQRKVIAPEFYMARVRAMVQLMVDAAQPLIASWESRIDAAGGAAAAEVVVDGDLRSFSFDVISRACFGSDYSRGREIFLRLRELSGLMSETSVIFSIPSLRHLPTGKNRRIWRLTGEIRSLIMELVRERRCAARAAREHGGKAAPPSPPERDFLGSIIENSGGQPRPDDFVVDNCKNIYFAGHETSAVTATWCLMLLAAHPEWQDRARAEVLEVCGGDGAAAPAAPDFDMVSRMRTVGMVVQETLRLFPPSSFVVRETFRDMQLGRLLAPKGTYLFVPVSTMHHDVAAWGPTARLFDPSRFRDGVAAACKHPQASFMPFGLGARTCLGQNLALVEVKTLVAVVLARFEFTLSPEYRHSPAFRLIIEPEFGLRLRIRRAGGQDATSQVDTSTAPVHSSHN", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAETTSWIPVWFPLMVLGCFGLNWLVRKVNVWLYESSLGENRHYLPPGDLGWPFIGNMLSFLRAFKTSDPDSFTRTLIKRYGPKGIYKAHMFGNPSIIVTTSDTCRRVLTDDDAFKPGWPTSTMELIGRKSFVGISFEEHKRLRRLTAAPVNGHEALSTYIPYIEENVITVLDKWTKMGEFEFLTHLRKLTFRIIMYIFLSSESENVMDALEREYTALNYGVRAMAVNIPGFAYHRALKARKTLVAAFQSIVTERRNQRKQNILSNKKDMLDNLLNVKDEDGKTLDDEEIIDVLLMYLNAGHESSGHTIMWATVFLQEHPEVLQRAKAEQEMILKSRPEGQKGLSLKETRKMEFLSQVVDETLRVITFSLTAFREAKTDVEMNGYLIPKGWKVLTWFRDVHIDPEVFPDPRKFDPARWDNGFVPKAGAFLPFGAGSHLCPGNDLAKLEISIFLHHFLLKYQVKRSNPECPVMYLPHTRPTDNCLARISYQ", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDEVCAAPAPKKMVAKSLVLNTFRKASVKEVVELKKPWKKVLWRKQDYPDNFIDESFLNGLQRNVNIQVTDFWSLVADSLPVSQHLSSVVIFASVFVSIYRNQLSCALVGFVSNVSAVAAFILWDFVLRKPCNNRTFPNYMGIVKSCILIVLTLAGLSPILMSLTKSTSPDSVWAIAVWLFLANVFFHEYTTETIRPHVRLHNSLSTNAALSASVVLASRLEKSINVFFFILFAVHWFALFPIFRKYIHVFSFYADMLMTLVLIISAYIALNAVASVVIAFVFLSLIFFISFICPIWFIKLQRFKNEIHGPWDIALPKLGPSKG", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MFKDYYAILNITPKASAEEIKYAYKKAALETHPDRVSPSARARATEQFQLVNEAYYVLSDNSRRAQYDRESASSSAKPRQSFFSRTNPQPQSQSQQGGPSFGFRQSFSDSQFEQVFNEMMNETSTRGIANAFWTIVGTLAGAALGFITFDVPGVLVGSAAGAKLGRIRDTHGKSAYSVFQDMPAVEKARILTQFLSHLLNSSKQFTSS", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKNNYTSLKSSVDEEDELKTGHEIDLEKGPLPEHNSEGESTLPPYSDISKLANLVPEDSSTGPTETANPNVERRQEFKDLHPNIYSLLRLLIAVLAVSVVFFTAWGCVNPLEKSTFGKIAFFVLIGLTCLILLITMILEPGLIGISIMKRLIGDNGNDERDYFVENRLLSSPDCDARQHANSDTAIPLREMNPESEA", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAALESPLPGTPTAMRNAFGNVLSVLILVLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWGLNSLNIPLSVETVCVFTAPVFSAFASWATYLLTKEVKGSGAGLAAAALLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNALAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSPRLYIAYAPLVVLGTLLAALVPVVGFNAVLTSEHFASFLVFIIIHVVALVYYIKGILSPKMFKVAVTLVVSIGMVVCFIVVAILVALVASSPTGGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFSPLSDASSFVVLYIVMSVYFSGVMVRLMLVLAPAACIMSGIALSQAFDVFTGSIKYQLGASSNSTDDAEDNTSTNNAPKDDVSAGKTDKGEEIVKERSSKKGKKKEREPADKPSVKAKIKKKALVLPLEASIVALLLLIMLGAFYVIHCVWAAAEAYSAPSIVLTSQSRDGLHVFDDFRESYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLIGYPSDDINKFLWMVRIGGGVFPHIKEADYLRDGQYRIDSEATPTMLNSLMYKLSYYRFVETDGKGYDRVRRTEIGKKHFKLTHFEEVFTSHHWMVRLYKLKPPRNRIRGKAKKLKSKTSSGLSSKSAKKNPWV", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKDLFWHLVGIATGLKILLIPAYHSTDFEVHRNWLAITHSLPLNQWYVDATSEWTLDYPPFFAYFEWLLSQVAKYVDPRMLVVDNLNYESKATVYFQRLSVIVTDLVYVLGVRSCLGSLGLGRDTQQFFAASMLLLLNVGLIFVDHIHFQYNGLLFGILLLSIGSLIRQRFLWSAFAFAVLLNFKHIFLYMAPAFGVYLLRFYCLEQASVVSAVGAVVKLLVVGLTPFAVSFGPFWQQLPQVLSRLFPFKRGLTHAYWAPNFWALYNAADKLAAGVLKVQDGGASTTSGLVQEVRHSVLPAITPPVTFALTALFMLPILVKLFRSAKKQSPLVFLRAVVLCGCSSFVFGWHVHEKAILMVLLPLCLLTLVNREDARYAYVLGIAGYFSLFPLLFDADLYIPRYSLYMSYVAMMYGQLYRIFPGFRGFHTLEWLYMLGFMAIPLYEHLLSFLLHLDQRLPFLPLLLTSVYSALGVLYFFGAYYLYALGISWGKVPIASSTSAAAVKRKRKTK", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVLQKLPLIGLLLLLTIVASPANADGPVCPPSNKLSRASFPEGFLFGTATAAYQVEGAINETCRGPALWDIYCRRYPERCNNDNGDVAVDFFHRYKEDIQLMKNLNTDAFRMSIAWPRIFPHGRKEKGVSQAGVQFYHDLIDELIKNGITPFVTVFHWDTPQDLEDEYGGFLSERIVKDFREYADFVFQEYGGKVKHWITFNEPWVFSHAGYDVGKKAPGRCSSYVNAKCQDGRSGYEAYLVTHNLLISHAEAVEAYRKCEKCKGGKIGIAHSPAWFEAHDLADSQDGASIDRALDFILGWHLDTTTFGDYPQIMKDIVGHRLPKFTTEQKAKLKASTDFVGLNYYTSVFSNHLEKPDPSKPRWMQDSLITWESKNAQNYAIGSKPLTAALNVYSRGFRSLLKYIKDKYANPEIMIMENGYGEELGASDSVAVGTADHNRKYYLQRHLLSMQEAVCIDKVNVTGYFVWSLLDNFEWQDGYKNRFGLYYVDFKNNLTRYEKESGKYYKDFLSQGVRPSALKKDEL", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGKGASNKKVLERVPITKPPFEYNDLKKAVPPHCFSRPLFRSFYFLLHDIIVTCILFYVASNYIPMLPGFLSYIVWPVYWISQGVFLGRLWMIGHECGHHSFSNYRWVDDSVGFLIHTATLTPYFSFKYSHRNHHAHTNSMEYDEVHIPKRKSEALDLYFEFLGNNPMGLMITMLCKLTFGYAAYIMFNYTGKKHKSGGLASHFYPQSPLFNDSERNHVLFSDVGICIVLYACYRIVMVTGAMSAFYVYGIPWVIMSAILFAATYLQHTHPSIPHYDTTEWNWLRGALSTIDRDLGFFNMNKTHYHVIHHLFPVIPEYHAQEATEAIKPILGQYYKYDGTPFLKALWREMKDCIYVESDQGQKKQGIYWFKNKI", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGKLLQLALHPVEMKAALKLKFCRTPLFSIYDQSTSPYLLHCFELLNLTSRSFAAVIRELHPELRNCVTLFYLILRALDTIEDDMSIEHDLKIDLLRHFHEKLLLTKWSFDGNAPDVKDRAVLTDFESILIEFHKLKPEYQEVIKEITEKMGNGMADYILDENYNLNGLQTVHDYDVYCHYVAGLVGDGLTRLIVIAKFANESLYSNEQLYESMGLFLQKTNIIRDYNEDLVDGRSFWPKEIWSQYAPQLKDFMKPENEQLGLDCINHLVLNALSHVIDVLTYLAGIHEQSTFQFCAIPQVMAIATLALVFNNREVLHGNVKIRKGTTCYLILKSRTLRGCVEIFDYYLRDIKSKLAVQDPNFLKLNIQISKIEQFMEEMYQDKLPPNVKPNETPIFLKVKERSRYDDELVPTQQEEEYKFNMVLSIILSVLLGFYYIYTLHRA", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGKAASAKKVLERVPISKPPFEYNDLKKAVPPHCFSRPLSRSLYFLFHDIIVTCILFYVASNYIHMLPRFLSCIVWPVYWISQGVFLGRLWMIGHECGHHSFSNYRWVDDTVGFLIHTATLTPYFSFKYSHRNHHAHTNSMEYDEVHIPKRKSEALYFEFLGNNPIGLMITMLCKLTFGYAAYIMFNYTGKKHKSGGLASHFYPQSPLFNDSERNHVLFSDIGICIVLYACYRIVTVTGAMPAFYVYGIPWVIMSAILFAATYLQHTHPSIPHYDTTEWNWLRGALSTIDRDLGFFNMNKTHYHVIHHLFPVIPEYHAQEATEAIKPILGQYYKYDGTPFLKALWREMKECIYVESDEGQKKQGIYWFKNKT", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPILPILIGILHLSLAEDAKHLDGFSLPSLPSEHLIRYLNTFPKLKQQLPTNLTGKGTISSACWGHERDCTPAGRFQTPQCPGEHTGWARSKEAQVRTFYNQADFGYIQEQLSQLTPQCVPTYLGDSSLECTHYLRFCRGRNLLFDFRGLEQREERIRYHMDVLGPGQLLGHCKLNRTRLSGEMEHIGSALQSWGPELRNFDVLPHPVLESGLCDVVVNTPTFIMKIDATYNMYHHFCDFFNLYASLFVNQSHPAAFNTDVQILIWETYPYDSPFRDTFKAFSQRPVWTLSDVEGKRVCFKNVVLPLLPRMIFGLFYNTPIIQGCSNSGLFRAFSEFILHRLQIPYKPPQQKIRITYLSRRTKYRQVLNEDELLAPLEANDKYDVQRVSYERLPFTNQLAITRNTDILIGMHGAGLTHLLFLPNWACIFELYNCEDPNCYKDLARLRGVRYRTWEQRDLVYPQDEGHHPEGGAHAKFTNYSFDVKEFVHLVDGAAEEILSHKEFPRRASENPSKTQRNEL", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLSKFYYCKMRKGKSPSSFFSLHKKYLLTGVTILSFIFMFQYKYHEVLTVYEDKTNVQQSSRLFWTRLLFGRTRSRITGRIFNIEIPHSSRLYVYNFFIKYLNINKEEIKYPIESYKSLGDFFSRYIREDTRPIGDLNEYSIVSPCDSEIVDFGELTSNYLDNVKGIKFNIKTFLGSDMIKKYNDDSTSFYYAIFYLSPKKYHHFHAPFNFKYKIRRHISGEVFPVFQGMFKIINNLFDINERVILSGEWKGGHVYYAAISAYNVGNIKIVNDEDLLTNNLRTQLSYMGGDINTKIYDHYKDLEIGDEVGEFKVGSSIIVIFENKKNFKWNVKPNQQISVGERIGGVDQPKQPQNKFIKIRS", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MHSRGREIVVLLNPWSINEAVSSYCTYFIKQDSKSFGIMVSWKGIYFILTLFWGSFFGSIFMLSPFLPLMFVNPSWYRWINNRLVATWLTLPVALLETMFGVKVIITGDAFVPGERSVIIMNHRTRMDWMFLWNCLMRYSYLRLEKICLKASLKGVPGFGWAMQAAAYIFIHRKWKDDKSHFEDMIDYFCDIHEPLQLLIFPEGTDLTENSKSRSNAFAEKNGLQKYEYVLHPRTTGFTFVVDRLREGKNLDAVHDITVAYPHNIPQSEKHLLQGDFPREIHFHVHRYPIDTLPTSKEDLQLWCHKRWEEKEERLRSFYQGEKNFYFTGQSVIPPCKSELRVLVVKLLSILYWTLFSPAMCLLIYLYSLVKWYFIITIVIFVLQERIFGGLEIIELACYRLLHKQPHLNSKKNE", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSSITSRVSSRSSHELTEKKSGVTNDFKNSFEVGEVKRLPDAEGTADAVAQELLADDDFTYTAKEARRVLWKIDLVMMPVMCITYMIQYLDKTALSYAALYGMKTDTHIDGHTYSSMTTLFYAGYLVAQYPAAILMQKCRLSYFIFCNVFLWSAMVCLMAACRNGPSLLGLRFLAGIFEASITPAFINITAMWYRREEQPMRTLCWYAFNGIAQIIGSILSYGLGHIHGKVASWRYVFIVIGLMSLGWGVVFVFIPSNPSKARFLSSREKRIALERVRDNRTGLENKQFKWKHAYEAFLDPQVIMITLFTGVCMITNGIGVFSTLIIKGLGYNELHSAVLNMPLGAIEVAAMFISGVLCKVFKNGRLLIGVFMNCLTLAGCLMIWKIPDSNPYGRLVGVWFTMWVPASSALLLSLISSNVAGYTKKTVTSATVFVFYSVGNIVSPQLFKSGQTPEYIEGIQAMIVSLCIIIAIAFVLTGYYIYENKRRDRLLAEDPSLGESIKNEEFMDLTDRQQPKFRYRW", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "METDSGIPGHSFVLKWTKNIFSRTLRASNCVPRHVGFIMDGNRRFARKKEMDVKEGHEAGFVSMSRILELCYEAGVDTATVFAFSIENFKRSSREVESLMTLARERIRQITERGELACKYGVRIKIIGDLSLLDKSLLEDVRVAVETTKNNKRATLNICFPYTGREEILHAMKETIVQHKKGAAIDESTLESHLYTAGVPPLDLLIRTSGVSRLSDFLIWQASSKGVRIELLDCLWPEFGPIRMAWILLKFSFHKSFLNKEYRLEEGDYDEETNGDPIDLKEKKLN", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAPVLLRVSVPRWERVARYAVCAAGILLSIYAYHVEREKERDPEHRALCDLGPWVKCSAALASRWGRGFGLLGSIFGKDGVLNQPNSVFGLIFYILQLLLGMTASAVAALVLMTSSIVSVVGSLYLAYILYFVLKEFCIICVTTYVLNFLLLIINYKRLVYLNEAWKRQLQPKED", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGTTWRSPGRLRLALCLAGLALSLYALHVKAARARNEDYRALCDVGTAISCSRVFSSRWGRGFGLVEHVLGADSILNQSNSIFGCMFYTIQLLLGCLRGRWASILLILSSLVSVAGSLYLAWILFFVLYDFCIVCITTYAINAGLMLLSFQKVPEHKVKKP", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLINHLIVVLLISLVGTGGAEDDGLCSADQKSCAQSEPDQINEDEFSFKIRRQIEKANADYKPCSSDPQDSDCSCHANVLKRDLAPYKSTGVTRQMIESSARYGTKYKIYGHRLYRDANCMFPARCEGIEHFLLPLVATLPDMDLIINTRDYPQLNAAWGNAAGGPVFSFSKTKEYRDIMYPAWTFWAGGPATKLHPRGIGRWDQMREKLEKRAAAIPWSQKRSLGFFRGSRTSDERDSLILLSRRNPELVEAQYTKNQGWKSPKDTLDAPAADEVSFEDHCKYKYLFNFRGVAASFRLKHLFLCKSLVFHVGDEWQEFFYDQLKPWVHYVPLKSYPSQQEYEHILSFFKKNDALAQEIAQRGYDFIWEHLRMKDIKCYWRKLLKRYVKLLQYEVKPEDQLIYIGPKKDEL", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MALGARGWRRRSLLLLLLWVTGQAAPVLGLAVSSELQIQQSFVPDECPRTVHSGDFVRYHYVGTFLDGQKFDSSYDRDSTFNVFVGKGQLIAGMDQALVGMCVNERRLVTIPPNLAYGSEGVSGVIPPNSVLHFDVLLVDIWNSEDQVHIQTYFKPPSCPRTIQVSDFVRYHYNGTFLDGTLFDSSHNRMKTYDTYVGIGWLIPGMDKGLLGMCVGEKRIITVPPFLAYGEEGDGKDIPGQASLVFDVALLDLHNPKDTISIENKVVPENCERRSQSGDFLRYHYNGTLLDGTLFDSSYSRNHTFDTYIGQGYVIPGMDEGLLGVCIGERRRIVVPPHLGYGEKGRGSIPGSAVLVFDIHVIDFHNPSDSISITSHYKPPDCSVLSKKGDYLKYHYNASLLDGTLLDSTWNLGKTYNIVLGSGQVVLGMDMGLREMCVGEKRTVIIPPHLGYGEAGVDGEVPGSAVLVFDIELLELVSGLPEGYMFIWNGEVSPNLFEEIDRDGNGEVLLEEFSEYIHAQVATGKGKLAPGFNAEMIVKNMFTNQDRNGDGKVTAEEFKLKDQEAKHDEL", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKYWQAILFFLFGIAFANNLNIPWKACPNYKTYSGRRHYPATGPLRLPFQRPATSCRTFHSKSVEQTIEDVKEQLEDEDLARLFENCMPNTLDTTIRWHAADSHNPQTLVITGDIPAEWIRDSANQLLPYLPLAKSDSPLATLILGAIQTQAEMLIQFPYCNAFQPPKQSFLSGNDNGQSDRVTPAYDPAVVFECKYELDSLASFLKLSYTYWLYTKDQSIFTVKWLAAVERIIQVLEEQSSPSFDEKTGLPKDPVYTFLRNTDSGTETLGLAGRGFPLNANASLIRSAFRPSDDACVLQYFIPANAMMVVELSHLNQMLQASGHADIARTALVWANKIQKGIDQHGIVDHPKFGKVYAYEVDGYGSILFMDDANVPSLLSLPYLGFVERDDPVYVNTRKMILSSEGNPYYLKGKVISGIGGPHIGLRNVWPMSLIVQALTSDDDDEIMSLLDVLKHSTAGLGLMHESVDVSSFKSFTRPWFSWANSLFAELILDLLERKPHLLKKNAS", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGTLFRRNVQNQKSDSDENNKGGSVHNKRESRNHIHHQQGLGHKRRRGISGSAKRNERGKDFDRKRDGNGRKRWRDSRRLIFILGAFLGVLLPFSFGAYHVHNSDSDLFDNFVNFDSLKVYLDDWKDVLPQGISSFIDDIQAGNYSTSSLDDLSENFAVGKQLLRDYNIEAKHPVVMVPGVISTGIESWGVIGDDECDSSAHFRKRLWGSFYMLRTMVMDKVCWLKHVMLDPETGLDPPNFTLRAAQGFESTDYFIAGYWIWNKVFQNLGVIGYEPNKMTSAAYDWRLAYLDLERRDRYFTKLKEQIELFHQLSGEKVCLIGHSMGSQIIFYFMKWVEAEGPLYGNGGRGWVNEHIDSFINAAGTLLGAPKAVPALISGEMKDTIQLNTLAMYGLEKFFSRIERVKMLQTWGGIPSMLPKGEEVIWGDMKSSSEDALNNNTDTYGNFIRFERNTSDAFNKNLTMKDAINMTLSISPEWLQRRVHEQYSFGYSKNEEELRKNELHHKHWSNPMEVPLPEAPHMKIYCIYGVNNPTERAYVYKEEDDSSALNLTIDYESKQPVFLTEGDGTVPLVAHSMCHKWAQGASPYNPAGINVTIVEMKHQPDRFDIRGGAKSAEHVDILGSAELNDYILKIASGNGDLVEPRQLSNLSQWVSQMPFPM", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEDGHSKTVEQSLNFFGTDPERGLTLDQIKANQKKYGPNELPTEEGKSIWQLVLEQFDDLLVKILLLAAIISFVLALFEEHEETFTAFVEPLVILLILIANAVVGVWQERNAESAIEALKEYEPEMGKVVRQDKSGIQKVRAKEIVPGDLVEVSVGDKIPADIRITHIYSTTLRIDQSILTGESVSVIKHTDAIPDPRAVNQDKKNILFSGTNVAAGKARGVVIGTGLSTAIGKIRTEMSETEEIKTPLQQKLDEFGEQLSKVISVICVAVWAINIGHFNDPAHGGSWIKGAIYYFKIAVALAVAAIPEGLPAVITTCLALGTRRMAKKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQMSVSRMFIFDKVEGNDSSFLEFEMTGSTYEPIGEVFLNGQRIKAADYDTLQELSTICIMCNDSAIDYNEFKQAFEKVGEATETALIVLAEKLNSFSVNKSGLDRRSAAIACRGEIETKWKKEFTLEFSRDRKSMSSYCTPLKASRLGTGPKLFVKGAPEGVLERCTHARVGTTKVPLTSALKAKILALTGQYGTGRDTLRCLALAVADSPMKPDEMDLGDSTKFYQYEVNLTFVGVVGMLDPPRKEVFDSIVRCRAAGIRVIVITGDNKATAEAICRRIGVFAEDEDTTGKSYSGREFDDLSPTEQKAAVARSRLFSRVEPQHKSKIVEFLQSMNEISAMTGDGVNDAPALKKAEIGIAMGSGTAVAKSAAEMVLADDNFSSIVSAVEEGRAIYNNMKQFIRYLISSNIGEVVSIFLTAALGLPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMEKPPRKADEGLISGWLFFRYMAIGFYVGAATVGAAAWWFVFSDEGPKLSYWQLTHHLSCLGGGDEFKGVDCKIFSDPHAMTMALSVLVTIEMLNAMNSLSENQSLITMPPWCNLWLIGSMALSFTLHFVILYVDVLSTVFQVTPLSAEEWITVMKFSIPVVLLDETLKFVARKIADGESPIYKMHGIVLMWAVFFGLLYAMML", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAAGAAATHLEVARGKRAALFFAAVAIVLGLPLWWKTTETYRASLPYSQISGLNALQLRLMVPVTVVFTRESVPLDDQEKLPFTVVHEREIPLKYKMKIKCRFQKAYRRALDHEEEALSSGSVQEAEAMLDEPQEQAEGSLTVYVISEHSSLLPQDMMSYIGPKRTAVVRGIMHREAFNIIGRRIVQVAQAMSLTEDVLAAALADHLPEDKWSAEKRRPLKSSLGYEITFSLLNPDPKSHDVYWDIEGAVRRYVQPFLNALGAAGNFSVDSQILYYAMLGVNPRFDSASSSYYLDMHSLPHVINPVESRLGSSAASLYPVLNFLLYVPELAHSPLYIQDKDGAPVATNAFHSPRWGGIMVYNVDSKTYNASVLPVRVEVDMVRVMEVFLAQLRLLFGIAQPQLPPKCLLSGPTSEGLMTWELDRLLWARSVENLATATTTLTSLAQLLGKISNIVIKDDVASEVYKAVAAVQKSAEELASGHLASAFVASQEAVTSSELAFFDPSLLHLLYFPDDQKFAIYIPLFLPMAVPILLSLVKIFLETRKSWRKPEKTD", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MESETEPEPVTLLVKSPNQRHRDLELSGDRGWSVGHLKAHLSRVYPERPRPEDQRLIYSGKLLLDHQCLRDLLPKQEKRHVLHLVCNVKSPSKMPEINAKVAESTEEPAGSNRGQYPEDSSSDGLRQREVLRNLSSPGWENISRPEAAQQAFQGLGPGFSGYTPYGWLQLSWFQQIYARQYYMQYLAATAASGAFVPPPSAQEIPVVSAPAPAPIHNQFPAENQPANQNAAPQVVVNPGANQNLRMNAQGGPIVEEDDEINRDWLDWTYSAATFSVFLSILYFYSSLSRFLMVMGATVVMYLHHVGWFPFRPRPVQNFPNDGPPPDVVNQDPNNNLQEGTDPETEDPNHLPPDRDVLDGEQTSPSFMSTAWLVFKTFFASLLPEGPPAIAN", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MHVPGTRAKMSSIFAYQSSEVDWCESNFQHSELVAEFYNTFSNVFFLIFGPLMMFLMHPYAQKRTRCFYGVSVLFMLIGLFSMYFHMTLSFLGQLLDEISILWLLASGYSVWLPRCYFPKFVKGNRFYFSCLVTITTIISTFLTFVKPTVNAYALNSIAIHILYIVRTEYKKIRDDDLRHLIAVSVVLWAAALTSWISDRVLCSFWQRIHFYYLHSIWHVLISITFPYGIVTMALVDAKYEMPDKTLKVHYWPRDSWVIGLPYVEIQENDKNC", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAASRLELNLVRLLSRCEAMAAEKRDPDEWRLEKYVGALEDMLQALKVHASKPASEVINEYSWKVDFLKGMLQAEKLTSSSEKALANQFLAPGRVPTTARERVPATKTVHLQSRARYTSEMRSELLGTDSAEPEMDVRKRTGVAGSQPVSEKQLAAELDLVLQRHQNLQEKLAEEMLGLARSLKTNTLAAQSVIKKDNQTLSHSLKMADQNLEKLKTESERLEQHTQKSVNWLLWAMLIIVCFIFISMILFIRIMPKLK", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLKDLVREKLLTIMNTKAYTQFNPEQLLQLENEMKIYMKSGDSALTEGNYFFLMEMLFYVLVYRNQDVDAQVVYNTLRDRLGENSYKMVIMKATLLQINGNDKGAIEYLENLLNDDLEYETDFVTYVSIAKKLIAIKTTSKNLSQESVLKEVVALTDKFPLDAELWWYASEIYFEMGQFEKACYCLEQVLCITPFNYACFGRLSETLYYEALRSKKQTKTELLEKALKNALRSVELSELYLKGWALVNIISRELGRNKQNDLIKLSASKLKEISAKSNNKDKITAELILNKI", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MIVDTFTNRGSTFFSKLSTVLFFLCAVITFQGVIQRREVELDTPVYVHYAKYRSARFYHAFRNVRQQYAQVKFNMDADLSELWDWNTKHVVVYLVASYSTEKHEKNQVVVWDKILSSPEESKMFMKDTLSNIQAHPFNEYSNQFEGKNATYTLHWTVSPKMGFLSWGAGPGSYEIPFHKIITQPK", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKTHERAANLALAGLSLAPLVVKVEPNVNVILTACLAVYVGCYRSVKPTPPSETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWCAPFFHSLSVEFTKSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLAGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEDAVEEDTDSKQNKKEE", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSQLSLSWLGLWPVAASPWLLLLLVGASWLLAHVLAWTYAFYDNCRRLRCFPQPPRRNWFWGHQGMVNPTEEGMRVLTQLVATYPQGFKVWMGPISPLLSLCHPDIIRSVINASAAIAPKDKFFYSFLEPWLGDGLLLSAGDKWSRHRRMLTPAFHFNILKPYMKIFNESVNIMHAKWQLLASEGSACLDMFEHISLMTLDSLQKCVFSFDSHCQEKPSEYIAAILELSALVSKRHHEILLHIDFLYYLTPDGQRFRRACRLVHDFTDAVIQERRRTLPSQGVDDFLQAKAKSKTLDFIDVLLLSKDEDGKKLSDEDIRAEADTFMFEGHDTTASGLSWVLYHLAKHPEYQERCRQEVQELLKDREPKEIEWDDLAHLPFLTMCMKESLRLHPPVPVISRHVTQDIVLPDGRVIPKGIICLISVFGTHHNPAVWPDPEVYDPFRFDPENIKERSPLAFIPFSAGPRNCIGQTFAMAEMKVVLALTLLRFRVLPDHTEPRRKPELVLRAEGGLWLRVEPLS", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDTAEEDICRVCRSEGTPEKPLYHPCVCTGSIKFIHQECLVQWLKHSRKEYCELCKHRFAFTPIYSPDMPSRLPIQDIFAGLVTSIGTAIRYWFHYTLVAFAWLGVVPLTACRIYKCLFTGSVSSLLTLPLDMLSTENLLADCLQGCFVVTCTLCAFISLVWLREQIVHGGAPIWLEHAAPPFNAAGHHQNEAPAGGNGAENVAADQPANPPAENAVVGENPDAQDDQAEEEEEDNEEEDDAGVEDAADANNGAQDDMNWNALEWDRAAEELTWERMLGLDGSLVFLEHVFWVVSLNTLFILVFAFCPYHIGHFSLVGLGFEEHVQASHFEGLITTIVGYILLAITLIICHGLATLVKFHRSRRLLGVCYIVVKVSLLVVVEIGVFPLICGWWLDICSLEMFDATLKDRELSFQSAPGTTMFLHWLVGMVYVFYFASFILLLREVLRPGVLWFLRNLNDPDFNPVQEMIHLPIYRHLRRFILSVIVFGSIVLLMLWLPIRIIKSVLPNFLPYNVMLYSDAPVSELSLELLLLQVVLPALLEQGHTRQWLKGLVRAWTVTAGYLLDLHSYLLGDQEENENSANQQVNNNQHARNNNAIPVVGEGLHAAHQAILQQGGPVGFQPYRRPLNFPLRIFLLIVFMCITLLIASLICLTLPVFAGRWLMSFWTGTAKIHELYTAACGLYVCWLTIRAVTVMVAWMPQGRRVIFQKVKEWSLMIMKTLIVAVLLAGVVPLLLGLLFELVIVAPLRVPLDQTPLFYPWQDWALGVLHAKIIAAITLMGPQWWLKTVIEQVYANGIRNIDLHYIVRKLAAPVISVLLLSLCVPYVIASGVVPLLGVTAEMQNLVHRRIYPFLLMVVVLMAILSFQVRQFKRLYEHIKNDKYLVGQRLVNYERKSGKQGSSPPPPQSSQE", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRLHGEVSFDEDEEEVVMVPAAALSSSPLNGGAVPVTRLVVGYALTKKKVKSFLQPNLLLLARKKGINLVAIDDTRPLAEQGPFDVILHKITSKEWQQVLEDYHEEHPEVTVLDPPNAINHLNNRQSMLAEVSDLNLSSFYGEVCTPRQLVIMRDPSSIPTAVAMAGLTLPLVAKPLVVDGTSKSHELSLAYDEASLSMLDPPLVLQEFVNHGGILFKVYIIGETIQVVRRFSLPDVNTYDLLNNVGVYRFPRVSCAAASADHADLDPHISELPPRPLLEKLGKELRGRLGLRLFNIDMIRELGTKDRYYIIDINYFPGFGKMPGYEHIFTDFLLNLAQSKYKKCLSGG", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASLKVFLAVYLLGGITFLPLVLFTLYKIHLLYSNLKSASKKELDHDTADEIDEKTRLLARDIDPEFKARKLEEQLGVKVFNKGWITVTKQYYYHSSEVAVILKNSNNNKDSDTALQEQILQRTDLKKKQRFFAVLRHGNLFLYKDDSQNANLVHAISLQNRFITIWPRFDELGKEELPDASLFTKRTCIAIFKNDLVSIDSKNHNVILPHFDPLTSAESNNGDISTNDTTHEYQSQFHSSNQFFLYFDNNMDKEDWYYQLINASKNSNSLSTGLLDPNVSANAAHLKTKDMLQLIQDINSTENQLTTKWLNALLGRLFLSLQQTDTLNKFIHEKICKKLNKIKTPGFLDDLVVEKVDVGDSAPLFTSPELLELSPEGSTKIAIDVQYRGNLTIIIATKASINLGSRFKQREVSLQLSIKIKEFSGPLLFLIKPPPSNRIWYAFRTEPIMDFEIEPIVSSSKLSYNVVTNAIKSKFAEAVKESLVVPFMDDIVFYPTPNEVYRGGIWEEQDPEAAARARTAAAASDMNNTSAKEHLEALQEGGMKTQSRIKKALRPERKKENLKDLVDASGVATKTTTQTTVTTATNDDVSSSENSTKSRKYFKNSIKKIGRWYKDNVGNSSDTEDMDEIDVQDKKNDDSADERESDNPILTSNPKMISNRRPVPRRPSQPLNTLSPKLEGRKEKDTENFPVPPSASNMNASKMFANKENRKFSVSSNDSQNSLKNGDPHVKASKLESSQAFVKKTSQNRFNDGFFKQDLEFEEQREPKL", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDSLVVLVLCLSCLLLLSLWRQSSGRGKLPPGPTPLPVIGNILQIGIKDISKSLTNLSKVYGPVFTLYFGLKPIVVLHGYEAVKEALIDLGEEFSGRGIFPLAERANRGFGIVFSNGKKWKEIRRFSLMTLRNFGMGKRSIEDRVQEEARCLVEELRKTKASPCDPTFILGCAPCNVICSIIFHKRFDYKDQQFLNLMEKLNENIKILSSPWIQICNNFSPIIDYFPGTHNKLLKNVAFMKSYILEKVKEHQESMDMNNPQDFIDCFLMKMEKEKHNQPSEFTIESLENTAVDLFGAGTETTSTTLRYALLLLLKHPEVTAKVQEEIERVIGRNRSPCMQDRSHMPYTDAVVHEVQRYIDLLPTSLPHAVTCDIKFRNYLIPKGTTILISLTSVLHDNKEFPNPEMFDPHHFLDEGGNFKKSKYFMPFSAGKRICVGEALAGMELFLFLTSILQNFNLKSLVDPKNLDTTPVVNGFASVPPFYQLCFIPV", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKKKEIKQLKNEIKIALLNSDVETLSHIGKEGHGFLMKSLRKSVWVSLCGLSCRHRMECLSRSTSQSSYADQNQVHLDSERSFFQYKLNPFLLRKHRSQLTKLLSVVFKHYPELCYYQGLHDIAQILLLTLPFSHALPLMEHLVFYRLRDFMLPTLDGTVKQLQLILAVIKARDPTLYEYLIKADIQCYFALSWLITWFAHDVSDISVVCRLFDFFISSHPLTVVYTCAQVVLDNRTSIIELLWDNSGADLLHSYLCKLPASINVNQLIKNTCATISAVPFSSLPLDRYQISPYSCLRNTGDPWEYMSRSNGLLLFRLQLAELQEEKHKPGTKVPAVFLQENIFNGCNMLAAITVIGIGIVASQLIPKSTSNS", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "METRPRLGATCLLGFSFLLLVISSDGHNGLGKGFGDHIHWRTLEDGKKEAAASGLPLMVIIHKSWCGACKALKPKFAESTEISELSHNFVMVNLEDEEEPKDEDFSPDGGYIPRILFLDPSGKVHPEIINENGNPSYKYFYVSAEQVVQGMKEAQERLTGDAFRKKHLEDEL", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MHNFEEELTCPICYSIFEDPRVLPCSHTFCRNCLENVLQASGNFYIWRPLRIPLKCPNCRSIIEIASTGIESLPVNFALRAIIEKYQQEDHPDVVTCPEHYRQPLNVYCLLDKKLVCGHCLTIGQHHGHPIDDLQSAYLKEKDTPQKLLKQLTDTHWTDITRLIEKLEEQKCHSEKIVQGDKEVVLQYFKELIDTLEQKKKYFLAALCDVGKMINQEYTPQIQGMKEIREQQLELMTITTSLQDESPLKFLEKIDEVRQRVQMLKQRPLPEVQPVEIYPRVSNVLKEEWSRIEIGRIKKAVIPEMRVSSKRTPCSWSDNDEKEMELFKILNIAIVSLISVILMLILLFNHHIITFLNEITSICFSEVFLSVYQSLSKNLYDLNNTVCYTLYLLKEFMWKIVSR", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDSNFKWLLFAILISLTFSGFVLHHGVLAESVKPDEAKQLRDEVRGMFYHAFDGYMNNAFPLDELRPLSCQGEDTLGGYALTLIDSLDTLALLGDRERFTSSVEWIGKNLQFNINKTVSVFETTIRVLGGLLSAHLIASDYATGMRIPSYNNELLVLAENLARRMLPAFDTPTGIPFGSVNLMYGVDKHESKITSTAGGGTLSLEFGVLSRLTNDPVFEQVAKNAVRGLWARRSNLDLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYILFGDEEYLYIFQEAYRSAMQYLHKDPWYVEVNMDSAAIVWPVFNSLQAFWPGLQVLAGDVDPAIRTHTAFFSVWKRYGFTPEGFNLATLSVQYGQKSYPLRPELIESTYWLYKATRDPRYLDAGRDFVASLQYGAKCPCGYCHITDVELHKQEDHMESFFLAETVKYLWLLFDLAVDSDNLVDNGPYKYIFSTEGHLLPITPQISLAREHCSYFGGYCPSNSTKLEQEVLGEDSSNDDHSNDYPYHESFPVTGLIKGLCPGLTHAQKYGFSYVLPEKTDREDVNQPKPVVTSSSIVLISDQTVEKRPQEEEGFTSQSEPIMTISGGSSNDQTGQELTLLESETDDQRSYSS", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLISKSKMFKTFWILTSIVLLASATVDISKLQEFEEYQKFTNESLLWAPYRSNCYFGMRPRYVHESPLIMGIMWFNSLSQDGLHSLRHFATPQDKLQKYGWEVYDPRIGGKEVFIDEKNNLNLTVYFVKSKNGENWSVRVQGEPLDPKRPSTASVVLYFSQNGGEIDGKSSLAMIGHDGPNDMKFFGYSKELGEYHLTVKDNFGHYFKNPEYETMEVAPGSDCSKTSHLSLQIPDKEVWKARDVFQSLVSDSIRDILEKEETKQRPADLIPSVLTIRNLYNFNPGNFHYIQKTFDLTKKDGFQFDITYNKLGTTQSISTREQVTELITWSLNEINARFDKQFSFGEGPDSIESVEVKRRFALETLSNLLGGIGYFYGNQLIDRETEFDESQFTEIKLLNAKEEGPFELFTSVPSRGFFPRGFYWDEGFHLLQIMEYDFDLAFEILASWFEMIEDDSGWIAREIILGNEARSKVPQEFQVQNPNIANPPTLLLAFSEMLSRAIENIGDFNSDSYHQVMFNSRTAKFMTNNLEANPGLLTEYAKKIYPKLLKHYNWFRKSQTGLIDEYEEILEDEGIWDKIHKNEVYRWVGRTFTHCLPSGMDDYPRAQPPDVAELNVDALAWVGVMTRSMKQIAHVLKLTQDEQRYAQIEQEVVENLDLLHWSENDNCYCDISIDPEDDEIREFVCHEGYVSVLPFALKLIPKNSPKLEKVVALMSDPEKIFSDYGLLSLSRQDDYFGKDENYWRGPIWMNINYLCLDAMRYYYPEVILDVAGEASNAKKLYQSLKINLSNNIYKVWEEQGYCYENYSPIDGHGTGAEHFTGWTALVVNILGRF", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLEALFRDSVEEAINDSIKEGVVLAVYNTARDDQWLKSWFKGDDVSLDTLAEHSIWLRLVKDTEQFQLFEQVFPNVVVPSIYLIRAGKIELIIQGEDDRHWEKLLACIGIKDKKAGESSSRETNPGLAREEKSSRDVHRKNARERIAETTLEIQRREQLKQRKLAEEERERIIRLVRADRAERKALDETHHRTLDDDKPLDVHDYIKDAQKLHSSKCVLQIRMTDGKTLKHEFNSSETLNDVRKWVDVNRTDGDCPYSFHRGIPRVTFKDSDELKTLETLELTPRSALLLKPLETQNSGLSVTGMEGPSLLGRLYKGFSTWWHNDKDPEVTSQREETSKPNRHEVRSSTPLSGAASSSCFQYNNVREPVQSSAHASPMLTPSGTRYPSETNLTTSRSVSPNVFQFVNNDHQEDPEDPTTFNGNNVHLEKKKDEDKK", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MALHYFLQYDVQILCIALMFSIFRVCISTAIDFTSPKLDEFSLIMENGEILLTSWLNRSVHIEIFDERKFIGKFLCTDREGAAILSNTTEYNKGFSRALGLVVIPGKHIKSFSVRA", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNMSVLTLQEYEFEKQFNENEAIQWMQENWKKSFLFSALYAAFIFGGRHLMNKRAKFELRKPLVLWSLTLAVFSIFGALRTGAYMLYILMTKGLKQSVCDQSFYNGPVSKFWAYAFVLSKAPELGDTIFIILRKQKLIFLHWYHHITVLLYSWYSYKDMVAGGGWFMTMNYGVHAVMYSYYALRAAGFRVSRKFAMFITLSQITQMLMGCVINYLVFNWMQHDNDQCYSHFQNIFWSSLMYLSYLVLFCHFFFEAYIGKVKKATKAE", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASTADGDMGETLEQMRGLWPGVEDLSLNKLATSLGASEQALRLIFSIFLGYPLALFYRHYLFYKDSYLIHLFHTFTGLSIAYFNFGHQFYHSLLCVVLQFLILRLMGRTVTAVITTLCFQMAYLLAGYYYTATGDYDIKWTMPHCVLTLKLIGLCIDYYDGGKDGNSLTSEQQKYAIRGVPSLLEVAGFSYFYGAFLVGPQFSMNHYMKLVRGQLTDIPGKMPNSTIPALKRLSLGLVYLVGYTLLSPHITDDYLLTEDYDNRPFWFRCMYMLIWGKFVLYKYVTCWLVTEGVCILSGLGFNGFDENGTVRWDACANMKVWLFETTPRFNGTIASFNINTNAWVARYIFKRLKFLGNKELSQGLSLLFLALWHGLHSGYLICFQMEFLIVIVEKQVSSLIRDSPALSSLASITALQPFYYLVQQTIHWLFMGYSMTAFCLFTWDKWLKVYRSIYFLGHVFFLSLLFILPYIHKAMVPRKEKLKKRE", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAAPVLLRVSVPRWERVARYAVCAAGILLSIYAYHVEREKERDPEHRALCDLGPWVKCSAALASRWGRGFGLLGSIFGKDGVLNQPNSVFGLIFYILQLLLGMTASAVAALILMTSSIMSVVGSLYLAYILYFVLKEFCIICIVTYVLNFLLLIINYKRLVYLNEAWKRQLQPKQD", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MYRKWDLCITRHLLPYIEHSVIPIIALLVLSLIFYILYICFGTTSYILSGIILGAYVNSLFHNNHSVILNIKHPELGEPLKPYQTPLPPELEAPLQLLISKLTQHYINGWYKHVSEDPSFIREVQSTIEYIMRQFYAYVSSQESSHIIYELLKNAISTTTLVLSDLNHFRSKKIPLTEFALRYPESAVSKLLDQASIERTLRAQASAMIVKFSRPEDSACLPLHCLLREVLAMQVFKRITTHCSSPRFVNRCIILYFSSSEDKSDCLAKKNYVNKCLMAKALKDYPVHTNIDPDAGKLSFDDAFYEAHIELHYQFLKEASLNTLIKDKKMLKFIITVRPVHLHVSPWVVYRRYRGFKTLYYLLKKQSARNGRAVPSFPVWRGNTYEKFREGLYFFIEALLHDSHFATNVDVRKFFAKSMRSHPLVDDIYNGFDVDKKHQSSSVPTLPNLTNISRVLSNKTSKSAKPKRSERTGLLSHQSTLAPEPLSQQRDSFELCTTGYRDTGSCTSDDEDSIHEPYRPASTQPTENPPAMPDHNGSPTTEPPKPNAFELKEERLKEIISGGFALVDELCSLNSKLWFFRKSVLTIMKTTVLHGPGRFSAQVERMLKNQIYDKLSNTQLVGDLLTSLILNVWPDEKKAMESHSTRAHRRSTESKISFDSEADSLFEEASKSVPEDPVSVFCDEESDESLRLRAEKTLVENALSENFTLMLGQSTSEESLKIIFELLQEPQFVQGFLAHLLSNALRSII", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MYNNNSSTSSDSSNSEEKANAQHASSTDSTSEHTDPAVADEGFPAEQYQSADLEKQQLLIEEGPGGFPYITNPERFYVSLEPSDPRLAVNWPTHVKILHVALLAFTTLTASWGSSVFSAAATIFAAKYHIGLTVALLGMSLYVCGFASGPILWAPISELVGRKIPLIVGMFMFSIFSIAVAVAKDVQTVMICRFFSGFCASSPLSVVAAAFADMFDNKTRGPAVCIFACITFAGPLIGPIAGGFLAKSYLGWRWTEYITSFMGFFSTLCLLFMKECYSRTITEQEAARLRVEYNNNFIRAKSEEEYIDFKALAKRYLAVPFVLLFCEPIVFLLTLYMSFVYGILYLLLEAYPIIFAEKRHFSLGVDALPYIGLLVGVILGAALIAYFQGYYNRKLDANGGKPVPEARLPPMMIGSVLFPAGIFWLAWSGYYTHVHWIVPTLSGLLTGCGILTIFMQSLIYLIDAYLFRAASVIAANTIMRSLVAAGFPLFAVQMFHNMGIGWAGSLLGFIATALIPIPTLFFIFGKRIRLMSKNTVNL", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MINVESPLNLETTLGSLQFSHGSVQNIGMSISMIIGCELGDKSFIVTALLAYQYGRASVFFGSYLALFFMTSFAVLVGRAAPFLFPKSITHILGGTLFLIFGVKMLKESKEVRESQQSLENEFDKVEKIIVNEEDMKKTLELGLPASNRSSSTLKDKFFKVFSMSCFKNLFSKKFSRAFIKAFALIFVSELGDRSQIATIVMSAKEKVLDVFIGVNIGHMLCTMVAVIVGRYISNKIEMYKVLFFGGIVFMIFGILYIFQGF", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTLNNVARPDLCVSYKKIAPPKGLYSATPSISGVVNQSMPMAAIFLRNKFIAWFSLIQSVHYYLNTDEDIIVAYKENKAPSPMDQPPAIKLFMSLIGLCVCYMNLVFPQQIAQPSSSGSKGNTETTIETTTEVETETAKQ", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MARGERRRRAAAAEGARPLERARGAGRRDGRAGGARGSAGGAALAVVVLALAFGLSGRWVLAWLGVRRALTLHPAPSALPPDSSSPAVAPEFFWGTYRPHVYFGMKTRSPKPLLTGLMWAQQGATPGTPPKLRHTCEQGDGVGPYGWEFHDGLSFGRQHIYDGALRLTTEFVKRSGGHHGGDWSWRVTVEPQASGTPSFPLVSLFFYVVTDGQEVLLPEVGAKGQLKFISGHTSELGDFRLTLLPPTTPGDTVPKHGSYNVFWSSNPGLPLLTDMVKSHLNSWFHHRPPGASPERYLGLPGSLKWEERGPSGQGQFLVQQVTLKAPFSVEFVFESGSARTGRDQASEQLVGGQLTRALESHAAAFKERFERTFQLKEKGLSPEEQALGQVALSGLLGGIGYFYGQGLVLPDTGMEGSEQKMDPSLFPPVPLFSGVPSRSFFPRGFLWDEGFHQLVVQRWDPHLTREALGHWLGLLNADGWIGREQILGDEARARVPPEFLVQRAAHANPPTLLLPVIHMLEGRAPEDLAFLRRAFPRLHAWFSWLHQSQAGPVPLSYRWRGRDLALPTLLNPKTLPSGLDDYPRASHPSAAERHLDLRCWVTLGARVLSQLAEELGETEAAAELGPLAASLEAAGSLDELHWAPELGVFADFGNHTKAVQLKSRPPQGLVRVVGRPPARLQYVDALGYVSLFPLLLQLLEPSSPRLGPLLDVLADSRHLWSPFGLRSLSASSLFYKQRNTEHDPPYWRGAVWLNINYLALGALHHYGRVEGPHKVQAAKLYRELRANVVSNVRQQYQATGFLWEQYSDQDGRGMGCRPFQGWTSLVLLIMAEEY", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MANRGEPDPKKSTESICSLTKPQLYSLYDDDVVRSEDNEIYEELKRSVSIDSTKYSRDQTIDSTFYLAHKVGGSLPRNTVSSNNLERILSASSIHENFPSRTRQTRQNILHYLQAVLILSLSGFAYHELSRNLHDNHLLHPDFASRPLLLGVKLCNWLSNGVLPNWLGYGVEGLLFGSVVPILDNIFQTEVVKSSVHHDSLTSVIRSINAMLGVTFGIRKIQWNSSLQAAGAWGLLNIILWLFFDGSISMLMSCICIGVGCCISCYKDIIDGSQFLYFMDFYFLGSLMFGKLGRYLYSH", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGGKSEPAKSESMATKPDLLNTSFFSFKSLKLKTKQQELLLRISILGLVYILAFIARLFSVLRYESMIHEFDPYFNYRTTLFLTEKGFYEFWNWFDSESWYPLGRIIGGTLYPGLMVTAALIYWTLRFLRFFVHIREVCVLTAPFFASNTTLVAYFFGKELWDTGAGLVAAVLIAICPGYISRSVAGSYDNEAVAIFALLLTFYLFVKAVNTGSLAWALASAFGYFYMVSAWGGYVFIINLVPLYVLVLLITGRYSMRLYIAYNCMYILGMLLAMQIRFVGFQHVQSGEHMGAMGVFLLMQVFYFLDWVKYQLNDTKLFQTFLRITVTSAILVGGVAVGVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDYHILLFLFPAGLYFCFKRLTDATIFIVMYGLTSLYFAGVMVRLILVATPAVCLISAIAVSATIKNLTSLLRTKQKVSQTGSTKGAGSSKASSKVTLDQSQPFQKNGAIALLVGVFYLLSRYAIHCTWVTAEAYSSPSIVLAARGAHGNRIIFDDYREAYYWLRQNTATDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDDAYDIMRSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEFRVDKGASPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRARGVEIGNKDIKLEHLEEAYTTSNWIVRIYRVKPPTNRL", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSYWVELWESIFTPGVTPVLAKSAHVACGALVAVFLGLYIGTKSIHCLILFFLAICLWLSLTWFLVELAHARVNNDLQMSSQSANKNDDNSNNQNPSNNKEMSDKESDSATTTQTFSVPEELLRARTTANNS", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVSWKGIYFILFLFAGSFFGSIFMLGPILPLMFINLSWYRWISSRLVATWLTLPVALLETMFGVRVVITGDAFVPGERSVIIMNHRTRVDWMFLWNCLMRYSYLRVEKICLKSSLKSVPGFGWAMQVAAFIFIHRKWKDDKSHFEDMIDYFCAIHEPLQLLIFPEGTDLTENNKARSNDFAEKNGLQKYEYVLHPRTTGFTFVVDRLREGKNLDAVHDITVAYPYNIPQTEKHLLLGDFPKEIHFHVQRYPADSLPTSKEDLQLWCHRRWEEKEERLRSFYQGEKNFHFTGQSTVPPCKSELRVLVVKLLSIVYWALFCSAMCLLIYLYSPVRWYFIISIVFFVLQERIFGGLEIIELACYRFLHKHPHLNSKKNE", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAQGYGYYRTVIFSAMFGGYSLYYFNRKTFSFVMPSLVEEIPLDKDDLGFITSSQSAAYAISKFVSGVLSDQMSARWLFSSGLLLVGLVNIFFAWSSTVPVFAALWFLNGLAQGLGWPPCGKVLRKWFEPSQFGTWWAILSTSMNLAGGLGPILATILAQSYSWRSTLALSGALCVVVSFLCLLLIHNEPADVGLRNLDPMPSEGKKGSLKEESTLQELLLSPYLWVLSTGYLVVFGVKTCCTDWGQFFLIQEKGQSALVGSSYMSALEVGGLVGSIAAGYLSDRAMAKAGLSNYGNPRHGLLLFMMAGMTVSMYLFRVTVTSDSPKLWILVLGAVFGFSSYGPIALFGVIANESAPPNLCGTSHAIVGLMANVGGFLAGLPFSTIAKHYSWSTAFWVAEVICAASTAAFFLLRNIRTKMGRVSKKAE", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEIEEASRESGHVVCGSWIRRPKKVNWVLIAKASKRRGSSVSSPALLNIFSFDPITASLSSSPLATHTLKDSDGDPVAVSVHPGGDYFVCSTSKGGCKLFELVGGATGITILAKELLPLQNAGLQKCMAFSFDGSKLAVGGVDGCLRIMEWPNLSVILDEPKAHKSIRDMDFSLDSEFLATTSTDGSARIWKAEDGFPLSTLERSGDENIELCRFSKDGTKPFLFCAAQRGDTPMVNVYDISTWKKLGFKKLSRKTASTMAVSLDGKYIALGGKDGDVSVAEVKTMEIYHYSKRLHLGQSIASLEFCPSERVMLTTSSEWGEMVTKLTVPKEWKEWQIYALLFCLFMASVIAAYVFFENSDSFWKLPMGKDQKRPKISLFGGSSSTPSEDHSRWNLDL", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVPENRRKQLAIFVVVTYLLTFYCVYSATKTSVSFLQVTLKLNEGFNLMVLSIFILLNSTLLWQLLTKLLFGELRLIEHEHIFERLPFTIINTLFMSSLFHERYFFTVAFFGLLLLYLKVFHWILKDRLEALLQSINDSTTMKTLIFSRFSFNLVLLAVVDYQIITRCISSIYTNQKSDIESTSLYLIQVMEFTMLLIDLLNLFLQTCLNFWEFYRSQQSLSNENNHIVHGDPTDENTVESDQSQPVLNDDDDDDDDDRQFTGLEGKFMYEKAIDVFTRFLKTALHLSMLIPFRMPMMLLKDVVWDILALYQSGTSLWKIWRNNKQLDDTLVTVTVEQLQNSANDDNICIICMDELIHSPNQQTWKNKNKKPKRLPCGHILHLSCLKNWMERSQTCPICRLPVFDEKGNVVQTTFTSNSDITTQTTVTDSTGIATDQQGFANEVDLLPTRTTSPDIRIVPTQNIDTLAMRTRSTSTPSPTWYTFPLHKTGDNSVGSSRSAYEFLITNSDEKENGIPVKLTIENHEVNSLHGDGGEQIAKKIVIPDKFIQHI", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MFRTAVMMAASLALTGAVVAHAYYLKHQFYPTVVYLTKSSPSMAVLYIQAFVLVFLLGKVMGKVFFGQLRAAEMEHLLERSWYAVTETCLAFTVFRDDFSPRFVALFTLLLFLKCFHWLAEDRVDFMERSPNISWLFHCRIVSLMFLLGILDFLFVSHAYHSILTRGASVQLVFGFEYAILMTMVLTIFIKYVLHSVDLQSENPWDNKAVYMLYTELFTGFIKVLLYMAFMTIMIKVHTFPLFAIRPMYLAMRQFKKAVTDAIMSRRAIRNMNTLYPDATPEELQAVDNVCIICREEMVTGAKRLPCNHIFHTSCLRSWFQRQQTCPTCRMDVLRASLPAQSPPPPEPADQGPPPAPHPQPLLPQPPNFPQGLLPPFPPGMFPLWPPMGPFPPVPPPPSSGEAAAPPPTSTAVSRPSGAATTTAAGTSTSAPAPGSVPGPEAGPAPGFPFPPPWMGMPLPPPFAFPPMPVPPAGFAGLTPEELRALEGHERQHLEARLQSLRNIHTLLDAAMLQINQYLTVLASLGPPRPATSVNPTEETASTVVSAAPSTSAPSSEAPTPSPGASPPIPEAEKPPAPESVGIVEELPEDGEPDAAELRRRRLQKLESPVAH", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDSESESESNPSTTDEFDRFLDAPDEFYYDCLPIRSNSHQPSSLLRRRKSAHRRDLISSDIETEPSSSSDGFDVGEKSSYVEKNAELRGDIDTSDVIESTKDSIDLSSEKENDLDVISSSGNDMDVIDSGRNRVDPFQEESTVTTVSSDDQGDDDYAGSVPQFREPPNSTEWSLLGFLVGLVIKAIEFQVSFMTSLLTFPPWLLRNCFLFFFDPFSTIRFGRRFLMARVAGISDMIFGYMNPFRLKDTKQMLSIVCKFGWGMFWAVYVGIVLFGLLVSSLMIGGYVINRIADKPFEVKETLNFDYTKNSPEAYVPISSCAGVECEGSCKESNEMSKIRGLRVIPRDQKLDIILSMTLPESAYNKNLGMFQVRVDFLSVDGQTIASIRRPCMLRFRSEPIRLVQTFFKVVPLVTGYVSEIQTLSLKLKGFVEKDIPTACLKIIIEQRAEFRPGAGIPELYDASLSVESGLPFFRKIIWKWRKTLFVWISMSLFITELLFTLVCCRPLIIPRTQPRDRSPSNPTGVWR", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MIEKDKRTIAFIHPDLGIGGAERLVVDAALGLQQQGHSVIIYTSHCDKSHCFEEVKNGQLKVEVYGDFLPTNFLGRFFIVFATIRQLYLVIQLILQKKVNAYQLIIIDQLSTCIPLLHIFSSATLMFYCHFPDQLLAQRAGLLKKIYRLPFDLIEQFSVSAADTVVVNSNFTKNTFHQTFKYLSNDPDVIYPCVDLSTIEIEDIDKKFFKTVFNEGDRFYLSINRFEKKKDVALAIKAFALSEDQINDNVKLVICGGYDERVAENVEYLKELQSLADEYELSHTTIYYQEIKRVSDLESFKTNNSKIIFLTSISSSLKELLLERTEMLLYTPAYEHFGIVPLEAMKLGKPVLAVNNGGPLETIKSYVAGENESSATGWLKPAVPIQWATAIDESRKILQNGSVNFERNGPLRVKKYFSREAMTQSFEENVEKVIWKEKKYYPWEIFGISFSNFILHMAFIKILPNNPWPFLFMATFMVLYFKNYLWGIYWAFVFALSYPYEEI", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEKGHSDLPRQPERVAQNPFLTFDQDSFPSSYGSSLNVSEQTSGSSSTSPLPQISCLLRKDDVPLANKELSRSLIHVQELSRYPPFYNQDHQHLGVPRSRVGSDVWKMREKSFLSPSQFSSIDLSWVYRSKEEDDDFHDPKSSVVSLMGEEDYLGWSRFCDLFFLFVLSLGIGLLFIVFPALTFTGNITPSKEKFDAIMANQITDHLFAHMRVPRTNLIDKDTPSTAYHRTGYNGRKYNLVFSDEFNKEGRSFYSGNDQFWEAVNIHYAATNDLDWYDPDAITTVNGTLAIQLDAFWNRDLNFRSGMLQSWNKLCLKGGIIEVSASLAGSGEHAGLWPGIWTLGNLARPGYMATTDGVWPYAYSQCDVGITPNQSSYDGISYLPGQKLPNCVCLNEDHPSPGVGRGAPEIDILEGSTEKLHPDDELDIGVVSQSGQFAPFDFFWLPNYDYLAVYNDSITHMNSYVGGPFQQALSGITTLNNTWYGGNAFQIYGFDYKPGEGTNGYVSWFVGPNYTWSMLGSAVGQNGNVGPRQISEEPMSIIFNLGISNNWAYYYFRDLSFPAVMYIDYIRIYQDPDDTNSHIGCDPPGYPTTKYIEEHPLAYKNPNATTWEMAGYTWPKNSLMHKCNT", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAWPNVFQRGSLLSQFSHHHVVVFLLTFFSYSLLHASRKTFSNVKVSISEQWTPSAFNTSVELPVEIWSSNHLFPSAEKATLFLGTLDTIFLFSYAVGLFISGIVGDRLNLRWVLSFGMCSSALVVFVFGALTEWLRFYNKWLYCCLWIVNGLLQSTGWPCVVAVMGNWFGKAGRGVVFGLWSACASVGNILGACLASSVLQYGYEYAFLVTASVQFAGGIVIFFGLLVSPEEIGLSGIEAEENFEEDSHRPLINGGENEDEYEPNYSIQDDSSVAQVKAISFYQACCLPGVIPYSLAYACLKLVNYSFFFWLPFYLSNNFGWKEAEADKLSIWYDVGGIIGGTLQGFISDVLQKRAPVLALSLLLAVGSLIGYSRSPNDKSINALLMTVTGFFIGGPSNMISSAISADLGRQELIQRSSEALATVTGIVDGSGSIGAAVGQYLVSLIRDKLGWMWVFYFFILMTSCTIVFISPLIVREIFSLVLRRQAHILRE", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGFIKSTLLATVTVFVGLCGINRFFTLPKCIRYHFRYFACHTFLAISSAYGVIASVVARLCGYPVMGQYLTAKAYYGLASTILDFRFKIENEEILRKHKSAVLVVNHQSELDILAIGRTFGPNYSVIAKKSLRYVPILGWFMILSDVVFIDRSRRSDAIQLFAKAARRMRKENISIWVFAEGTRSYSLKPCLLPLKKGAFHLAVQAQVPIIPIAIQTYGHLFHPPTKVFNKGEALIKVLDPIPTEGKTAEDVNDLLHETETAMNNALVEIDDYGKVKKQ", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MWLPLLLGALLWAVLWLLRDRQSLPASNAFVFITGCDSGFGRLLALQLDQRGFRVLASCLTPSGAEDLQRVASSRLHTTLLDITDPQSVQQAAKWVEMHVKEAGLFGLVNNAGVAGIIGPTPWLTRDDFQRVLNVNTMGPIGVTLALLPLLQQARGRVINITSVLGRLAANGGGYCVSKFGLEAFSDSLRRDVAHFGIRVSIVEPGFFRTPVTNLESLEKTLQACWARLPPATQAHYGGAFLTKYLKMQQRIMNLICDPDLTKVSRCLEHALTARHPRTRYSPGWDAKLLWLPASYLPASLVDAVLTWVLPKPAQAVY", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKILLFVTLIALAFVALCSAEGNVVVLSPDNFDTVVDGSKTVFVKFYAPWCGHCKKLAPDFEILADTFAPVSNKVVIAKVDCDQADNKALCSKYDVSGYPTLKIFDKSTTAKDYNGARSVDELLTYINNHAKTNVKVKKAPSNVVDLSPSNFDSVVLDKSKNVLVEFYAPWCGHCKKLMPDYEILGNTYANEKDVVIAKIDCDAADNKAICSKYGVTGFPTLKWFGKQSKDGEKYEQGRDLDTFINYINKQAGVNRVKGGKLAVGAGRVEQLDTIATEFIAAAAEVRKELVKKAQTVVDSLPEELRTEGSYYVKVMKTIAEKSIDFVTTEIARITKLVSGSMSGKKADEFAKKLNILESFKSK", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSQSYYMNDLDDLRSLESSTLNKKDTAINELNPEQNDTRRSTDLLLEDKYGIQTGFSKYWKKCTYGIREYCKFIGPGFLIAVAYIDPGNYSTDLDAGSRFQYKLLFIVFLSNLFAVYLQSLCIRLGSVTGMDLARNCREHYNRYICWSFYVLAEIAIIATDIAEVIGTAVALKILMHIPLVAGVVITILDVLLVLIAWRPEGSMLSVRIFETAVALLVLVVAISFAVVLGRVHIGGAGTVFKGFLPSSTVFSREGLYSSIGILGATVMPHSLFLGSGLVQTRLRDLDVRRGNYTPVGDCSDYRPTHETIKHSLTYSIVEVALSLFTFALFTNSSILIVAGAVFYNTSGADTSDLFSIYDLLKEYVSISCGRLFAVALLFSGMSAGYVCTIAGQIVSEGYINWNLRPWLRRVITRAIAIIPCLVVSAAVGQSGLNQVLNASQVCLSILLPFLTFPLVMFTCSRKVMRVVSDSTNEETGQLIRETHDYSLGWTMTIVTWAIWLFLTALNLLLIVWLGMGVSF", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNLKLSAIESYFFHRSRLNLHSCFYVGIKLNELPKKSQLIAALKYTVIQHERLTCNVFYDELKKENFLQNILEPLKFCDLVEYRHDWDQLGETEINHIFQRYNFSYNENKPLWKILILPNQNQMLLLTDHVLMDGMSAIHVWETFMEGLQMQQPVEIDETIYSPSLNSSTEKIMSAPLYGDWPIPWNWHIVRQLVSRLHYWFPQTVVKNNRNLIQFANYSFPKDLLDDKPSDGTQKYKVKNTNHQWEFRLSPTHLNDILQECKANNTSLTSLLGALVCTSFEKIAAHEYTGSFLKIELPMNIRKPFERVLKLPSDDKLAVGNFIAVIEFNHKLHQNRGIWDIASQIQRAIRSSSEDKIIDKVNEVKLLEVISSQQYIEDKISLNNGPSSTFEVTNLGFQTFKDACNTSLPFYIVDATFNEPQGISSIFSLSVISTPGNGLHCCISYPNTLTKVLEPHWQYMKDYLNLY", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MWVALGMLWLLALGGPHQAWSFCPSQCSCSLHILSDGSKARTVVCSDPDLTLPPASIPPDTCKLRLERTAIRRVPGETFRPLSRLEQLWLPYNALSELSTLMLRGLRRLRELRLPGNHLVTFPWAALKDTPQLQLLDLQANRLSTLPPEAVHFLENLTFLDLSNNQLMRLPEELLDTWAHLKTGPYLSSRRTRLVLGLQDNPWVCDCRLYDLVHLLDGWASNLIFIEARLRCGSPRSLAGVAFSQLELRKCQSPELRPGVTSIISPLGSTVLLRCGATGIPGPEMSWRRANGRPLNGTVHQEVSSDGSSWTLLDLPVVSLFDSGDYICQAKNFLGASETLISLIVTEPQTSTEYTGIPGALWARTGEGAEAAAYNNKLVARHVPHVPEPVALATKPSVPSIKEELPLQNFQMDVPGEFSREPSEHQETQMVRSLKVVGDTYHSVSLVWKAPQAGNTTAFSVLYAVFGQRDMRRMTVEAGKTSVTIEGLAPKTKYVACVCVRGLVPTKEQCVIFSTDEVVDAEGTQRLINMVVISVAAIIALPPTLLVCCGALRRRCHKCRAGGSAEASGAYVNLERLGHSEDGSEELSRSSLSEADRLLSARSSLDSQVLGVRGGRRINEYFC", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAVARHGYRPWGSILGLLGLALAAAAAWDVASLRCTFGSFCECDFWPDLPGLECDLAQHLAGQHLAKALVVKSLKAFVQDPAPSKPLVLSLHGWTGTGKSYVSSLLAQHLFRDGLRSPHVHHFSPIIHFPHPSRTEQYKKELKSWVQGNLTACGRSLFLFDEMDKLPPGLMEVLQPFLGPSWVVYGTNYRKAIFIFISNAGGEQINQVALEAWRSHRDREEISLQEVEPVISRAVMDNPQHGFWRSGIMEEHLLDAVVPFLPLQRHHVRHCVLNELAQLGLEPSEEVVQAVLDSTTYFPEVEQLFSSNGCKTVASRLTFFL", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGNPVVIKAKKDYDCVFEPEPMSWLRLQYYRYQVTAGTYLFTYKEAFVFNTVVFIIVFLTGWAAKSIIVKLLPSLWRLSTLIPSFFASFFMSLLGKDASSQ", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIWFWSTLLVTAIAVLSTANESSSGQEKLAVESILFVFAHPDDESMFFGPTIDYLGNQHSTRVHVLCLSNGNADGLGSVREKELVVAASKYQIDKTNVHVVSDPQLQDGMQAKWDPTDVAKHISQIIERYNIKTLITFDNKGISGHPNHIACYEGAMKIVKATPQVQVFVLESVNIFRKYISYLDTIPTLVQSQAGRNDTIIIHADRKSTQRIRDAMVRGHKSQMVWFRYGWIYLSKYMSNNVLKRAT", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNTTTSTVIAAVADQFQSLNSSSSCFLKVHVPSIENPFGIELWPIFSKVFEYFSGYPAEQFEFIHNKTFLANGYHAVSIIIVYYIIIFGGQAILRALNASPLKFKLLFEIHNLFLTSISLVLWLLMLEQLVPMVYHNGLFWSICSKEAFAPKLVTLYYLNYLTKFVELIDTVFLVLRRKKLLFLHTYHHGATALLCYTQLIGRTSVEWVVILLNLGVHVIMYWYYFLSSCGIRVWWKQWVTRFQIIQFLIDLVFVYFATYTFYAHKYLDGILPNKGTCYGTQAAAAYGYLILTSYLLLFISFYIQSYKKGGKKTVKKESEVSGSVASGSSTGVKTSNTKVSSRKA", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVAFLSAWPWENFGNLKYLLYAPLAAQVVYSWVYEEDISKVLWCIHILIICGLKALVHELWSVFNNMLFVTRTLRINPKGIDFKQIDHEWHWDNYIILQAIIVSLICYMSPPLMMMINSLPLWNTKGLIALIVLHVTFSEPLYYFLHRSFHRNNYFFTHYHSFHHSSPVPHPMTAGNATLLENIILCVVAGVPLIGCCLFGVGSLSAIYGYAVMFDFMRCLGHCNVEIFSHKLFEILPVLRYLIYTPTYHSLHHQEMGTNFCLFMPLFDVLGDTQNPNSWELQKKIRLSAGERKRVPEFVFLAHGVDVMSAMHAPFVFRSFASMPYTTRIFLLPMWPFTFCVMLGMWAWSKTFLFSFYTLRNNLCQTWGVPRFGFQYFLPFATKGINDQIEAAILRADKIGVKVISLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILYEIPKDVNEVFLTGATSKLGRAIALYLCRRGVRVLMLTLSMERFQKIQKEAPVEFQNNLVQVTKYNAAQHCKTWIVGKWLTPREQSWAPAGTHFHQFVVPPILKFRRNCTYGDLAAMKLPKDVEGLGTCEYTMERGVVHACHAGGVVHMLEGWKHHEVGAIDVDRIDLVWEAAMKYGLSAVSSLTN", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDLLWMPLLLVAACVSAVHSSPEVNAGVSSIHITKPVHILEERSLLVLTPAGLTQMLNQTRFLMVLFHNPSSKQSRNLAEELGKAVEIMGKGKNGIGFGKVDITIEKELQQEFGITKAPELKLFFEGNRSEPISCKGVVESAALVVWLRRQISQKAFLFNSSEQVAEFVISRPLVIVGFFQDLEEEVAELFYDVIKDFPELTFGVITIGNVIGRFHVTLDSVLVFKKGKIVNRQKLINDSTNKQELNRVIKQHLTDFVIEYNTENKDLISELHIMSHMLLFVSKSSESYGIIIQHYKLASKEFQNKILFILVDADEPRNGRVFKYFRVTEVDIPSVQILNLSSDARYKMPSDDITYESLKKFGRSFLSKNATKHQSSEEIPKYWDQGLVKQLVGKNFNVVVFDKEKDVFVMFYAPWSKKCKMLFPLLEELGRKYQNHSTIIIAKIDVTANDIQLMYLDRYPFFRLFPSGSQQAVLYKGEHTLKGFSDFLESHIKTKIEDEDELLSVEQNEVIEEEVLAEEKEVPMMRKGLPEQQSPELENMTKYVSKLEEPAGKKKTSEEVVVVVAKPKGPPVQKKKPKVKEEL", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDAFSSFFDSQPGSRSWSYDSLKNFRQISPAVQNHLKRVYLTLCCALVASAFGAYLHVLWNIGGILTTIGCIGTMIWLLSCPPYEHQKRLSLLFVSAVLEGASVGPLIKVAIDVDPSILITAFVGTAIAFVCFSAAAMLARRREYLYLGGLLSSGLSMLMWLQFASSIFGGSASIFKFELYFGLLIFVGYMVVDTQEIIEKAHLGDMDYVKHSLTLFTDFVAVFVRILIIMLKNSADKEEKKKKRRN", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MESALPAAGFLYWVGAGTVAYLALRISYSLFTALRVWGVGNEAGVGPGLGEWAVVTGSTDGIGKSYAEELAKHGMKVVLISRSKDKLDQVSSEIKEKFKVETRTIAVDFASEDIYDKIKTGLAGLEIGILVNNVGMSYEYPEYFLDVPDLDNVIKKMININILSVCKMTQLVLPGMVERSKGAILNISSGSGMLPVPLLTIYSATKTFVDFFSQCLHEEYRSKGVFVQSVLPYFVATKLAKIRKPTLDKPSPETFVKSAIKTVGLQSRTNGYLIHALMGSIISNLPSWIYLKIVMNMNKSTRAHYLKKTKKN", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MIDRTKNESPAFEESPLTPNVSNLKPFPSQSNKISTPVTDHRRRRSSSVISHVEQETFEDENDQQMLPNMNATWVDQRGAWLIHIVVIVLLRLFYSLFGSTPKWTWTLTNMTYIIGFYIMFHLVKGTPFDFNGGAYDNLTMWEQINDETLYTPTRKFLLIVPIVLFLISNQYYRNDMTLFLSNLAVTVLIGVVPKLGITHRLRISIPGITGRAQIS", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLSLLSSDSSLLSLLFLFLIPCLFITSYIGFPVFLLKLIGLIKIKAARDNEKRDEGTYVVREDGLQRELMPRHVAFILDGNRRWAKRAGLTTSQGHEAGAKRLIDIAELCFELGVHTVSAFAFSTENWGRDKIEIDNLMSLIQHYRNKSNIKFFHRSEVRVSVIGNKTKIPESLLKEIHEIEEATKGYKNKHLIMAVDYSGKFDIMHACKSLVKKSEKGLIREEDVDEALIERELLTNCSDFPSPDLMIRTSGEQRISNFFLWQLAYSELFFSPVFWPDFDKDKLLEALASYQRRERRFGCRV", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPVGGLLPLFSSPAGGGLGGGLGGGLGGGGGGGGRKGSGPSAFRLTEKFVLLLVFSAFITLCFGAIFFLPDSSKLLSGVLFHSSPALQPAADHKPGPGARAEDAADGRARPGEEGAPGDPAAALEDNLARIRENHERALMEAKETLQKLPEEIQRDILMEKEKVAQDQMSNRMGFRLPPVYLVPLIGAIDREPADAAVREKRAKIKEMMKHAWNNYKLYAWGKNELKPVSKGGHSSSLFGNIKGATIVDALDTLFIMKMKNEFEEAKAWVEEHLNFNVNAEVSVFEVNIRFIGGLISAYYLSGEEIFRKKAVELGVKLLPAFYTPSGIPWALLNIKSGIGRNWPWASGGSSILAEFGTLHLEFIHLSYLSGNPFFAEKVMNIRKVLNNLEKPQGLYPNYLNPNSGQWGQYHVSVGGLGDSFYEYLLKAWLMSDKTDLEAKKMYFDAIKAIETHLIRKSRNGLTYIAEWKGGLLEHKMGHLTCFAGGMFALGADDAPDGLTQHYLQLGAEIARTCHESYSRTFVKLGPEAFRFDGGVEAIATRQNEKYYILRPEVVETYLYMWRLTHDPKYRKWAWEAVEALEKHCRVNGGYSGLRDVYVSAQTYDDVQQSFFLAETLKYLYLIFSDDDLLPLEHWIFNTEAHPLPVLSRNIKKVEDNEK", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MWDSLIVSINDTHKLGLEDCLAVFGHVPITKAVKHVRLTEIDTQTSTFTLKFLHTETGQNIEKIIYFIDNDTGNDTRTATGIKQIFNKMFRIAAEKRKLSLIQIDTVEYPCTLVDLLILVGVALPPLCYLYRPALHAIFFLVPNPVGSTLEAWLDSDLVLRLIIVAEFLTHALETLIFVVPRLKYYRVPGEFVPEWLLLGLLEGYGPARRLDTKARTLGEGSVN", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAVGPPQQQVRMAHQQVWAALEVALRVPCLYIIDAIFNSYPDSSQSRFCIVLQIFLRLFGVFASSIVLILSQRSLFKFYTYSSAFLLAATSVLVNYYASLHIDFYGAYNTSAFGIELLPRKGPSLWMALIVLQLTFGIGYVTLLQIHSIYSQLIILDLLVPVIGLITELPLHIRETLLFTSSLILTLNTVFVLAVKLKWFYYSTRYVYLLVRHMYRIYGLQLLMEDTWKRIRFPDILRVFWLTRVTAQATVLMYILRMANETDSFFISWDDFWDLICNLIISGCDSTLTVLGMSAVISSVAHYLGLGILAFIGSTEEDDRRLGFVAPVLFFILALQTGLSGLRPEERLIRLSRNMCLLLTAVLHFIHGMTDPVLMSLSASHVSSFRRHFPVLFVSACLFILPVLLSYVLWHHYALNTWLFAVTAFCVELCLKVIVSLTVYTLFMIDGYYNVLWEKLDDYVYYVRSTGSIIEFIFGVVMFGNGAYTMMFESGSKIRAFMMCLHAYFNIYLQAKNGWKTFMNRRTAVKKINSLPEIKGSRLQEINDVCAICYHEFTTSARITPCNHYFHALCLRKWLYIQDTCPMCHQKVYIEDDIKDNSNVSNNNGFIPPNETPEEAVREAAAESDRELNEDDSTDCDDDVQRERNGVIQHTGAAAEEFNDDTD", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEPNIYQLALAALFGASFVAVSGFFMHFKALNLVLERGKERKENPDGDEPQNPTLVRRRSQVRRKVNDQYGRSPASLPDATPFTDGGGGGGGDTGRSNGHVYVDEIPPGLPRLHTPSEGRASVHGASSIRKTGSFVRPISPKSPVASASAFESVEESDDDDNLTNSEGLDASYLQANGDNEMPADANEEQISMAASSMIRSHSVSGDLHGVQPDPIAADILRKEPEQETFVRLNVPLEVPTSDEVEAYKCLQECLELRKRYVFQETVAPWEKEVISDPSTPKPNTEPFAHYPQGKSDHCFEMQDGVVHVFANKDAKEDLFPVADATAFFTDLHHVLKVIAAGNIRTLCHRRLVLLEQKFNLHLMLNADKEFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLREVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGEITKQVFSDLEASKYQMAEYRISIYGRKMSEWDQLASWIVNNDLYSENVVWLIQLPRLYNIYKDMGIVTSFQNILDNIFIPLFEATVDPDSHPQLHVFLKQVVGFDLVDDESKPERRPTKHMPTPAQWTNAFNPAFSYYVYYCYANLYVLNKLRESKGMTTITLRPHSGEAGDIDHLAATFLTCHSIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPVFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSACDLCEIARNSVYQSGFSHALKSHWIGKDYYKRGPDGNDIHKTNVPHIRVEFRDTIWKEEMQQVYLGKAVISDEVVP", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTGEEWGLTVLSFLVRVGFFLFGIYQDANFKVRYTDIDYFVFHDAAKYVYEGKSPYARDTYRYTPLLSWLLVPNHYFGWFHLGKVIFVIFDLVTGLIIMKLLNQAISRKRALILESIWLLNPMVITISTRGNAESVLCCLIMFTLFFLQKSRYTLAGILYGLSIHFKIYPIIYCIPIAIFIYYNKRNQGPRTQLTSLLNIGLSTLTTLLGCGWAMYKIYGYEFLDQAYLYHLYRTDHRHNFSVWNMLLYLDSANKENGESNLSRYAFVPQLLLVLVTGCLEWWNPTFDNLLRVLFVQTFAFVTYNKVCTSQYFVWYLIFLPFYLSRTHIGWKKGLLMATLWVGTQGIWLSQGYYLEFEGKNVFYPGLFIASVLFFVTNVWLLGQFITDIKIPTQPTVSNKKNN", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTCRIAIRKYSFILCLAVGSVTIYTSEVIGTPLYFVNKELYNKYIAFTKSFAGILFTALVQLFSPTPVTLTYDPELRNLFYLDRNGCLETIAAERNIVIANHQLYSDWMYVWWLSYTAKQHGHVYIMLKNSLKWLPVIGWGMQLFRFIFLSRKWDKDYETMSRHFKFIRNVRDSVSLILFPEGTNLVESTYQRSRVYADKIGVKMPKHLMLPRVRGLFYSISQLRDSMTYLYDYTFYFSDPSPKKYAADAFSLPKLFFEGVPIKRLHIHVRRFPISEIPTEEDQFTDWLYQRWYEKDKLIDTLLETGNFPGPKKLHTTVRLKHRLEILSLFSVLFTCIVAGLFLKLFISH", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKIAKGLVGLLILYKNVCQVLCKSGSSVKSGSPWSIKNDGKSIIHDTLNSSYSDIENLNSRVSPLLFDLTEKSDYMRFYRLNLFNKECRYNLDDNVACGSSACNVLVTDEQDVPEVWSSKSLGKLEGFMPELSRQIVETDRSVMEHVDKISQSCLLERLDDEAHQYCYVDNELDSGCVYVSLLENPERFTGYSGPHSTRIWEMIYNQCLPDSSAPTIDFPALFMQGPLAPPPKPQEQLLKERMDAWTLEQRVFYRVLSGMHSSISTHLCHGYLNQRNGVWGPNLQCFQEKVLNYPERLENLYFAYALMQRAIDKLYGHLDSLTFCHDSVLQDSEVRQKIAGLVSLIHNSPKMFDETMLFAGDPSISTALKEDFREHFKTVSALMDCVGCERCRLWGKIQTNGFGTALKILFEVSNIEDEVTNFDSRSFSLRLRRSEIVALINTFDRLSRSINFVDDFKQIYSEQHKPASFKRRVLRRIKQLLFSVTPVALHPFLQKTSSILVDLYFDFKAEWDNVMLGFRYVFASYLRFPRLFKFVLFSQESPFLNWTSHHLQRYIPKNWFPEVASV", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFLSLPTLTVLIPLVSLAGLFYSASVEENFPQGCTSTASLCFYSLLLPITIPVYVFFHLWTWMGIKLFRHN", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASAVRGSRPWPRLGLQLQFAALLLGTLSPQVHTLRPENLLLVSTLDGSLHALSKQTGDLKWTLRDDPVIEGPMYVTEMAFLSDPADGSLYILGTQKQQGLMKLPFTIPELVHASPCRSSDGVFYTGRKQDAWFVVDPESGETQMTLTTEGPSTPRLYIGRTQYTVTMHDPRAPALRWNTTYRRYSAPPMDGSPGKYMSHLASCGMGLLLTVDPGSGTVLWTQDLGVPVMGVYTWHQDGLRQLPHLTLARDTLHFLALRWGHIRLPASGPRDTATLFSTLDTQLLMTLYVGKDETGFYVSKALVHTGVALVPRGLTLAPADGPTTDEVTLQVSGEREGSPSTAVRYPSGSVALPSQWLLIGHHELPPVLHTTMLRVHPTLGSGTAETRPPENTQAPAFFLELLSLSREKLWDSELHPEEKTPDSYLGLGPQDLLAASLTAVLLGGWILFVMRQQQPQVVEKQQETPLAPADFAHISQDAQSLHSGASRRSQKRLQSPSKQAQPLDDPEAEQLTVVGKISFNPKDVLGRGAGGTFVFRGQFEGRAVAVKRLLRECFGLVRREVQLLQESDRHPNVLRYFCTERGPQFHYIALELCRASLQEYVENPDLDRGGLEPEVVLQQLMSGLAHLHSLHIVHRDLKPGNILITGPDSQGLGRVVLSDFGLCKKLPAGRCSFSLHSGIPGTEGWMAPELLQLLPPDSPTSAVDIFSAGCVFYYVLSGGSHPFGDSLYRQANILTGAPCLAHLEEEVHDKVVARDLVGAMLSPLPQPRPSAPQVLAHPFFWSRAKQLQFFQDVSDWLEKESEQEPLVRALEAGGCAVVRDNWHEHISMPLQTDLRKFRSYKGTSVRDLLRAVRNKKHHYRELPVEVRQALGQVPDGFVQYFTNRFPRLLLHTHRAMRSCASESLFLPYYPPDSEARRPCPGATGR", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTEGTCLRRRGGPYKTEPATDLGRWRLNCERGRQTWTYLQDERAGREQTGLEAYALGLDTKNYFKDLPKAHTAFEGALNGMTFYVGLQAEDGHWTGDYGGPLFLLPGLLITCHVARIPLPAGYREEIVRYLRSVQLPDGGWGLHIEDKSTVFGTALNYVSLRILGVGPDDPDLVRARNILHKKGGAVAIPSWGKFWLAVLNVYSWEGLNTLFPEMWLFPDWAPAHPSTLWCHCRQVYLPMSYCYAVRLSAAEDPLVQSLRQELYVEDFASIDWLAQRNNVAPDELYTPHSWLLRVVYALLNLYEHHHSAHLRQRAVQKLYEHIVADDRFTKSISIGPISKTINMLVRWYVDGPASTAFQEHVSRIPDYLWMGLDGMKMQGTNGSQIWDTAFAIQALLEAGGHHRPEFSSCLQKAHEFLRLSQVPDNPPDYQKYYRQMRKGGFSFSTLDCGWIVSDCTAEALKAVLLLQEKCPHVTEHIPRERLCDAVAVLLNMRNPDGGFATYETKRGGHLLELLNPSEVFGDIMIDYTYVECTSAVMQALKYFHKRFPEHRAAEIRETLTQGLEFCRRQQRADGSWEGSWGVCFTYGTWFGLEAFACMGQTYRDGTACAEVSRACDFLLSRQMADGGWGEDFESCEERRYLQSAQSQIHNTCWAMMGLMAVRHPDIEAQERGVRCLLEKQLPNGDWPQENIAGVFNKSCAISYTSYRNIFPIWALGRFSQLYPERALAGHP", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSNNSNKRAPTTATQRLKQDYLRIKKDPVPYICAEPLPSNILEWHYVVRGPEMTPYEGGYYHGKLIFPREFPFKPPSIYMITPNGRFKCNTRLCLSITDFHPDTWNPAWSVSTILTGLLSFMVEKGPTLGSIETSDFTKKQLAAQSLVFNLKDKVFCELFPEVVEEIKQKQKAQDELSNRPQNLPLPDVVPDGELHRGQHGIQLLNGHAPAAGPNLAGLPQANRHHGLLGGALANLFVIVGFAAFAYTVKYVLRSIAQE", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MCDSHQKEEDNANTSERALFFNYHEFSYSFYEDLGSEDAKPTEHDEDHKLCITHFPNVYAARGSAEFQVTRVVRVPRRFDESRSSLETPQFSTQLPGSEPAAIVGDDGTSFVRCGRYDIGDHVFGCSSVSPLSEYLSAAELAEVVHRVNGFLLREEGEVFGWRNLSGLLLDMLTGGLWSWVLGPLLSRPVFQESLALEQYVAQLNSPGGLLHERGVRLVLPRRSGCLSLDFVVPRPK", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVSDWKNFCLEKASRFRPTIDRPFFNIYLWDYFNRAVGWATAGRFQPKDFEFTVGKQPLSEPRPVLLFIAMYYVVIFGGRSLVKSCKPLKLRFISQVHNLMLTSVSFLWLILMVEQMLPIVYRHGLYFAVCNVESWTQPMETLYYLNYMTKFVEFADTVLMVLKHRKLTFLHTYHHGATALLCYNQLVGYTAVTWVPVTLNLAVHVLMYWYYFLSASGIRVWWKAWVTRLQIVQFMLDLIVVYYVLYQKIVAAYFKNACTPQCEDCLGSMTAIAAGAAILTSYLFLFISFYIEVYKRGSASGKKKINKNN", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNNSKGWILSLSINAFCVFGASGIYLDKLVNKWFGYEVLDLANSDNALVTGLATSSGILLYSSWASVMQESFHFLEKISMFDTFLVRVFQFCAFFFGGIVFYIFNHFLHKWLHESSQTGFLHDHFSVSDPSPSTAQNHRSPPASCKRLSSCESSGSPSSRVVGSLQGSYCPSGTHLHEGSLLLEDSSARHSSDSVHEYLVKKPSNCDCECHAHFSSFPTHSGTPDDIEHIHSVYTMGIQTALLICLHKVPEGFITFLASTVDTGFMVLVAMSIHNIVEGFTIAYPLYLAWKSRPKAFLTAATLSSCSLPLGSLIAFLVMEAGGIGSSDFLNFLYGIIFAGTAGMMLILSLRVILPEALRHDHSENKRHSFICFTIGILFTLFLEIFDSH", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAGLWLGLVWQKLLLWGAASALSLAGASLVLSLLQRVASYARKWQQMRPIPTVARAYPLVGHALLMKPDGREFFQQIIEYTEEYRHMPLLKLWVGPVPMVALYNAENVEVILTSSKQIDKSSMYKFLEPWLGLGLLTSTGNKWRSRRKMLTPTFHFTILEDFLDIMNEQANILVKKLEKHINQEAFNCFFYITLCALDIICETAMGKNIGAQSNDDSEYVRAVYRMSEMIFRRIKMPWLWLDLWYLMFKEGWEHKKSLQILHTFTNSVIAERANEMNANEDCRGDGRGSAPSKNKRRAFLDLLLSVTDDEGNRLSHEDIREEVDTFMFEGHDTTAAAINWSLYLLGSNPEVQKKVDHELDDVFGKSDRPATVEDLKKLRYLECVIKETLRLFPSVPLFARSVSEDCEVAGYRVLKGTEAVIIPYALHRDPRYFPNPEEFQPERFFPENAQGRHPYAYVPFSAGPRNCIGQKFAVMEEKTILSCILRHFWIESNQKREELGLEGQLILRPSNGIWIKLKRRNADER", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLFSFRAIVLFYCCMLTFAGIGFLWNPKFVVESGLVALIGASMEVKPLIVTQDNLSTLALSGLVFLILGMIYTISLLQSNFLFFSGITPIRAIFDFILTGFIYLKKEHIASNSLTFTFAFCDLMWQFWMFAAMSEERAKYLKNQKKAEELAARKAREVEES", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MWTCLCQLCFYLLSTLAVAALSIAALVLYKTKPYPNIKRHKDEETFLDPHTIKTVTFPSLEDSPSLELSVIVPAYNEEQRLPSMLDECLAFLEQKSAGTPNFTYEVIVVSDGSQDATVSVALGYSKKHGAEKVRVLELIENRGKGGAVRMGMLSARGRNLLFADADGATKFPDYDKLEVALKQLAPEWRDDGIAIGSRAHLENDAIATRSFFRTILMHGFHFLVWLFAVRSIRDTQCGFKLFTRTTARKLFTSLHVERWAFDVELLYLAENLKLPMSEVAVRWTEIDGSKLTPFWSWLQMGRDLFMIWVRYLVGAWRIASIQKKEK", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLLLAAAFLVAFVLLLYMVSPLISPKPLALPGAHVVVTGGSSGIGKCIAIECYKQGAFITLVARNEDKLLQAKKEIEMHSINDKQVVLCISVDVSQDYNQVENVIKQAQEKLGPVDMLVNCAGMAVSGKFEDLEVSTFERLMSINYLGSVYPSRAVITTMKERRVGRIVFVSSQAGQLGLFGFTAYSASKFAIRGLAEALQMEVKPYNVYITVAYPPDTDTPGFAEENRTKPLETRLISETTSVCKPEQVAKQIVKDAIQGNFNSSLGSDGYMLSALTCGMAPVTSITEGLQQVVTMGLFRTIALFYLGSFDSIVRRCMMQREKSENADKTA", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGSIDAAVLGSEKKSNPGKATILALGKAFPHQLVMQEYLVDGYFKTTKCDDPELKQKLTRLCKTTTVKTRYVVMSEEILKKYPELAIEGGSTVTQRLDICNDAVTEMAVEASRACIKNWGRSISDITHVVYVSSSEARLPGGDLYLAKGLGLSPDTHRVLLYFVGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGFKPPSVDRPYDLVGVALFGDGAGAMIIGSDPDPICEKPLFELHTAIQNFLPETEKTIDGRLTEQGINFKLSRELPQIIEDNVENFCKKLIGKAGLAHKNYNQMFWAVHPGGPAILNRIEKRLNLSPEKLSPSRRALMDYGNASSNSIVYVLEYMLEESKKVRNMNEEENEWGLILAFGPGVTFEGIIARNLDV", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVQFEANEKQFKLRREDCCLTIDRESGAVSFEPDELKPVARSKENSVTLFGSIKLKKDKYLILATEKSSAAQILGHKIYRVHKFEVIPYRNLLADDQDELDLYNLLQNHLKTGPFYFSYTWDLTNSLQRSCTDEGKASPILRSDKRFFWNEFASKDFIDLIGAHSEVSLFITPMIYGFITSASTIVKGRTITLALISRRSKQRAGTRYFTRGLDENGNPANFNETEQITIVSDEKSEVTYSHVQTRGSVPAFWAEVNNLRYKPLMVANSASMAAAAAKKHFDEQISIYGDQVVVNLVNCKGHELPIKQLYENVIRRLDNPHIHYHYFDFHKECSHMRWDRVSLLLNEIQPELEEQGYTTLDTQKYRVLSRQNGVVRSNCMDCLDRTNVVQSCIGRWVLTNQLRKCGIIGATHPLRSVIPLDNIFCNIWSDNADYISLSYSGTGALKTDFTRTGIRTRKGAFNDFVNSAKRYILNNFYDGARQDAYDLVLGQFRPDVNFRYRLDLRPLTIRCVPYILLACLILFFMTLFSRSSSTILPPSILLILTFLGIVASLYYCFAHGLQFINWPRLLLPSFLRSDMTPEGRVFVINRQLASKHKV", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASKSEKAVKKAQKLSKEPSVELTDTKSSDNVTPKQKSPNSTEEDVSLNLKTLKAKKFKLAFVLITVLSFITRFWNLNLPGEVVFDEVHFGKFASYYLQGKYFFDLHPPFAKLLLALVAKLAGYDGHYLFDNIGDNYKDNGVPYVTIRAWPALLSSLVPPVVFLIMKESGYDLLACIVSSSLVLFDNAHVTEGRLILLDATLLFSMVCAIYCYVRFFKLRHTPFSRPWWAWLFFTGFFLSCTISTKYVGFFTFLSIGLSVCLELWYLWDIKTGLTVERFFQHFLARFFCLIFFPFLFFLFWFYMHFNILTISGPGDSFMSLEFQETLSDNPITANSTILNYYDIVTIKHMGTNAFLHSHPEKYPIPYDDGRISSGGQQVTGYQFDDENNYWMILPADHYDPPIEAKLNVPVKNMDYIKLHHVGTNTDLMTHDVASPYHPTNEEFTTVSVDESAGKKHEYTLFQVVMSDNTDPQRPLYTKASSFKLIHKLTHVAMWSDPKPLPDWAFKQLEINGAKNIQTGSIFWTFDDIIGLKDSRLKKEKKIPKKLPFWKKYLELQLTMFRQNNMLTEFHPYSSNPSDWFTLHHGIAFWAKSEENKQIYLLGNPIGWWIIAGTVLSTTVVAAAEILLRQRGIRTLPETVRNHFYRSTMFFYMTYVFHYLPFFIMGRQLFLHHYLPAHLAGSLLVGAFIQLACRKSFRSPVSAGVPIPKDVDEKGHSKCHRKYGHVIELICTLLLIFVVIYCFTFFAPMTYGDKSLSVDEWTRRKWLDSWVFQYQKQN", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGTKFLALGLSLCLVLSSFYQVSCQDEGTGSLSTLDLIEHEYQTSVNSLQGNEAVDQTETSGQKNSTVSDNNTISLSLSEEPALETLKESVDTSAELGAVTDEVDKPSSMLDHIELEFEAHINELKEAGSDGINKVEESKDDEEAARRHKMLEAIEREFEAAHAGFEQLKTDDSAQGLDDEQSAKRQSMLDEIERDFEAATKGLEQLKADDLTGINDEEHAAKRQKMLEEIEREFEEATKGLEELRHSTSSTDDEAQSAKRQNMLDEIEREFEAATSGLKELKINAHTVKDDVDDKEQDAKRQSMLDAIEREFEAVTESFKQLEDIADNKAEGDDESAKRQSMLDEIEREFEAATNSLKQLNLDDFSEGDDSAESARRNSMLEAIEREFEAATKGLEELKANDSTGDKDDDEHVARRKIMLEAIEREFEAATKGLEELKNESEQAENKRNSMLEAFEREFEAATNAKANGENSAKNPSTISTTVQKSSGGYNAGLEGLLKPADGVCGCFNKDKDGLQADTDSSINIAEILAEESKLQGSGTSRLTTSLNNLVDTHRKETSSKVGSVLGSSSSVTSTTSESAATSESIESLKQTLRKLRGLSARDLVNHPNFDAIIAAGTRYEVLSSASIGYISLLAKYKTVIKEGLEASQRVQIAQTRAKLLKETAMEKQRTVDSVFAAAKTTAQRGDALHIRIVAIKKLLAKLEAEKVDVDSKFTSLTTSLSELLKEASQAYEEYHEAVHKAKDEQAAEEFAVETTKRAEHIWVEFLSSLN", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MENQVLTPHVYWAQRHRELYLRVELSDVQNPAISITENVLHFKAQGHGAKGDNVYEFHLEFLDLVKPEPVYKLTQRQVNITVQKKVSQWWERLTKQEKRPLFLAPDFDRWLDESDAEMELRAKEEERLNKLRLESEGSPETLTNLRKGYLFMYNLVQFLGFSWIFVNLTVRFCILGKESFYDTFHTVADMMYFCQMLAVVETINAAIGVTTSPVLPSLIQLLGRNFILFIIFGTMEEMQNKAVVFFVFYLWSAIEIFRYSFYMLTCIDMDWKVLTWLRYTLWIPLYPLGCLAEAVSVIQSIPIFNETGRFSFTLPYPVKIKVRFSFFLQIYLIMIFLGLYINFRHLYKQRRRRYGQKKKKIH", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAADGQCSLPASWRPVTLTHVEYPAGDLSGHLLAYLSLSPIFVVVGFLTLIIFKRELHTISFLGGLALNQGVNWLIKHVIQEPRPCGGPHTAVGTKYGMPSSHSQFMWFFSVYSFLFLYLRMHQTNNARFLDLLWRHVLSLGLLTAAFLVSYSRVYLLYHTWSQVFYGGVAGSLMAVAWFIITQEILTPLFPRIAAWPISEFFLIRDTSLIPNVLWFEYTVTRAEARNRQRKLGTKLQ", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRPDSLVMAAPEGSLRKRKVGGAEHSPASQPSLARDPADSPARLHTGTFWLTRIVLLRALAFIYFVAFLVAFNQNKALIGDRGLLPCKLYLKNVQEYFQGSTGWAAWTYAPTIMWLLDWSDMNFNLDLIALLGLGISSFVLVTGCANMILMTALWALYMSLVNVGQIWYSFGWESQLLETGFLGIFLSPLWTLSRLPKNTPTSQIVLWGFRWLIFRIMLGAGLIKVRGDKCWLDLTCMDFHYETQPVPNPIAYYLHRSPWWFHRFETLSNHFVELLVPFFLFLGRRMRILHGVLQILFQVILIISGNLSFLNWLTIVPSLACFDDAALGFLFPSGPQGLKKQVLEIQREDTQRVQPKPRDRGCLVRQVVNISLGILVAWLSVPVVINLLSSRQIMNTSFNPLRIVNTYGAFGSVTKERTEVILQGTVSPNASAPDAVWEDYEFKCKPGDPWRQPCLISPYHYRLDWLMWFAAFQTYEQNEWILHLAGKLLAGDSEALALLAVNPFEGRTPPRWIRGEHYRYKFSLPGGQHATQGKWWIRKRIGPYFPPLRLEDLKEYFKTREWPLPEPPSRHTR", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSDKMSSFLYIGDIVSLYAEGSVNGFISTLGLVDDRCVVHPEAGDLANPPKKFRDCLFKVCPMNRYSAQKQYWKAKQAKQGNHTEAALLKKLQHAAELEQKQNESENRKLLGEIVKYSNVIQLLHIKSNKYLTVNKRLPALLEKNAMRVSLDAAGNEGSWFYIHPFWKLRSEGDNIVVGDKVVLMPVNAGQPLHASNVELLDNPGCKEVNAVNCNTSWKITLFMKFSSYREDVLKGGDVVRLFHAEQEKFLTCDDYEKKQHIFLRTTLRQSATSATSSKALWEIEVVHHDPCRGGAGQWNSLFRFKHLATGNYLAAELNPDYRDAQNEGKNVKDGEIPTPKKKRQAGEKIMYTLVSVPHGNDIASLFELDATTLQRADCLVPRNSYVRLRHLCTNTWVTSTTIPIDTEEERPVMLKIGTCQTKEDKEAFAIVCVPLSEVRDLDFANDANKVLATTVKKLENGSITQNERRFVTKLLEDLIFFVADVTNNGQDVLDVVITKPNRERQKLMREQNILAQVFGILKAPFKEKAGEGSMLRLEDLGDQRYAPYKYVLRLCYRVLRHSQQDYRKNQEYIAKNFCVMQSQIGYDILAEDTITALLHNNRKLLEKHITAKEIETFVSLLRRNREPRFLDYLSDLCVSNSTAIPVTQELICKFMLSPGNADILIQTKLVSMQVENPMESSILPDDIDDEEVWLYWIDSNKEPHGKAIRHLAQEAREGTKADLEVLTYYRYQLNLFARMCLDRQYLAINQISTQLSVDLILRCVSDESLPFDLRASFCRLMLHMHVDRDPQESVVPVRYARLWTEIPTKITIHEYDSITDSSRNDMKRKFALTMEFVEEYLKEVVNQPFPFGDKEKNKLTFEVVHLARNLIYFGFYSFSELLRLTRTLLAILDIVQAPMSSYFERLSKFQDGSNNVMRTIHGVGEMMTQMVLSRGSIFPVSVPDAQPIVHPSKQASPGEQEDVTVMDTKLKVIEILQFILSVRLDYRISYMLSIYKKEFGDNNDNGDPSASGTPDTLLPSALVPDIDEIAAQAETMFAGRKEKTPVQLDDEGGRTFLRVLIHLIMHDYAPLLSGALQLLFKHFSQRAEVLQAFKQVQLLVSNQDVDNYKQIKADLDQLRLTVEKSELWVEKSGSYENGDVGEGQAKGGEEANEESNLLSPVQDGAKTPQIDSNKGNNYRIVKEILIRLSKLCVQNKKCRNQHQRLLKNMGAHSVVLDLLQIPYEKTDEKMNEVMDLAHTFLQNFCRGNPQNQVLLHKHLNLFLTPGLLEAETMRHIFMNNYHLCNEISERVVQHFVHCIETHGRHVEYLRFLQTIVKADGKYVKKCQDMVMTELINGGEDVLIFYNDRASFPILLNMMCSERARGDESGPLAYHITLVELLAACTEGKNVYTEIKCNSLLPLDDIVRVVTHDDCIPEVKIAYVNFVNHCYVDTEVEMKEIYTSNHIWKLFENFLVDMARVCNTTTDRKHADTFLERCVTESVMNIVSGFFNSPFSDNSTSLQTHQPVFIQLLQSAFRIYNCTWPNPAQKASVESCIRALAEVAKNRGIAIPVDLDSQVNTLFMKNHSSTVQRAAMGWRLSARSGPRFKEALGGPAWDYRNIIEKLQDVVASLEQQFSPMMQAEFSVLVDVLYSPELLFPEGSDARIRCGAFMSKLINHTKKLMEKEEKLCIKILQTLREMLEKKDSFMEEGSTLRRILLNRYFKGDHSISVNGPLSGAYAKTAQVGGSFSGQDSDKKGISMSDIQCLLDKEGASELVIDVIVNTKNDRIFSEGILLGIALLEGGNTQTQYSFYQQLHEQKKSEKFFKVLYDRMKAAQKEIRSTVTVNTIDLGSKKREEDSDVMALGPRMRVRDSSLHLREGMKGQLTEASSATSKAYCVYRREMDPEIDTMCPGQEAGSAEEKSAEEVTMSPAITIMRPILRFLQLLCENHNRELQNFLRNQNNKTNYNLVCETLQFLDCICGSTTGGLGLLGLYINERNVALVNQTLESLTEYCQGPCHENQTCIATHESNGIDIIIALILNDINPLGKYRMDLVLQLKNNASKLLLAIMESRHDSENAERILFNMRPRELVDVMKNAYNQGLECDHGDEEGGDDGVSPKDVGHNIYILAHQLARHNKLLQQMLKPGSDPEEGDEALKYYANHTAQIEIVRHDRTMEQIVFPVPNICEFLTRESKYRVFNTTERDEQGSKVNDFFQQTEDLYNEMKWQKKIRNNPALFWFSRHISLWGSISFNLAVFINLAVALFYPFGDDGDEGTLSPMFSVLLWVAVAICTSMLFFFSKPVGIRPFLVSVMLRSIYTIGLGPTLILLGAANLCNKIVFLVSFVGNRGTFTRGYRAVILDMAFLYHVAYVLVCMLGLFVHEFFYSFLLFDLVYREETLLNVIKSVTRNGRSIILTAVLALILVYLFSIIGFLFLKDDFTMEVDRLKNRTPVTGNHGVPTMTLSSMMETCQKENCSPTIPSSNTAGEEGEDGIERTCDTLLMCIVTVLNQGLRNGGGVGDVLRRPSKDEPLFAARVVYDLLFFFIVIIIVLNLIFGVIIDTFADLRSEKQKKEEILKTTCFICGLERDKFDNKTVSFEEHIKSEHNMWHYLYFIVLVKVKDPTEYTGPESYVAQMITEKNLDWFPRMRAMSLVSNEGDSEQNEIRNLQEKLESTMSLVKQLSGQLAELKEQMTEQRKNKQRLGFLGSNTPHVNHHMPPH", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEAAPSRFMFLLFLLTCELAAEVAAEVEKSSDGPGAAQEPTWLTDVPAAMEFIAATEVAVIGFFQDLEIPAVPILHSMVQKFPGVSFGISTDSEVLTHYNITGNTICLFRLVDNEQLNLEDEDIESIDATKLSRFIEINSLHMVTEYNPVTVIGLFNSVIQIHLLLIMNKASPEYEENMHRYQKAAKLFQGKILFILVDSGMKENGKVISFFKLKESQLPALAIYQTLDDEWDTLPTAEVSVEHVQNFCDGFLSGKLLKENRESEGKTPKVEL", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASCVGSRTLSKDDVNYRMHFRMINEQQVEDITIDFFYRPHTITLLSFTIISLMYFAFTRDDSVPEDNIWRGILSVIFFFLIISVLAFPNGPFTRPHPALWRMVFGLSVLYFLFLVFLLFLNFEQVKSLMYWLDPNLRYATREADIMEYAVNCHVITWERIVSHFDIFAFGHFWGWAMKALLIRSYGLCWTISITWELTELFFMHLLPNFAECWWDQVILDILLCNGGGIWLGMVVCRFLEMRTYHWASFKDIHTTTGKIKRAVLQFTPASWTYVRWFDPKSSFQRVAGIYLFMIIWQLTELNTFFLKHIFVFQASHPLSWGRILFIGCITAPTVRQYYAYLTDTQCKRVGTQCWVFGVIGFLEAIVCIKFGQDLFSKTQILYVMLWLLCVAFTTFLCLYGMVWYAEHYGHREKTYSECEDGTYSPEISWHHGKGSKGSEDSPPKHSSNHESHSSRRRNRHSKSKVTNGVGKK", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAFTLYSLLQAALLCVNAIAVLHEERFLKNIGWGTDQGIGGFGEEPGIKSQLMNLIRSVRTVMRVPLIIVNSIAIVLLLLFG", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MASSAEGDEGTVVALAGVLQSGFQELSLNKLATSLGASEQALRLIISIFLGYPFALFYRHYLFYKETYLIHLFHTFTGLSIAYFNFGNQLYHSLLCIVLQFLILRLMGRTITAVLTTFCFQMAYLLAGYYYTATGNYDIKWTMPHCVLTLKLIGLAVDYFDGGKDQNSLSSEQQKYAIRGVPSLLEVAGFSYFYGAFLVGPQFSMNHYMKLVQGELIDIPGKIPNSIIPALKRLSLGLFYLVGYTLLSPHITEDYLLTEDYDNHPFWFRCMYMLIWGKFVLYKYVTCWLVTEGVCILTGLGFNGFEEKGKAKWDACANMKVWLFETNPRFTGTIASFNINTNAWVARYIFKRLKFLGNKELSQGLSLLFLALWHGLHSGYLVCFQMEFLIVIVERQAARLIQESPTLSKLAAITVLQPFYYLVQQTIHWLFMGYSMTAFCLFTWDKWLKVYKSIYFLGHIFFLSLLFILPYIHKAMVPRKEKLKKME", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSPCKWLTMFLKGCWGKIANMNHLDSCFPLRYIIGRKKASKLLCTMDSGLKSDSHEVERSNFQLNKPEKSLKRYALLSFYVIILLAIPVWWKTTHYERSSLPFEDMENAPSTVQTHLRFSPTFRILDDKGNNLTKEVQKVLEAEPQIYSYNLKVLEDDPVDYRIVLRESTDLQWFWDENNFIIDTPSKGPSELAILIVNCLWEAFSPQVMEVWSKFTRFSSTVEPSRAETKRTVQFSPQYRVLLSLLVGEGNHEPINWDIENAIQKYFNPLIEQLASLAKLNIETQIQYFVEDAEAYIKDDKFCTKHADLPNLVNNFEKYLSFSPHIREPTIHFVLYVPSPQIQPLWLENEDSNIIPTNSMLLPQWGSITTINFNVTEKKLLHDVDLKDYFRVISRDLLLLLGINDVPVSSLSATLADRLLRQRIAESCIEASDTLQNLAKLVHSMQSMAVPKEIQMYVKDTLLSLDMAYKALSQNNLNEALSYSNNAFSKSQEALFHPSMVTTIYFPDESKYGIYAPLFAPILIPLLISFIKEVKDMLRERKLHRVANVPKPN", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPQLSLSWLGLGPVAASPWLLLLLVGGSWLLARVLAWTYTFYDNCRRLQCFPQPPKQNWFWGHQGLVTPTEEGMKTLTQLVTTYPQGFKLWLGPTFPLLILCHPDIIRPITSASAAVAPKDMIFYGFLKPWLGDGLLLSGGDKWSRHRRMLTPAFHFNILKPYMKIFNKSVNIMHDKWQRLASEGSARLDMFEHISLMTLDSLQKCVFSFESNCQEKPSEYIAAILELSAFVEKRNQQILLHTDFLYYLTPDGQRFRRACHLVHDFTDAVIQERRCTLPTQGIDDFLKNKAKSKTLDFIDVLLLSKDEDGKELSDEDIRAEADTFMFEGHDTTASGLSWVLYHLAKHPEYQEQCRQEVQELLKDREPIEIEWDDLAQLPFLTMCIKESLRLHPPVPVISRCCTQDFVLPDGRVIPKGIVCLINIIGIHYNPTVWPDPEVYDPFRFDQENIKERSPLAFIPFSAGPRNCIGQAFAMAEMKVVLALTLLHFRILPTHTEPRRKPELILRAEGGLWLRVEPLGANSQ", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEQGSGRLEDFPVNVFSVTPYTPSTADIQVSDDDKAGATLLFSGIFLGLVGITFTVMGWIKYQGVSHFEWTQLLGPVLLSVGVTFILIAVCKFKMLSCQLCKESEERVPDSEQTPGGPSFVFTGINQPITFHGATVVQYIPPPYGSPEPMGINTSYLQSVVSPCGLITSGGAAAAMSSPPQYYTIYPQDNSAFVVDEGCLSFTDGGNHRPNPDVDQLEETQLEEEACACFSPPPYEEIYSLPR", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTAHLPQEISSRCSTTNIMEPHSRRQQDGEEKMPLQAEDIRPEIKDDLYDPSYQDEEGPPPKLEYVWRNIIFMALLHVGALYGITLVPSCKVYTWLLGVFYNVVAGLGITAGAHRLWSHRTYKARLPLRIFLIMANTMAFQNDVYEWARDHRAHHKFSETHADPHNSRRGFFFSHVGWLLVRKHPAVKEKGKNLDMSDLKAEKLVMFQRRYYKLAVTLMFIILPTLVPWYLWGETFQHSLCVSNFLRYAVLLNFTWLVNSAAHLYGYRPYDRGIGARENPFVSMASLGEGFHNYHHTFPYDYSVSEYRWHINFTTFFIDCMAALGLAYDRKKVSKAVVLARIKRTGDGSHKSS", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MENNHHLAKDSLDELNPKRGKGEHETQVSQYTVVEEATIPQSLVKTSRPADHKVMEASKVADTRTAWSTKIPAVLLPVFVINIALFKYLVFANFSTKDRVLFGLGNGGINIFSMWLLLATYETWFRSIKEVIVACGAGIRSFPQKRGVNMLYAILKLTFVNAFAIPLLMFFRSHFEQWRLGCPLVERVIGVMLNVAYFIIEIENPGLFTRVFNKYCDCLFAIRDILNRN", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAASRWARKAVVLLCASDLLLLLLLLPPPGSCAAEGSPGTPDESTPPPRKKKKDIRDYNDADMARLLEQWEKDDDIEEGDLPEHKRPSAPVDFSKIDPSKPESILKMTKKGKTLMMFVTVSGSPTEKETEEITSLWQGSLFNANYDVQRFIVGSDRAIFMLRDGSYAWEIKDFLVGQDRCADVTLEGQVYPGKGGGSKEKNKTKQDKGKKKKEGDLKSRSSKEENRAGNKREDL", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFLVGSSSHTLHRLRILPLLLLLQTLERGLGRASPAGAPLEDVVIERYHIPRACPREVQMGDFVRYHYNGTFEDGKKFDSSYDRSTLVAIVVGVGRLITGMDRGLMGMCVNERRRLIVPPHLGYGSIGVAGLIPPDATLYFDVVLLDVWNKADTVQSTILLRPPYCPRMVQNSDFVRYHYNGTLLDGTAFDNSYSRGGTYDTYIGSGWLIKGMDQGLLGMCPGEKRKIIIPPFLAYGEKGYGTVIPPQASLVFYVLLLDVHNPKDTVQLETLELPQGCVRRAVAGDFMRYHYNGSLMDGTLFDSSYSRNHTYNTYVGQGYIIPGMDQGLQGACIGERRRITVPPHLAYGENGTGDKIPGSAVLIFDVHVIDFHNPSDPVEIKTLSRPPENCNETSKIGDFIRYHYNCSLLDGTRLFSSHDYEAPQEITLGANKVIEGLDRGLQGMCVGERRQLIVPPHLAHGENGARGVPGSAVLLFEVELVSREDGLPTGYLFVWYQDPSTSLFEDMDLNKDGEVPPEEFSSFIKAQVNEGKGRLMPGQDPDKTISDMFQNQDRNQDGKITAEELKLKSDEDQERVHEEL", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRFLQVYKSSALIGLIILLASKVNLAEAKRKLVATSLVTCMENSQLSANSFDVVFNPDDRSLHYDLDMSTQIDSYIFADIDVYAYGFKIITKNVDLCSINWKQFCPVHPGNIQIDSIEYISSEYVNEIPGIAYQVPDIDAYARVKITNNVSEYLACIQIYFSNGKTVSQIGVKWATAVVAGIGLLLSAILSTFGNSTAASHISANTMSLFLYFQSVVVVAMQHVHRVPPIAAAWAENLVWSMGLIRISFMQRIFRWYVQSTGGTPSLYLTSTSMSVLAQRSWQYLMELPLIKRATNVLYGNANTLIFRGIKRLGYKMGIENTSIVCTGFTFFVLCGYVLAGFIIVFKCCVELATRLGWIQKARFWEFRKQWRMILKGALLRYIYIGFVQLTILSFWEFTERDSPAVIVIACLFILLSCGLMLWAAWRTVFFARRSVALYNNPAALLYGDEYVLHKYGFFYTMFNANHYWWNIVLLSYIFVKSLLVGFAQASGQTQVLFMFILDLFYFVAIIYYKPYLDRPTNIMNILIATVTVVNSFLFMFFSDLFNQSYKVAAIMGWIFFIMNAAFSFILLMMILAFAGMMLFSKNPDLRFKPAKDDRTSFQRNTMKPEGTVNRSVANELLALGNVAKDHDDNSDYESNDTGVNDELKQAQDETTPTTVTSSDDNKPTFSEKILSKFSRPKNENASTDALRVEAPKQQTFPHNLTNLSRENLSTLGSKPYPGHTRSQSDAHNGLINSFEEEDTSSNTDPFHDSTEGDLLDTSSSDGGFRSQNYVRDDSINSLGNNKQPLRKPPGFFDEGFM", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSASAQHSQAQQQQQQKSCNCDLLLWRNPVQTGKYFGGSLLALLILKKVNLITFFLKVAYTILFTTGSIEFVSKLFLGQGLITKYGPKECPNIAGFIKPHIDEALKQLPVFQAHIRKTVFAQVPKHTFKTAVALFLLHKFFSWFSIWTIVFVADIFTFTLPVIYHSYKHEIDATVAQGVEISKQKTQEFSQMACEKTKPYLDKVESKLGPISNLVKSKTAPVSSTAGPQTASTSKLAADVPLEPESKAYTSSAQVMPEVPQHEPSTTQEFNVDELSNELKKSTKNLQNELEKNNA", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLVLGLLVAGAADGCELVPRHLRGRRATGSAATAASSPAAAAGDSPALMTDPCMSLSPPCFTEEDRFSLEALQTIHKQMDDDKDGGIEVEESDEFIREDMKYKDATNKHSHLHREDKHITIEDLWKRWKTSEVHNWTLEDTLQWLIEFVELPQYEKNFRDNNVKGTTLPRIAVHEPSFMISQLKISDRSHRQKLQLKALDVVLFGPLTRPPHNWMKDFILTVSIVIGVGGCWFAYTQNKTSKEHVAKMMKDLESLQTAEQSLMDLQERLEKAQEENRNVAVEKQNLERKMMDEINYAKEEACRLRELREGAECELSRRQYAEQELEQVRMALKKAEKEFELRSSWSVPDALQKWLQLTHEVEVQYYNIKRQNAEMQLAIAKDEAEKIKKKRSTVFGTLHVAHSSSLDEVDHKILEAKKALSELTTCLRERLFRWQQIEKICGFQIAHNSGLPSLTSSLYSDHSWVVMPRVSIPPYPIAGGVDDLDEDTPPIVSQFPGTMAKPPGSLARSSSLCRSRRSIVPSSPQPQRAQLAPHAPHPSHPRHPHHPQHTPHSLPSPDPDILSVSSCPALYRNEEEEEAIYFSAEKQWEVPDTASECDSLNSSIGRKQSPPLSLEIYQTLSPRKISRDEVSLEDSSRGDSPVTVDVSWGSPDCVGLTETKSMIFSPASKVYNGILEKSCSMNQLSSGIPVPKPRHTSCSSAGNDSKPVQEAPSVARISSIPHDLCHNGEKSKKPSKIKSLFKKKSK", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSKAGGCRGCGCRVPQRASWSLVAATAALCLVLATSVCTAGAAPMSREEKQKLGNQVLEMFDHAYGNYMEHAYPADELMPLTCRGRVRGQEPSRGDVDDALGKFSLTLIDSLDTLVVLNKTKEFEDAVRKVLRDVNLDNDVVVSVFETNIRVLGGLLGGHSLAIMLKEKGEHMQWYNDELLHMAKQLGYKLLPAFNTTSGLPYPRINLKFGIRKPEARTGTETDTCTACAGTLILEFAALSRFTGATIFEEYARKALDFLWEKRQRSSNLVGVTINIHTGDWVRKDSGVGAGIDSYYEYLLKAYVLLGDDSFLERFNTHYDAIMRYISQPPLLLDVHIHKPMLNARTWMDALLAFFPGLQVLKGDIRPAIETHEMLYQVIKKHNFLPEAFTTDFRVHWAQHPLRPEFAESTYFLYKATGDPYYLEVGKTLIENLNKYARVPCGFAAMKDVRTGSHEDRMDSFFLAEMFKYLYLLFADKEDIIFDIEDYIFTTEAHLLPLWLSTTNRSISKKNTTSEYTELDDSNFDWTCPNTQILFPNDPLYAQSIREPLKNVVDKSCPRGIIRVEESFRSGAKPPLRARDFMATNPEHLEILKKMGVSLIHLKDGRVQLVQHAIQAASSIDAEDGLRFMQEMIELSSQQQKEQQLPPRAVQIISHPFFGRVVLTAGPAQFGLDLSKHKETRGFVASSKPYNGCSELTNPEAVMGKIALIQRGQCMFAEKARNIQNAGAIGGIVIDDNEGSSSDTAPLFQMAGDGKDTDDIKIPMLFLFSKEGSIILDAIREHEQVEVLLSDKARDRDPEMENEDQPSSENDSQNQSAEQMLSLSQTVDLADKESPEHPADSHSEASPSDSEEAAGFAPSEQISGSTENHETTSLDGECTDLDNQVQEQSETEEDSSPNVSWGTKAQPIDSILADWNEDIEAFEMMEKDEL", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAAPRAGRRRGQPLLALLLLLLAPLPPGAPPGADAYFPEERWSPESPLQAPRVLIALLARNAAHALPTTLGALERLRHPRERTALWVATDHNMDNTSTVLREWLVAVKSLYHSVEWRPAEEPRSYPDEEGPKHWSDSRYEHVMKLRQAALKSARDMWADYILFVDADNLILNPDTLSLLIAENKTVVAPMLDSRAAYSNFWCGMTSQGYYKRTPAYIPIRKRDRRGCFAVPMVHSTFLIDLRKAASRNLAFYPPHPDYTWSFDDIIVFAFSCKQAEVQMYVCNKEEYGFLPVPLRAHSTLQDEAESFMHVQLEVMVKHPPAEPSRFISAPTKTPDKMGFDEVFMINLRRRQDRRERMLRALQAQEIECRLVEAVDGKAMNTSQVEALGIQMLPGYRDPYHGRPLTKGELGCFLSHYNIWKEVVDRGLQKSLVFEDDLRFEIFFKRRLMNLMRDVEREGLDWDLIYVGRKRMQVEHPEKAVPRVRNLVEADYSYWTLAYVISLQGARKLLAAEPLSKMLPVDEFLPVMFDKHPVSEYKAHFSLRNLHAFSVEPLLIYPTHYTGDDGYVSDTETSVVWNNEHVKTDWDRAKSQKMREQQALSREAKNSDVLQSPLDSAARDEL", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MFLHSVNLWNLAFYVFMVFLATLGLWDVFFGFEENKCSMSYMFEYPEYQKIELPKKLTKRYPAYELYLYGEGSYAEEHKILPLTGIPVLFLPGNAGSYKQVRSIGSIALRKAEDIDFKYHFDFFSVNFNEELVALYGGSLQKQTKFVHECIKAILKLYKGQEFPPTSVAIIGHSMGGLVARALLTLKNFKQDLINLLVTQATPHVAPVMPLDRFITEFYMTVNNYWILNARHINLTTLSVAGGFRDYQVRSGLTFLPTLSHHTSALSVVTSAVPKTWVSTDHLSIVWCKQLQLTTIRAFFDLIDADTKQITQKSKKKLSVLNHHFIRHPAKQFEENPSIISDLTGTSMWVPVKVSRWSYVAYNESDKIYFAFPLANHRKVYTHAYCQSTMLDTNSWIFGCINSTSMCRQGVDLSWKAELLPTIKSLTLRLQDYPSLSHIVVYVPSVHGSKFVVDCEFFKKEARSIQLPVTHLFSFGLSSRKAIINTSGRYYNIELLNLGQIYQAFKVNVVSKCTGGKEEITSIYKLHIPWSYEDSLTIAQVPSSVDISLKLHVAQPENDSHVALLKMYTSSDCQYEVTIKTSFPQILGQVVRFHGGALPAYVVSSILLAYGGQLYSLLSTGFCLEYGTMLDKEAKPYKVDPFVIMIKFLLGYKWFKELWDAVLLPELDAIVLTSQSMCFPLVSLILFLFGTCTAYWSGLLSSASVQLLSSLWLALKRPAELPKDVKVMSPDLPVLTVVFLIISWTTCGALAILLSYLYYVFKVVHLQASLTTFKNNQPVNPKHSRRSEKKSNHHKDSAIQNPRLSANDAEDSLRMHSTVINLLTWVVLLSMPSLIYWSKNLRYYFKLNPDPCKPLAFLLIPAIAVLGNTHTVSIKSSKLLKTASQFPLPLAVGVIAFGSSHLYRVPCFVIIPLVFHSLCNFM", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSEFNETKFSNNGTFFETEEPIVETKSISVYTPLIYVFILVVSLVMFASSYRKKQAKKISEQPSIFDENDAHDLYFQIKEMSENEKIHEKVLKAALLNRGAESVRRSLKLKELAPQINLLYKNGSIGEDYWKRFETEVKLIELEFKDTLQEAERLQPGWVQLFVMVCKEICFNQALSRRYQSILKRKEVCIKEWELKINNDGRLVN", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSTIKPSPSNNNLKVRSRPRRKSSIGKIDLGDTVPSLGTMFETKESKTAAKRRMQRLSEATKNDSDLVKKIWFSFREISYRHAWIAPLMILIAVYSAYFTSGNTTKTNVLHRFVAVSYQIGDTNAYGKGINDLCFVFYYMIFFTFLREFLMDVVIRPFAIRLHVTSKHRIKRIMEQMYAIFYTGVSGPFGIYCMYHSDLWFFNTKAMYRTYPDFTNPFLFKVFYLGQAAFWAQQACILVLQLEKPRKDHNELTFHHIVTLLLIWSSYVFHFTKMGLPIYITMDVSDFLLSFSKTLNYLDSGLAFFSFAIFVVAWIYLRHYINLKILWSVLTQFRTEGNYVLNFATQQYKCWISLPIVFVLIGALQLVNLYWLFLIFRVLYRILWRGILKDDRSDSESDEESDESSTTPTDSTPTKKDI", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFRTAVMMAASLALTGAVVAHAYYLKHQFYPTVVYLTKSSPSMAVLYIQAFVLVFLLGKVMGKVFFGQLRAAEMEHLLERSWYAVTETCLAFTVFRDDFSPRFVALFTLLLFLKCFHWLAEDRVDFMERSPNISWLFHCRIVSLMFLLGILDFLFVSHAYHSILTRGASVQLVFGFEYAILMTMVLTIFIKYVLHSVDLQSENPWDNKAVYMLYTELFTGFIKVLLYMAFMTIMIKVHTFPLFAIRPMYLAMRQFKKAVTDAIMSRRAIRNMNTLYPDATPEELQAMDNVCIICREEMVTGAKRLPCNHIFHTSCLRSWFQRQQTCPTCRMDVLRASLPAQSPPPPEPADQGPPPAPHPPPLLPQPPNFPQGLLPPFPPGMFPLWPPMGPFPPVPPPPSSGEAVAPPSTSAAALSRPSGAATTTAAGTSATAASATASGPGSGSAPEAGPAPGFPFPPPWMGMPLPPPFAFPPMPVPPAGFAGLTPEELRALEGHERQHLEARLQSLRNIHTLLDAAMLQINQYLTVLASLGPPRPATSVNSTEETATTVVAAASSTSIPSSEATTPTPGASPPAPEMERPPAPESVGTEEMPEDGEPDAAELRRRRLQKLESPVAH", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MIGITKLISIWNAIWNFLLLPEIIISQTDTSSTGIYQMQSKVRSLVPVLTERSDYFSYYRVNLYRSSCPLWENDNAMCSNQGCAVKSLNEIEIPKVWKKLSDFEPHSKKNDTKCNWKYNPDLDYCYLDNSTSPDEYVYVSLVQNPERFTGYAGDHSAAIWRSIYEQNCFVVDDDDNPSEQPKSNALFRPNQIPLNLFTENHDDTSLSPSVACLEKRMFNRIISGFHASISTHVCQNYYDVEEQRWTQNLDWWRAKVGSFPDRIENIFFNYALLHQALVQIATQMKNITSDSSFTFCPTDKDVDWRTHTAFEQLVYHAYQDRHVINREQFFADEEAKRFKDSFRKHFRDISRIMDCVGCDKCRLWGKVQITGYGTALKLLLEPETQLSDLRPEEVVALVNTFDRISHSVEATFWFSLKAKTNDTLDAIKALIYKTYRSPSKEILAFFIDQTWYLFYALFFICNVPRVI", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGANSKSVTASFTVIAVFFLICGGRTAVEDETEFHGDYSKLSGIIIPGFASTQLRAWSILDCPYTPLDFNPLDLVWLDTTKLLSAVNCWFKCMVLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSTVWKEWLKWCVEFGIEANAIVAVPYDWRLSPTKLEERDLYFHKLKLTFETALKLRGGPSIVFAHSMGNNVFRYFLEWLRLEIAPKHYLKWLDQHIHAYFAVGAPLLGSVEAIKSTLSGVTFGLPVSEGTARLLSNSFASSLWLMPFSKNCKGDNTFWTHFSGGAAKKDKRVYHCDEEEYQSKYSGWPTNIINIEIPSTSVTETALVNMTSMECGLPTLLSFTARELADGTLFKAIEDYDPDSKRMLHQLKKLYHDDPVFNPLTPWERPPIKNVFCIYGAHLKTEVGYYFAPSGKPYPDNWIITDIIYETEGSLVSRSGTVVDGNAGPITGDETVPYHSLSWCKNWLGPKVNITMAPQPEHDGSDVHVELNVDHEHGSDIIANMTKAPRVKYITFYEDSESIPGKRTAVWELDKTNHRNIVRSPVLMRELWLQMWHDIQPGAKSKFVTKAKRGPLRDADCYWDYGKACCAWQEYCEYRYSFGDVHLGQSCRLRNTSANMLLQYI", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MWGFLKRPVVVTADINLSLVALTGMGLLSRLWRLTYPRAVVFDEVYYGQYISFYMKQIFFLDDSGPPFGHMVLALGGYLGGFDGNFLWNRIGAEYSSNVPVWSLRLLPALAGALSVPMAYQIVLELHFSHCAAMGAALLMLIENALITQSRLMLLESVLIFFNLLAVLSYLKFFNCQKHSPFSLSWWFWLTLTGVACSCAVGIKYMGVFTYVLVLGVAAVHAWHLLGDQTLSNVGADVQCCMRPACMGQMQMSQGVCVFCHLLARAVALLVIPVVLYLLFFYVHLILVFRSGPHDQIMSSAFQASLEGGLARITQGQPLEVAFGSQVTLRNVFGKPVPCWLHSHQDTYPMIYENGRGSSHQQQVTCYPFKDVNNWWIVKDPRRHQLVVSSPPRPVRHGDMVQLVHGMTTRSLNTHDVAAPLSPHSQEVSCYIDYNISMPAQNLWRLEIVNRGSDTDVWKTILSEVRFVHVNTSAVLKLSGAHLPDWGYRQLEIVGEKLSRGYHGSTVWNVEEHRYGASQEQRERERELHSPAQVDVSRNLSFMARFSELQWRMLALRSDDSEHKYSSSPLEWVTLDTNIAYWLHPRTSAQIHLLGNIVIWVSGSLALAIYALLSLWYLLRRRRNVHDLPQDAWLRWVLAGALCAGGWAVNYLPFFLMEKTLFLYHYLPALTFQILLLPVVLQHISDHLCRSQLQRSIFSALVVAWYSSACHVSNTLRPLTYGDKSLSPHELKALRWKDSWDILIRKH", - "output": "reticulum" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPFSVSWGVLLLAGLCCLVPSSLVEDPQGDAAQKTDTSHHDQGDWEDLACQKISYNVTDLAFDLYKSWLIYHNQHVLVTPTSVAMAFRMLSLGTKADTRTEILEGLNVNLTETPEAKIHECFQQVLQALSRPDTRLQLTTGSSLFVNKSMKLVDTFLEDTKKLYHSEASSINFRDTEEAKEQINNYVEKRTGRKVVDLVKHLKKDTSLALVDYISFHGKWKDKFKAERIMVEGFHVDDKTIIRVPMINHLGRFDIHRDRELSSWVLAQHYVGNATAFFILPDPKKMWQLEEKLTYSHLENIQRAFDIRSINLHFPKLSISGTYKLKRVPRNLGITKIFSNEADLSGVSQEAPLKLSKAVHVAVLTIDEKGTEATGAPHLEEKAWSKYQTVMFNRPFLVIIKEYITNFPLFIGKVVNPTQK", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATTSTTGSTLLQPLSNAVQLPIDQVNFVVCQLFALLAAVWFRTYLHSSKTSSFIRHVVATLLGLYLAFFCFGWYALHFLVQSGISYCIMIIAGVESMQQCCFVFALGYLSVCQITRVYIFDYGQYSADFSGPMMIITQKITSLAYEIHDGMFRKDEELTPSQRGLAVRRMPSLLEYVSYTCNFMGILAGPLCSYKDYIAFIEGRASHVAQPSENGKDEQHGKADPSPNAAVTEKLLVCGLSLLFHLTISNMLPVEYNIDEHFQATASWPTKATYLYVSLLAARPKYYFAWTLADAINNAAGFGFRGYDKNGVARWDLISNLRIQQIEMSTSFKMFLDNWNIQTALWLKRVCYERATFSPTIQTFFLSAIWHGVYPGYYLTFLTGVLMTLAARAVRNNFRHYFLEPPQLKLFYDLITWVATQITISYTVVPFVLLSIKPSFTFYSSWYYCLHVCSILVLLLLPVKKSQRRTSTQENVHLSQAKKFDERDNPLGQNSFSTMNNVCNQNRDTGSRHSSLTQ", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAGASSPASLRASRSRRLGKETNRSDLFKKPAVPFAFALILADAILVALIIAYVPYTKIDWDAYMSQVSGFLGGERDYGNLKGDTGPLVYPAGFLYVYSAVQNLTGGEVYPAQILFGVLYIVNLGIVLIIYVKTDVVPWWALSLLCLSKRIHSIFVLRLFNDCFAMTLLHASMALFLYRKWHLGMLVFSGAVSVKMNVLLYAPTLLLLLLKAMNIIGVVSALAGAALVQILVGLPFLITYPVSYIANAFDLGRVFIHFWSVNFKFVPERVFVSKEFAVCLLIAHLFLLVAFANYKWCKHEGGIIGFMRSRHFFLTLPSSLSFSDVSASRIITKEHVVTAMFVGNFIGIVFARSLHYQFYSWYFYSLPYLLWRTPFPTWLRLIMFLGIELCWNVYPSTPSSSGLLLCLHLIILVGLWLAPSVDPYQLKEHPKSQIHKKA", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MFFAFLITYLLGGVTFLPFILFIYLLTRPTHKSEELRIIEPNNDCLTKLDKDIRIQGWIRVTTKFLQGKSGSVKVQEIPQDQLPKSSSDNAVTDRKTISPSGINNQYVIRNPKDVYYATVQAGKLHLFDPVKTSELLHVINLHEYLVVFYPGTVTENELFSNRNAIFLKYPAVSHKKESSTKSLLNKDLYVYGRTPSNKEDWYYALLSYSKISPAIKPLEAPIDFDYASVHHNLTALSSPDTDWLNAFIGRIFLGIHKTEGFKSLVVEKLTKKLSRIKTPGIMTDVKVIDVDVGEAIPTVNGLKFESLSNGGELIVSADIWYEGDCSFKAETTANIKFGSHFPSKTVPLALVIRLTHVSGKVRLLIKPPPSNRVWYAFYEKPRLHLIVEPMVARKQLTNNYLINFITQKLVELVHETIVMPNMNDLAFFIDNEAPIKGGLWDIELFRAPTIQKPAEKDAKAERKKSGLSSSTSEESLNRHISKRSSNSNDTAPSSHIIADKNLEPTSNIQLKKNPDGNLVETSELSDSDENSVLSNKSSTLSKKVVENTSPLKYTHSASKSFIGEVQDSLQALKTKAHKPRSIGGDSSQTTLSETTKKYGSVAKKSFFQGVSDAKSFVKKIKSTYIDDSSSNSPSDIESNYSADDNEISKSKAQNAIDFNVTNTHSPSRSISSEKSYKAAERGQQDKHNDVLVDLNPNVEAEKSNPHSNSQKTSKNDMSRNQRNKYAKEIMTGQPTLHPQGQLPIQNVEQRATHKPLPRPPVQVETREPVRPVPPIPKL", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGIKTALPAAELGLYSLVLSGALAYAGRGLLEASQDGAHRKAFRESVRPGWEYLGRKMDVADFEWVMWFTNFRNVIVFALSGHVLFAKLCTMVAPQLRSWMYAVYGVLAVVGTMGPWYLLLLLGHCMVLYVASLLGQRWLCLALGLASLASFKVDPGISWQSGFVTGTFDLQDVLFHGGSSFTVLRCTSFALESCAHPDRRYSLADLLKYNFYLPFFFFGPIMTFDRFHAQVSQEPVRPEGELWHIQAQAGLSAAAIVAVDVFFHFFYILTIPSDLKFASRLPDSALAGLAYSNLVYDWVKAAVLFGVVNTVARLDHLDPPQPPKCITALYVFGETHFDRGINDWLCKYVYDHIGGDHSTVIPELAASVATFVVTTLWLGPCDIVYLWSVLNCFGLNFELWVQKLAERGPLAQIEARLSEQMSRRVRALCGAVNFWAIIMYNLVSLNSLEFTELVARRLILTGFPQTTLAVLFVTYCGVQLVKERERSLALEEEQRQDREKLE", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSDKMSSFLHIGDICSLYAEGSTNGFISTLGLVDDRCVVQPEAGDLNNPPKKFRDCLFKLCPMNRYSAQKQFWKAAKPGANSTTDAVLLNKLHHAADLEKKQNETENRKLLGTVIQYGNVIQLLHLKSNKYLTVNKRLPALLEKNAMRVTLDEAGNEGSWFYIQPFYKLRSIGDSVVIGDKVVLNPVNAGQPLHASSHQLVDNPGCNEVNSVNCNTSWKIVLFMKWSDNKDDILKGGDVVRLFHAEQEKFLTCDEHRKKQHVFLRTTGRQSATSATSSKALWEVEVVQHDPCRGGAGYWNSLFRFKHLATGHYLAAEVDPDFEEECLEFQPSVDPDQDASRSRLRNAQEKMVYSLVSVPEGNDISSIFELDPTTLRGGDSLVPRNSYVRLRHLCTNTWVHSTNIPIDKEEEKPVMLKIGTSPLKEDKEAFAIVPVSPAEVRDLDFANDASKVLGSIAGKLEKGTITQNERRSVTKLLEDLVYFVTGGTNSGQDVLEVVFSKPNRERQKLMREQNILKQIFKLLQAPFTDCGDGPMLRLEELGDQRHAPFRHICRLCYRVLRHSQQDYRKNQEYIAKQFGFMQKQIGYDVLAEDTITALLHNNRKLLEKHITAAEIDTFVSLVRKNREPRFLDYLSDLCVSMNKSIPVTQELICKAVLNPTNADILIETKLVLSRFEFEGVSTGENALEAGEDEEEVWLFWRDSNKEIRSKSVRELAQDAKEGQKEDRDILSYYRYQLNLFARMCLDRQYLAINEISGQLDVDLILRCMSDENLPYDLRASFCRLMLHMHVDRDPQEQVTPVKYARLWSEIPSEIAIDDYDSSGTSKDEIKERFAQTMEFVEEYLRDVVCQRFPFSDKEKNKLTFEVVNLARNLIYFGFYNFSDLLRLTKILLAILDCVHVTTIFPISKMTKGEENKGSNVMRSIHGVGELMTQVVLRGGGFLPMTPMAAAPEGNVKQAEPEKEDIMVMDTKLKIIEILQFILNVRLDYRISCLLCIFKREFDESNSQSSETSSGNSSQEGPSNVPGALDFEHIEEQAEGIFGGSEENTPLDLDDHGGRTFLRVLLHLTMHDYPPLVSGALQLLFRHFSQRQEVLQAFKQVQLLVTSQDVDNYKQIKQDLDQLRSIVEKSELWVYKGQGPDEPMDGASGENEHKKTEEGTSKPLKHESTSSYNYRVVKEILIRLSKLCVQESASVRKSRKQQQRLLRNMGAHAVVLELLQIPYEKAEDTKMQEIMRLAHEFLQNFCAGNQQNQALLHKHINLFLNPGILEAVTMQHIFMNNFQLCSEINERVVQHFVHCIETHGRNVQYIKFLQTIVKAEGKFIKKCQDMVMAELVNSGEDVLVFYNDRASFQTLIQMMRSERDRMDENSPLMYHIHLVELLAVCTEGKNVYTEIKCNSLLPLDDIVRVVTHEDCIPEVKIAYINFLNHCYVDTEVEMKEIYTSNHMWKLFENFLVDICRACNNTSDRKHADSILEKYVTEIVMSIVTTFFSSPFSDQSTTLQTRQPVFVQLLQGVFRVYHCNWLMPSQKASVESCIRVLSDVAKSRAIAIPVDLDSQVNNLFLKSHNIVQKTALNWRLSARNAARRDSVLAASRDYRNIIERLQDIVSALEDRLRPLVQAELSVLVDVLHRPELLFPENTDARRKCESGGFICKLIKHTKQLLEENEEKLCIKVLQTLREMMTKDRGYGEKQISIDESENAELPQAPEAENSTEQELEPSPPLRQLEDHKRGEALRQILVNRYYGNIRPSGRRESLTSFGNGPLSPGGPSKPGGGGGGPGSSSTSRGEMSLAEVQCHLDKEGASNLVIDLIMNASSDRVFHESILLAIALLEGGNTTIQHSFFCRLTEDKKSEKFFKVFYDRMKVAQQEIKATVTVNTSDLGNKKKDDEVDRDAPSRKKAKEPTTQITEEVRDQLLEASAATRKAFTTFRREADPDDHYQSGEGTQATTDKAKDDLEMSAVITIMQPILRFLQLLCENHNRDLQNFLRCQNNKTNYNLVCETLQFLDCICGSTTGGLGLLGLYINEKNVALINQTLESLTEYCQGPCHENQNCIATHESNGIDIITALILNDINPLGKKRMDLVLELKNNASKLLLAIMESRHDSENAERILYNMRPKELVEVIKKAYMQGEVEFEDGENGEDGAASPRNVGHNIYILAHQLARHNKELQTMLKPGGQVDGDEALEFYAKHTAQIEIVRLDRTMEQIVFPVPSICEFLTKESKLRIYYTTERDEQGSKINDFFLRSEDLFNEMNWQKKLRAQPVLYWCARNMSFWSSISFNLAVLMNLLVAFFYPFKGVRGGTLEPHWSGLLWTAMLISLAIVIALPKPHGIRALIASTILRLIFSVGLQPTLFLLGAFNVCNKIIFLMSFVGNCGTFTRGYRAMVLDVEFLYHLLYLLICAMGLFVHEFFYSLLLFDLVYREETLLNVIKSVTRNGRSIILTAVLALILVYLFSIVGYLFFKDDFILEVDRLPNETAVPETGESLANDFLYSDVCRVETGENCTSPAPKEELLPAEETEQDKEHTCETLLMCIVTVLSHGLRSGGGVGDVLRKPSKEEPLFAARVIYDLLFFFMVIIIVLNLIFGVIIDTFADLRSEKQKKEEILKTTCFICGLERDKFDNKTVTFEEHIKEEHNMWHYLCFIVLVKVKDSTEYTGPESYVAEMIRERNLDWFPRMRAMSLVSSDSEGEQNELRNLQEKLESTMKLVTNLSGQLSELKDQMTEQRKQKQRIGLLGHPPHMNVNPQQPA", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKEEAFLRRRFSLCPPSSTPQKVDPRKLTRNLLLSGDNELYPLSPGKDMEPNGPSLPRDEGPPTPSSATKVPPAEYRLCNGSDKECVSPTARVTKKETLKAQKENYRQEKKRATRQLLSALTDPSVVIMADSLKIRGTLKSWTKLWCVLKPGVLLIYKTPKVGQWVGTVLLHCCELIERPSKKDGFCFKLFHPLDQSVWAVKGPKGESVGSITQPLPSSYLIFRAASESDGRCWLDALELALRCSSLLRLGTCKPGRDGEPGTSPDASPSSLCGLPASATVHPDQDLFPLNGSSLENDAFSDKSERENPEESDTETQDHSRKTESGSDQSETPGAPVRRGTTYVEQVQEELGELGEASQVETVSEENKSLMWTLLKQLRPGMDLSRVVLPTFVLEPRSFLNKLSDYYYHADLLSRAAVEEDAYSRMKLVLRWYLSGFYKKPKGIKKPYNPILGETFRCCWFHPQTDSRTFYIAEQVSHHPPVSAFHVSNRKDGFCISGSITAKSRFYGNSLSALLDGKATLTFLNRAEDYTLTMPYAHCKGILYGTMTLELGGKVTIECAKNNFQAQLEFKLKPFFGGSTSINQISGKITSGEEVLASLSGHWDRDVFIKEEGSGSSALFWTPSGEVRRQRLRQHTVPLEEQTELESERLWQHVTRAISKGDQHRATQEKFALEEAQRQRARERQESLMPWKPQLFHLDPITQEWHYRYEDHSPWDPLKDIAQFEQDGILRTLQQEAVARQTTFLGSPGPRHERSGPDQRLRKASDQPSGHSQATESSGSTPESCPELSDEEQDGDFVPGGESPCPRCRKEARRLQALHEAILSIREAQQELHRHLSAMLSSTARAAQAPTPGLLQSPRSWFLLCVFLACQLFINHILK", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRPDSPTMAAPAESLRRRKTGYSDPEPESPPAPGRGPAGSPAHLHTGTFWLTRIVLLKALAFVYFVAFLVAFHQNKQLIGDRGLLPCRVFLKNFQQYFQDRTSWEVFSYMPTILWLMDWSDMNSNLDLLALLGLGISSFVLITGCANMLLMAALWGLYMSLVNVGHVWYSFGWESQLLETGFLGIFLCPLWTLSRLPQHTPTSRIVLWGFRWLIFRIMLGAGLIKIRGDRCWRDLTCMDFHYETQPMPNPVAYYLHHSPWWFHRFETLSNHFIELLVPFFLFLGRRACIIHGVLQILFQAVLIVSGNLSFLNWLTMVPSLACFDDATLGFLFPSGPGSLKDRVLQMQRDIRGARPEPRFGSVVRRAANVSLGVLLAWLSVPVVLNLLSSRQVMNTHFNSLHIVNTYGAFGSITKERAEVILQGTASSNASAPDAMWEDYEFKCKPGDPSRRPCLISPYHYRLDWLMWFAAFQTYEHNDWIIHLAGKLLASDAEALSLLAHNPFAGRPPPRWVRGEHYRYKFSRPGGRHAAEGKWWVRKRIGAYFPPLSLEELRPYFRDRGWPLPGPL", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKQIVKRSHAIRIVAALGIIGLWMFFSSNELSIATPGLIKAKSGIDEVQGAAAEKNDARLKEIEKQTIMPLMGDDKVKKEVGRASWKYFHTLLARFPDEPTPEEREKLHTFIGLYAELYPCGECSYHFVKLIEKYPVQTSSRTAAAMWGCHIHNKVNEYLKKDIYDCATILEDYDCGCSDSDGKRVSLEKEAKQHG", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEALGFLKLEVNGPMVTVALSVALLALLKWYSTSAFSRLEKLGLRHPKPSPFIGNLTFFRQGFWESQMELRKLYGPLCGYYLGRRMFIVISEPDMIKQVLVENFSNFTNRMASGLEFKSVADSVLFLRDKRWEEVRGALMSAFSPEKLNEMVPLISQACDLLLAHLKRYAESGDAFDIQRCYCNYTTDVVASVAFGTPVDSWQAPEDPFVKHCKRFFEFCIPRPILVLLLSFPSIMVPLARILPNKNRDELNGFFNKLIRNVIALRDQQAAEERRRDFLQMVLDARHSASPMGVQDFDIVRDVFSSTGCKPNPSRQHQPSPMARPLTVDEIVGQAFIFLIAGYEIITNTLSFATYLLATNPDCQEKLLREVDVFKEKHMAPEFCSLEEGLPYLDMVIAETLRMYPPAFRFTREAAQDCEVLGQRIPAGAVLEMAVGALHHDPEHWPSPETFNPERFTAEARQQHRPFTYLPFGAGPRSCLGVRLGLLEVKLTLLHVLHKFRFQACPETQVPLQLESKSALGPKNGVYIKIVSR", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAETLLSSLLGLLLLGLLLPASLTGGVGSLNLEELSEMRYGIEILPLPVMGGQSQSSDVVIVSSKYKQRYECRLPAGAIHFQREREEETPAYQGPGIPELLSPMRDAPCLLKTKDWWTYEFCYGRHIQQYHMEDSEIKGEVLYLGYYQSAFDWDDETAKASKQHRLKRYHSQTYGNGSKCDLNGRPREAEVRFLCDEGAGISGDYIDRVDEPLSCSYVLTIRTPRLCPHPLLRPPPSAAPQAILCHPSLQPEEYMAYVQRQADSKQYGDKIIEELQDLGPQVWSETKSGVAPQKMAGASPTKDDSKDSDFWKMLNEPEDQAPGGEEVPAEEQDPSPEAADSASGAPNDFQNNVQVKVIRSPADLIRFIEELKGGTKKGKPNIGQEQPVDDAAEVPQREPEKERGDPERQREMEEEEDEDEDEDEDEDERQLLGEFEKELEGILLPSDRDRLRSEVKAGMERELENIIQETEKELDPDGLKKESERDRAMLALTSTLNKLIKRLEEKQSPELVKKHKKKRVVPKKPPPSPQPTEEDPEHRVRVRVTKLRLGGPNQDLTVLEMKRENPQLKQIEGLVKELLEREGLTAAGKIEIKIVRPWAEGTEEGARWLTDEDTRNLKEIFFNILVPGAEEAQKERQRQKELESNYRRVWGSPGGEGTGDLDEFDF", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSTMEEEKVISKSTSVDISEGTFDDITIEKKEEAKLVRKLDWYLMPMFSVLYFLSFLDRANIGNAAVVGLKEDLKLQAYQYSAAVSVFYATYITAETPSVLLVKKFGPHYYLSAMIIGWSLVTIFTCFVRHYWSLVLTRLLLGICEGGFFPCLSLYISMTYKREEQGKRLAYLYVCSCFSGAFGGLIATGLTKIPKSSGLPNWGWLYIIEGLISAISALWILFCLPDDPSTARFLNPREKELMKIRAEQRQKYMGSPNFDWTQFRKAFKDPKMYMSCVIQFCQDLVLYGISTFLPSILKLELGYSSLAAQYMSVPVYALGGISVYVICLLSDRTNIRGWFIIGMNFFGLAGFIILLATTNSAANYVATYLIALPLYPTVALNITWINNNMAPHYRRATALGCNQTIGNLAGVIAGQVYRSSPYKLGHGFALGCTVVGTLTATAMRFYLQRQNKIKQEILNGERVDEKKERDGCDALDFVYVL", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKLFYFSLLFTLFFGLISANRGPKVTDTVYFDLQQGDEFLGRVTIGLFGKTVPKTAENFRALATGEKGFGYEGSIFHRVIPNFMIQGGDITKGDGTGGKSIYGSRFPDENFKLSHQRPGLLSMANAGPDSNGSQFFITTVKTPWLDGHHVVFGEVLSGYDIVKKISKAETDNRDKPLEDVKIIKSGQLSQENVEDDGTDEL", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MADNADDLFQIPKNFYKEGSHFIKRCVKPDRKEFLSISKAVATGFVLMGLIGYIIKLIHIPINKVLVGGA", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAALGGDGLRLLSVSRPERPPESAALGGLGPGLCCWVSVFSCLSLACSYVGSLYVWKSELPRDHPAVIKRRFTSVLVVSSLSPLCVLLWRELTGIQPGTSLLTLMGFRLEGIFPAALLPLLLTMILFLGPLMQLSMDCPCDLADGLKVVLAPRSWARCLTDMRWLRNQVIAPLTEELVFRACMLPMLAPCMGLGPAVFTCPLFFGVAHFHHIIEQLRFRQSSVGNIFLSAAFQFSYTAVFGAYTAFLFIRTGHLIGPVLCHSFCNYMGFPAVCAALEHPQRRPLLAGYALGVGLFLLLLQPLTDPKLYGSLPLCVLLERAGDSEAPLCS", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTGYTMLRNGGAGNGGQTCMLRWSNRIRLTWLSFTLFVILVFFPLIAHYYLTTLDEADEAGKRIFGPRVGNELCEVKHVLDLCRIRESVSEELLQLEAKRQELNSEIAKLNLKIEACKKSIENAKQDLLQLKNVISQTEHSYKELMAQNQPKLSLPIRLLPEKDDAGLPPPKATRGCRLHNCFDYSRCPLTSGFPVYVYDSDQFVFGSYLDPLVKQAFQATARANVYVTENADIACLYVILVGEMQEPVVLRPAELEKQLYSLPHWRTDGHNHVIINLSRKSDTQNLLYNVSTGRAMVAQSTFYTVQYRPGFDLVVSPLVHAMSEPNFMEIPPQVPVKRKYLFTFQGEKIESLRSSLQEARSFEEEMEGDPPADYDDRIIATLKAVQDSKLDQVLVEFTCKNQPKPSLPTEWALCGEREDRLELLKLSTFALIITPGDPRLVISSGCATRLFEALEVGAVPVVLGEQVQLPYQDMLQWNEAALVVPKPRVTEVHFLLRSLSDSDLLAMRRQGRFLWETYFSTADSIFNTVLAMIRTRIQIPAAPIREEAAAEIPHRSGKAAGTDPNMADNGDLDLGPVETEPPYASPRYLRNFTLTVTDFYRSWNCAPGPFHLFPHTPFDPVLPSEAKFLGSGTGFRPIGGGAGGSGKEFQAALGGNVPREQFTVVMLTYEREEVLMNSLERLNGLPYLNKVVVVWNSPKLPSEDLLWPDIGVPIMVVRTEKNSLNNRFLPWNEIETEAILSIDDDAHLRHDEIMFGFRVWREARDRIVGFPGRYHAWDIPHQSWLYNSNYSCELSMVLTGAAFFHKYYAYLYSYVMPQAIRDMVDEYINCEDIAMNFLVSHITRKPPIKVTSRWTFRCPGCPQALSHDDSHFHERHKCINFFVKVYGYMPLLYTQFRVDSVLFKTRLPHDKTKCFKFI", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEDLRRRFPTKKNGEEISNVAVDPPLRKASDALPLPLYLTNTFFLSLFFATVYFLLSRWREKIRNSTPLHVVDLSEICALIGFVASFIYLLGFCGIDLIFRSSSDDDVWVNDGMIPCNQSLDCREVLPIKPNSVDPPRESELDSVEDEEIVKLVIDGTIPSYSLETKLGDCKRAAAIRREAVQRITGKSLTGLPLEGFDYNSILGQCCEMPVGYVQIPVGIAGPLLLDGVEYSVPMATTEGCLVASTNRGFKAIHLSGGAFSVLVKDAMTRAPVVRFPSARRAALVMFYLQDPSNFERLSLIFNKSSRFARLQSITCTIAGRNLYPRFACSTGDAMGMNMVSKGVQNVLDFVKSEFPDMDVIGISGNYCSDKKASAVNWIEGRGKHVVCEAFIKAEIVEKVLKTSVEALVELNTLKNLVGSAMAGSLGGFNAHSSNIVSAVFIATGQDPAQNVESSHCMTMILPDGDDLHISVSMPCIEVGTVGGGTQLASQAACLNLLGVKGSNNEKPGSNAQQLARIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSSRDIGPSSQVNR", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLSPQRVAAAASRGADDAMESSKPGPVQVVLVQKDQHSFELDEKALASILLQDHIRDLDVVVVSVAGAFRKGKSFILDFMLRYLYSQKESGHSNWLGDPEEPLTGFSWRGGSDPETTGIQIWSEVFTVEKPGGKKVAVVLMDTQGAFDSQSTVKDCATIFALSTMTSSVQIYNLSQNIQEDDLQQLQLFTEYGRLAMDEIFQKPFQTLMFLVRDWSFPYEYSYGLQGGMAFLDKRLQVKEHQHEEIQNVRNHIHSCFSDVTCFLLPHPGLQVATSPDFDGKLKDIAGEFKEQLQALIPYVLNPSKLMEKEINGSKVTCRGLLEYFKAYIKIYQGEDLPHPKSMLQATAEANNLAAAASAKDIYYNNMEEVCGGEKPYLSPDILEEKHCEFKQLALDHFKKTKKMGGKDFSFRYQQELEEEIKELYENFCKHNGSKNVFSTFRTPAVLFTGIVALYIASGLTGFIGLEVVAQLFNCMVGLLLIALLTWGYIRYSGQYRELGGAIDFGAAYVLEQASSHIGNSTQATVRDAVVGRPSMDKKAQ", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIEALEIVLLLVIQSLQYICRTCIAFLLIPFLGLYAFDLFLYVYRMILYLSQMFNYKRKLGRSKTNNRPHSPRLHKIYSSGDCMDTLIGQVRDLRVFLLSTIHSHSKRFFSTRFQTKSGINSAIDANDVETTSDVSSFTNLHLTRSSEEGYYIAGSI", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLVLHNSQKLQILYKSLEKSIPESIKVYGAIFNIKDKNPFNMEVLVDAWPDYQIVITRPQKQEMKDDQDHYTNTYHIFTKAPDKLEEVLSYSNVISWEQTLQIQGCQEGLDEAIRKVATSKSVQVDYMKTILFIPELPKKHKTSSNDKMELFEVDDDNKEGNFSNMFLDASHAGLVNEHWAFGKNERSLKYIERCLQDFLGFGVLGPEGQLVSWIVMEQSCELRMGYTVPKYRHQGNMLQIGYHLEKYLSQKEIPFYFHVADNNEKSLQALNNLGFKICPCGWHQWKCTPKKYC", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MFNFRLFSRRGKSLGLLAIVLLLFGFYSLKSSMPVYSNSIGSPSAHSSSYKGVSKAKTSPQDPDSVVMLIVSFDDHYDSSRSDSSSVFLDKVLSDRTEYALRHGYTLVHKKARDIQARYGVYGTWSIIPALRETLAEYPDAGWIWLLDAKAVIMNPSESLKDRVLKPEKLSQHLLLNSPIDPLKNYIRTRRKMDPSDVFVITTSDYNGISTRSLLIKNNNFAPFLLDAWNEPLLKSAGFDQAERSALSHLLEAHNTILDHVALVSPKVLNSYTNSAVDLNYEEGDFLVILQDCENAAACERIFDNYYQQRKLPAIKKQLSEETVDEQS", - "output": "reticulum" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPPATGGGLAESELRPRRGRCGPQAARAAGRDVAAEAVARSPKRPAWGSRRFEAVGWWALLALVTLLSFATRFHRLDEPPHICWDETHFGKMGSYYINRTFFFDVHPPLGKMLIGLAGYLSGYDGTFLFQKPGDKYEHHSYMGMRGFCAFLGSWLVPFAYLTVLDLSKSLSAALLTAALLTFDTGCLTLSQYILLDPILMFFIMAAMLSMVKYNSCADRPFSAPWWFWLSLTGVSLAGALGVKFVGLFIILQVGLNTIADLWYLFGDLSLSLVTVGKHLTARVLCLIVLPLALYTATFAVHFMVLSKSGPGDGFFSSAFQARLSGNNLHNASIPEHLAYGSVITVKNLRMAIGYLHSHRHLYPEGIGARQQQVTTYLHKDYNNLWIIKKHNTNSDPLDPSFPVEFVRHGDIIRLEHKETSRNLHSHYHEAPMTRKHYQVTGYGINGTGDSNDFWRIEVVNRKFGNRIKVLRSRIRFIHLVTGCVLGSSGKVLPKWGWEQLEVTCTPYLKETLNSIWNVEDHINPKLPNISLDVLQPSFPEILLESHMVMIRGNSGLKPKDNEFTSKPWHWPINYQGLRFSGVNDTDFRVYLLGNPVVWWLNLLSIALYLLSGSIIAVAMQRGARLPAEVAGLSQVLLRGGGQVLLGWTLHYFPFFLMGRVLYFHHYFPAMLFSSMLTGILWDTLLRLCAWGLASWPLARGIHVAGILSLLLGTAYSFYLFHPLAYGMVGPLAQDPQSPMAGLRWLDSWDF", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MILLAVLFLCFISSYSASVKGHTTGLSLNNDRLYKLTYSTEVLLDRGKGKLQDSVGYRISSNVDVALLWRNPDGDDDQLIQITMKDVNVENVNQQRGEKSIFKGKSPSKIMGKENLEALQRPTLLHLIHGKVKEFYSYQNEAVAIENIKRGLASLFQTQLSSGTTNEVDISGNCKVTYQAHQDKVIKIKALDSCKIARSGFTTPNQVLGVSSKATSVTTYKIEDSFVIAVLAEETHNFGLNFLQTIKGKIVSKQKLELKTTEAGPRLMSGKQAAAIIKAVDSKYTAIPIVGQVFQSHCKGCPSLSELWRSTRKYLQPDNLSKAEAVRNFLAFIQHLRTAKKEEILQILKMENKEVLPQLVDAVTSAQTSDSLEAILDFLDFKSDSSIILQERFLYACGFASHPNEELLRALISKFKGSIGSSDIRETVMIITGTLVRKLCQNEGCKLKAVVEAKKLILGGLEKAEKKEDTRMYLLALKNALLPEGIPSLLKYAEAGEGPISHLATTALQRYDLPFITDEVKKTLNRIYHQNRKVHEKTVRTAAAAIILNNNPSYMDVKNILLSIGELPQEMNKYMLAIVQDILRFEMPASKIVRRVLKEMVAHNYDRFSRSGSSSAYTGYIERSPRSASTYSLDILYSGSGILRRSNLNIFQYIGKAGLHGSQVVIEAQGLEALIAATPDEGEENLDSYAGMSAILFDVQLRPVTFFNGYSDLMSKMLSASGDPISVVKGLILLIDHSQELQLQSGLKANIEVQGGLAIDISGAMEFSLWYRESKTRVKNRVTVVITTDITVDSSFVKAGLETSTETEAGLEFISTVQFSQYPFLVCMQMDKDEAPFRQFEKKYERLSTGRGYVSQKRKESVLAGCEFPLHQENSEMCKVVFAPQPDSTSSGWF", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNSGGSDSFDYLLQLTKALSAECRANRQETDRIELLLKRLAKQSGISYDNLSKNIIPDSWKDNASQKASPPTEAQKLISENFKLIYEIEKQEYFNTKAVALINNINEHFSYIKNFIDEQNAIRERNIATFSSEKLDERNKSLQQNYESLKTENEETKKKLHSIIKQFEKLLKEVDWDRISKDSRDYSRFKKQLEYLQDTYQVLK", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEAVVNLYQEVMKHADPRIQGYPLMGSPLLMTSILLTYVYFVLSLGPRIMANRKPFQLRGFMIVYNFSLVALSLYIVYEFLMSGWLSTYTWRCDPVDYSNSPEALRMVRVAWLFLFSKFIELMDTVIFILRKKDGQVTFLHVFHHSVLPWSWWWGVKIAPGGMGSFHAMINSSVHVIMYLYYGLSAFGPVAQPYLWWKKHMTAIQLIQFVLVSLHISQYYFMSSCNYQYPVIIHLIWMYGTIFFMLFSNFWYHSYTKGKRLPRALQQNGAPGIAKVKAN", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAAAVTGQRPETAAAEEASRPQWAPPDHCQAQAAAGLGDGEDAPVRPLCKPRGICSRAYFLVLMVFVHLYLGNVLALLLFVHYSNGDESSDPGPQHRAQGPGPEPTLGPLTRLEGIKVGHERKVQLVTDRDHFIRTLSLKPLLFEIPGFLTDEECRLIIHLAQMKGLQRSQILPTEEYEEAMSTMQVSQLDLFRLLDQNRDGHLQLREVLAQTRLGNGWWMTPESIQEMYAAIKADPDGDGVLSLQEFSNMDLRDFHKYMRSHKAESSELVRNSHHTWLYQGEGAHHIMRAIRQRVLRLTRLSPEIVELSEPLQVVRYGEGGHYHAHVDSGPVYPETICSHTKLVANESVPFETSCRYMTVLFYLNNVTGGGETVFPVADNRTYDEMSLIQDDVDLRDTRRHCDKGNLRVKPQQGTAVFWYNYLPDGQGWVGDVDDYSLHGGCLVTRGTKWIANNWINVDPSRARQALFQQEMARLAREGGTDSQPEWALDRAYRDARVEL", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDPILVLVLTLSCLFLLSLWRQSYERGKLPPGPTPLPIIGNILQIDVKDICQSFTNLSRVYGPVYTLYLGRKPTVVLHGYEAVKEALVDHGDVFAGRGRLPVFDKATNGMGIGFSNGSVWKNTRHFSLMTLRNLGMGKRSIEDRVQEEARCLVEELRKTNGSPCDPTFILGCAPCNVICSIIFQDRFDYKDRDFLNLLEKLDEISKILSTPWLQVCNTFPALLDYCPGSHNQFFKNYAYIKNFLLEKIREHKESLDVTIPRDFIDYFLIKGAQEDDNHPLKNNFEHLAITVTDLFIGGTESMSTTLRYALLLLLKYPHVTAKVQEEIEHVIGKHRRPCMQDRSHMPYTNAMIHEVQRFIDLVPNNLPHEVTCDIKFRNYFIPKGTTVITSLSSVLRDSKEFPNPEKFDPGHFLDENGKFKKSDYFMPFSTGKRICAGEGLARMELFLFLTSILQNFNLKPLVHPKDIDITPMLIGLGSVPPAFQLCFIPS", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVEFAPLLVPWERRLQTFAVLQWVFSFLALAQLCIVIFVGLLFTRFWLFSVLYATWWYLDWDKPRQGGRPIQFFRRLAIWKYMKDYFPVSLVKTAELDPSRNYIAGFHPHGVLAAGAFLNLCTESTGFTSLFPGIRSYLMMLTVWFRAPFFRDYIMSGGLVSSEKVSADHILSRKGGGNLLAIIVGGAQEALDARPGAYRLLLKNRKGFIRLALMHGAALVPIFSFGENNLFNQVENTPGTWLRWIQNRLQKIMGISLPLFHGRGVFQYSFGLMPFRQPITTIVGKPIEVQMTPQPSREEVDRLHQRYIKELCKLFEEHKLKFNVPEDQHLEFC", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLFLKLVASVLALMTIVPAQAGLIGKRSVFKPKVMIINMFSLEANAWLSQMDDLYANNITVVGLNRLYPQVHCNTQQTICQMTTGEGKSNAASSIMALTLSPKFDLTETFFLISGIAGINPYAASLGSVGVARFAVDIDLINSVDLRELPSYFQSSGWEIDTDPYENGSSNEIVYPESMPYQTNLYELNNTLITAAMEIIKDVVLEDNEKAASYRKLYNESAARRPPFITQCDTATGDNYWAGTYMGDFVSNITNVLTNSTGHYCTTQQEDNASLTALTRASFDGLVNINRVVIMRSGSDFDRGAGNITALANLLNSTGHVSSLACDNLYHAGAPLIDHIVNHWSYWT", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGVWLNKDDYIRDLKRIILCFLIVYMAILVGTDQDFYSLLGVSKTASSREIRQAFKKLALKLHPDKNPNNPNAHGDFLKINRAYEVLKDEDLRKKYDKYGEKGLEDNQGGQYESWNYYRYDFGIYDDDPEIITLERREFDAAVNSGELWFVNFYSPGCSHCHDLAPTWRDFAKEVDGLLRIGAVNCGDDRMLCRMKGVNSYPSLFIFRSGMAPVKYHGDRSKESLVSFAMQHVRSTVTELWTGNFVNSIQTAFAAGIGWLITFCSKGGDCLTSQTRLRLSGMLDGLVNVGWMDCATQDNLCKSLDITTSTTAYFPPGATLNNKEKNSILFLNSLDAKEIYLEVIHNLPDFELLSANTLEDRLAHHRWLLFFHFGKNENSNDPELKKLKTLLKNDHIQVGRFDCSSAPDICSNLYVFQPSLAVFKGQGTKEYEIHHGKKILYDILAFAKESVNSHVTTLGPQNFPANDKEPWLVDFFAPWCPPCRALLPELRRASNLLYGQLKFGTLDCTVHEGLCNMYNIQAYPTTVVFNQSNIHEYEGHHSAEQILEFIEDLMNPSVVSLTPTTFNELVTQRKHNEVWMVDFYSPWCHPCQVLMPEWKRMARTLTGLINVGSIDCQQYHSFCAQENVQRYPEIRFFPPKSNKAYHYHSYNGWNRDAYSLRIWGLGFLPQVSTDLTPQTFSEKVLQGKNHWVIDFYAPWCGPCQNFAPEFELLARMIKGKVKAGKVDCQAYAQTCQKAGIRAYPTVKFYFYERAKRNFQEEQINTRDAKAIAALISEKLETLRNQGKRNKDEL", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MFTSTGSSGLYKAPLSKSLLLVPSALSLLLALLLPHCQKLFVYDLHAVKNDFQIWRLICGRIICLDLKDTFCSSLLIYNFRIFERRYGSRKFASFLLGSWVLSALFDFLLIEAMQYFFGITAASNLPSGFLAPVFALFVPFYCSIPRVQVAQILGPLSITNKTLIYILGLQLFTSGSYIWIVAISGLMSGLCYDSKMFQVHQVLCIPSWMAKFFSWTLEPIFSSSEPTSEARIGMGATLDIQRQQRMELLDRQLMFSQFAQGRRQRQQQGGMINWNRLFPPLRQRQNVNYQGGRQSEPAAPPLEVSEEQVARLMEMGFSRGDALEALRASNNDLNVATNFLLQH", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSLANRIEEIRCLCQYKLWNDLPSYGEDENVPQNIRRCYQLLDMTSRSFAVVIKELPNGIREAVMIFYLVLRGLDTVEDDMTLPLDKKLPILRDFYKTIEVEGWTFNESGPNEKDRQLLVEFDVVIKEYLNLSEGYRNVISNITKEMGDGMAYYASLAEKNDGFSVETIEDFNKYCHYVAGLVGIGLSRLFAQSKLEDPDLAHSQAISNSLGLFLQKVNIIRDYREDFDDNRHFWPREIWSKYTSSFGDLCLPDNSEKALECLSDMTANALTHATDALVYLSQLKTQEIFNFCAIPQVMAIATLAAVFRNPDVFQTNVKIRKGQAVQIILHSVNLKNVCDLFLRYTRDIHYKNTPKDPNFLKISIECGKIEQVSESLFPRRFREMYEKAYVSKLSEQKKGNGTQKAILNDEQKELYRKDLQKLGISILFVFFIILVCLAVIFYVFNIRIHWSDFKELNLF", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAKSQIWFGFALLALLLVSAVADDVVVLTDDSFEKEVGKDKGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEQKSVCTKYGVSGYPTIQWFPKGSLEPQKYEGPRNAEALAEYVNKEGGTNVKLAAVPQNVVVLTPDNFDEIVLDQNKDVLVEFYAPWCGHCKSLAPTYEKVATVFKQEEGVVIANLDADAHKALGEKYGVSGFPTLKFFPKDNKAGHDYDGGRDLDDFVSFINEKSGTSRDSKGQLTSKAGIVESLDALVKELVAASEDEKKAVLSRIEEEASTLKGSTTRYGKLYLKLAKSYIEKGSDYASKETERLGRVLGKSISPVKADELTLKRNILTTFVASS", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSTTLLWFSSVIGYVIQTKCLSNIQSKKEISVGPNGTIATPETNGDNGNSSSLTFYLTFMYFASWLLLVPASRLWEKMRPMFVSDSDSNRNSQFDNNNSGSVTNEDVDTFSHVLDDPQPRIPAQQQKQKIISVATFKYVAKLTVLALIMIVADLTYNMALSLSPAFDVALMQNTAIFEIVTLLYGVCGISRKNYVFRNFLIMMNAVIGILIISYTKATCDMLAGKLSVNPNTGELSDPFLFDRLKGALICGLGALIMGPFAVLWNRWFCSNISKNENSAVVLVKQSTHMALIGIIGMVILLPFIPKFPSRESVESISLFYNDKSFWFSLLGSIIFGSLPSLISILELNRKAPAEYLTTCNLGAIIFMGLAEWVCEPTQTTIVRWEVIGYIMLTVSLLVLSVTLGEGKYHH", - "output": "reticulum" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTQARVLVAAVVGLVAVLLYASIHKIEEGHLAVYYRGGALLTSPSGPGYHIMLPFITTFRSVQTTLQTDEVKNVPCGTSGGVMIYIDRIEVVNMLAPYAVFDIVRNYTADYDKTLIFNKIHHELNQFCSAHTLQEVYIELFDQIDENLKQALQKDLNLMAPGLTIQAVRVTKPKIPEAIRRNFELMEAEKTKLLIAAQKQKVVEKEAETERKKAVIEAEKIAQVAKIRFQQKVMEKETEKRISEIEDAAFLAREKAKADAEYYAAHKYATSNKHKLTPEYLELKKYQAIASNSKIYFGSNIPNMFVDSSCALKYSDIRTGRESSLPSKEALEPSGENVIQNKESTG", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAYSTVQRVALASGLVLAVSLLLPKAFLSRGKRPEPPPGPEGKLDRFPPMMHHHSAPSDGQTPGARFQRSHLAEAFAKAKGAGGGAGGGGSGRGLMGQIIPIYGFGIFLYILYILFKLSKGKTAEDRNCSTAPPGNAHRKITNFELVQLQEKLKETEEAMEKLINRVGPNGESRAQAVTSDQEKRLLHQLREITRVMKEGKFIDTSPEKEAEEAPYMEDWEGYPEETYPIYDLSDGIKRRQETILVDYPDLKEPSAEEIAEQMGEIEEEGSERLSWDHLPTDPGAQKDNSVAPCDPKPESCSCCVHEEEDPAVLAENAGFSADGYSEQEEATKENLPQDFTNEGLGVSTDNAHVGGMLRKRNPQGFE", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSGSRKQEAIDELRNSLDVPADTAQSVLESFNWDVQEAIESLTGESSRVDRNSKLGLSFGVFQSVFSLLFSGLHKLWMILSRVPLISTFIPIFGTTKRVLSPADTANKLVQNLEEQYGTEHIDFFTDGGYMEALTRIKRNYGVALLFFTSSKNDDSETFSRSVLMNQELKEFLNRRNILCWTGDVCEDEAFRGSRQFHCTKFPSAVLVMYSPQLSELVVAAQLHGCLDSSSIITNLTNALAKHLPSLERFRSEREAREAARELRRQQDNAYQASLARDRERQAFARAEEERLAKEKEEREIVQKKKKQYRAWLASNLPPEPSSEDEPARLSIRFPDGSRAVRRFKKDDTVESVYNYVDYMLFEKEEPEEFGRATSSSNPVTPPSDYKHDFHFQLYSSLPRALLKPSVAISTNKAIFPNGTVVVELDD", - "output": "reticulum" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSMILSASVVRVRDGLPLSASTDCEQSAGVQECRKYFKMLSRKLAQFPDRCTLKTGRHNINFISSLGVSYMMLCTENYPNVLAFSFLDELQKEFITTYNMMKTNTAVRPYCFIEFDNFIQRTKQRYNNPRSLSTKINLSDMQMEIKLRPPYQIPMCELGSANGVTSAFSVDCKGAGKISSAHQRLEPATLSGIVAFILSLLCGALNLIRGFHAIESLLQSDGEDFSYMIAFFLGTAACLYQCYLLVYYTSWRNVKSFLTFGLICLCNMYLYELRNLWQLFFHVTVGAFVTLQIWLRQAQGKAPDHDV", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGSKALITSPDISSGQLYIKLPTFFHLYVWPFALFVYPYIGYVYQNKLYSEEVRYLTYIAVGTIHALFWLAGEWNTKVYCLMTCRKTDKVEQATHILVTPSKIGESSSVEPITKLVLPDSQTIQYSFSFQRKRFIYEPEKGCFANITFPMDEPSTIGTLKKSTGLTNIQSEIFLYRYGKNCFDIPIPTFGTLFKEHAVAPFFVFQIFCCVLWCLDDYWYFSLFSMFMIIALECSVVWQRQRTLTEFRTMSIKPYEIQVYRNKHWFPISTEDLLPNDVVSVLHNKEDSGLPCDLLLLSGSCVVNEAMLSGESTPLVKESIELRPEEAVIDVDELDKNAVLFGGTRVLQVTQSPFCKLKTPDNGVPAIVLRTGFETSQGSLVRTMVFSSEKVTANNRESLYFILFLLVFAIAASGYVWHVGSKTERSRYKLMLDCVMIITSVVPSELPMELSMAVNASLGALSKYYIYCTEPFRIPLSGHLDICCFDKTGTLTEEHMVVQGIAGVNRKDPYSLEKLSDASNDAILAIATAHTLVLLEQEGETPKVVGDPMEKATVENLGWSIEKKNFVSAPEGSVFYKGKVQIIRNFQFSSALKRQSSVSNVRVSGGSFKTFVSVKGAPEVIATMLREVPKDYEKIYKDYGRKGSRVLALGYKYFKNYIPENQVSDLSRESIESDLVFAGFLIFTSPLKEDARQTVQMLNNSSHRCMMITGDNPLTAVYVAEQVGIVEKPTLVLDIKHENEKILEWKSTDDTINLPMNPHKSLEASLYEKYDLCITGRALSQIINPDVIMSIFTHAWVYARVSPSQKEFMISTLKHNGYITLMCGDGTNDVGALKQAHVGVALLNASEEDMLEMQERARNQKLMGVYEKQIQLAKRFNLPTPPVPPALCHAFPPGPNNPHREKTQEGLNKVLEDLETKKASDVQLTEAEKAAERRANLANKMFDTLANASDDEAPKLKLGDASVAAPFTSKLAVVSSITNIVRQGRCTLVALVQMHKILALNCLITAYSLSVLHLDGIKFGDTQYTISGMLMSVCFYCVSRARPLETLSKERPQAGIFNTYIIGSVLGQFAIHIVTLIYITRVVYLYEDPLEKVDLEETFKPSLLNTAIYLLQLIQQVSTFAINYQGRPFREALSENKGMYYGLLGIAFVAIAGVTEFSPELNAKLQLVKMAYNFQIQLLATMVVDYAACWIIEELMKKYFRDNKPKEIVLRN", - "output": "reticulum" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAFSLVSILLSIALAWYVGYIINQLTSRNSKRPPIVFHWIPFVGSAVAYGMDPYVFFRECRAKYGDVFTFVCMGRKMTAFLGVQGNDFLFNGKLADLNAEEAYSHLTTPVFGKDVVYDIPNHVFMEHKKFIKSGLGFSQFRSYVPLILNEMDAFLSTSPDFGPGKEGVADLLKTMPVMTIYTASRTLQGAEVRKGFDAGFADLYHDLDQGFSPVNFVFPWLPLPRNRRRDRAHKIMQKTYLKIIKDRRSSTENPGTDMIWTLMSCKYRDGRPLKEHEIAGMMIALLMAGQHTSAATIVWVLALLGSKPEIIEMLWEEQKRVVGENLELKFDQYKDMPLLNYVIQETLRLHPPIHSHMRKVKRDLPVPGSKIVIPANNYLLAAPGLTATEEEYFTHATDFDPKRWNDRVNEDENAEQIDYGYGLVTKGAASPYLPFGAGRHRCIGEQFAYMHLSTIISKFVHDYTWTLIGKVPNVDYSSMVALPLGPVKIAWKRRN", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPVKLRWPWLGIAIPTFLISFIGYGAHYFILSNFLSVPKQITFEFCLSMIWLSYYLAICTNPGRPLPNYKPPPDIWRNFCKKCQSYKPERSHHCKTCNQCVLMMDHHCPWTMNCVGFANYPHFLRFLFWIIVTTSVLFCIQAKRIYFIWQQRHLPGYFFKKSELIFLTISSPLNSFVLLTITILFLRCLFNQILNGRSQIESWDMDRLESLFNSGRLTQKLIDNTWRIYPESRSFQNKKDAEEHLTKKRPRFDELVNFPYDFDLYTNALLYLGPIHLWLWPYGVPTGDGNNFPKNGISKYEANSSLEDHILSLPWPPDGGKTNTVFNHGSSTIEMRNESGEQLIRTRLPQNGRHASREKWYNDWGESLDDFGVDVDME", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVRPQNVHWFIATIVFFIGFVHANTESILYKVPHNFPLKKPRDSSTYARDVNLISSISLSGEAMSQITIEANTTDLELHNTTYIELADLQRDETYQIKVCWSAIHPISINNLQTITIPRFTEFQGTKSDYARILVTFQVLSDSYPSEHAMVPIQVSLITTRLGIPVDIYPTLIVMVLLVAGLVVTRAPHVLNDLLLKF", - "output": "reticulum" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAEGGFDPCECVCSHEHAMRRLINLLRQSQSYCTDTECLQELPGPSGDNGISVTMILVAWMVIALILFLLRPPNLRGSSLPGKPTSPHNGQDPPAPPVD", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFYSDYLKLLKIFVVGVFPCTLFVNAPHGKLAKQSKLFSKGYISGRVGWVLMELVAPLTFLYAIRNNRHFESTLPSLGDGSNRDYLDSCRKVLAGMFLVHYANRALVSPLLMAPQVSPMHWTVFVSAVLFNFLNGMSIGLYLVQASVQHHPVSIIRRYIGMFLWLMGWLGNMYHDNILYDLRRSSNKKKDPDNLDTVQSENSYYRIPYGGLFQYVSCPNYFCEWIEWFGCYLAAGPSAEPFWWFFLSEILLMLPRALKAHQWYCKKFPKYPANRRAIIPFLM", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MCDGEIGDPVTQPRSEGGGLVTMDQETRTHYLDAATDKHLTNGSPDPEPVDPLLVAQWSIENVTSWATCMEHFSRTLLDCLRQEAIDGEVLLSLTEEDVRDMRYKLGYKLTFGELKKFWIAVLKLQLLVKNSSAESVILGIECHGNGNSVYMPLASTGCGPPSSSTCPCPQAECPSYVSDCDTYLRMGGRYVPPEYFKTAMSLGYSFVVTWITSLTMVIVHERVPDMKRYPPLPDIFLDNVPHIPWAFNMCEITGSLLFTIWVVVLTFHKYRLVLLRRFFALAGTVFLLRCVTMLITSLSVPGTHLQCSQKDFAIDDPNVDMVGALIIRMSRAYRIWSGLGMSIQGVRTCGDYMFSGHTVALTLLNFFITEYTPRNLYFLHTLTWLLNMFGIFFILAAHEHYSIDVFVAFYITSRLFLYYHTLANNRALMQSDSKRTRVWFPMFSYFESSVDGMVPNEYDTLGSLIDGIIEQIFKAKDQLAISVKRCWLDAPLSGNSSAHMFGGESEQCLRNGTASAAFFSPHQSLIGGLGGQRSQTHLNSAQSGSSTPTPATSAPTKSLPTQKKTFRDASVDPFSRTTFAAVQQAENVKDSPMKEKKHL", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MMLPKRNIIHFLRKRAIFIVAAFIALLTVDYSLNTIEITDSKSGPFSLNTPIEDIRLVECFSFSPFCRPVLEFWKWARTSRNLYRSRIPWKRAYLYVKRPALYIPGETVLVEQVYVDRQLEKRNKHGIQIRYGTDGDICEFNTLLGEDVVELREGWSAVLNDFIYLGQPVLLTQRPCETPPTPSIEALKRKELSSVTLTYDDEEKKTIKILQLSDLHYSNSDRPCRDPYPYETAEDCMADAKTTAFVNELLQLEEPDFVLLTGDLINGDTSRDARSSLMKAVSPFVDYNVPFAVNFGNHDDLGDLSREELAKILSQIPGSMGLIGNVSGVGNFVLHSPRKFAIYVLDTKGDTSNRRLCPGYDAITEDQLEWLSSKVADFKYEPIQMAVLHIPLKEFCETEDLVGAFREPCSYSICDPNTAKALKSLRIPLAIAGHDHVNDFCGIHPDYNTYFCFAGGAGFGGYGGHGGYVRRARVFELDPVERAVRTWKRLEWPPEDRKLMLDVQTILV", - "output": "reticulum" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MMSFTTSLPYPFHILLVFILSMASITLLGRILSRPTKTKDRSCQLPPGPPGWPILGNLPELFMTRPRSKYFRLAMKELKTDIACFNFAGIRAITINSDEIAREAFRERDADLADRPQLFIMETIGDNYKSMGISPYGEQFMKMKRVITTEIMSVKTLKMLEAARTIEADNLIAYVHSMYQRSETVDVRELSRVYGYAVTMRMLFGRRHVTKENVFSDDGRLGNAEKHHLEVIFNTLNCLPSFSPADYVERWLRGWNVDGQEKRVTENCNIVRSYNNPIIDERVQLWREEGGKAAVEDWLDTFITLKDQNGKYLVTPDEIKAQCVEFCIAAIDNPANNMEWTLGEMLKNPEILRKALKELDEVVGRDRLVQESDIPNLNYLKACCRETFRIHPSAHYVPSHLARQDTTLGGYFIPKGSHIHVCRPGLGRNPKIWKDPLVYKPERHLQGDGITKEVTLVETEMRFVSFSTGRRGCIGVKVGTIMMVMLLARFLQGFNWKLHQDFGPLSLEEDDASLLMAKPLHLSVEPRLAPNLYPKFRP", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDGLRQRVEHFLEQRNLVTEVLGALEAKTGVEKRYLAAGAVTLLSLYLLFGYGASLLCNLIGFVYPAYASIKAIESPSKDDDTVWLTYWVVYALFGLAEFFSDLLLSWFPFYYVGKCAFLLFCMAPRPWNGALMLYQRVVRPLFLRHHGAVDRIMNDLSGRALDAAAGITRNVKPSQTPQPKDK", - "output": "reticulum" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAAPRNLTGDGGARQLVKDEESPAASSAAKGLLNDDSPTGKRTKSERFPLSRWEFAVFFTVFLVFTTGLFCIYLTMPAAEYGKLKVPRTISDLRLLKENLGSYASEYQARFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVVRGFVLVVLNATAGACSCFFLSKLVGRPLVNWLWPEKLRFFQAEIAKRRDRLLNYMLFLRITPTLPNLFINLSSPIVDIPFHVFFLATLVGLMPASYITVRAGLALGDLRSVKDLYDFKTLSVLFLIGSISIFPALLKRKRVYE", - "output": "reticulum" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGDVLEQFFILTGLLVCLACLAKCVRFSRCVLLNYWKVLPKSFLRSMGQWAVITGAGDGIGKAYSFELAKRGLNVVLISRTLEKLEAIATEIERTTGRSVKIIQADFTKDDIYEHIKEKLAGLEIGILVNNVGMLPNLLPSHFLNAPDEIQSLIHCNITSVVKMTQLILKHMESRQKGLILNISSGIALFPWPLYSMYSASKAFVCAFSKALQEEYKAKEVIIQVLTPYAVSTAMTKYLNTNVITKTADEFVKESLNYVTIGGETCGCLAHEILAGFLSLIPAWAFYSGAFQRLLLTHYVAYLKLNTKVR", - "output": "reticulum" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSTPTAEELALQNTVSQSASAHPELYHTVSHASNNSYQLPQLSRSATSNFSTSARFAARYPTTAGESFQNLTPVNSNPSNQNSKTEPNPDDVEKCIQDPLLQVFPVVEEPERFVFSIDPKSPLIAVNWPFKRKLKTTCILAYVALCSSFASSVFAVPAEAITTVFHISLTVSLLTMTVFLLGYCSGPIIWAPLSELSGRKPPILIGMLGFGIFNISVAVGKDIQTIMMCRFFAGFFASAPLTVVAAALADMYSNKYRGTAITLFSAMVFDGPLVSPIVGGFLTKSYLGWRWTEYITSFMGFFALIIVYLFCDETYSKAIIQGKAKEYRAITGNYFVHAKSEEEVLTLSDIAKNYLLVPMKLLFTEPICFLITLYSSFVYAILYLLLEAYPIIFGEKRHFSMGVAELPYIGLLVGVFIGSGINIAFEPWYYRKCLAQGGKPDPEARLPPMMIGCFMFPAGIFWLSWSGHYSYVNWVVPALSGLATGCGILLIFLQCINYLIDAYLFRAASAIAANTIMRSAMAAGFPLFAVQMFHNMGVGWAGSLLGFIATALIPMPFVFFFFGRKIRRMSKMAVDF", - "output": "reticulum" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDDISVSKSNHGNVVVLNIKASSLADTSLPSNKHESSSPPLLSVHFLQKLLAELVGTYYLIFAGCAAIAVNAQHNHVVTLVGIAVVWGIVIMVLVYCLGHLSAHFNPAVTLALASSQRFPLNQVPAYITVQVIGSTLASATLRLLFDLNNDVCSKKHDVFLGSSPSGSDLQAFVMEFIITGFLMLVVCAVTTTKRTTEELEGLIIGATVTLNVIFAGEVSGASMNPARSIGPALVWGCYKGIWIYLLAPTLGAVSGALIHKMLPSIQNAEPEFSKTGSSHKRVTDLPL", - "output": "reticulum" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNMSVLTLQEYEFEKQFNENEAIQWMQENWKKSFLFSALYAAFIFGGRHLMNKRAKFELRKPLVLWSLTLAVFSIFGALRTGAYMVYILMTKGLKQSVCDQGFYNGPVSKFWAYAFVLSKAPELGDTIFIILRKQKLIFLHWYHHITVLLYSWYSYKDMVAGGGWFMTMNYGVHAVMYSYYALRAAGFRVSRKFAMFITLSQITQMLMGCVVNYLVFCWMQHDQCHSHFQNIFWSSLMYLSYLVLFCHFFFEAYIGKMRKTTKAE", - "output": "reticulum" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDTSVYSHALDIWAKADLTNLQRELDADVIEIKDKETLSLNSRKSLATETKKFKKLEPEEKLNNVNKIIKQYQREIDNLTQRSKFSEKVLFDVYEKLSEAPDPQPLLQSSLEKLGKIDDSKELKEKISYLEDKLAKYADYETLKSRLLDLEQSSAKTLAKRLTAKTQEINSTWEEKGRNWKEREADLLKQLTNVQEQNKALEAKISKNIDIEGNGNEDGDQENNQKEVSTRIAEYNLVTQELETTQARIYQLEKRNEELSGALAKATSEAEKETELHAKELKLNQLESENALLSASYEQERKSTSHAINELKEQLNSVVAESESYKSELETVRRKLNNYSDYNKIKEELSALKKIEFGVNEDDSDNDIRSEDKNDNTFESSLLSANKKLQATLAEYRSKSTAQEEERNELKKSVDQLKQQIATLKEANEKLETDLEKVENVSPHFNETASMMSGVTRQMNNRTSHKMSPTSSIIGIPEDGELSGNQSTILPIVTKQRDRFRSRNMDLEKQLRQGNSEKGKLKLEISKLKGDNTKLYERIRYLQSYNNNNAPVNQSTERIDVESQYSRVYDESLHPMANFRQNELNHYKNKKLSALEKLFSSFAKVILQNKMTRMVFLFYCIGLHGLVFMMSMYVINISGYMTPEVGIVQSAKSSSNLNGGLGGAEKVAAGVGSVHGINR", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAMNTGGFDSMQRQLEAELRSLSSESKRRNSTIRHASDKSIEILKRVHSFEELERHPDFALPFVLACQSRNAKMTTLAMQCLQGLSTVPSIPRSRLSEILDAFIEATHLAMEIQLKVLQVVPIFFKTYGKFIYGPLCKKLLLCCSNLLHVPNKAPVVVGTASATLQQLIDEIFDRLSIESVVDDKQYEVLISNSESIKVNVYRYDANKLFDNICSLNEISSNGAVSDEEMLLDIGDIPIDYGLEILESILKNSQKNLLECQDLQYLLRVKAIPLLLRCISSSRHFSTAVRSCRCLKLLIRKEYLSILELELEVILSLLIHGISVESNLSGWQRVLSLELFKDLSQDPEIVNTLYMDYDNYPDKKHVFKYLLKECIVLLNSPEYITFLAPSKVVEKMDSPLITTENSTVKTKFMHLLDKSNAPSINITYIISLILTICNHLCEGLNKSALESSPLEKKIEDKEREEGTGNDSTVVKVYSGLFSGLFELNKLFLYSTSLETSIFHLVVRAFQKLAHSAGVLSLKDKLRACMKLFSILITNNVTSSNQYSFNDTSKSAKNQHTRNISTSSVTTSPVESTKNPSRSIADSAQNKEMKRRLHPRNISSRQVSLLRALISLSISLGPIFDSESWRYTFLTWQWITYYIYGPSADFKESFYSEDIPPPPILTKSDVTSIESSLTKFFESTSSYSCSTFHLVLTRLILDSKNTLTLEQTNLNLNNDIGYHPLDAKDEIIPCIYNKAFFVNKIGELATYNCKKFLFGKNGKELWSLISTYMIKLISNREMDNDSLRLYTVRVFTDIIKKATNEVGNSDEQDNKVKQFGTLENLVIDSLMATINSIKQLDIGKQEIYNGTINVESDILFQLLLTLKEILNEFGELLMNSWTNIFNIINSPFEWTVEDTDFSVNEDIDDSSLFEGIVQKHKNMIQVSYDVFKLISDDFLQSLPMSVIKFVIDTLVNFVSQKRNLNISFSSISQFWLVGDYLRVRFNPETLNLSDEKRRSLSEKINNQKLIEIITSSSSHDWELYNGLWIYLLKNLINCTNDDRVEVKNGAVQTFFRIIDSHSVCFPPWDLIFLEVIEPLLTKEWSTEELENETDFINVTLQGLIKLYPEHFKDFKNNTTCAKEWSMLLDFLKRLLSSTSNNTKNAVILNYQTLLKEIITIEDVPSDILKKCCEIFTDYNITYSDLSTNASSKTEYDCIYELITGFPPLYQLISKYDAMTDEFVEKVLLLFNSAIKYPLLPEFVQDKTKPSSMQKAILSGLDIFMTNDSKDTEILILLQLSTISILAFDTREKITKKLGPKLPKASLNRLPTFEAISYMSCSNLRNRIAKIDQFGISTLKAKHILRILKNLAEIIKRKSLITGSESDEIPIWVLASNCFCDLSNKIFKSLQEDAENPLKDNFCDLFINVIVVTLQRINPELDNLTEIDDLNEYSKYREILLENRIIDLFNERQLDTFIYAVWDCSFLYEFDELENALMQDCGTFSELSQKLSSFDFSCIFGSTTNPRFQTKYKCSLECLQDLVNFMLNTNEKLRKLTAPYLSARIALALRRYISDEYLIGRAPIPKLRKTELATLLNGLCVILRGVLDQNSTLGNKQIGVENLQTLSPLILRTIPVSHKMDGLQDKVLELSLGFTKLD", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSRSPQRALPPGALPRLLQAAPAAAPRALLPQWPRRPGRRWPASPLGMKVFRRKALVLCAGYALLLVLTMLNLLDYKWHKEPLQQCNPDGPLGAAAGAAGGSWGRPGPPPAGPPRAHARLDLRTPYRPPAAAVGAAPAAAAGMAGVAAPPGNGTRGTGGVGDKRQLVYVFTTWRSGSSFFGELFNQNPEVFFLYEPVWHVWQKLYPGDAVSLQGAARDMLSALYRCDLSVFQLYSPAGSGGRNLTTLGIFGAATNKVVCSSPLCPAYRKEVVGLVDDRVCKKCPPQRLARFEEECRKYRTLVIKGVRVFDVAVLAPLLRDPALDLKVIHLVRDPRAVASSRIRSRHGLIRESLQVVRSRDPRAHRMPFLEAAGHKLGAKKEGVGGPADYHALGAMEVICNSMAKTLQTALQPPDWLQGHYLVVRYEDLVGDPVKTLRRVYDFVGLLVSPEMEQFALNMTSGSGSSSKPFVVSARNATQAANAWRTALTFQQIKQVEEFCYQPMAVLGYERVNSPEEVKDLSKTLLRKPRL", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKLKQRVVLLAILLVIFIFTKVFLIDNLDTSAANREDQRAFHRMMTGLRVELVPKLDHTLQSPWEIAAQWVVPREVYPEETPELGAIMHAMATKKIIKADVGYKGTQLKALLILEGGQKVVFKPKRYSRDYVVEGEPYAGYDRHNAEVAAFHLDRILGFRRAPLVVGRYVNLRTEVKPVATEQLLSTFLTVGNNTCFYGKCYYCRETEPACADGDMMEGSVTLWLPDVWPLQKHRHPWGRTYREGKLARWEYDESYCDAVKKTSPYDSGPRLLDIIDTAVFDYLIGNADRHHYESFQDDEGASMLILLDNAKSFGNPSLDERSILAPLYQCCIIRVSTWNRLNYLKNGVLKSALKSAMAHDPISPVLSDPHLDTVDQRLLNVLATIKQCTDQFGTDTVLVEDRMPLSHL", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDFLNDDELDLDLPVTAEISKELFATEIEKYRESETNGTDVDNFDVDRFLVQKNFHYLPLDSLIRDLSGLSQKMVQTLLEQIRSNYDDYLTFSNTYTDEENETLINLEKTQSDLQKFMTQLDHLIKDDISNTQEIIKDVLEYLKKLDEIYGSLRNHSQLTEALSLGKRLSKSLHEMCGIEPLEEEICSGLIEQLYKLITASRRILESCADSNSPYIHHLRNDYQDLLQEFQISLKILTEKCLENPSSLQNLSLTLVSIIKTA", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAAARRLRTLQSQPENKVCVDCSQKNPQWASISYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMDAGGNERLNNFLAQYGISKETDIISKYNSNAASVYRDRIQALAEGRQWRDPPIVKESVGGGLMNKKPPLSQGGGRDSGNGGWDNWDNDDSFRSTDMRRNQSAGDFRSSGGRGAPAKSKSSEDIYSRSQLEASAANKESFFAKRMAENESKPEGLPPSQGGKYVGFGSSPGPAPRSNQQSGGGDVFSVMSEGFGRLSLVAASAANVVQTGTMEFTSKVKEGGLDQTVSETVNVVASKTTEIGQRTWGIMKGVMAIASQKVEEFTKEEASTWNQQNKTEGNGYYQNSGIGNKTANSSFGGSQSSSSGHNNSYRNSNSWDDWGEENNSKKEAAPKVSTSNDDDDGGWAGWDDNDAKDDDFYYQPASDKKSVGHNGKSDTAWTGGGFL", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLSASTMKEVVYWSPKKVADWLLENAMPEYCEPLEHFTGQDLINLTQEDFKKPPLCRVSSDNGQRLLDMIETLKMEHHLEAHKNGHANGHLNIGVDIPTPDGSFSIKIKPNGMPNGYRKEMIKIPMPELERSQYPMEWGKTFLAFLYALSCFVLTTVMISVVHERVPPKEVQPPLPDTFFDHFNRVQWAFSICEINGMILVGLWLIQWLLLKYKSIISRRFFCIVGTLYLYRCITMYVTTLPVPGMHFNCSPKLFGDWEAQLRRIMKLIAGGGLSITGSHNMCGDYLYSGHTVMLTLTYLFIKEYSPRRLWWYHWICWLLSVVGIFCILLAHDHYTVDVVVAYYITTRLFWWYHTMANQQVLKEASQMNLLARVWWYRPFQYFEKNVQGIVPRSYHWPFPWPVVHLSRQVKYSRLVNDT", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVEYNRIFCVLVIFSTTIKCEYSELMNQKIGVRVEVLNMIYENETTITSTGHPRCRLTLHKSGWDRDTCSSPQFKLNDTLSWSTRVCYKWVCDTTKYAMRVESCWIGSPNMSVYILRDDGCTIEKAILTSPVYTSFNRAAAIGWMAVRQKNMKYMHVGCTIRLCHLCDPKCQTITPPRTCNDNRADDYEAMWNSSSRVKNLCFPEPSTTENLNLNFGNSPFQNVGNIIILLLVFLLR", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLLPKKMKLLLFLVSQMAILALFFHMYSHNISSLSMKAQPERMHVLVLSSWRSGSSFVGQLFGQHPDVFYLMEPAWHVWMTFKQSTAWMLHMAVRDLIRAVFLCDMSVFDAYMEPGPRRQSSLFQWENSRALCSAPACDIIPQDEIIPRAHCRLLCSQQPFEVVEKACRSYSHVVLKEVRFFNLQSLYPLLKDPSLNLHIVHLVRDPRAVFRSRERTKGDLMIDSRIVMGQHEQKLKKEDQPYYVMQVICQSQLEIYKTIQSLPKALQERYLLVRYEDLARAPVAQTSRMYEFVGLEFLPHLQTWVHNITRGKGMGDHAFHTNARDALNVSQAWRWSLPYEKVSRLQKACGDAMNLLGYRHVRSEQEQRNLLLDLLSTWTVPEQIH", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDLSSGGPSSSSDVASELDNSDAMQLVRQAVLFENVELLADLFKVNPWVWNRVDRHGRTPLMLAAHNGKLDSLRTILMLSPNSLNLVNDRGKTALHMAAESGETSIVLELVELGSDPMKSDNEGHCALELAQMAGHNEVAAKLIDAIQKESEDLNEAHTMIISACISGSADVVYEISRRFMEKKQSREILFNGRNEEDETALLIACTNGHIEIVRHLLQFEEHLLQSHVSKDTVIHAAVSSQNVEVLQLCLEKFPQLVKSTNNEGSTCLHWAARCGSSECVSTILNFPFPSEFIIEIDTVGAPAYQLALDVNEVDGECRTAMYLAVAEGHLEVVKAMTDFKCTSIDGRQRCPFQLDVYCTRGRTPFMLAAFNQNLPLMTLLLDAGADVNLPLAVLDTEYSVEEGRCIGSGALVEAVRSDGLHIVHFLLDRGALDTDNKALRLAAQGKNEKLIRVFLVRLVFADPEYKINKKNIDVGQIQVGQSLLPSSLCPSKAAQLNWNSANLEQLQSDWFVAAALHVNPRLRTTRLSLAAITRVDLSDNRLNTFPSILFQMPSLRSLNLADNSIRKIEIPTYYISSTSLEILNLRNNQLECIAIQFLSSLPQLQQLDVSKNELSQLPEYIWLCPALKELNASYNRLSTLPMVARASRGERPRLNNSNNNFNTQSPTQESNPIVVDDPPNVTSNPLRRQNVWQASINLSKVDDDSLFPDFPVTSSNTLTTINLSFNKFHTFPFCLACTCPRLLILNMSNNSMTSLPPMACVPAHLRTLDLSYNKIQESFIEASPLHVVCHAVPPTTSNGSMLPKRRNSPARQHRSRSKSAVRSQRSLSVSRHHALIDPQKEEESCVHKRHDSLEWLKTLQLAGNRLRSISVTNAASKVLLPALNVMDISDNKLLQAPPDVARLTLLSMLNLSGNTAIKELPPDYGMLSRLWSLSLKGCSLKEPLESMVNVENCKTVEIVAYLKTILEESKTYHHLRLMILGSDGVGKSVIWDALCKEAVQKRQPIHSETGVIRQAEWKFEAKRSKGDKNLGPVGFSVIDFGGQREYHSTHQYFLSKRSLNLVLWKITDGDEALAQLDTWLVNIHARAPNSTVILVGTNLDQVASNSSKFGPGYIDIMEQKVRTRYMVADADKSGLPRIVDVILINSTSRNDVKALLNTIYRTAWEVRMGKERAMEQQIPSSYIALMKVTKELGVEFRKEGQPAVMTVEAYRERVKKRMISKFGRPFRDDIEFYAACTFLHDCGELVRFEDATLRDLIFVDPLWLAEFLTSVVILRSPNLPAGLLSTDAINPHTRSFKSGALLMLKTQLLDLLHKFELALATQPRQLLIPSLLPDEYRLRSDFLASAVKIRMKMSQWNVRCPSPAGSPTKSPLRRTSPTDQNGVGSEDVMLQFTYDDDQLLRRIYALAYIPSGFWSRLVTRIVGDKNVCAAIESIFMTTSADRAKIADIATKHAKAEWVVWQTGIELHVKGHSLFTLKQFLPLAEVRDIDYSAIDMRAKDEQKRWRTWNQPSHRPIVEMVVNSLSISAASQHGRKLSMKTDVEGRSRLLAMISDLLDTLLEDWYPALGTRFVHSSEGDLLVSRYVLCPQCVRDAERNGSRSRTSSSASHRRSQDDGELPITSSSHMKGSRTTGDISKCRGGVVHCFVIEECMLAGREYNWVECPSHGGLHMRELAPDTVFADIENALTIHPDQLKRSRMLGRGAFGFVFRATVRQPNGELCEVAQKMLEPVDPGPGGRPSALAAYKAAADKWKRDSMEFACRAYCTSRQELSLLSRMKHPNVIGLVGVCTFPLSLVVELAPLGALNQLLGSHRKAGTKLSLGVIKESAVQVARALEYLHSAHIIYRDLKSENVLGWRFPAPFSPQTDVLLKLGDYGISRSVLPSGGAKGFGGTEGFMAPEIVRFNGEEEYTQKVDCFSFGMFLYELLTLKFPFESEEHVKERMLDGARPVLLPHELLLPTPMLDLLVHCWSAHPESRPSSSQLVGFCAAPEFTHLLDVCELGEALPPTQLMAVGITDEIDDPDDFEAQLWLSGREMVVMGCTQYGFVDQKSIELPHRGKYVSKVRDSVWSCDECGQVTVYGISLHETGHLQLPSLNGTLICAPELISNDVLILISDKQIVLLKLSESNSVSHLGTIDSPYEIRTATFLGNGSTRQIWAGHSEGRISIHHIASNDSFSFSSSLYLPDDKCIVRQLVGSKDAQKVWIALEKSSKVQMVEVEKRQVTGSLDIRKVMPGSETIHTIDMEMASQNYVTCIGLLERNDGDQLYIGTSKGLLVIAHATTLQPLSACRPFEGDITSICILEEPSREEENTRGKATTLSTASSESGLGWVRERVSETVDRFRSSPATVETQGAALVVCIGRQFRSLSHRFVAEEKLADVYSIAVWRTEEWAL", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRPKANQNHKLKVLLVFLLATLILIFIVRSTLTSSQEHQTPQETRSTRCSGACNKLPRSLAQALIHYSTSVITPQQTLKEIAVSSRVLGKKSPCNFLVFGLGHDSLMWSSLNYGGRTVFLEEDEAWIKQIKRRFPMLESYHVTYDSKVNQADNLIEVGKGPECTAIGDPRYSMCQLALKGLPAEIYETGWDLIMVDAPTGYYDEAPGRMTAIYTAGMMARNRKQGGETDVFVHDVNREIEDKFSKAFLCEGYMKKQEGRLRHFIIPSYRDGSESESNRPFCP", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNSVWDDARIEDRTVDKPVGSSHAQEKLALVKSTLFKLDQEDRPECDSWVQLVKLICDEDREEEFTTFKELLREVKNVNDKSVTGVALIHYIIVFDRADYIELLHDNPSGAKLDLNLVDDIVGYTPLMWSFSLQRRNCCLELFNAFDEINFNMTNKAGLTAWDMVPPYSPLSEFLEQNNMFRYRTEVKHEIPQISQPKDTSLLMSNEDSTTKETFDNIDLQVAGLTLSPGANDNMFLDSDEKNMNHSQGAATLIDPTYTEDYHGTFDYDKLSPDQYLEFSDFDIPQILNLLISLPQKEPHMTTYPAGLIYQCIRYADHKIKSKPLVESLINLSLTKILTSVSSNGAAGLVSTEASLQAGDIVLQSYWLSCLSFLYYYLCRDDSFFKRHPSVLQELINTIHSIIIELTSSIHCRLISLIDSTLLAYTTIQDVKQTLYKRDWNFFKKRKQAKLLLKEKNRKQLKEQQKKELHRKSQGQENHEEEEGQQDGNDSDDRASTNDDNNSSVSLFYDKEILRHLYPPSFEEQMKPSPLKIVQIFGALSYVLNLHQTHPIFQQQCLSISVNWFATTLFNKILKDKKKRSLSRAHAIQIRLNLSTLESWIQNNDFCVPKPMLIDDFMWQRFPMTLIRDVGEIDLSDPILRNVATYKPIDENNKDLIYDTSNSLFYYQPFHKIAQIHLEPVFQLLQWLQVATTLDSEESLISTMNLLPRITPVQLLKSMEKYNYELNENKFNSKLKKFLNNKIKDSKMSKADAYLQEHEIPYLVLPTIPEMTDLYSKGPDSHSFQPFLPGSIQDDVYEIHDVNFKQRQNEPQISRTNSGTSDFTGDEDKAQYETEGVGESIDINETVEPESNAFNVGNDDYFKELNIPSSTAQRPAWSNNDDMEQNPW", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGLCKCPKRKVTNLFCFEHRVNVCEHCLVANHAKCIVQSYLQWLQDSDYNPNCRLCNIPLASRETTRLVCYDLFHWACLNERAAQLPRNTAPAGYQCPSCNGPIFPPTNLAGPVASALREKLATVNWARAGLGLPLIDEVVSPEPEPLNTSDFSDWSSFNASSTPGPEEVDSASAAPAFYSQAPRPPASPGRPEQHTVIHMGNPEPLTHAPRKVYDTRDDDRTPGLHGDCDDDKYRRRPALGWLARLLRSRAGSRKRPLTLLQRAGLLLLLGLLGFLALLALMSRLGRAAADSDPNLDPLMNPHIRVGPS", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAIVYAVVARGTVVLAEFSAVTGNTGAVVRRILEKLSPEISDERLCFSQDRYIFHILRSDGLTFLCMANDTFGRRVPFSYLEEIHMRFMKNYGKVAHNAPAYAMNDEFSRVLHQQMEFFSSNPSVDTLNRVRGEVSEIRSVMVENIEKIMERGDRIELLVDKTATMQDSSFHFRKQSKRLRRALWMKNAKLLVLLTCLIVFLLYIIIASFCGGITLPSCRS", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKHFRTERVRATPKLFTYVLVGFIALLGLTCLYYGSSFAPGSRKSDEFDGSNNRVRTGIGSLRNRDIVLAVSRFEVPKSVPICDSRHSELIPCLDRNLHYQLKLKLNLSLMEHYEHHCPPSERRFNCLVPPPVGYKIPLRWPVSRDEVWKANIPHTHLAQEKSDQNWMVVNGDKINFPGGGTHFHNGADKYIVSLAQMLKFPGDKLNNGGSIRNVLDVGCGVASFGAYLLSHDIIAMSLAPNDVHQNQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFVYSSPEAYAHDPENRKIGNAMHDLFKRMCWKVVAKRDQSVIWGKPISNSCYLKRDPGVLPPLCPSGDDPDATWNVSMKACISPYSVRMHKERWSGLVPWPRRLTAPPPRLEEIGVTPEQFREDTETWRLRVIEYWKLLKPMVQKNSIRNVMDMSSNLGGFAAALNDKDVWVMNVMPVQSSPRMKIIYDRGLIGATHDWCEAFDTYPRTFDLIHAWNTFTETQARGCSFEDLLIEMDRILRPEGFVIIRDTTDNISYIKKYLTLLKWDKWSTETTPKGDPLSTKDEIVLIARKKLWSLPAISVS", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSIHIVALGNEGDTFHQDNRPSGLIRTYLGRSPLVSGDESSLLLNAASTVARPVFTEYQASAFGNVKLVVHDCPVWDIFDSDWYTSRNLIGGADIIVIKYNVNDKFSFHEVKDNYIPVIKRALNSVPVIIAAVGTRQNEELPCTCPLCTSDRGSCVSTTEGIQLAKELGATYLELHSLDDFYIGKYFGGVLEYFMIQALNQKTSEKMKKRKMSNSFHGIRPPQLEQPEKMPVLKAEASHYNSDLNNLLFCCQCVDVVFYNPNLKKVVEAHKIVLCAVSHVFMLLFNVKSPTDIQDSSIIRTTQDLFAINRDTAFPGASHESSGNPPLRVIVKDALFCSCLSDILRFIYSGAFQWEELEEDIRKKLKDSGDVSNVIEKVKCILKTPGKINCLRNCKTYQARKPLWFYNTSLKFFLNKPMLADVVFEIQGTTVPAHRAILVARCEVMAAMFNGNYMEAKSVLIPVYGVSKETFLSFLEYLYTDSCCPAGIFQAMCLLICAEMYQVSRLQHICELFIITQLQSMPSRELASMNLDIVDLLKKAKFHHSDCLSTWLLHFIATNYLIFSQKPEFQDLSVEERSFVEKHRWPSNMYLKQLAEYRKYIHSRKCRCLVM", - "output": "apparatus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MFESIIVDRAKTTFLGELKSYNFSIYAQWLGILSIFLCIILGIVNLFHVTLVVLFSALTIIEGVLLIFIELPFLSRICPVSDKFQAFTNAFASNYYRGLVYFIFSVVTFLSCIFMATSLIATGIVLALTGLCYTFAGIKGQAFTSSSTLGGTGITTETPPSTMV", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MHQKLLKSAHYIELGSYQYWPVLVPRGIRLYTYEQIPGSLKDNPYITDGYRAYLPSRLCIKSLFILSNETVNIWSHLLGFFLFFTLGIYDMTSVLPSASASREDFVICSICLFCFQVCMLCSVGYHLFSCHRSEKTCRRWMALDYAGISIGILGCYVSGVFYAFYCNNYWRQVYLITVLAMILAVFFAQIHPNYLTQQWQRLRSIIFCSVSGYGVIPTLHWVWLNGGIGAPIVQDFAPRVIVMYMIALLAFLFYISKVPERYFPGQLNYLGSSHQIWHILAVVMLYWWHQSTVYVMQYRHSKPCPDYVSHL", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MALVRWRLRRGNFHLLSRVLLLKLTVVIISVLLFCEYFIYHLVIFQCHWPEVKTLAHGDRQKPVLKAMFLADTHLLGEIRGHWLDKLRREWQMERAFQTALWWLQPEVIFILGDIFDEGKWSTTEAWADDVQRFRKIFRHGSHVQLKVVIGNHDIGFHYQMSKYRIKRFEKVFSSERLFSWKGVNFVMVNSVAMEGDGCSICSEAEAELREISRKLNCSREVQGSSQCEGEQRLPFSAPVLLQHYPLYRASDANCSGEDAAPPEERNVPFEEKYDVLSREASQKLLWWLQPRLVLSGHTHSACEVLHPGGVPEVSVPSFSWRNRNNPSFIMGSLTSKDYALSKCYLPFEDRVLATYGAAAVFLVVLILAHLERLPSSFLFGWKLRKMHMRG", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKLLHLIFLLALTTGISAVLIYIIGVSNLYESNRFTNEDLEALQSLQNGFQKCVSANGLGLQAAMGRDYCKVSINFPKDTVPKWKDPKSGELEGLSYEFDLCEAVATWEQVRNSSTILTKEYIDALPNGWEDYAWRRINKGIQLNRCQNKSLCIEKLSLVLPETPPYFPRQFGRCAVIGNSGDLLKTKFGKEIDTYDTVLRENGAPIQNYKEYVGEKSTFRLLNRGSAKALDKVVELDEKKQEVLLVKTTIHDIMNKMIREVPIKNPVYLMLGASFGSAAKGTGLKALEFALSTCDSVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIKIHSPMRADPNRVVKWVPSRSTIRSARIAAEKLLRRVGAGSADPLASCSIVKKRNKNKRPMVSHLRKPVSDHQKFVRSTSMYPVEHSPGHGQLCITPAD", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKKLRSYYSNVRHHQNHHHHHHHHSNIVSSERKWIFFPLLIGSIFALFLLFLTTTLTSPTGGVRFLPFTRPVLLTGSGSSAFVESKIKPQQISSLPSPPRFAYLISGSAGDGKSLRRTLLALYHPNNRYVVHLDRESSREEREELHGYIKNSSLFRRFMNVHMIEKANLVTYRGPTMVANTLHAAAILLREGADWDWFINLSSSDYPLVTQDDLLHIFSHLPRDLNFIDHTSNIGWKASQRAKPVIIDPGLYLNKKSDVFWVTQRRSIPTAFKLFTGSAWMALSRPFVDYCIWGWDNLPRTVLMYYSNFLSSPEGYFHTVLCNAEEFRNTTVNSDLHFISWDNPPKQHPHHLTLTDMTKMVNSNAPFARKFRREDPVLDKIDDELLNRGPGMITPGGWCIGSHENGSDPCAVIGDTDVIRPGPGARRLENLVTSLLSTENFRSKQCK", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAGQFRSYVWDPLLILSQIVLMQTVYYGSLGLWLALVDGLVRSSPSLDQMFDAEILGFSTPPGRLSMMSFILNALTCALGLLYFIRRGKQCLDFTVTVHFFHLLGCWFYSSRFPSALTWWLVQAVCIALMAVIGEYLCMRTELKEIPLNSAPKSNV", - "output": "apparatus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSQSYEAGNANMGQGEDDEFDGYFEDFDNDIMPNSNNGQRVGTNAGLSFNDEVNVNDDDFLDIYNMSPRERLMHNIRKNVQKLQFYFYSLRLWQQIIIVLLGIMLMIMGILLLVFHNAILHKVVVTSNDLREKMSTHFILMVLIFFVAFPPMIGYSLLSTTTGLIYGVSFEGWVTLALGSVTGSIASFVVFKTILHSRAEKLVHLNRRFEALASILQENNSYWILALLRLCPFPYSLTNGAIAGVYGISVRNFSIANIITTPKLFIYLFIGSRVKSLAESESTGSRVFDLVSIIITLLILSLTAWLLYFKTKKRYLELQNRDRQVSTDQLPELSFEV", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNILKHFPSYVGPSKIRTLVIPIGHWTRKEFNNAVQKLSEFNEIHLSDVTPIDSPIFTPQGFPHGKLFFDFLTIDHDDALELFLYDFEPFRKTFVIIGLVNDYSDPLTNLNFMKEKYPTLISPNLVYASSTPTKELEQTIDTMENVFASSPDMQKNIETIMCDIARNFLTALNSYYSSYKHVTLRSPGAIGGNAVLKTTLIRQNSYTSSSSSTPMSAVQSSVSSSSKAGSVTTASKRLSSFEMTTNSLKRSASLKLATTLSTSENRSQQKSLGRQMKILGNFQLLAGRYVDALNSFVDAITTLYKVRDYLWLGSALDGISICFLLLSYLGLSYQIPQIVSLICPVEKLNFESSSTGISPVDSNSKATASTTASSTPRNSISIAAMQSPRNSIMSLSAPALNIDVENINLPLLIKCISDKVLYYYDLSLMHNSEYAPQVVYCEFLLKTLTFMTSCYKSSEFSKDVLDNIVKNQHRALSDIPNSPMFPRFEVYFYSNKLFELQLKEMQVEAQIKIYSTMAEVYRLLGYKRKQLFVLRLLMVALLATPNKIAWHPDYRTLIDTIIELLNINESEAKINVDDPSQSTWLILQKKILQLCIKVSRKINDFEYVAKFSSILITKYTHLLNQSEQDALFKEYIQPSITNESITSYWDPFILREVVINRILDSDPTSNEIPLESDVSSLESLENRQKTQDINPQEVFNPFKRVQPTSFVSNNSTKVPILVFLVGDKAEFTCRVQNPFKFDFTINDIQLDEEISEFCEIDRKAVSYSGPYNVKAESIRSITLPLIIKKPTYKKIYEISCLKISILKLPLQKFDIINDSRRSNPVEEEAEYSKCIYGKLKIKILPEQPQLELLSTSKMTRNSWMMLDGTKTDFHITVRNKSLSCAINHIKIIPMNNIEQMLKPDYWKKMPPDDLYIMEKQLDWLSKSCVRIIKLPTVIKPNETITFDLELDNTAVPFNFTGFDLLIEYGMSATDESCIYLKKLSIPYEVTLRRTIEVPSMDIIPLNELFSSQVENVDWIEYVMSKIRAESNLHSRDFILLLLDFRNSWIDGIKLNVQFEDFTSNEYHVEASHTSRIIVPIKKIDYKKYNFENTPIPRIYPGRQFIQSGLNEEQTIEMRQKFWCREHIISKLKCNWKLTTDQSVTGSVDFNKFIEKFDHKMVYTIYPGRLFYGVQLLLDEPKVKVGEIINLKIITEPTSTCRRKQNSTVNFLDIVIFDSKTSKILPRSNRRILYNGSLTKPISTTKVSEINLEIIPIEKGRYEFSVCISKSNNQDGIIQFDSENVILSVI", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSWFADLAGRAEDLLNRVDQGAATALRKESTSNTFYSKNTDYPELHQQNTDSTYHTGQKANYISSAADNIRHQKATIIAGTANVKVGSRTGGDASHPTEHASVPRPSSHFVRRKKSEPDDELLFDFLNSSQKEPTGRVEIKKEKGKAPVLPSSQSSAVSSVTTSVTTIKATEENSGSQSPEVSSSDSMPEGHKKSTEESTVSNAISVEHSSVPSDGSMSHELSNLRLENQLLRNEVQSLNQEMASLLQRSKETQEELNEARVRVEKWNVDNSKSDRITRELRAQVDDLTEAVAAKDSQLAVLKVRLQEADQVLSSRTEALEALQSEKSRIMQDHNEGSSLQNQALQTLQERHEADATLKREQESYKQMQSEFATRLNKMEVERQNLAEAVTLAERKYSEERKKVDDLQQQVKLHRSSLESAKQELVDYKQKATRILQSKEKLINSLKEGSSFEGLDSSTASSMELEELRHERELQKEEIQKLMGQIHQLRSELQDMEAQQVSEAESAREQLQDLQDQIAKQRASKQELETELDRMKQEFHYVEEDLHRTKNTLQSRIKDREEEIQKLRNQLTNKTLSNSSQSELESRLHQLTETLIQKQTLLESLSTEKNSLVFQLERLEQQLHSAATGPSSGSSINMSGVDSGEGTRLRNVPVLFNDTETNLAGMYGKVRKAASSIDQFSIRLGIFLRRYPIARVFVIIYMALLHLWVMIVLLTYSPEMHHDQPYGK", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MESLSELQNPLLPRSPTHLHRPYPYPEAPPGWSCQEQLYSFLLGGAGPARAHQLLDPGSLQLAVEAWYRPSCLLGRDKVKEPKAGSCETSFTEAREPLAGPAEEGSEPGQAAEDVTIHTVSYGVQEELQGQEDSQEEESDGTSSESECEDAFLTLPPRDHLGLTLFSMLCCFWPLGIAAFYFSQGTSKAISKGDFRLASTTSRRALFLATLSIAVGAGLYVAVVVALAAYMSQNGHG", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKLHEENNKALFEAMRNRENPTKWESYKQVITAVSAYWVFSIGLVFLNKYLLSSVQLDAPLFITWYQCLVTVFLCLFLSKTSKAYGLFKFPSMPIDAKISREVLPLSVVFVAMISFNNLCLKYVGVSFYYVGRSLTTVFNVVCTYLILGQKTSGQAIGCCALIIFGFLLGVDQEGVTGTLSYTGVIFGVLASLSVALNAIYTRKVLSSVGDCLWRLTMYNNLNALVLFLPLMLFNGEFGAVFYFDKLFDTTFWILMTLGGVFGFMMGYVTGWQIQATSPLTHNISGTAKAAAQTVMAVVWYSELKTLLWWTSNFVVLFGSGMYTYVQKRVMDKKNSGASPASEAKSDKVKLLGRDGNAAEESV", - "output": "apparatus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MYIDTSASSRMTDNKGSVITINGGESAGNSPPSQRKSSTSESPPELRILCFDLTYYNRTTQFLLSCAGVFFLYILYGYLQELIFTVEGFKPYGWFLTLVQFGYYIGFGLVERRLEGYRISGGSFWNIEPEPRCIPMRTYLILAALTLGTMGLSNSSLGYLNYPTQVIFKCCKLIPVLVGSILIQGKRYGLLDFAAATCMCIGLAWFTLADSQMTPNFNLLGVAMISGALLCDAAIGNVQEKAMREFKAPSSEVVFYSYGLGFVYLFVIMLVTGNFFSGFAFCLEHPVETFGYGFLFSLSGYLGIQFVLALVRSSGAPIAATVTTARKAVTIAFSFVLFSKPFTLQYLWSGLIVVLGIYLNVYSKRNKLTLADVRQRIKQFGAKVARSPSRKFLIEV", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEVQKIWKSFLNAISKVFSKGKKLRCTCFPKRKKEASERSCFPFLDTETISSCDLSDDVLSPKLFAKNSYRCSLKETKCIDVIVSHNSLAPQFTEIRYTDGISAKAENTDEMTMHIALAPLTENQ", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGGFFSSIFSSLFGTREMRILILGLDGAGKTTILYRLQVGEVVTTIPTIGFNVETVTYKNLKFQVWDLGGQTSIRPYWRCYYSNTDAVIYVVDSCDRDRIGISKSELVAMLEEEELRKAILVVFANKQDMEQAMTSSEMANSLGLPALKDRKWQIFKTSATKGTGLDEAMEWLVETLKSRQ", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQPSGHRLRDVEHHPLLAENDNYDSSSSSSSEADVADRVWFIRDGCGMICAVMTWLLVAYADFVVTFVMLLPSKDFWYSVVNGVIFNCLAVLALSSHLRTMLTDPGAVPKGNATKEYMESLQLKPGEVIYKCPKCCCIKPERAHHCSICKRCIRKMDHHCPWVNNCVGEKNQRFFVLFTMYIALSSVHALILCGFQFISCVRGQWTECSDFSPPITVILLIFLCLEGLLFFTFTAVMFGTQIHSICNDETEIERLKSEKPTWERRLRWEGMKSVFGGPPSLLWMNPFVGFRFRRLPTRPRKGGPEFSV", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLFRNRFLLLLALAALLAFVSLSLQFFHLIPVSTPKNGMSSKSRKRIMPDPVTEPPVTDPVYEALLYCNIPSVAERSMEGHAPHHFKLVSVHVFIRHGDRYPLYVIPKTKRPEIDCTLVANRKPYHPKLEAFISHMSKGSGASFESPLNSLPLYPNHPLCEMGELTQTGVVQHLQNGQLLRDIYLKKHKLLPNDWSADQLYLETTGKSRTLQSGLALLYGFLPDFDWKKIYFRHQPSALFCSGSCYCPVRNQYLEKEQRRQYLLRLKNSQLEKTYGEMAKIVDVPTKQLRAANPIDSMLCHFCHNVSFPCTRNGCVDMEHFKVIKTHQIEDERERREKKLYFGYSLLGAHPILNQTIGRMQRATEGRKEELFALYSAHDVTLSPVLSALGLSEARFPRFAARLIFELWQDREKPSEHSVRILYNGVDVTFHTSFCQDHHKRSPKPMCPLENLVRFVKRDMFVALGGSGTNYYDACHREGF", - "output": "apparatus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MMERKREMGIAYFARRIKQPRGIWVKMTFIVVLGLCFVFFWSFLSSSASTFNVQRESFDDIAEPVSSRTKSAHEVSESSKLHERGKVESGSKSKEGKKVGGSSVHKHETKKKKEHAVSHPHKKKDVPKPVVEEVVVKEDQEHEEAESDDSDQSNKEDGEEGTESDGNEGESDGNGDGSVDDSSASVDEEVEEKNEEVTVNEISKKRKRKGPVFDPKAEYSWRLCNTRSKHNYMPCIDNDGLIGRLQSYRHRERSCPKKPVMCLVPLPHDGYDPPVSWPESKSKILYKNVAHPKLAAYIKKHNWVNETGEYLSFPQNQTTFNGNVLQYLEFIQEMVPDIEWGKNVRIVLDIGCSDSSFVAALLDKDVLTVSLGLKDDLVDLAQVALERGFPTFVSSLASRRLPFPSGVFDTIHCAACGVHWHSHGGKLLLEMNRILRPNGYFILSSNNDKIEDDEAMTALTASICWNILAHKTEEASEMGVRIYQKPESNDIYELRRKKNPPLCEDNENPDAAWYVPMKTCIYEIPSAIEQHGAEWPEEWPKRLETYPEWLTSKEKAMEDTNHWNAMVNKSYLTGLGIDWLHIRNVMDMTAIYGGFGASLVKQNVWVMNVVPVHSPDTLPFIYERGLLGIYHDWCEPFGTYPRSYDLLHADHLFSRLKNRCKQPASIVVEMDRLTRPGGWVVVRDKVEILEPLEEILRSLHWEIRMTYAQDKEGMLCAQKTLWRP", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDNQQRKVQGSSSNTFIKRAFQHLKSLFTVCFTGADYLESDIELICQNEIQPQGLEKLNADSSNFYCNSLTSNQSLTTSMEALDLSSSLTTPHADKLLKLSNLIAAKSFTTERKLSAAEFLDSREIHNPFTYGFLGILYGTSRTPYLHAQYANSFMSESAVPISIELNNREAKASMNLAEKNFPEGFEDFVSFLENPNIPLTVLLHHVMLYDLYSNNLKDAVWVAVTNYMKNLVGNYGYTELHIRAAQQMFGHPRFLLVHPEDIYCISGSSDWVCVSTQHFHCNIHVHSVSGNAIRKSRNPIIQDLSSICQNSTEFGWLALTHALRKKGAIFPIHAYLNFNAKLYEECIPPSILYFNKNDENINVGNIEDITNYMYETFINEASLCDKFMKRKHERIETPLSSQGREISNTLSRKRGAKGSNPFEIENMMPHA", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSNEYDYLFKLLLIGDSSVGKSCLLLRFADDAYIDSYISTIGVDFKIRTIEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDCTEMESFNNVKQWLSEIDRYANESVCKLLIGNKNDMVESKVVSTETGRALADELGIPFLETSAKDSINVEQAFLTIAGEIKKKMGSQTNANKTSGPGTVQMKGQPIQQNNGGCCGQ", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNPEYDYLFKLLLIGDSGVGKSCLLLRFSDDSYVESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDEESFNNVKQWLSEIDRYASDNVNKLLVGNKSDLTENRAIPYETAKAFADEIGIPFMETSAKDATNVEQAFMAMSASIKERMASQPAGNNARPPTVQIRGQPVAQKNGCCST", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSDSSSSSTSAFVSSLVFNFAIFCAFIGLFLCLRPREKHVYQPRCIIDTQPKEEKPEPSPSSPFGLFAYVVKRSETYLIQYAGVDGYFFIRYLFTFGALCILGCLVLFPILLPVNATNGVGEKGFDILSFSNVKNHNRFYAHVFLSWLFFGFTIFIIYRELRYYVIFRHAMQSSGLYNNLPSSSTMLLTELPNSVLNDEETLHELFPNASEFTCVRDLKKLEKKVKKRSDLGNKYESTLNSLINKSVKKHNKLVKKHKPLPSTLDYTAYVKKRPTHRLKFLIGKKVDTIDYCRDTIAELDEVVDKLQTSLEERKKVGSVFIRFRSQTDLQTAYQAFLYSKKFRKYRFGRALVGIAPEDIVWSNLDLSMYTRRGKKTISNTILTLMIIFWAFPVAVVGCISNVNYLIEKVHFLKFIDHMPPKLLGIITGILPSVALSILMSLVPPFIKFLGKFGGALTVQEIENYCQNWYYAFQVVQVFLVTTMTSAATSAVVQVIKEPASSMTLLASNLPKASNFYISYFLLQGLSIPGGALLQIVTLLLSKVLGRIFDNTPRKKWNRWNQLSAPSWGTVYPVYSLLVTIMICYSIIAPIIIGFAAVAFVLIYFAYSYNLIYVLGHNADAKGRNYPRALFQVFVGLYLAEVCLIGLFVLAKNWGATVLEAVFLGFTVACHLYFKYKFLPLMDAVPISAIESVSERPEIKYPMDLGTSEMKNVGRAYPEILEKLSSSSGSDEFLETSSRTSENTKEKIDKDDEGFAITNISSVHKMPSFVLSYFSDLAASNRILTGFDRVLQLLPSFYDIPVRVRNVQYVSPALKATPPSVWIPKDPLGLSTYAIEDARGKVDIFDDNTTFNEKGNLQYTGPPPDYDEAIRS", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAGTSNYWEDLRKQARQLENELDLKLVSFSKLCTSYSHSSARDGGRDRYSSDTTPLLNGSSQDRMFETMAIEIEQLLARLTGVNDKMAEYTNSAGVPSLNAALMHTLQRHRDILQDYTHEFHKTKANFMAIRERENLMGSVRKDIESYKSGSGVNNRRTELFLKEHDHLRNSDRLIEETISIAMATKENMTSQRGMLKSIHSKMNTLANRFPAVNSLIQRINLRKRRDSLILGGVIGICTILLLLYAFH", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAALAPVGSPASRGPRLAAGLRLLPMLGLLQLLAEPGLGRVHHLALKDDVRHKVHLNTFGFFKDGYMVVNVSSLSLNEPEDKDVTIGFSLDRTKNDGFSSYLDEDVNYCILKKQSVSVTLLILDISRSEVRVKSPPEAGTQLPKIIFSRDEKVLGQSQEPNVNPASAGNQTQKTQDGGKSKRSTVDSKAMGEKSFSVHNNGGAVSFQFFFNISTDDQEGLYSLYFHKCLGKELPSDKFTFSLDIEITEKNPDSYLSAGEIPLPKLYISMAFFFFLSGTIWIHILRKRRNDVFKIHWLMAALPFTKSLSLVFHAIDYHYISSQGFPIEGWAVVYYITHLLKGALLFITIALIGTGWAFIKHILSDKDKKIFMIVIPLQVLANVAYIIIESTEEGTTEYGLWKDSLFLVDLLCCGAILFPVVWSIRHLQEASATDGKGDSMGPLQQRANLRAGSRIESHHFAQADLELLASSCPPASVSQRAGITAAINLAKLKLFRHYYVLIVCYIYFTRIIAFLLKLAVPFQWKWLYQLLDETATLVFFVLTGYKFRPASDNPYLQLSQEEEDLEMESVVTTSGVMESMKKVKKVTNGSVEPQGEWEGAV", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MFAKLKKKIAEETAVAQRPGGTTRIPRSVSKESVASMGADSGDDFASDGSSSREDLSSQLLRRNEQIRKLEARLSDYAEQVRNLQKIKEKLEIALEKHQDSSMRKFQEQNETFQASRAKMAEGLALALARKDQEWSEKMEQLEKDKRFLTSQLQEVKNQSLSLFQKRDEIDELEGFQQQEISKVKHMLLKKEECLGKMEQELDARTRELNRTQEELVTSNQLSSDLNERLEELQRHCSTLEEQRDHLTASKAGAEHKIVVLEQKEQELQAIIQQHSIDLQKVTAETQEKEKVITHLQEKVIFLEKRLEQNLSGEDHVQELLKEKTVAEQNLEDTRQQLLAARNSHTKALYLLETRVKDLERSLQAAEEQLSQSRNVVADQEAQIQKLITTNQENSLSQQQVLALEQHCRERIHALEAQIEALEQTRVADQIASEQGMLQLQQENVALKESRNECEHSLQHHQLELKKLKDEWSQREIVSVAMAQALEEVRKQREEFQQQATELTAIIEEKNQSLCEKDEALLQKEQELRQLEKGHSSALLQMHKLQRELEALKTCKAQEAMPATTGEDCLPLQGQEPLVISKAMQNSEYELPAAEGTPNGEVGASDLKQLQKEKQDLEQQLIEKNKIMKQMQQRMLELKKTLQKELKIRPDSELFEVREKTGPEIPNMAPSVTNNTDLTDAREINFEYLKHVVLKFMSCRESEAFHLIKAVSVLLNFSQEEENMLKETLEYKMSWFGSKPTPKGSIRPSISNPRIPWS", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MADPDPRYPRSSIEDDFNYGSSVASATVHIRMAFLRKVYSILSLQVLLTTVTSTVFLYFESVRTFVHESPALILLFALGSLGLIFALILNRHKYPLNLYLLFGFTLLEALTVAVVVTFYDVYIILQAFILTTTVFFGLTVYTLQSKKDFSKFGAGLFALLWILCLSGFLKFFFYSEIMELVLAAAGALLFCGFIIYDTHSLMHKLSPEEYVLAAISLYLDIINLFLHLLRFLEAVNKK", - "output": "apparatus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNQLGALAQVSRFTQNFSMENIKSEFQSLQSKLATLRTPQEFFNFKKISKPQNFGEVQSRVAYNLKYFSSNYGLIIGCLSIYTLLTNLLLLFVIVLVVAGIVGINKLKGEELVTPFGSFKTNQLYTGLVCVAVPIGFLASPISTLLWLIGASAVSVFGHASLMEKPIETVFDEETV", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSFLIDSSIMVTSQILFFGFGWLFFMRQLFKDYEVRQYVVQVIFSVTFAFSCTMFELIIFEILGVLNSSSRYFHWKMNLCVILLILVFMVPFYIGYFIVSNIQLLHKQRLLFSCLLWLTFMYFFWKLGDPFPILSPKHGILSIEQLISRVGVIGVTLMALLSGFGAVNCPYTYMSYFLRNVTDTDILALERRLLQTMDMIISKKKRMAVARRTMFQRGEVQNKPSGLWGMLKSVTASAPGSENLTLIQQEVDALEELSRQLFLETADLYATKERIEYSKTFKGKYFNFLGYFFSIYCVWKIFMATINIVLDRVGKTDPVTRGIEITVNYLGIQFDVKFWSQHISFILVGIIIVSSIRGLLITLTKFFYAISSSKSSNVIVLLLAQIMGMYFVSSVLLIRMSMPPEYRTIITQVLGELQFNFYHRWFDVIFLVSALSSILFLYLAHKQAPEKHMAP", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MQRALPGARQHLGAILASASVVVKALCAAVLFLYLLSFAVDTGCLAVTPGYLFPPNFWIWTLATHGLMEQHVWDVAISLTTVVVAGRLLEPLWGALELLIFFSVVNVSVGLLGAFAYLLTYMASFNLVYLFTVRIHGALGFLGGVLVALKQTMGDCVVLRVPQVRVSVMPMLLLALLLLLRLATLLQSPALASYGFGLLSSWVYLRFYQRHSRGRGDMADHFAFATFFPEILQPVVGLLANLVHSLLVKVKICQKTVKRYDVGAPSSITISLPGTDPQDAERRRQLALKALNERLKRVEDQSIWPSMDDDEEESGAKVDSPLPSDKAPTPPGKGAAPESSLITFEAAPPTL", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSWFNVGILCLLRFKNVQDNKIIFEAIFEVFLHFLKIPVYMTHLGFPITPTVCLIEMLGLLLLLLIPALFTLIWIYKYIEPHSLISIPGIFVFLGLYVPFVVTLLIPIDVTWDVSLSIWRFLYWLTFVLSWIILPFVQGYMESKFSTPRSRLSDSFYKNLRYYLLLTFLTCVVIAYLRFALRTMSFSNFKELVISLTYFWGLLFVIFLLGNGFVYVPVSMWKKAFITKRAALLERQAVGVYSKLQARLESYSLPSSSISLNNGRNQSSSDFFTSSLTDHAFNPHSSPNGMSNVIALQTTWNQMVKEYNRIMLIKTAKASGSYRLYLPDSYIPIHPVVAYAFYVWILPAFRILFSIFMASMSVIIVVSEVFLHTQYSLVGIILQKFTNSSATSIFVSFLFVYYMRYCTYKSLMRTQFAPHYYYALVPFRATNTASLIYFASQLCRLTLPLCYNFVSLQPYPTQFHQFYGESIDLLPLGNLISKRYPTFILLPIIFSMISLKYRLRQFIYSITLRKSSSASSSDDFDDSSLNSENDDDLFNETSAAYLAEGRALLLGTNNAHV", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSITQKVRNWVEEFDVIVARSAFGRWFRLEGCGHPRERKGSRFSLEISAGLTTFFAMAYILAVNATILVDTGGTCECTEANRDDCDKLDDYVLCKEDFHRDLVTATAAISALASFCMGLFANMPVGMAPGMGLNAYFAYQVVGYNGTGRVSYREALLAVFVEGFIFTGLTVIGLRQWLARVIPASLKFATGAGIGLYLTIIGLSPSAGLGVIGHSSSDIVALGGCPPEYLNADYSCNGHQLQSGRMWVGIFCGGVLTAILMMYKFKGAVLAGIALVTITSWPRRSLVTMFPHTLTGDYNFDFFKKVVSFRKINRILVAQQWNVTGGQFAIALITFLYVDIMDMTGTLYSMANYAGLVDPRTQDFEGSAVAYIVDALSISIGSLFGCSPVTAFIESGSGISAGGRTGILGMVVGICFFISLFFAPIFSSIPVWATGSTLVLVGSMMMKSTTLINWSYLGDSIPAFITIALMPFTYSIAYGLIAGIICYALLNSIIYAIDKMSRGRLVPADYNQKEAWTWRVEGGLLPQWVRRLFKGNRRFWEDPDDRKAMDNATLEMATSRSYSEDGKNEKTTHEDVTMKETSLKKMDDERISVDEAVGESESFSNRQQDFRTPYAGIDMDTDDRI", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRTKSPSSLNLKVIFIGSSILILIIIYLARSNISSSSSKPISKTNLSQEEEETQHKQEGCPTTQQCTKMPLSLSDALVHYVTSNVTPQQTFDEVSVSKRVLDKKSPCNFLVFGLGHDSLMWASLNHGGRTLFIEEDQAWIAIVTKKFPNLESYHVVYDTKVKDSDKLMELGRSEECRSVSDPRNSKCDLALKDFPADFYETKWDLIMVDAPTGYHEEAPGRMSAIYTAGLLARNREDGETDVFVHDVNRPVEDEFSATFLCKGYMREQNGRLRHFTIPSHRARAGRPFCPVEVDRRR", - "output": "apparatus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAANVGSMFQYWKRFDLQQLQRELDATATVLANRQDESEQSRKRLIEQSREFKKNTPEDLRKQVAPLLKSFQGEIDALSKRSKEAEAAFLNVYKRLIDVPDPVPALDLGQQLQLKVQRLHDIETENQKLRETLEEYNKEFAEVKNQEVTIKALKEKIREYEQTLKNQAETIALEKEQKLQNDFAEKERKLQETQMSTTSKLEEAEHKVQSLQTALEKTRTELFDLKTKYDEETTAKADEIEMIMTDLERANQRAEVAQREAETLREQLSSANHSLQLASQIQKAPDVEQAIEVLTRSSLEVELAAKEREIAQLVEDVQRLQASLTKLRENSASQISQLEQQLSAKNSTLKQLEEKLKGQADYEEVKKELNILKSMEFAPSEGAGTQDAAKPLEVLLLEKNRSLQSENAALRISNSDLSGRCAELQVRITEAVATATEQRELIARLEQDLSIIQSIQRPDAEGAAEHRLEKIPEPIKEATALFYGPAAPASGALPEGQVDSLLSIISSQRERFRARNQELEAENRLAQHTLQALQSELDSLRADNIKLFEKIKFLQSYPGRGSGSDDTELRYSSQYEERLDPFSSFSKRERQRKYLSLSPWDKATLSMGRLVLSNKMARTIGFFYTLFLHCLVFLVLYKLAWSESMERDCATFCAKKFADHLHKFHENDNGAAAGDLWQ", - "output": "apparatus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MARSRSISGYGIWKYLNPAYYLRRPRRLALLFIVFVSVSMLVWDRINLAREHEVEVFKLNEEVSRLEQMLEELNGGVGNKPLKTLKDAPEDPVDKQRRQKVKEAMIHAWSSYEKYAWGKDELQPRTKDGTDSFGGLGATMVDSLDTLYIMGLDEQFQKAREWVASSLDFDKDYDASMFETTIRVVGGLLSAYDLSGDKMFLEKAKDIADRLLPAWNTPTGIPYNIINLRNGNAHNPSWAAGGDSILADSGTEQLEFIALSQRTGDPKYQQKVEKVITELNKNFPADGLLPIYINPDNANPSYSTTTFGAMGDSFYEYLLKVWVQGNKTSAVKPYRDMWEKSMKGLLSLVKKSTPSSFTYICEKNGNNLIDKMDELACFAPGMLALGASGYGPDEEKKFLSLAGELAWTCYNFYQSTPTKLAGENYFFTAGQDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRVESGYVGLKDVNTGAKDNKMQSFFLAETLKYLYLLFSPSSVISLDEWVFNTEAHPLKIVARNDPRKPTIALRQRKFGHQINV", - "output": "apparatus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MQRASTQDVYDGSGDDLNKLDSLKSVLNDVLSSLERFQVDLDVATSDIYKVSERSNKIQVNLNNLKAVESALGAEIDGAILPPDLIKTISTGDMDHPSWNSALEKLTSFLEGGEDDSSLGNMFNSLQINKDQKKLVDKLRDKAIERIRNYIVVTIKMFRQAFVDVFPIRKHRLIANKNYYLFLFKFNRKLALELQRAYINTMNWFYLYHFEQYSRFLDKVHVLKGETIRVEEDRKGLFNLSKGAQQSYGNQMLSVNLRPQDFDMNSVLTASTMHHIESSPQYIERVYCSWELVLTEHVSSEYAFLLEYFNLSKDQQATVFAAIFEKTLHFSRKYITGLISSSIDCIGILKSIRFTQKLALQAQTNIVPVIEPHYNSMILFLWPRFQAVMDMHCESLRKTNLSVKPEEITSRPHPLSQRVAELLYSLSMLSVNVVEAEPVARSASRLAQDYVSMLQNLCKTVNDKRRQSRFLSNNYTLISTVLSGVSGNLAIEQKTYFEKLNENLTNFQ", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLRTLLRRRLFSYPTKYYFMVLVLSLITFSVLRIHQKPEFVSVRHLELAGENPSSDINCTKVLQGDVNEIQKVKLEILTVKFKKRPRWTPDDYINMTSDCSSFIKRRKYIVEPLSKEEAEFPIAYSIVVHHKIEMLDRLLRAIYMPQNFYCIHVDTKSEDSYLAAVMGIASCFSNVFVASRLESVVYASWSRVQADLNCMKDLYAMSANWKYLINLCGMDFPIKTNLEIVRKLKLLMGENNLETERMPSHKEERWKKRYEVVNGKLTNTGTVKMLPPLETPLFSGSAYFVVSREYVGYVLQNEKIQKLMEWAQDTYSPDEYLWATIQRIPEVPGSLPASHKYDLSDMQAVARFVKWQYFEGDVSKGAPYPPCDGVHVRSVCIFGAGDLNWMLRKHHLFANKFDVDVDLFAIQCLDEHLRHKALETLKH", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAMGKYSRVDGKKSSSYGLTITIVLLLSLCLVGTWMFMSSWSAPADSAGYSSTDTAKDVSKNDLRKEEGDRDPKNFSDEKNEENEAATENNQVKTDSENSAEGNQVNESSGEKTEAGEERKESDDNNGDGDGEKEKNVKEVGSESDETTQKEKTQLEESTEENKSEDGNGNEEKAEENASETEESTEKSSKEVFPAGDQAEITKESSTGDGAWSTQLVESQNEKKAQQSSISKDQSSYGWKTCNVTAGPDYIPCLDNWQAIKKLHTTMHYEHRERHCPEESPHCLVSLPDGYKRSIKWPKSREKIWYNNVPHTKLAEIKGHQNWVKMSGEHLTFPGGGTQFKNGALHYIDFIQQSHPAIAWGNRTRVILDVGCGVASFGGYLFERDVLALSFAPKDEHEAQVQFALERGIPAMLNVMGTKRLPFPGSVFDLIHCARCRVPWHIEGGKLLLELNRALRPGGFFVWSATPVYRKNEEDSGIWKAMSELTKAMCWKLVTIKKDKLNEVGAAIYQKPTSNKCYNKRPQNEPPLCKDSDDQNAAWNVPLEACMHKVTEDSSKRGAVWPNMWPERVETAPEWLDSQEGVYGKPAPEDFTADQEKWKTIVSKAYLNDMGIDWSNVRNVMDMRAVYGGFAAALKDLKLWVMNVVPVDAPDTLPIIYERGLFGIYHDWCESFNTYPRTYDLLHADHLFSTLRKRCNLVSVMAEIDRILRPQGTFIIRDDMETLGEVEKMVKSMKWKVKMTQSKDNEGLLSIEKSWWRPEETETIKSAIA", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGSKHNPPGNNRSRSTLSLLVVVGLCCFFYLLGAWQKSGFGKGDSIAMEITKQAQCTDIVTDLDFEPHHNTVKIPHKADPKPVSFKPCDVKLKDYTPCQEQDRAMKFPRENMIYRERHCPPDNEKLRCLVPAPKGYMTPFPWPKSRDYVHYANAPFKSLTVEKAGQNWVQFQGNVFKFPGGGTMFPQGADAYIEELASVIPIKDGSVRTALDTGCGVASWGAYMLKRNVLTMSFAPRDNHEAQVQFALERGVPAIIAVLGSILLPYPARAFDMAQCSRCLIPWTANEGTYLMEVDRVLRPGGYWVLSGPPINWKTWHKTWNRTKAELNAEQKRIEGIAESLCWEKKYEKGDIAIFRKKINDRSCDRSTPVDTCKRKDTDDVWYKEIETCVTPFPKVSNEEEVAGGKLKKFPERLFAVPPSISKGLINGVDEESYQEDINLWKKRVTGYKRINRLIGSTRYRNVMDMNAGLGGFAAALESPKSWVMNVIPTINKNTLSVVYERGLIGIYHDWCEGFSTYPRTYDFIHASGVFSLYQHSCKLEDILLETDRILRPEGIVIFRDEVDVLNDVRKIVDGMRWDTKLMDHEDGPLVPEKILVATKQYWVAGDDGNNSPSSSNSEEE", - "output": "apparatus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLIENTNDRFGIVIDAGSSGSRIHVFKWQDTESLLHATNQDSQSILQSVPHIHQEKDWTFKLNPGLSSFEKKPQDAYKSHIKPLLDFAKNIIPESHWSSCPVFIQATAGMRLLPQDIQSSILDGLCQGLKHPAEFLVEDCSAQIQVIDGETEGLYGWLGLNYLYGHFNDYNPEVSDHFTFGFMDMGGASTQIAFAPHDSGEIARHRDDIATIFLRSVNGDLQKWDVFVSTWLGFGANQARRRYLAQLINTLPENTNDYENDDFSTRNLNDPCMPRGSSTDFEFKDTIFHIAGSGNYEQCTKSIYPLLLKNMPCDDEPCLFNGVHAPRIDFANDKFIGTSEYWYTANDVFKLGGEYNFDKFSKSLREFCNSNWTQILANSDKGVYNSIPENFLKDACFKGNWVLNILHEGFDMPRIDVDAENVNDRPLFQSVEKVEERELSWTLGRILLYASGSILAGNDDFMVGIAPSERRTKLTGKKFIPGKLLESDQLRKQSSSLSNKGFLMWFAIICCIFYLIFHRSHIIRRRFSGLYNITKDFKTGIRRRLKFLRRSDPFSRLEEGELGTDVDGFKDVYRMKSSSMFDLGKSSATMQREHEPQRTASQSANLAPSNLRPAFSMADFSKFKDSRLYD", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGILFTRMFSSVFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAVIYVVDSSDTDRIGVAKEEFHAILEEDELKGAVVLIFANKQDLPGALDDAAVTEALELHKIKSRQWAIFKTCAVKGEGLFEGLDWLSNTLKSGSG", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSVDVLLTVGKLDASLALLTTQDHHVIEFPTVLLPENVKAGSIIKMQVSQNLEEEKKQRNHFKSIQAKILEKYGTHKPESPVLKIVNVTQTSCVLAWDPLKLGSAKLKSLILYRKGIRSMVIPNPFKVTTTKISGLSVDTPYEFQLKLITTSGTLWSEKVILRTHKMTDMSGITVCLGPLDPLKEISDLQISQCLSHIGARPLQRHVAIDTTHFVCNDLDNEESNEELIRAKHNNIPIVRPEWVRACEVEKRIVGVRGFYLDADQSILKNYTFPPVNEEELSYSKENEPVAEVADENKMPEDTTDVEQVASPNDNESNPSEAKEQGEKSGHETAPVSPVEDPLHASTALENETTIETVNPSVRSLKSEPVGTPNIEENKADSSAEAVVEEPNEAVAESSPNEEATGQKSEDTDTHSNEQADNGFVQTEEVAENNITTESAGENNEPADDAAMEFGRPEAEIETPEVNESIEDANEPAEDSNEPVEDSNKPVKDSNKPVEDSNKPVEDSNKPVEDSNKPVEDANEPVEDTSEPVEDAGEPVQETNEFTTDIASPRHQEEDIELEAEPKDATESVAVEPSNEDVKPEEKGSEAEDDINNVSKEAASGESTTHQKTEASASLESSAVTEEQETTEAEVNTDDVLSTKEAKKNTGNSNSNKKKNKKNKKKGKKK", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSKKGAGSRAKGDKAETLAALQAANEELRAKLTDIQIELQQEKSKVSKVEREKSQELKQVREHEQRKHAVLVTELKTKLHEEKMKELQAVREALLRQHEAELLRVIKIKDNENQRLQALLNTLRDGAPDKVKTVLLCEAKEEAKKGFEVEKVKMQQEISELKGAKKQVEEALTMVIQADKIKAAEIRSVYHLHQEEITRIKKECEREIRRLMEEIRFKDRAVFVLERELGVQAGHAQRLQLQKEALDEQLSQAKEAERHPGSPRRELPYASGAGDASDHSGSPEQQLDEKDARRFQLKIAELSAIIRKLEDRNALLSEERNELLKRLREAESQYKPLLDKNKRLTRKNEDLSHTLRRIESKLKFVTQENIEMRQRAGIIRRPSSLNDLDQSQDEREIDFLKLQIVEQQNLIDELSKTLETAGYVKSVLERDKLLRYRKQRKKMAKLPKPVVVETFFGYDEEASLESDGSSISYQTDRTDQTPCTPEDDLEEGMAKEETELRFRQLTMEYQALQRAYALLQEQVGGTLDAEREVKTREQLQAEIQRAQTRVEDLEKALAEQGQDMKWIEEKQALYRRNQELVEKIKQMETEEARLKHEVQDAKDQNELLEFRILELEERERKSPAINFHHTPFVDGKSPLQVYCEAEGVTDILVTELMKKLDILGDNANLTNEEQVVVIQARTVLTLAEKWLQRIEETESALQRKMVDLESEKELFSKQKGYLDEELDYRKQALDQAHKHILELEAMLYDALQQEAGAKVAELLSEEEREKLKVAVEQWKRQVMSELRERDAQILRERMELLQIAQQRIKELEERIETQKRQIKELEEKFLFLFLFFSLAFILWS", - "output": "apparatus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAPMGIRLSPLGVAVFCLLGLGVLYHLYSGFLAGRFSLFGLGGEPGGGAAGPAAAADGGTVDLREMLAVSVLAAVRGGDEVRRVRESNVLHEKSKGKTREGAEDKMTSGDVLSNRKMFYLLKTAFPSVQINTEEHVDAADQEVILWDHKIPEDILKEVTTPKEVPAESVTVWIDPLDATQEYTEDLRKYVTTMVCVAVNGKPMLGVIHKPFSEYTAWAMVDGGSNVKARSSYNEKTPRIVVSRSHSGMVKQVALQTFGNQTTIIPAGGAGYKVLALLDVPDKSQEKADLYIHVTYIKKWDICAGNAILKALGGHMTTLSGEEISYTGSDGIEGGLLASIRMNHQALVRKLPDLEKTGHK", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MWGRWRGAGGRRGVAQPVIPQMKLLGGRVPLGASALGLLIVCWFYIFPGGERLPGHKEMIRQVLQFGPRWGRNRSSGDSFRKLLQDCCDPPRLFSMTKANTALGENLWYDGEFFQSLTIDNTTRSLFPQDTPIKLPLKRCSVVGNGGILKNSRCGEQIDEADFVMRCNLPPLSREYTDDVGTKTQLVTVNPSIIDKRFQNLLWSRKSFVESVSVYKQSYVYMPAFSTKRGTDPSLRVYYTLEDFGTNQTVLFANPNFLRNVGKFWKSKGVHSKRLSTGLFMVSAALSLCEEVTIYGFWPFQMDLGGRHISHHYYDNMLPLSGVHAMPEEFLQLWHLHKSGVLQMQLDQCKKDVSSKKPH", - "output": "apparatus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRLPYRNKKVTLWVLFGIIVITMFLFKFTELRPTCLFKVDAANELSSQMVRVEKYLTDDNQRVYSYNREMPLIFIGGVPRSGTTLMRAMLDAHPDVRCGQETRVIPRILQLRSHWLKSEKESLRLQEAGITKEVMNSAIAQFCLEIIAKHGEPAPRLCNKDPLTLKMGSYVIELFPNAKFLFMVRDGRATVHSIISRKVTITGFDLSSYRQCMQKWNHAIEVMHEQCRDIGKDRCMMVYYEQLVLHPEEWMRKILKFLDVPWNDAVLHHEEFINKPNGVPLSKVERSSDQVIKPVNLEAMSKWVGQIPGDVVRDMADIAPMLSVLGYDPYANPPDYGKPDAWVQDNTSKLKANRMLWESKAKQVLQMSSSEDDNTNTIINNSNNKDNNNNQYTINKIIPEQHSRQRQHVQQQHLQQQQQQHLQQQQHQRQQQQQQREEESESEREAEPDREQQLLHQKPKDVITIKQLPLAGSNNNNINNNINNNNNNNNIMEDPMADT", - "output": "apparatus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEVSQDGSERDKTPPPSSSSSSSSPIPVVTNFWKEFDLEKEKSLLDEQGLRIAENQENSQKNRRKLAESTRDFKKASPENKLSMFNSLLKGYQEEVDNITKRAKFGENAFLNIYQKLYEAPDPFPALASIAEQDRKLSEVESENRKMKVELEEFRTEATHLKNQQATIRRLEERNRQLEQQMEEKIKEVVEIKQRNLAEENQKTMELLKDREQALQDQLRQAKDSVSTMQKLHELAQNQLFELRAQSDEETAGKQSEVSLLMDEVERAQTRLLTLEREKGHLRSQLQTANEDTDNKKSDNIDSNSMLENSLTAKEKIISELNMEIHNVETALANERESHVAEIKKLNSLLNKKDTIIEEMKKELQERPSAKLVDDLRKKVKILQAVGYNSIEAEDWDAATTGEEMSKMESLLLDKNRKMEHEVTQLKVQLSEKASLLEKAEAKGEELTAKVNEQQRLIQKLEDDILKGYGSKERKGALFDEWEFSEAGVAEQSEPMDQKHVPSEQDQSSMLKVICSQRDRFRARLRETEEEIRRLKEKIGFLTDELEKTKADNVKLYGKIRYVQDYNHDKVVSRGSKKYVEDLESGFSSDVESKYKKIYEDDINPFAAFSKKEREQRIKDLGIRDRITLSSGRFLLGNKYARTFAFFYTIGLHVLVFTCLYRMSAYSYLSHGAEETLMTEATTNLPHGL", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MITVNPDGKIMVRRCLVTLRPFRLFVLGIGFFTLCFLMTSLGGQFSARRLGDSPFTIRTEVPGSPESRGALRKMSDLLELMVKRMDMLARLENSSELHRTASVAHLAADRLTPGASLIERIQAIAQNVSDIAVKVDQILRHSLILHSKVSEGRRDQCEAPSDPKFPDCSGKVEWMRARWTSDPCYAFFGVDGTECSFLIYLSEVEWFCPPLPWRNQTAARTAPKSLPRVQAVFRSNLSHLLELMGSGKESLIFMKKRTRRFTAQWTKAAKYLAQKLGDIRRDQKQILVHIGFLTEESGDVFSPRVLKGGPLGEMVQWADILAALYVLGHSLRITVSLKELQSNLGVPPGRGNCPLTVPLPFDLIYTDYHGLQQMKQHMGLSFKKYRCRIRVIDTFGTEPAYNHEEYATLHGYRTNWGYWNLNPKQFMTMFPHTPDNSFMGFVSEELNETEKQLIKDGKASNMAVVYGKEASIWKLQGKEKFLAVLNKYMEIHGTVYYESQRPPEVPAFVKNHGLLPQPEFQQLLRKAKLFIGFGFPYEGPAPLEAIANGCIFLQSRFSPPHSSLNHEFFRGKPTSREVFSQHPYAENFIGKPHVWTVDYNNSDEFETAIKAIMNTQVDPYLPYEYTCAGMLERINAYIQHQDFCVGPSPLPPGASTAQSPFVLAPNATHLEWAQNISSVPGAWPPTHSLRAWLAAPGRACTDACLDHGLICEPSFFPFLNSQNSFLKLQVPCDSTEWEMHHLYPAFAQPGQECYLQKEPLLFSCAGASTKYQRLCPCRDFRKGQVALCQGCL", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKLLRRAWRRRAALGLGTLALCGAALLYLARCAAEPGDPRAMSGRSPPPPAPARAAAFLAVLVASAPRAAERRSVIRSTWLARRGAPGDVWARFAVGTAGLGAEERRALEREQARHGDLLLLPALRDAYENLTAKVLAMLAWLDEHVAFEFVLKADDDSFARLDALLAELRAREPARRRRLYWGFFSGRGRVKPGGRWREAAWQLCDYYLPYALGGGYVLSADLVHYLRLSRDYLRAWHSEDVSLGAWLAPVDVQREHDPRFDTEYRSRGCSNQYLVTHKQSLEDMLEKHATLAREGRLCKREVQLRLSYVYDWSAPPSQCCQRREGIP", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATSTGRWLLLRLALFGFLWEASGGLDSGASRDDDLLLPYPRARARLPRDCTRVRAGNREHESWPPPPATPGAGGLAVRTFVSHFRDRAVAGHLTRAVEPLRTFSVLEPGGPGGCAARRRATVEETARAADCRVAQNGGFFRMNSGECLGNVVSDERRVSSSGGLQNAQFGIRRDGTLVTGYLSEEEVLDTENPFVQLLSGVVWLIRNGSIYINESQATECDETQETGSFSKFVNVISARTAIGHDRKGQLVLFHADGQTEQRGINLWEMAEFLLKQDVVNAINLDGGGSATFVLNGTLASYPSDHCQDNMWRCPRQVSTVVCVHEPRCQPPDCHGHGTCVDGHCQCTGHFWRGPGCDELDCGPSNCSQHGLCTETGCRCDAGWTGSNCSEECPLGWHGPGCQRPCKCEHHCPCDPKTGNCSVSRVKQCLQPPEATLRAGELSFFTRTAWLALTLALAFLLLISTAANLSLLLSRAERNRRLHGDYAYHPLQEMNGEPLAAEKEQPGGAHNPFKD", - "output": "apparatus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKGRSDGGQKKRVIALVCVAAVVLVFVYLFYGSSDHRASAIEYGRKLGLGGDDDDTKQDDTSSSFGVDDGFTPRSFPVCDDRHSELIPCLDRNLIYQMRLKLDLSLMEHYERHCPPPERRFNCLIPPPNGYKVPIKWPKSRDEVWKVNIPHTHLAHEKSDQNWMVVKGDKINFPGGGTHFHYGADKYIASMANMLNYPNNVLNNGGRLRTVFDVGCGVASFGGYLLSSDILTMSLAPNDVHQNQIQFALERGIPASLGVLGTKRLPYPSRSFELSHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWREMSALVERMCWKIAAKRNQTVIWQKPLTNDCYLEREPGTQPPLCRSDNDPDAVWGVNMEACITSYSDHDHKTKGSGLAPWPARLTSPPPRLADFGYSTGMFEKDTELWRQRVDTYWDLLSPRIESDTVRNIMDMKASMGSFAAALKEKDVWVMNVVPEDGPNTLKLIYDRGLMGAVHSWCEAFSTYPRTYDLLHAWDIISDIKKKGCSEVDLLLEMDRILRPSGFIIIRDKQRVVDFVKKYLKALHWEEVGTKTDSDSDQDSDNVVFIVQKKLWLTSESLRDME", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGAPHWWDQLQAGSSEVDWCEDNYTIVPAIAEFYNTISNVLFFILPPICMCLFRQYATCFNSGIYLIWTLLVVVGIGSVYFHATLSFLGQMLDELAVLWVLMCALAMWFPRRYLPKIFRNDRGRFKVVVSVLSAVTTCLAFVKPAINNISLMTLGVPCTALLIAELKRCDNMRVFKLGLFSGLWWTLALFCWISDRAFCELLSSFNFPYLHCMWHILICLAAYLGCVCFAYFDAASEIPEQGPVIKFWPNEKWAFIGVPYVSLLCANKKSSVKIT", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDDDDFGGFEAAETFDGGSGETQTTSPAIPWAAFPAVSGVHLSPSSPEIVLDRDHSSSIGCLSSDAIISSPENTHAANSIVSQTIPKAQIQQSTHTHLDISLFPLGLTDEKSNGTIALVDDSEDPGANVSNIQLQQKISSLEIKLKVSEEEKQRIKQDVESLMEKHNVLEKGFLKEKEQEAISFQDRYKELQEKHKQELEDMRKAGHEALSIIVDEYKALLQSSVKQQVEAIEKQYISAIEKQAHKCEELLNAQHQRLLEMLDTEKELLKEKIKEALIQQSQEQKEILEKCLEEERQRNKEALVSAAKLEKEAVKDAVLKVVEEERKNLEKAHAEERELWKTEHAKDQEKVSQEIQKAIQEQRKISQETVKAAIIEEQKRSEKAVEEAVKRTRDELIEYIKEQKRLDQVIRQRSLSSLELFLSCAQKQLSALIATEPVDIE", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPISSPGTRCSSDLKDPTLQQYSAESVSTEQSLGTFEESKGSITENYVQDSSVDEHDDGNWQPMEVISLEPTHLINDIDDDNEIIEEKKETEKVEESELEPRYTRVFRDEDDDQKHQLDSEAIKLLDIADHGNEEISMDSQLEITGNILSETEKMAYAGVCRLLILKMVDKIACFTTLPWYRGECKAALEDTIMWADKTTSCIYEHLGVTVEEQKMIENLHKHSVQIDDLSKILVSAHRAQTVSSLDAVLVDEVESSDSLSSLGKEKPVQIDVRWTVLCDLFLVLISKSLYDCRSRSLLMAVGEVLDINEFDVAKFEKHIVETIQIDDTGELEAGSSANTEAVMKLRRKVSRRKKYILMGLAGIGGGLVIGLSSGLLAPIISAGIGAAFTTVGLSGVATSGFLAGGGSAALITAGGAISGAHIGTTGMAHRKADVKTFEFRPLHAQRRANVIVTVSGWMLSKEDDVRLSFATLDPIVGDIYSVFWEPEMLASAGQTMNILATEVVTQSLQQVLGSTVLVSLMGALQWPLILTKLGYLIDNPWNNSLDRAKATGQLLADMLCYRSLGVRPVTLVGYSLGARVIYYCLRELEKKKEFSIIENVYLFGTPVIFKRTSWLKAASVVSGRFVNGYKKNDWILGYLFRATSGGIGRVAGLRQIDCIPGIENIDVTNLVSGHLAYRESMPILLAAVGFEVLDEEVDLVSEPIPEPLRERQSQLLYEIEAEECQNKQKELIEKSLMQKGRSLSPKKSNAFFDSKKIREELKKVKKKYGSSFNSRWY", - "output": "apparatus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSFLWGSTKSKKGKNKKAAGSLPSGVVPQQRVKPTRKNVPIDYPRTLEKVHGESLIFRTSLLSELVSTGKSGIGPPDLIHCTELDKFHDEKIGEFFYITGIDASSVSMPIAFLKLIKWNDGKKLKSASLKNDDITTYCTFNIFQKLDIRLRYESEDVYQVNIVDCLNGNNEIPLSDLIWEETFVSCCIRSVIINSDFERKIPGLVELPFVFENRCASDYKRVIDSLCKFLPRFLECGWDSTKSVYATILNNYLTESLLVFLSITPEFITDYAIQVLDNLMTNDPSNSRYYAIVIISIMERSNDRDVEMIKRIHEILDLLLPVLYGLPSDEPYISDLINCITDVLSIQARFLLNNNDYELSLSISTLATNLSSDNFESWYLLSKGYIFSQQYDKALLSINSMPCLAEYDIVKQAQINAFKFYMNYYKAPLCHSREHCTMTSHELNHLMNIMHYENELELKTIIFGRTVMPNESKYGCIEEIWNKSCLELGPICGPQSDNLINFVSQQEVNTVGDMLLLKRSKETRQESWFIKQVRLLLMELVARIGWNALLQLRSDVFVMESKFKMIESSDKLSTELRQKRLCQRWFDAMFLDVYEDLSISTSSQENKATAKYSGLEWELLGLTLLRVSDLPDAVACLRTSILARFDPISCHHLLNFYLTMDFNDEFMRRFDVDIILDLLVKLISFRIRFYDRFQIFSLQVLRKLEGQLGSEIIKNKIINSPYGQAGITSVIDYMLECLSKNRNEACLAYERPLPDLPSTIKPLAD", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAGTSNYWEDLRKQARQLENELDLKLVSFSKLCTSYSHSSARDGGRDRYSSDTTPLLNGSSQDRMFETMAIEIEQLLARLTGVNDKMAEYTHSAGVPSLNAALMHTLQRHRDILQDYTHEFHKTKANFMAIRERENLMGSVRKDIESYKSGSGVNNRRTELFLKEHDHLRNSDRLIEETISIAMATKENMTSQRGMLKSIHSKMNTLANRFPAVNSLIQRINLRKRRDSLILGGVIGICTILLLLYAFH", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFAKLKKKIAEETAVAQRPGGATRIPRSVSKESVASMGADSGDDFASDGSSSREDLSSQLLRRNEQIRKLEARLSDYAEQVRNLQKIKEKLEIALEKHQDSSMRKFQEQNETFQANRAKMAEGLALALARKDQEWSEKMDQLEKEKNILTAQLQEMKNQSMNLFQRRDEMDELEGFQQQELSKIKHMLLKKEESLGKMEQELEARTRELSRTQEELMNSNQMSSDLSQKLEELQRHYSTLEEQRDHVIASKTGAESKITALEQKEQELQALIQQLSIDLQKVTAETQEKEDVITHLQEKVASLEKRLEQNLSGEEHLQELLKEKTLAEQNLEDTRQQLLAARSSQAKAINTLETRVRELEQTLQASEEQLQQSKGIVAAQETQIQELAAANQESSHVQQQALALEQQFLERTQALEAQIVALERTRAADQTTAEQGMRQLEQENAALKECRNEYERSLQNHQFELKKLKEEWSQREIVSVAMAQALEEVRKQREEFQQQAANLTAIIDEKEQNLREKTEVLLQKEQEILQLERGHNSALLQIHQLQAELEALRTLKAEEAAVVAEQEDLLRLRGPLQAEALSVNESHVTSRAMQDPVFQLPTAGRTPNGEVGAMDLTQLQKEKQDLEQQLLEKNKTIKQMQQRMLELRKTLQKELKIRPDNELFEVREKPGPEMANMAPSVTNNTDLTDAREINFEYLKHVVLKFMSCRESEAFHLIKAVSVLLNFSQEEENMLKETLEYKMSWFGSKPAPKGSIRPSISNPRIPWS", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLPRGRPRAMGAAVLLLLLLLVVGFFLFGRDPDYGLGTTATLDEDPYRSRNLSASSPQLLLPPKCEMLHVAIVCAGYNSSREIITLTKSLLFYRKNPLHLHLITDAVARNILETLFRTWMVPAVVVSFYDAEELKPLVSWIPNKHYSGLYGLMKLVLPSILPPSLARVIVLDTDVTFSSDIVELWALFDHFSDKQVVGLVENQSDWYLGNLWKNHRPWPALGRGFNTGVILLWLDRLQQTGWEQMWKVTAKRELLTLMATSLADQDIFNAVIKEHPHLVHPLPCVWNVQLSDHTRAERCYLEAADLKVIHWNSPKKLRVKNKHAEFFRNLHLTFLGYDGKLLRRELFGCPNQFPPGAEQLQQALTQLDEEEPCFEFRQQQLTVHRVHITFLPHQPPPPQPHDVTLVAQLSMDRLQMLEALCRHWPGPMSLALYLTDEEAQQFLHFVETSPVLSMRKDVAYHVVYRDGPLYPVNQLRNVALAQALTPYVFLSDIDFLPAYSLYDYLRASIEQLELDSRRKTALVVPAFETLHYRFSFPNSKAELLTLLDAGSLHTFRYHEWPQGHSSTDYSRWREAQAPYSVQWSADYEPYVVVPRDCPRYDPRFVGFGWNKVAHIIELDAQEYEFLVLPEAFSIHLPHAPSLDISRFRSSPTYRNCLQALKEEFHQDLSRRYGSAALKYLTALQQARSRA", - "output": "apparatus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MFRSFGRLFRGSEESPTINDLTSITIYPQCFISTGKEYGSEPKHISVHVRGWVYENPDLNNLGRKDRLMLNLLRRYVGLPPKSKETGTYPEKDDENTNLQVVVDTKAQLNVNVNDGKPNDIELSSTSKTENDPPLSLHTTPDDLQGNGVNVPNPSLSASRSWYQSGYGISGFFNRIMTPSVNSQYISTIGLAKCEEYFEERSLASLQRGLKDEFVLVKIYATDKNFEQKVVAEFNVATNVEGYFIIDEVIPFYTTKNNKFSVEAVLLQSTSEDKVIKAYMSEVPVLDRNGISIISDIDDTVKNTRVIEGPRKVGETTLLAPLNTQTIEGVSDWFRVMTNLNATVHFVSNSPWQLWPTLSKFFTNDNMPYISSIYLRHFNGVLQNIIEPAAARKRSSLLTAIRSLGDRKIVLIGDNGEQDLQIYAEMAACFPERILGIFIRDVMSDFCGVLKKQTTKSNSLPEVVQTKPFATSTPDTPLKEFTTTLKDVDNEQASEFYQLEKEPDTVPSTFILHRYYTYRIFVEDRANKTRKPVVQVEHAASKLENVHILCDYCKHKCSNIMEQDWFVQLARARGVIPLHIPIVIWFNGEEPISFTEDLLKSAFAS", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSNDEGETFATEQTTQQVFQKLGSNMENRVCFDCGNKNPTWTSVPFGVMLCIQCSAVHRNMGVHITFVKSSTLDKWTINNLRRFKLGGNHKARDFFLKNNGKQLLNTANVDAKTKYTSPVAKKYKIHLDKKVQKDMELYPSELVLNGQDSSDSPLDTDSDASRSTSKENSVDDFFSNWQKPSSNSSSKLNVNTGSLAPKNNTTGSTPKTTVTKTRSSILTASRKKPVLNSQDKKKHSILSSSRKPTRLTAKKVDKSQAEDLFDQFKKEAQQEKEDEFTNSSSSTKIRQNDYDSQFMNNSKGNNNNSIDDINTQPDEFNDFLNDTSNSFDTTRKEQQDTLTPKFAKLGFGMTMNDANDLAKQQKESQKIAQGPRYTGRIAERYGTQKAISSDQLFGRGSFDEAANREAHDKLKTFDNATSISSSSYFGEDKEVDEFGNPINSSGSGAGNFDGRNSNNGFIDFNASADDELQMLRDVVEQGAEKLGSYLRDYLRK", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRSSLLTLPKSFLGFMPLYLAVEIVLGISILNKCSGAYGILALFTGHPLDFMQWIAYLWSVFTLIVFSQGLYLIHKPNLLVFSQICVLYTIDTISTCFFTLWFTTQWFTLEDTANIDGNNALQSNPISTGKLTERGIDISKQSATESYEYTMTILITLVSLIFRFYFNFILASFVQELLHHPKYLVDRDDVEQNLKNKPIWKRLWAKSQKGCYKLCKNLLE", - "output": "apparatus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVRIQRRKLLASCLCVTATVFLLVTLQVMVELGKFERKEFKSSSLQDGHTKMEEAPTHLNSFLKKEGLTFNRKRKWELDSYPIMLWWSPLTGETGRLGQCGADACFFTINRTYLHHHMTKAFLFYGTDFNIDSLPLPRKAHHDWAVFHEESPKNNYKLFHKPVITLFNYTATFSRHSHLPLTTQYLESIEVLKSLRYLVPLQSKNKLRKRLAPLVYVQSDCDPPSDRDSYVRELMTYIEVDSYGECLRNKDLPQQLKNPASMDADGFYRIIAQYKFILAFENAVCDDYITEKFWRPLKLGVVPVYYGSPSITDWLPSNKSAILVSEFSHPRELASYIRRLDSDDRLYEAYVEWKLKGEISNQRLLTALRERKWGVQDVNQDNYIDAFECMVCTKVWANIRLQEKGLPPKRWEAEDTHLSCPEPTVFAFSPLRTPPLSSLREMWISSFEQSKKEAQALRWLVDRNQNFSSQEFWGLVFKD", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPGCPCPGCGMAGPRLLFLTALALELLERAGGSQPALRSRGTATACRLDNKESESWGALLSGERLDTWICSLLGSLMVGLSGVFPLLVIPLEMGTMLRSEAGAWRLKQLLSFALGGLLGNVFLHLLPEAWAYTCSASPGGEGQSLQQQQQLGLWVIAGILTFLALEKMFLDSKEEGTSQAPNKDPTAAAAALNGGHCLAQPAAEPGLGAVVRSIKVSGYLNLLANTIDNFTHGLAVAASFLVSKKIGLLTTMAILLHEIPHEVGDFAILLRAGFDRWSAAKLQLSTALGGLLGAGFAICTQSPKGVVGCSPAAEETAAWVLPFTSGGFLYIALVNVLPDLLEEEDPWRSLQQLLLLCAGIVVMVLFSLFVD", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MQMSYAIRCAFYQLLLAALMLVAMLQLLYLSLLSGLHGQEEQDQYFEFFPPSPRSVDQVKAQLRTALASGGVLDASGDYRVYRGLLKTTMDPNDVILATHASVDNLLHLSGLLERWEGPLSVSVFAATKEEAQLATVLAYALSSHCPDMRARVAMHLVCPSRYEAAVPDPREPGEFALLRSCQEVFDKLARVAQPGINYALGTNVSYPNNLLRNLAREGANYALVIDVDMVPSEGLWRGLREMLDQSNQWGGTALVVPAFEIRRARRMPMNKNELVQLYQVGEVRPFYYGLCTPCQAPTNYSRWVNLPEESLLRPAYVVPWQDPWEPFYVAGGKVPTFDERFRQYGFNRISQACELHVAGFDFEVLNEGFLVHKGFKEALKFHPQKEAENQHNKILYRQFKQELKAKYPNSPRRC", - "output": "apparatus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRLTNEKATMQPQLSDLALVLGLLICCLPTLTWAATLSDKRLCADPKCEQIISMGIAKITYAIGGEGLISFKINSPIRVLSKSAGSNMQLWGVDINGRRGYANKDFIMEKKILVRDKDLLYEVPVVGPGSPVQSVETPVQSVETTVQPVLNASESTDDLATTTTSPLEIAVDSIVVEHDKLQDQQVPDPTAASKAQVQVIEGTELPLEAIAATTEGSIVPETAADPQEATNLDSTVVDTKEPQALNSEAIKLQEEPKAQQPATEAEKPPPLPQAINAELEDADDFDYGDDETDDDSQQGSQDNESIVEIANDNKSINESIELKPLSVAQLKKTDKVEDSKDETKEKHAEMEVSKQEDSSLPTETLNVTALEEQIDQKEFPKQVLDAAVELKSSDPLPVEEVTETVAEPPRTIVEDKINEEIVPVSAKIQAKPATVNPTEPIVAQSDAEIKAPSESVISSTTPAPVVEEAPQKADPVGLPPLFEKKNFENPNNYYKQLQEEQEKQRLVAEAEEQKRLQEEADQQKRLQEEAALNKRLLEEAEQQKRLQEEAEQQKRLQEEAELNKRLLEEAEKQKRLHEESEQLQRSSEEAEPQLSVQEANMQQLNDSVDSQSNEIVDNNNRQQPEQYQQHHHHTESAFNHPSTASHTTPTPDAESPYAAVQEETTEASQTDNHREGVGYVEPVALPATASPVSEVPIKEDAAGFGLFATIVDTVNNFIGKDPQSDPADSSDELHRILYPGRPEVPPSQRKAEDFAPADVDGYCARFQAKDEHCHRSISLDNFVEVMADKLVDHSQLLLCVVIAAISSLFFMFAYYCFCNSSQEGALLSKLNHLERSLLASHKENLIIKHDLMTTRTKLASIEDNSFGSNDMVADLKKQLESELYEKAKLQEQVGSLERDLDNAAEAGLELNKMLSEVLNGQNGDEAFMSTVDELQRQLNDQEKIIIEINNSLAEKSRENSELQYTFTEATTRLNSELKTLQEDNYELEMEKSKLQTRLQEIQAETESELAKALEARNYEMQKLQNQIVELTVKWEREHGDLQTSLAKIEALEDCLKAVGKDAIHNVQELITSAKTRGELNAVHKKLVELQSKVEQEEAHKQRLESQLQQSSQDVEQLKQDFNQSERDKLEAQTRLEVLSGYFREKENDLKKELSLQETKWLQHQGENASTVETQTLMKNEIQTLKSQNDELRAEIEAQIASHKAQMGTLENRAHESWLAARQSERRCEEALAEAASLRRKLTTMASGGGGVGGDPGVMEAIAANGTSVLGAELKTAPSPLPLPGSPLLNMPNPLPFLAAPFSPFMGLPPPFLPPTGAGGARPPPLGRMRSPPPSSRGDRDRERYSDYSDYDDYDDDEEDDRGMDRRRRHSGSWGRRHRGSYSHSPRTYRSLSPSDSRYNYNDTETDFSPPPSPPPVPSGRSATSRPYSEV", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAAGTSSYWEDLRKQARQLENELDLKLVSFSKLCTSYSHSSTRDGRRDRYSSDTTPLLNGSSQDRMFETMAIEIEQLLARLTGVNDKMAEYTNSAGVPSLNAALMHTLQRHRDILQDYTHEFHKTKANFMAIRERENLMGSVRKDIESYKSGSGVNNRRTELFLKEHDHLRNSDRLIEETISIAMATKENMTSQRGMLKSIHSKMNTLANRFPAVNSLIQRINLRKRRDSLILGGVIGICTILLLLYAFH", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKSLSHTSSNKSNGSIFTKADESEKVISRSNTASPISIENTHLTKSERDSLLFRLDLVLAPTIMILYLVAFLDRSNIGNAKVAGLPEDLKLKGDQFNIIASVFYVTFILFEMPTTLLMKKVQPKRMLAFIVISYSLTTIFTGFCHNFGGLLAARLVLGFCEAGLFPCLALYLTMIYSRVELAPRIAYLFASSALSGAFGGLFAYAVLHMDGVGGFAGWRWLFIIEGLIGFVCGVAVYFIIPNDITKAWFLSKTHQEMMRKRQLERAADLEAAHFDWKGVKSAFTDFKVYLYALSEFGQDTCLYGFSTFLPAIISGMGYTSLSVQYMTIPVYILGAATYIAASFLSDRFHHRGIILIIGNIFPIVGYILLLACQNNKSVLYFACYLCSVGVYTGAGLNVTWLSANIAPHYKRATAISLQLAIANSSGILAGQIYRYPPKYIAGHLTSLIAIFISTVLHVVNIFFLKHQNSKKQKSLASSSTIDLSEQPKDDKDARFHYIL", - "output": "apparatus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MARRQVGSTRRVGDGGSFPFAGALHSKSRSSPLLSICLVLVGACLLIGYAYSGPGIFKSIKEVSKVTGDYSCTAEVQRAIPVLKKAYGDGMRKVLHVGPDTCSVVSSLLKEEETEAWGVEPYDIEDADSHCKSFVSKGLVRVADIKFPLPYRAKSFSLVIVSDALDYLSPKYLNKTVPELARVASDGVVLFAGLPGQQRAKVAELSKFGRPAKMRSASWWNRFFVQTNLEENDAPSKKFEQAVSKGLYKPACQVFHLKPLH", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKSGKQSSQPEKGTSRILSLTVLFIAFCGFSFYLGGIFCSERDKIVAKDVTRTTTKAVASPKEPTATPIQIKSVSFPECGSEFQDYTPCTDPKRWKKYGVHRLSFLERHCPPVYEKNECLIPPPDGYKPPIRWPKSREQCWYRNVPYDWINKQKSNQHWLKKEGDKFHFPGGGTMFPRGVSHYVDLMQDLIPEMKDGTVRTAIDTGCGVASWGGDLLDRGILSLSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEIHRIVRPGGFWVLSGPPVNYNRRWRGWNTTMEDQKSDYNKLQSLLTSMCFKKYAQKDDIAVWQKLSDKSCYDKIAKNMEAYPPKCDDSIEPDSAWYTPLRPCVVAPTPKVKKSGLGSIPKWPERLHVAPERIGDVHGGSANSLKHDDGKWKNRVKHYKKVLPALGTDKIRNVMDMNTVYGGFSAALIEDPIWVMNVVSSYSANSLPVVFDRGLIGTYHDWCEAFSTYPRTYDLLHLDSLFTLESHRCEMKYILLEMDRILRPSGYVIIRESSYFMDAITTLAKGIRWSCRREETEYAVKSEKILVCQKKLWFSSNQTS", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVRKYGIFIDAGSSGSRLLIYSWDYDTDSSLSDKVKKLPLIETGIGDGGKWSLKVQPGISSFANNPKHVGKKHLKELLDFAAHAIPKDVHKETPVFLSATAGMRLLGVDAQNKILSHACRYIKKNYDFDIPNCSNSIRVIDGKAEGMYGWLATNYLLKTLEEKDTSTVGFLDMGGASVQIAFELPPSQLKNYKDSISTVHIGLQNGQQLEYPLFVTTWLGFGANEAYRRYLGLLIESENGKVGNTLSDPCSLRGRTYDIDGIEFAGTGDLKQCLKLTYNLLNKDKPCSMDPCNFDGISIPPVDFANTEFVGVSEFWYTTNDVFDMGGSYHFPNFYKKVDEYCGTEWETMLSRLYNKELTPSTDENKLEKLCFKASWALNVLHEGFDVPKSNTSSNDAKDGLSVIPAYHSPFTSLEKIERTEVSWTLGQVLLYASNQQLLAKPEYANYYMDPYGKLIASPSKHWMRLFPNKLFFILSFIFCLFFLFSLVLFGYDPKRRQRFKKFLLRLQRRKAPYIMSANGSYEDIADFSDDLEMSSPSKWHGPPIRTTSSHVLADRLSFTASRERTPRSPFP", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAMIELGFGRQNFHPLKRKSSLLLKLIAVVFAVLLFCEFLIYYLAIFQCNWPEVKTTASDGEQTTREPVLKAMFLADTHLLGEFLGHWLDKLRREWQMERAFQTALWLLQPEVVFILGDIFDEGKWSTPEAWADDVERFQKMFRHPSHVQLKVVAGNHDIGFHYEMNTYKVERFEKVFSSERLFSWKGINFVMVNSVALNGDGCGICSETEAELIEVSHRLNCSREARGSSRCGPGPLLPTSAPVLLQHYPLYRRSDANCSGEDAAPAEERDIPFKENYDVLSREASQKLLWWLQPRLVLSGHTHSACEVHHGGRVPELSVPSFSWRNRNNPSFIMGSITPTDYTLSKCYLPREDVVLIIYCGVVGFLVVLTLTHFGLLASPFLSGLNLLGKRKTR", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSLASRTSVKSGGSEVDLRQRNASIRNLFAPSSAELAKKKEARQRSRSQSSFSLQRASSQDDGIGNGMGMGLGSKMGSQMGLPNSKSFDDYALGINQLPMTANQSAVRQYNGQDHNVLGSSPYAPASTRATALVIPRTSHNGCHQSAFELAMSRTPSEHKNWKSTSQLENFINSNEKLQQEQWQQHQQQDTSVDFGNEMTWGKSAEKSLPLNHLVQEEGFSRDDQWDLDFQTEQRESLQSQSYRPAVAAAAQSTPLAQRLQRFRYRQQLQLQQEPHQQQQQQQQQQQQQQSTADIDVYDSPFNGQLSAERIGVANWRRGNVEGPIGGRAPVEAEYSAEQEELPPDRVLYPDSEPEEEEAAPRRRVVIRRRIVRTSRTASQDPQTQTAEVVPKSSNDSSTPAEINGRNLGWLTRLRSFGSINRKHQETAAPPKGGQQKAISAASNNSCNTNPIMAVLRTMKLKERLVISLGATLVLLTLLLIVDVQMDFGVANRHLLQQQHQKIRLGNDYDGGTGGGGMLHEFKRKFLQKSNASGSKEASTQAGASQSGGATSGQDAAAGASGGAAGPGTSRSTSTRKPTPHDRYADLQKHLLSDEYSHVIVDNAPDVSRDNPTLAEMLHRKASANASNLERFQLRITKKELYGEQDTLVDAVLRDMIKLPIQHVVQKEGGTQLKLIIEYPNDIKALMKPMRFPREQQTLPNHFYFTDYERHNAEIAAFHLDRILGFRRAMPVAGRTLNITTEIYQLAEENLLKTFFVSPSLNLCFHGKCSYYCDTSHAICGNPDMLEGSFAAFLPNFESGNRKLWRHPWRRSYHKRKKAQWETDANYCALVRDIPPYDDGRRLYDLMDMAVFDFLTGNMDRHHYETFKVYGNETFPLHLDHGRGFGRPFHDELSILAPVLQCCLIRKSTLVKLLDFHNGPKPLSQLMSESLSQDPVSPVLWQPHLEALDRRTGIILQSIRDCIKRNPPGDVDGSETDVSS", - "output": "apparatus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEPLYQQTHKQVHEIQSHMGRLETADKQSVHLVENEIQASIDQIFSHLERLEILSSKEPPNRRQNAKLRVDQLKYDVQHLQTALRNFQHRRQAKEQQERQRDELLSRTFTTNDSDTTIPMDESLQFNSSLQNIHHGMDDLIGGGHSILEGLRAQRLTLKGTQKKILDIANMLGLSNTVMRLIEKRAFQDKYFMIGGMLLTCAVMFLVVQYLT", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMGRNDDAFHPLLHSTPESSVKIPVPLVSVGRESSQSKGNMKTAILIWLTLQNSIHTLLIRYSRAREVDAMFVSTVAVWLTEVIKCFICLFLVAQEETPRRFIHALRTQILEQPYDTLKVCIPAMIYIVQNNLFYVAASHLDAATFMITSQLKIFTAAIFTVIILRRSLNRTQWFALAVLFVGVSLVQLQGTKAKESSGESPFVGFVAVVVACCLSGFAGIYFEKILKGSAPVSLWMRNVQMAVFSIPASFSAIYMQDSKTVNEYGLLYGFDSIVWLTVLWYGVGGLSVAVCIKYADNIAKNFATSVAIILSTIGSIFLFDFIPSFTFLLGASLVIFSIFLYSSHQSMVAALGRLRGEIPSTKEAFCL", - "output": "apparatus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGGGYVLFGSARSGQMIMVALVLMVGSFYAGSIFGNNSPIYISQPSSSNSSSSSPSQSGPSNFANKIELTYRRTSVSIPESGVNVCPLKFNEYIPCHNVTYVQQLLPSLNLSRREELERHCPPLEQRLFCLVPPPKDYKIPIRWPTSRDYVWRSNVNHTHLAEVKGGQNWVHEQGQLWWFPGGGTHFKHGAPEYIQRLGNMTTNETGDLLSAGVEQVLDVGCGVASFAAYLLPLGIKTMSFAPKDGHENQIQFALERGIRAMISAIATKQMPYPAASFDMVHCSRCRVDWHENDGVLMKEVNRLLRPNGYFVYSAPPAYRKDKDFPVIWDKLVNLTSAMCWKLISRKVQTAIWVKEDDEACLRKNAELELITICGVEDVSKASWKVPLRDCVDISENRQQKPSSLTDRLSSYPTSLREKGISEDEFTLDTNFWREQVNQYWELMNVNKTEVRNVMDTNAFIGGFAAAMNSYPLWVMNVVPATMNDTLSGIYQRGLTGAYHDWCEPFSTYPRTYDLLHADHLFTHYKIYGEGCLLEDIMLEMDRIIRPQGFIIIRDEESIVSRVRDLAPKFLWEVEAHELQDKYKKTETVLFCRKKFWAIL", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MQTRRRLHQTDQQDYSSSSTYTIQEDQQGGAGAGSVGTGTAGGSVGLLAQSLVPPPTGHEAAIHIGDNYQSGDSISTPDYSDDKYGKAARQWNLRAFSGHALRTLSAAAAVVTGNQPGINNDSQSNYSYPASIPTSSQFYQSKEEPQETEPEPEIFVMAARDRTGEFANAIRSLQARNITRAVNIRDPRKAKQVQSYSEFMMVARFIGKNIASTYAKLEKLTMLAKKKSLFDDRPQEIQELTYIIKGDLNALNQQIARLQDISKDQRRHTNGKHLVSHSSNMVLALQSKLASMSTDFKQILEVRTENLKQQKTRRDQFSQGPGPLAAHTVSPSTAKQGSLLLSEENQAVSIDMGSSDTTPLLSTQTQMAIYDDSDNYVQQRAETMQNIESTIVELGGIFQQLAHMVKEQEEIVERIDTNVADAELNIEAAHGEILKYFQSVSKNRWLMIKIFGVLIFFFLFFVVFMS", - "output": "apparatus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRPALAVGLVFAGCCSNVIFLELLARKHPGCGNIVTFAQFLFIAVEGFLFEADLGRKPPAIPIRYYAIMVTMFFTVSVVNNYALNLNIAMPLHMIFRSGSLIANMILGIIILKKRYSIFKYTSIALVSVGIFICTFMSAKQVTSQSSLSENDGFQAFVWWLLGIGALTFALLMSARMGIFQETLYKRFGKHSKEALFYNHALPLPGFVFLASDIYDHAVLFNKSELYEIPVIGVTLPIMWFYLLMNIITQYVCIRGVFILTTECASLTVTLVVTLRKFVSLIFSILYFQNPFTLWHWLGTLFVFIGTLMYTEVWNNLGTTKSEPQKDSKKN", - "output": "apparatus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEIDGNTLVFIIVILFLFFSSPGGDGVSSQYEFNQLQRLKQQFRTEHNTFVNMTYTDSFRNITGLKLSYQDMLNNPLQNATYPLPGKDYDRWFPNQNYMVLPNDVIEAINTEVWNTSNDDASNLFPPNITSTLLGKIDLVSNNKYEKIRMPVPRFYEPATDFSEDIPPEGETYWSEWPSYGELHNVSFQHGEIAIQISHMSNLQDNNNYLRRNFINKKNDRWKLLNLQIDFSDKAEKEKHSIYSKAVYDIQRGRILSISQSSKFHSLFALPHYMSFQNDYNEKIFNDVKELVDEFWNFTDYTDVMTMKDVQDAYNNANFKCEYLIFLQLEPWNQYTRDQIKLIDDELNWPLGRPANLSSLPPINVVSGLLYSPDCGVRLGLHNVKGTRYELKIMSIRKHLLFGIALFAAQIYLLLTQMHHTNTPSMVNKISFYCFSMINLVDGSLATLYFVAASVVPELYLPLVISAFSCFILASIFEIRYLISIYASQVNEQNVGIINLLRGNTGTYDENRPRPAFIPDEGSIGGSLYGRFFFMLIIFTFLILSSTSWPRQLRMVFEYILIFILNSYWIPQIFRNAVKGIPSRRERARSSIGGNRSQNKMPLLWSFVIGTTIIRSLPVVYVFTYSSNVFRHHKDVHFVVFLSLWLLFQISILYSQDVLGSRWFLPKHTIPDGYSYFKPLSNEYISEHGGGTAEHTVDCAICMSDVPIYIEEIPETHKVDQHSYMVTPCNHVFHTSCLENWMNYKLQCPVCRSPLPPL", - "output": "apparatus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAQPRYTRIDNRRPSSNYCSTVTVVVFVALCLVGIWMMTSSSVGPAQNVDEVSLDNKDGIKKQMTPPAEEGNGQKFEDAPVETPNEDKKGDGDASLPKEDESSSKQDNQEEKKEEKTKEEFTPSSETKSETEGGEDQKDDSKSENGGGGDLDEKKDLKDNSDEENPDTNEKQTKPETEDNELGEDGENQKQFESDNGEKKSIDDDKKSSDDDKENKTGNEDTETKTEKENTETNVDVQVEQEGQSKNETSGDLSPPGAQLELLNETTAQNGSFSTQATESKNEKEAQKGSGDKLDYKWALCNTTAGPDYIPCLDNVQAIRSLPSTKHYEHRERHCPDSPPTCLVPLPDGYKRPIEWPKSREKIWYTNVPHTKLAEYKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDFIQESVPAIAWGKRSRVVLDVGCGVASFGGFLFDRDVITMSLAPKDEHEAQVQFALERGIPAISAVMGTTRLPFPGRVFDIVHCARCRVPWHIEGGKLLLELNRVLRPGGFFVWSATPVYQKKTEDVEIWKAMSELIKKMCWELVSINKDTINGVGVATYRKPTSNECYKNRSEPVPPICADSDDPNASWKVPLQACMHTAPEDKTQRGSQWPEQWPARLEKAPFWLSSSQTGVYGKAAPEDFSADYEHWKRVVTKSYLNGLGINWASVRNVMDMRAVYGGFAAALRDLKVWVMNVVPIDSPDTLAIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKQRCNLTAVIAEVDRVLRPEGKLIVRDDAETIQQVEGMVKAMKWEVRMTYSKEKEGLLSVQKSIWRPSEVETLTYAIG", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MISYPFFSLSPPGLVPPPMAVPPVEMYSGSFWNRMRKPLPLRTQVIRFTVVFVIVSFILAVALQITHERMPDPKVTKPLPDLGFELLTKVPGMYVLADCCIGFLNILSVFTAFKLYLLHRHCVGSGEPELPCNIPGVSRFFLSVWLCKENCRIELRNIHTIAWIRFITSYALLLLFRSAVIVMTSLPAPDDLCQDPPKIENPVKNVILTVLTAGGGSIHCGDLMYSGHTVILTLHLMFHWIYGAMVHWSFRPVVTVVAIFGYYCIVASRFHYTDDVLVAIYLTIATFIAVGHNADGAPWQLQLFIRWWPCCGANSREVTEDSQPVMVAFKSEELDEMNGVLEGRQKKHGGVGDGESLMFKCGAYV", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRSGGRGRPRLRLGERGLMEPLLPPKRRLLPRVRLLPLLLALAVGSAFYTIWSGWHRRTEELPLGRELRVPLIGSLPEARLRRVVGQLDPQRLWSTYLRPLLVVRTPGSPGNLQVRKFLEATLRSLTAGWHVELDPFTASTPLGPVDFGNVVATLDPRAARHLTLACHYDSKLFPPGSTPFVGATDSAVPCALLLELAQALDLELSRAKKQAAPVTLQLLFLDGEEALKEWGPKDSLYGSRHLAQLMESIPHSPGPTRIQAIELFMLLDLLGAPNPTFYSHFPRTVRWFHRLRSIEKRLHRLNLLQSHPQEVMYFQPGEPFGSVEDDHIPFLRRGVPVLHLISTPFPAVWHTPADTEVNLHPPTVHNLCRILAVFLAEYLGL", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MITQNSIPEVKEDFIGYALHERRIRLPQFQDLGPADLVTLTKYLPTSSNTNAINSTSRNGAAIIQSPAAVVADDSAASMATNGDASDTAVTTNYTNASIYSSSRNANDGAPMVAELHPLDKLKDEVGTFFYSMGVDTSGPTSIAIFLKEISEVISEKPQVWFGRKKTFNVARISFSTWNAFRRCDINVVVHIPGSIQNFIVDCNGESQNIEMCADYDLIWAETFVSGVVRSIMLMKENAEEGELQNLVETLILNPFTAGQIDDVPEMFIDLFPIVYHKGPLLGAPYYITNVTNTNNYLVETLVEIVKLTRNVSRAEIMLKNLATDNPEAIIILIKIFLVCDQELDAIKLTYDMLSQDKIINNTNNRMDYKSELLCLQAQFLIDKRQDYSLAQNIAQEAVNCSPSEFRPWYLLSKVYVKLNDIENALLILNSCPMSPLKEKYVLKRVAPLPSNNSLHLPLPIDVVLDEVTSLNPQDVQNEHRSADPMLVNLAASNLKSTFQLAYRLLTEIVQITGWENLLKYRSNIFVMEEEYQKSSSSLPKDVNKQEEQPLRAKRLCERWLDNLFMLLYEDLKMYTLWQTEQLYMDAQNNNHNKLTFEWELFGLCARRLGHFPEAAKAFQNGLSQRFSSRCARKLLEYCINERQRVKNFINSPNSHDMVPEIVSSRIRELDNSIIDLCVKICCWNHRWYTEFSISLLDCLSVVIQDMSLTKVSNEISSRYPETVLNLVQENLLNFFTTCTIGCYDA", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKLKLKNVFLAYFLVSIAGLLYALVQLGQPCDCLPPLRAAAEQLRQKDLRISQLQAELRRPPPAPAQPPEPEALPTIYVVTPTYARLVQKAELVRLSQTLSLVPRLHWLLVEDAEGPTPLVSGLLAASGLLFTHLVVLTPKAQRLREGEPGWVHPRGVEQRNKALDWLRGRGGAVGGEKDPPPPGTQGVVYFADDDNTYSRELFEEMRWTRGVSVWPVGLVGGLRFEGPQVQDGRVVGFHTAWEPSRPFPVDMAGFAVALPLLLDKPNAQFDSTAPRGHLESSLLSHLVDPKDLEPRAANCTRVLVWHTRTEKPKMKQEEQLQRQGRGSDPAIEV", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRKPKLSKLERLEKFDIFVSLSKQRSVQILMAVGLLYMLLITFEIPFVFKTGLSSLSQDPLTRPEKHNSQRELQERRAPTRPLKSLLYQESQSESPAQGLRRRTRILSSLRFDPETFNPSSKDGSVELHKSAKVAWEVGRKIWEELESGKTLKALEKEKKKKIEEHGTNSCSLSVSLTGSDLLKRGNIMELPCGLTLGSHITVVGKPRAAHSEKDPKISMLKEGDEAVKVSQFKLELQGLKAVEGEEPPRILHLNPRLKGDWSGKPVIEQNTCYRMQWGSAQRCEGWRSRDDEETVDGQVKCEKWARDDSITSKEEESSKAASWWLSRLIGRSKKVTVEWPFPFTVDKLFVLTLSAGLEGYHVSVDGKHVTSFPYRTGFTLEDATGLTINGDIDVHSVFAGSLPTSHPSFSPQRHLELSSNWQAPSLPDEQVDMFIGILSAGNHFAERMAVRRSWMQHKLVKSSKVVARFFVALHSRKEVNVELKKEAEFFGDIVIVPYMDSYDLVVLKTVAICEYGAHQLAAKFIMKCDDDTFVQVDAVLSEAKKTPTDRSLYIGNINYYHKPLRQGKWSVTYEEWPEEDYPPYANGPGYILSNDISRFIVKEFEKHKLRMFKMEDVSVGMWVEQFNNGTKPVDYIHSLRFCQFGCIENYLTAHYQSPRQMICLWDKLVLTGKPQCCNMR", - "output": "apparatus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MFPRVSMRRRSAEVSPTEPMEKGNGKNQTNRICLLVALSLFFWALLLYFHFVVLGTSNIDKQLQLQPSYAQSQPSSVSLRVDKFPIEPHAAPSKPPPKEPLVTIDKPILPPAPVANSSSTFKPPRIVESGKKQEFSFIRALKTVDNKSDPCGGKYIYVHNLPSKFNEDMLRDCKKLSLWTNMCKFTTNAGLGPPLENVEGVFSDEGWYATNQFAVDVIFSNRMKQYKCLTNDSSLAAAIFVPFYAGFDIARYLWGYNISRRDAASLELVDWLMKRPEWDIMRGKDHFLVAGRITWDFRRLSEEETDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDSEVFEWQDRMRNLERKWLFSFAGAPRPDNPKSIRGQIIDQCRNSNVGKLLECDFGESKCHAPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTTYSVFIPEDDVRKRNISIEERLLQIPAKQVKIMRENVINLIPRLIYADPRSELETQKDAFDVSVQAVIDKVTRLRKNMIEGRTEYDYFVEENSWKYALLEEGQREAGGHVWDPFFSKPKPGEDGSSDGNGGTTISADAAKNSWKSEQRDKTQ", - "output": "apparatus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGFLITTLIFVVVGIIASLCVRICCNRGPSTNLLHLTLVITATVCCWMMWAIVYIAQMNPLIVPILSETE", - "output": "apparatus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDDTNQFMVSVAKIDAGMAILLTPSFHIIEFPSVLLPNDATAGSIIDISVHHNKEEEIARETAFDDVQKEIFETYGQKLPSPPVLKLKNATQTSIVLEWDPLQLSTARLKSLCLYRNNVRVLNISNPMTTHNAKLSGLSLDTEYDFSLVLDTTAGTFPSKHITIKTLRMIDLTGIQVCVGNMVPNEMEALQKCIERIHARPIQTSVRIDTTHFICSSTGGPEYEKAKAANIPILGLDYLLKCESEGRLVNVSGFYIENRASYNANASINSVEAAQNAAPNLNATTEQPKNTAEVAQGAASAKAPQQTTQQGTQNSANAEPSSSASVPAEAPETEAEQSIDVSSDIGLRSDSSKPNEAPTSSENIKADQPENSTKQENPEEDMQIKDAEEHSNLESTPAAQQTSEVEANNHQEKPSSLPAVEQINVNEENNTPETEGLEDEKEENNTAAESLINQEETTSGEAVTKSTVESSANEEEAEPNEIIEENAVKSLLNQEGPATNEEVEKNNANSENANGLTDEKIIEAPLDTKENSDDDKPSPAAAEDIGTNGAIEEIPQVSEVLEPEKAHTTNLQLNALDKEEDLNITTVKQSSEPTADDNLIPNKEAEIIQSSDEFESVNID", - "output": "apparatus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDAGSSRSLENAVNRIYHDQLVPKINTSKKMSTLAHPPNILEMSQEIKKNCGGKQVEITLERTKMTKGIKEKQSNDLEKAAFKRKAEGEEKPTRKKQAKITELDNQLITMPLPHIPLKNIMDVEMKLVYIDEMGVRYEFVESFMSTGSQPTCQAAEIVDPLSVHNFSFLPQIDKWLQVALKDASSCYRQKKYALAAGQFRTALELCSKGAVLGEPFDAPAEDIASVASFIETKLVTCYLRMRKPDLALNHAHRSIVLNPAYFRNHLRQATVFRCLERYSEAARSAMIADYMFWLGGGREESISKLIKLYWQAMIEEAITRAESFSVMYTPFATKIRADKIEKVKDAFTKTHPAYAEYMYTDLQALHMLPQTVDWSSFPPQQYLLTLGFKNKDDGKFLEKISSRKLPIFTEHKTPFGLTREDTVRQMETMGKRILPILDFIRSTQLNGSFPASSGVMEKLQYASLLSQLQRVKEQSQVINQAMAELATIPYLQDISQQEAELLQSLMADAMDTLEGRRNNNERVWNMIQKVGQIEDFLYQLEDSFLKTKKLRTARRQKTKMKRLQTVQQR", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAEETGQSKLAAAKKKFKEYWQRNRPGVPAAAKRNTKANGSSPETAASGGCHSSEASSSASSSLHARQSPCQEQAAVLNSRSIKISRLNDTIKSLKQQKKQVEHQLEEEKKANNEKQKAERELEGQIQRLNTEKKKLNTDLYHMKHSLRYFEEESKDLAGRLQRSSQRIGELEWSLCAVAATQKKKPDGFSSRSKALLKRQLEQSIREQILLKGHVTQLKESLKEVQLERDQYAEQIKGERAQWQQRMRKMSQEVCTLKEEKKHDTHRVEELERSLSRLKNQMAEPLPPDAPAVSSEVELQDLRKELERVAGELQAQVENNQCISLLNRGQKERLREQEERLQEQQERLREREKRLQQLAEPQSDLEELKHENKSALQLEQQVKELQEKLGQVMETLTSAEKEPEAAVPASGTGGESSGLMDLLEEKADLREHVEKLELGFIQYRRERCHQKVHRLLTEPGDSAKDASPGGGHHQAGPGQGGEEGEAAGAAGDGVAACGSYSEGHGKFLAAARNPAAEPSPGAPAPQELGAADKHGDLCEASLTNSVEPAQGEAREGSSQDNPTAQPVLQLLGEMQDHQEHPGLGSNCCVPCFCWAWLPRRRR", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSANIVPSNMGITKFLLLTISTSSVVAGVFALKPFFHINFGLHLLSHYQYWRILLWQFIYWNSTEVFQALFIIYQARDVERLLGSHRFASFCVYMFILGMFVTPIFSFLYSLLFKNLDYIQPGPTFLIFAILYQYYYIVPSTVFVRLFNIKFTDKFQMVIPMIGLAFSHFPSTFINAFLGWTMGMFYHLSLLPGTSWRLPIRFVKPALSPTHVFIRPPYSDMQNASTFNPETLFALPTGLDAERTENENQVENPVSNADANDSPTRQNARATAIASSSNTAASFRNRQQISHPPLGRTSSSSVLPTGPASQLYDMLSGRSERPELGNIREEDINTVQTIMQTSRAQAIQALSQTNDVQRAVELLLEQTADY", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRSHQAGRKLPLLQLLGCVAVFSVFVFTIQSSFFADNNRKLDLQPEDIQILSDFQSSVQQCVANRGLGLSAHIIDHCNLILKFPEGTNSTWYNAQFKVFEALEFKYNVCEAVLLWEQYRNMTTVLTREYLDVRPDGWLDYAAMRIAQLGADKCYNRTLCEEHLNVILPAKPPFHPRQFHKCAVVGNSGDLLKTEFGEEIDSHDAVFRDNEAPVNEKYAKYVGVKRDFRLVVRGAARNMIKILNGSDNEVLIIKSVTHRDFNEMIKRIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRSERKEDWSSVPSREMISRAHTAALRLQRSQQPTSSKRDGSGQFGNCKVWGDADPTKGPVSGSPDMSETRKKSNYKKWEVMPFRSLRKEARDHYIQMKGVSQYKMDGNKLDDLVCVRHPLKLDT", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRRLGHHRLHGKTGGVGTKGMVAKLSIGVIVLLICTLSLLFSANIGSNREPTRPSKINVEELWESAKSGGWRPSSAPRSDWPPPTKETNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPELDANSFWHDDSGFQGIYDVEHFIETLKYDVKIVGKIPDVHKNGKTKKIKAFQIRPPRDAPIEWYLTTALKAMREHSAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPHIMKLSESIVDKLRSQGHFMSIHLRFEMDMLAFAGCFDIFNPEEQKILRKYRKENFADKRLIYNERRAIGKCPLTPEEVGLILRAMRFDNSTRIYLAAGELFGGEQFMKPFRTLFPRLDNHSSVDPSEELSATSQGLIGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIAREKGKRAGFEEAVRRVMLKTNFGGPHKRVSPESFYTNSWPECFCQMNPKKSSDKCPPNNVIEILDSRLESIRDPDSTSQTNSTVTGLER", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVSKGLLRLVSSVNRRKMKLLLGIALFAYAASVWGNFVNMRSIQENGELKIESKIEEIIEPLREKIRDLEKSFTQKYPPVKFLSEKDRKRILITGGAGFVGSHLTDKLMMDGHEVTVVDNFFTGRKRNVEHWIGHENFELINHDVVEPLYIEVDQIYHLASPASPPNYMYNPIKTLKTNTIGTLNMLGLAKRVGARLLLASTSEVYGDPEVHPQSEDYWGHVNPIGPRACYDEGKRVAETMCYAYMKQEGVEVRVARIFNTFGPRMHMNDGRVVSNFILQALQGEPLTVYGSGSQTRAFQYVSDLVNGLVALMNSNVSSPVNLGNPEEHTILEFAQLIKNLVGSGSEIQFLSEAQDDPQKRKPDIKKAKLMLGWEPVVPLEEGLNKAIHYFRKELEYQANNQYIPKPKPARVKKGRTRHS", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MHQKLLKSAHYIELGSYQYWPVLVPRGIRLYTYEQIPVSLKDNPYITDGYRAYLPSRLCIKSLFILSNETVNIWSHLLGFFLFFTLGIYDMTSVLPSASASREDFVICSICLFCFQVCMLCSVGYHLFSCHRSEKTCRRWMALDYAGISIGILGCYVSGVFYAFYCNNYWRQVYLITVLAMILAVFFAQIHPSYLTQQWQRLRPIIFCSVSGYGVIPTLHWVWLNGGVSAPIVQDFAPRVIVMYVIALLAFLFYISKVPERYFPGQLNYLGSSHQIWHVLAVVMLYWWHQSTVYVMQYRHSKPCPDYVSHL", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAGRRDRSQQLRGSRIAIAILIGIFIGCVCAVLFPYGFFNSSSSLKASEHLSKSSNQVGSSACESPERVKMLKSDFVTLSEKNAELKKQVRELTEKLRLAEQGSDNARKQVLALGTQIKAGPFGTVKSLRTNPTILPDESINPRLAKILEEIAVDKEVIVALANANVKAMLEVQIASIKRVGITNYLVVALDDYIENLCKENDVAYYKRDPDKDVDTVGKTGGNHAVSGLKFRVLREFLQLGYGVLLSDVDIVFLQNPFSHLYRDSDVESMSDGHDNHTAYGFNDVFDEPAMGWARYAHTMRIWVFNSGFFYLRPTIPSIELLDRVADRLSKAKVWDQAVFNEELFYPSHPEYTALHASKRVMDMYEFMNSKVLFKTVRKNHELKKKVKPVIVHVNYHPDKLNRMQAVVEFYVNGKQDALDSFPDGSE", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASELINRRHETDQPTADAYYPKPIKPWFTVTRPMRYMLREQRLIFVLVGIAIATLVFTIFPRSTQSTPYSDPFSGYGIRPDESYVPAIQAQRKPSLEYLNRIGATGGKIPLGLKRKGLRVVVTGGAGFVGSHLVDRLMARGDTVIVVDNFFTGRKENVMHHFSNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQFVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDPNANIEFRPNTEDDPHKRKPDITKAKELLGWEPKVSLRQGLPLMVKDFRQRVFGDQKEGSSAAATTTKTTSA", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKRFYGGLLVVSMCMFLTVYRYVDLNTPVEKPYITAAASVVVTPNTTLPMEWLRITLPDFMKEARNTQEAISGDDIAVVSGLFVEQNVSKEEREPLLTWNRLESLVDNAQSLVNGVDAIKEAGIVWESLVSAVEAKKLVDVNENQTRKGKEELCPQFLSKMNATEADGSSLKLQIPCGLTQGSSITVIGIPDGLVGSFRIDLTGQPLPGEPDPPIIVHYNVRLLGDKSTEDPVIVQNSWTASQDWGAEERCPKFDPDMNKKVDDLDECNKMVGGEINRTSSTSLQSNTSRGVPVAREASKHEKYFPFKQGFLSVATLRVGTEGMQMTVDGKHITSFAFRDTLEPWLVSEIRITGDFRLISILASGLPTSEESEHVVDLEALKSPTLSPLRPLDLVIGVFSTANNFKRRMAVRRTWMQYDDVRSGRVAVRFFVGLHKSPLVNLELWNEARTYGDVQLMPFVDYYSLISWKTLAICIFGTEVDSAKFIMKTDDDAFVRVDEVLLSLSMTNNTRGLIYGLINSDSQPIRNPDSKWYISYEEWPEEKYPPWAHGPGYIVSRDIAESVGKLFKEGNLKMFKLEDVAMGIWIAELTKHGLEPHYENDGRIISDGCKDGYVVAHYQSPAEMTCLWRKYQETKRSLCCREW", - "output": "apparatus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAHLKRLVKLHIKRHYHKKFWKLGAVIFFFIIVLVLMQREVSVQYSKEESRMERNMKNKNKMLDLMLEAVNNIKDAMPKMQIGAPVRQNIDAGERPCLQGYYTAAELKPVLDRPPQDSNAPGASGKAFKTTNLSVEEQKEKERGEAKHCFNAFASDRISLHRDLGPDTRPPECIEQKFKRCPPLPTTSVIIVFHNEAWSTLLRTVHSVLYSSPAILLKEIILVDDASVDEYLHDKLDEYVKQFSIVKIVRQRERKGLITARLLGATVATAETLTFLDAHCECFYGWLEPLLARIAENYTAVVSPDIASIDLNTFEFNKPSPYGSNHNRGNFDWSLSFGWESLPDHEKQRRKDETYPIKTPTFAGGLFSISKEYFEYIGSYDEEMEIWGGENIEMSFRVWQCGGQLEIMPCSVVGHVFRSKSPHSFPKGTQVIARNQVRLAEVWMDEYKEIFYRRNTDAAKIVKQKAFGDLSKRFEIKHRLQCKNFTWYLNNIYPEVYVPDLNPVISGYIKSVGQPLCLDVGENNQGGKPLIMYTCHGLGGNQYFEYSAQHEIRHNIQKELCLHAAQGLVQLKACTYKGHKTVVTGEQIWEIQKDQLLYNPFLKMCLSANGEHPSLVSCNPSDPLQKWILSQND", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEQQSNLEKDLSVSSFLDEKEKSGYKQSVRLVSNDPSASPAATHKPPFISAALMLLNNTILCISFTIVVPTSERFVQHLGGGNGLSGVIIGLPTITALVLLYPMLRFSTPKAAKGYTIYRRPYTMSCISCIIGHIMYALADKAKSVALILVSRIFTGVACTMFLYHKRYFTDKALISIKYRTSMGVVNSVMATLGLTAGPFIGGLMAKSSMKSQSDIWNEYTSGNWLMAFIWVGLFLFGFACFREVLSPQTDVKEEVVEEKHVINDVKQDTNSKLGFVGCLVIFVVAFSGFSAYFLLNAYQASVPIYTSMLYNYSSFQAGNFLSLAGIINVPLLLIFSYLTRYLTDRDIILLGCCLNIVCMVIHITIHYTGKEFVQPYFIIYTLVFFGSSIANSPSVSLLTKVLHPKYHLIGNVAVQISISLSDTVGAIFGGAFRSFSPVVFFAVCLILNVMSVLALLIIWKKLKVKLRLA", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MALSLGQFINVGGMVKDLKSFNFSVYGRWFGYINIILCIALGIANLFHVSGVIAFGIISIIQGLVILFIEIPFLLKICPLSDNFIEFIKRFETNGWRCLFYLAMAIIQYISIAVMATSLIVVAVGLTISSISYAVAYTKHQEFQNTNIIKNPTDDDFPHEAVVREML", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDARWWAVVVLAAFPSLGAGGETPEAPPESWTQLWFFRFVVNAAGYASFMVPGYLLVQYFRRKNYLETGRGLCFPLVKACVFGNEPKASDEVPLAPRTEAAETTPMWQALKLLFCATGLQVSYLTWGVLQERVMTRSYGATATSPGERFTDSQFLVLMNRVLALIVAGLSCVLCKQPRHGAPMYRYSFASLSNVLSSWCQYEALKFVSFPTQVLAKASKVIPVMLMGKLVSRRSYEHWEYLTATLISIGVSMFLLSSGPEPRSSPATTLSGLILLAGYIAFDSFTSNWQDALFAYKMSSVQMMFGVNFFSCLFTVGSLLEQGALLEGTRFMGRHSEFAAHALLLSICSACGQLFIFYTIGQFGAAVFTIIMTLRQAFAILLSCLLYGHTVTVVGGLGVAVVFAALLLRVYARGRLKQRGKKAVPVESPVQKV", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MIFMILGRSKEFILKLPIWTQIITYIAILVYALSFFGISTGVLSLSWIGLLQKRQLYEIITYVTLHLSMLHIVFNFVSLLPAMSQFEKKQGTLACILVTVIPYTLFPGIMHLIVYHFFLRKDYVSIAGLSGWAFAFISASCVHSPQRLISFFNLFSIPAYCFPIIYLIMTTILVPKASFIGHASGAVMGYCTPFMLGSIPLKSWAQNVDPIFQSWVKNYHSFDQLSHAQLPIAEPLSTFSSFPGKGTRLGG", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSEDKESDVVGEEEESHVIKEDKELNDASNETLTENGDQLLQMIAELRLENDFLRSQFEGLKDEVAQGRSLQKAEQVEADSAQLKQLQEQVASLSREIDVEKQTRVAAEQALEHLREAYSEADAKSQEYSSKFSQVEQKLDQEIKERDEKYADLDAKFTRLHKRAKQRIQEIQKEKDDLDARFREVNETAERASSQHSSMQQELERTRQQANEALKAMDAERQQLRSANNKLRDTIEELRGSLQPKENKIETLQQSLLDKDQILEDLKKQLQAVEERKQIAVTELSAKHQKNLEGLEAQVVDALSERDKAAETISSLQVLLAEKESKIAEMEAAATGEAARLRAAAETLKGELAHLKSENEKEKETWEASCDALKSKLEIAESNYLQAEIEVAKMRSQLGSEMSMQTQILSTKDAELKGAREEINRLQSEFSSYKIRAHALLQKKDMELAAAKDSEQIKSLEEALKEAEKEVYLVSAERDRAQQDLQSALASLEKELEERAGALKDASEQIKSLEVKLDSTVARNQAEKQAWEEDLRVLEETWRRRCEALTAQNEASPAEGIEKELENAKLRNKRMKEEHESVRELADRLIEEKDREISRLVDEMTNLRKSMESKPVWNKSPSQVHHYGNNNTESQQQDVSNLSTSAAEHQILILARQQAQREEELAQTQRHILALQEEIEELERENRLHSQQEAVLKTELREMERKQKREGVDMTYLKNVILKLLETGEVEALLPVVGMLLQFSPEEIQKCQQAYHSSTTAATTTEATPSPASEGSGLSVFSRFSFS", - "output": "apparatus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAVRKEKVQPFRECGIAIAVLVGIFIGCVCTILIPNDFVNFRSSKVASASCESPERVKMFKAEFAIISEKNGELRKQVSDLTEKVRLAEQKEVIKAGPFGTVTGLQTNPTVAPDESANPRLAKLLEKVAVNKEIIVVLANNNVKPMLEVQIASVKRVGIQNYLVVPLDDSLESFCKSNEVAYYKRDPDNAIDVVGKSRRSSDVSGLKFRVLREFLQLGYGVLLSDVDIVFLQNPFGHLYRDSDVESMSDGHDNNTAYGFNDVFDDPTMTRSRTVYTNRIWVFNSGFFYLRPTLPSIELLDRVTDTLSKSGGWDQAVFNQHLFYPSHPGYTGLYASKRVMDVYEFMNSRVLFKTVRKDEEMKKLKPVIIHMNYHSDKLERMQAAVEFYVNGKQDALDRFRDGS", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAGRRDRIQQLRGSRIAIAIFVGILIGCVCSVLFPNGFFNSGSSLIANEERISKSTSTDGLASCESSERVKMLKSDFSIISVKNAELRKQVRELTEKVRLAEQETENARKQVLVLGSEIKAGPFGTVKSLRTNPTVVPDESVNPRLAKLLEKVAVNKEIIVVLANSNVKPMLELQIASVKRVGIQNYLIVALDDSMESFCESKEVVFYKRDPDKAVDMVGKSGGNHAVSGLKFRVLREFLQLGYSVLLSDVDIVFLQNPFSHLHRDSDVESMSDGHDNNTAYGFNDVFDEPSMGWARYAHTMRIWVFNSGFFYLRPTIPSIDLLDRVADTLSKSEAWDQAVFNEQLFYPSHPGYTGLHASKRVMDMYEFMNSKVLFKTVRKNQELKKLKPVIVHLNYHPDKLERMHAVVEFYVNGKQDALDSFPDGSD", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNDDRETPPKRKPGEDDTLFDIDFLDDTTSHSGSRSKVTNSHANANYIPPSHVLPEETIDLDADDDNIENDVHENLFMSNNHDDQTSWNANRFDSDAYQPQSLRAVKPPGLFARFGNGLKNAFTFKRKKGPESFEMNHYNAVTNNELDDNYLDSRNKFNIKILFNRYILRKNVGDAEGNGEPRVIHINDSLANSSFGYSDNHISTTKYNFATFLPKFLFQEFSKYANLFFLCTSAIQQVPHVSPTNRYTTIGTLLVVLIVSAMKECIEDIKRANSDKELNNSTAEIFSEAHDDFVEKRWIDIRVGDIIRVKSEEPIPADTIILSSSEPEGLCYIETANLDGETNLKIKQSRVETAKFIDVKTLKNMNGKVVSEQPNSSLYTYEGTMTLNDRQIPLSPDQMILRGATLRNTAWIFGLVIFTGHETKLLRNATATPIKRTAVEKIINRQIIALFTVLIVLILISSIGNVIMSTADAKHLSYLYLEGTNKAGLFFKDFLTFWILFSNLVPISLFVTVELIKYYQAFMIGSDLDLYYEKTDTPTVVRTSSLVEELGQIEYIFSDKTGTLTRNIMEFKSCSIAGHCYIDKIPEDKTATVEDGIEVGYRKFDDLKKKLNDPSDEDSPIINDFLTLLATCHTVIPEFQSDGSIKYQAASPDEGALVQGGADLGYKFIIRKPNSVTVLLEETGEEKEYQLLNICEFNSTRKRMSAIFRFPDGSIKLFCKGADTVILERLDDEANQYVEATMRHLEDYASEGLRTLCLAMRDISEGEYEEWNSIYNEAATTLDNRAEKLDEAANLIEKNLILIGATAIEDKLQDGVPETIHTLQEAGIKIWVLTGDRQETAINIGMSCRLLSEDMNLLIINEETRDDTERNLLEKINALNEHQLSTHDMNTLALVIDGKSLGFALEPELEDYLLTVAKLCKAVICCRVSPLQKALVVKMVKRKSSSLLLAIGDGANDVSMIQAAHVGVGISGMEGMQAARSADIAVGQFKFLKKLLLVHGSWSYQRISVAILYSFYKNTALYMTQFWYVFANAFSGQSIMESWTMSFYNLFFTVWPPFVIGVFDQFVSSRLLERYPQLYKLGQKGQFFSVYIFWGWIINGFFHSAIVFIGTILIYRYGFALNMHGELADHWSWGVTVYTTSVIIVLGKAALVTNQWTKFTLIAIPGSLLFWLIFFPIYASIFPHANISREYYGVVKHTYGSGVFWLTLIVLPIFALVRDFLWKYYKRMYEPETYHVIQEMQKYNISDSRPHVQQFQNAIRKVRQVQRMKKQRGFAFSQAEEGGQEKIVRMYDTTQKRGKYGELQDASANPFNDNNGLGSNDFESAEPFIENPFADGNQNSNRFSSSRDDISFDI", - "output": "apparatus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MANRSLKKVIETSSNNGHDLLTWITTNLEKLICLKEVNDNEIQEVKEIHTQLDEFVRYISVLENTDDLELHSVFISLSQLYTISIWRLKDEYPGVVFDSAAFLTNVLCEEDVSIDDGDTDPNQKKKKKKSSTKKKKYIYSPAKDIACTILVQLFENFGSSISSLIPLLFNAIFKNLKKIMEKSKYYHATFMTTLLQLFNAILRNSNNDDKILDPATYAKFSKLSKTVFDSISTDEKDFSVTFVSVLIECWTAHFKQTNFIREHSHDIIETIYSRFTEGEIGVYGFANDETRIFTAKSLAEILFDYYFSKNILTLQEVWSIYVKIFLNCDTRDVESGCFESIIHLINLNLLADNTFLSNSKYLDIVLSLSGVFSSYEVNNRSMNTLSRYLRYFQHMHEVILPHLNDSAKTQMLYYILGCSDTYQSSSKSDSASNFKYSIDAKPETQWLTLLQLDFTYVLISDLGSTFTTEENTVKEIRDKLVDLATCEIFTIRVHTVEILKVFLNNCPEYLSETIENSLRALSTDFKSTGKFIFHKNHGHAFIIANLIKGAESDYISYELIMRITVFSTSFIKNNTTSTSSNLYFKGLLCWILLIGLMNYKDEQYLKLQIPQLFLFWKVLLTHTYTYHDEDELYKNLEIRNHALTCLLTYLSNTTIDKEMAKQVSYLLTKCSNFNHSIDLKSKNIDNALLHNENRILQVYLKLEKYINSDFNSSLLILIVKNFSDPNLYTESSSSVLGSLKDIGNRKVSNKDDMESNIVLESSINTLLRQNNGFAFGLSSKITGDRIVNLSMSSAYKYDESISGSWPSKDYNWYNIFEVEVSKPISPILSLDSLILLYGSGSYSQIDRYAPQVTTSLIDSSMELFSSVFPFLNSKIQYSIMETLNLSMFSKMTTPLRSVAVAANVCSALHNALRIMQENNLELDYSVGQLIIESIKKIQFFNDIFLTKIKADCVGLLTAAIARTLGDEERQKFLTEQSRIFIKNVADMDEPYLRMFHVLSLATIFKYNSQYANFEEYFDVIFALMRDPHPVVHSWSLKAMHILLEKHLVIDLKTAALLLSSMEELLVQDKYGIYGRSTLRCNYNRDFNSHVAIGEISRTLTETVGPNFLELNTKVLDSFRNITLSMLISNNILNSITSIKMFENIATFKMKNILNYEIFILASKSIIKSSIVTGIGSSYFDTTFTGSNELISRTSSLKGAFENFDLLTLLYKLQMEEFFMKEMENLSWRYLALFPNSGSVKNYFTEWILHTFKRDNHWFDKLYSIFNMSLGRLFQSYNRDVSALLEVNGLKKSSEKEIKGEEEESIANVNQLTDTDAGGLDSENLQWKSRQIILNLILMLCLESEKYENLLLALSNKIADLIKISFRGSTVRNEGMKLTGLHILNFVLKNYSTMRDPQVPGSSILEQQEAQITSALMPAFSKGSSPTVMSFAITVAAEVLASNIMPPDKLGRISQLLIDLLGNFKDPNSGIRIGEAIIVTPKAKRKIELAVLDAWAEVVQRSITSSNDALFSFTRKYWSILVPLWIISLREYMMIKYNDNDSTVQVKNDSKENSLIEPRSTKIELYEPVWLNFVEALGCTLDSDVQVILASLNDEELEYFLFILFSQCLEAIVKNIDDHSVKMQVLPALHNVLKSNLCIKSIFEDDIITEVVEIMDRLISTGDSKEEFLLVDIISDLIIGYSKCNATPETFLQDIDKLYELLRLLMTIISERLPFIKYNVLTSEEDDNEIKISPTDISLLKKTFIAFESNISNFDNMFKVDLYSCLLFIIGKIYECSHREVIIPIILPLFKALVKALTESEDEKNIVLLEIFYGSIKDVIYHKLDSKNKVATILILLSNGYSKLSFQELNQCANILSEALNNPATQPIALQGFKRIISNIFKYPLLQYFMKLVIKRFFQDIQTNDSLSQASIKTKLIIQFSEEVIKQDHQKASLSIALCLSFFAAYHSAYTEKIDNEVASGIVALAKLDKNSFKEAISSTISPQQKAIIGSVMEAYVKSQSLGSVEEAFQLKSFD", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSMPLQRGISGVRVSDSSDDLRDSQMKDKTERARSTENNNLTLRFPFGFLFSNQSSSKHGGGGENGFSADPYSARSRHRLMLLFLKISLVLIVVIALAGSFWWTISISTSSRGHVYHNYRRLQEQLVSDLWDIGEISLGPNRWKELEYCNIESENFVPCFNVSENLALGYSNGDENDRFCGPGSKQECLELPPVKYRVPLRWPTGKDIIWHSNVKITAQEVVSSGSITKRMMMMEDDQISFRSASPMSDEVEDYSHQIAEMIGIKKDNFIEAGVRTILDIGCGYGSFGAHLLSKQILTMCIANYEASGSQVQLTLERGLPAMIGSFISKQLPYPSLSFDMLHCLRCGIDWDQKDGLLLVEIDRVLKPGGYFVWTSPLTNPRNKDHLKRWNFVHDFAESICWTLLNQQDETVVWKKTINTKCYSSRKPGVGPSVCTKGHDVESPYYRPLQMCIGGTRSRRWIPIEGRTRWPSRSNMNKTELSLYGLHPEVLGEDAENWKITVREYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNAQFGGLNSALLEARKSVWVMNVVPTAGPNHLPMILDRGFVGVLHNWCEPFPTYPRTYDLVHADNLLSLQTSQPRKTCLLIDIFTEIDRLLRPEGWVIIRDTAQLVEKARETITQLKWEARVIEVESSSEQRLLICQKPFTKRQSI", - "output": "apparatus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSWFVDLAGKAEDLLNRVDQGAATALSRKDNASNIYSKNTDYTELHQQNTDLIYQTGPKSTYISSAADNIRNQKATILAGTANVKVGSRTPVEASHPVENASVPRPSSHFVRRKKSEPDDELLFDFLNSSQKEPTGRVEIRKEKGKTPVFQSSQTSSVSSVNPSVTTIKTIEENSFGSQTHEAASNSDSSHEGQEESSKENVSSNAACPDHTPTPNDDGKSHELSNLRLENQLLRNEVQSLNQEMASLLQRSKETQEELNKARARVEKWNADHSKSDRMTRGLRAQVDDLTEAVAAKDSQLAVLKVRLQEADQLLSTRTEALEALQSEKSRIMQDQSEGNSLQNQALQTFQERLHEADATLKREQESYKQMQSEFAARLNKVEMERQNLAEAITLAERKYSDEKKRVDELQQQVKLYKLNLESSKQELIDYKQKATRILQSKEKLINSLKEGSGFEGLDSSTASSMELEELRHEKEMQREEIQKLMGQIHQLRSELQDMEAQQVNEAESAREQLQDLHDQIAGQKASKQELETELERLKQEFHYIEEDLYRTKNTLQSRIKDRDEEIQKLRNQLTNKTLSNSSQSELENRLHQLTETLIQKQTMLESLSTEKNSLVFQLERLEQQMNSASGSSSNGSSINMSGIDNGEGTRLRNVPVLFNDTETNLAGMYGKVRKAASSIDQFSIRLGIFLRRYPIARVFVIIYMALLHLWVMIVLLTYTPEMHHDQPYGK", - "output": "apparatus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSSVLDVTKPDFDVEAFVVKLLREKSLDGLVKEEEEMVSAVRRLDSDVHQIVYENYNKFLTATNTVRKIQDEFTQLDSEMKSLSRSMSTISTLIGNLDGVLGEKRDDILQLGSSYKVVNSLKHIFDLPHVLRSEFDERNYGEVLRMFKLAEESLSQYKDVPTVQLVLQKSKKIYDMTENQLMDQLRNPASGAELVSEAVDLLLTIGRDEDEVQKVLLTCSEQSLRVDLKELSANHSDVLDLVDKASESFIPNLTLIATTHDRLFEDKREDLITVLKTEMNSLHALVSKVFLSSSDAKDCSIVVRALDRYFRKISTCRYVIPGLDFLPLTIELINAVSKHEIDLSLTRIKEELKNGLNEVRKALINEEKDLSALASKIEQVFVHQVKTALANLLLFTASDVTFANLPPDEFRQSFSFNAHERLLVQAFHRFSELADEYESGAGEIRFVDPRVHLVFAVALQHLSNKSAVYLLNLCREQFSLSPDDGLTDITVVMSEVKTRAQKLVRCYAEKTGLSMGETLIKGCAMLVQPAATPSAVRASVRRLVEEMNTCDSELTLLLGGDSKPKDSRVSRRPITTALDAARDSLWCERIDFHLQIHFNRASIITVIVKVVLKIFIESIRLQTYSKFGVEQVQVDCYYLQRCLAALVSDEVVVNSMVDQALSSALKRCQDPVLVHPSRLAQLCEQPPANRPSSQASSLGY", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVVSQPITPGQVSFLLGVIPLMIAWLYSEFLEYRRSSFHAKVHSDKNLVELEMVTNKEDEGTVLMEGGLPRSASSKFYSSPIKTNLIRFLTLEDSFLLENRATLRAMAEFGAILLYFYICDRTSLIGQSQKNYSRDLFLFLFCLLIIVSAMTSLKKHTDKSPITGKSILYLNRHQTEEWKGWMQVLFLMYHYFAAVEFYNAIRVFIAGYVWMTGFGNFSYYYIRKDFSLARFTQMMWRLNFFVAFCCIILNNDYMLYYICPMHTLFTLMVYGALGIYSQYNEIASVMALKIASCFLVVILMWEIPGVFEIFWSPLAFLLGYTDPAKPDLPRLHEWHFRSGLDRYIWIIGMIYAYFHPTVERWMEKLEECDAKRRMSIKTSIIGISSFAGYLWYEYIYKLDKVTYNKYHPYTSWIPITVYICLRNCTQQLRRFSLTLFAWLGKITLETYISQFHIWLRSSVPNGQPKLLLSIIPEYPMLNFMLTTAIYVLVSVRLFELTNTLKSVFIPTKDDKRLLHNVIAMAAISFCLYIIGLILLLIPH", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAKFRRRTCIILALFILFIFSLMMGLKMLRPNTATFGAPFGLDLLPELHQRTIHLGKNFDFQKSDRINSETNTKNLKSVEITMKPSKASELNLDELPPLNNYLHVFYYSWYGNPQFDGKYIHWNHPVLEHWDPRIAKNYPQGRHNPPDDIGSSFYPELGSYSSRDPSVIETHMRQMRSASIGVLALSWYPPDVNDENGEPTDNLVPTILDKAHKYNLKVTFHIEPYSNRDDQNMYKNVKYIIDKYGNHPAFYRYKTKTGNALPMFYVYDSYITKPEKWANLLTTSGSRSIRNSPYDGLFIALLVEEKHKYDILQSGFDGIYTYFATNGFTYGSSHQNWASLKLFCDKYNLIFIPSVGPGYIDTSIRPWNTQNTRNRINGKYYEIGLSAALQTRPSLISITSFNEWHEGTQIEKAVPKRTSNTVYLDYRPHKPGLYLELTRKWSEKYSKERATYALDRQLPVS", - "output": "apparatus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGVRSAAKEMHERDHNSDSSSLVTSLMKSWRISSASSSKKPSLYKMNTTESTSLPSGYASSADRDRRTSDGNFEAMAKQQASTRRTSNSYSPLRYVNPTLSTASNESPRPALLLRQHHQRHHHHQQPRHSSSGSVGNNCSNSTEPNKKGDRYFKDLDEDWSAVIDDYNMPIPILTNGGFGTPVAPTRTLSRKSTSSSINSISNMGTSAVRNSSSSFTYPQLPQLQKEKTNDSKKTQLEIENERDVQELNSIIQRISKFDNILKDKTIINQQDLRQISWNGIPKIHRPVVWKLLIGYLPVNTKRQEGFLQRKRKEYRDSLKHTFSDQHSRDIPTWHQIEIDIPRTNPHIPLYQFKSVQNSLQRILYLWAIRHPASGYVQGINDLVTPFFETFLTEYLPPSQIDDVEIKDPSTYMVDEQITDLEADTFWCLTKLLEQITDNYIHGQPGILRQVKNLSQLVKRIDADLYNHFQNEHVEFIQFAFRWMNCLLMREFQMGTVIRMWDTYLSETSQEVTSSYSMSSNDIKPPVTPTEPRVASFVTPTKDFQSPTTALSNMTPNNAVEDSGKMRQSSLNEFHVFVCAAFLIKWSDQLMEMDFQETITFLQNPPTKDWTETDIEMLLSEAFIWQSLYKDATSHWL", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLLELISYAGTVSGFLFLTLSIASGLYYISELVEEHTEPTRRFLTRAIYGIILILILLLLLDGFPFKLTLFSIACYIVYYQNLKSFPFISLTSPTFLLSCVCVVLNHYFWFKYFNDTEVPPQFKFDPNYIPRRRASFAEVASFFGICVWFIPFALFVSLSAGDYVLPTTSEQHMAKKNDDITTNNQPKFRKRAVGLARVVINSVRKYIYSLARVFGYEIEPDFDRLAV", - "output": "apparatus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGKSASKQFHNEVLKAHNEYRQKHGVPPLKLCKNLNREAQQYSEALASTRILKHSPESSRGQCGENLAWASYDQTGKEVADRWYSEIKNYNFQQPGFTSGTGHFTAMVWKNTKKMGVGKASASDGSSFVVARYFPAGNVVNEGFFEENVLPPKK", - "output": "apparatus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVTMPQDLHVKVSMSRSKSETSLLSSRSGSQEKIMNVKGKIILSVLMVSTVLVVFWEYVNRTHSYQEEDIERAREKGRNGDSIVEPQLWDWFNPKNRPEVLTVTPWKAPIVWEGTYDTALLEKYYARQKITVGLTVFAVGKYIEHYLEDFLESANKYFMVGHRVIFYVMMDDTSRMPAVHLSPLHSLQVFEIRSEKRWQDISMMRMKTIGEHILDHIQHEVDFLFCMDVDQVFQDNFGVETLGQLVAQLQAWWYKASPDEFTYERRELSAAYIPFGEGDFYYHAAVFGGTPVHILNLTRECFKGILQDKKHDIEAQWHDESHLNKYFLFNKPTKILSPEYCWDYHIGLPSDIKNVKIAWQTKEYNLVRSNV", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MYTLLSGLYKYMFQKDEYCILILGLDNAGKTTFLEQSKTRFNKNYKGMSLSKITTTVGLNIGTVDVGKARLMFWDLGGQEELQSLWDKYYAECHGVIYVIDSTDEERLAESKQAFEKVVTSEALCGVPVLVLANKQDVETCLSIPDIKTAFSDCTSKIGRRDCLTQACSALTGKGVREGIEWMVKCVVRNVHRPPRQRDIT", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSAKRRLYSLIQNATEPYAFEPDLAVNLDIADLINQTGGNLPREAAFAIVRKVNDRNPTVAYLALNLLDICVKNCGYAFRLQIASKEFLNELVRRFPERPPSRLNKIQVMILSLIEEWRKTICRVDRYKEDLGFIRDMHRLLSYKGYTFPEIDKENLAVLSQKSVLKTAEELEKEDREAMSAKLQELIRRGTPADLAEANKLMKVMAGYDTEQKQKYKEHVLVDLEKVKRKAALFGEMLNEVSESDKLASGDLYDELAYSLKAAQRKVDKILEEMSPEDDSYVTVSDLKSLIASLLTQYDHLLEGDFSSARTVAADNNSLLQATTESAKSNSKTSANASNTQSAMDLLIDLDIGSDAQSPSLPASSSQMPTSSFNMESLSQSLLGTVEAPAEVGAISLTESFNSPVSNSSPNVPINNFTSTCAFENSHLNFQITPKSKTRDQVVLLATYTNLSPYDTVENLQSFIAVPKKYNLVLQPQSGTNLSPLQKDGIYQEMIVTKLLDVTELPVRFKLTYKVNGRSQEYTGQSSIRLL", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTLNGNIMKYCLEKGEILISFLLIALESMFRICTVILPSPLRNWFYEQSKKVYSYFLPELLVDDNANKLTDARDTIDLCALHGYDLEEHFVRTTDGYLLGLHRVYKKKKGKIEELNYLPPVLFIHGLMMNSESWVCNLKKEDAIPFALVEQGYDVWLGNLRGNKYSIKNIKFSSQNPKFWDFSLDSIAIFDIPSIVKYILSVNSFDSISLVGFSQGAILAFAALSIDTELRNSVRAFIALAPAIAPKKYSGRTVKSIIHANSQLLYLMFGRNSMLGSAVFWQAVLYPPVFAKIVDLFLRFFLSWTGKNISETQKIVAYSHLYSFTSVKCFVHWAQITRRKVLQMYDDSPGFKPSYYTNLNRIARYPIENIRLPITLVYGSNDNMVDIETLKTQLPPLSQCIQIPNYEHLDIIMGDTKKDIVIQQVVEQLNHVIAGDYFESIKEEFGLDTELVDGVMNHTI", - "output": "apparatus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGSVTVRYFCYGCLFTSATWTVLLFVYFNFSEVTQPLKNVPVKGSGPHGPSPKKFYPRFTRGPSRVLEPQFKANKIDDVIDSRVEDPEEGHLKFSSELGMIFNERDQELRDLGYQKHAFNMLISDRLGYHRDVPDTRNAACKEKFYPPDLPAASVVICFYNEAFSALLRTVHSVIDRTPAHLLHEIILVDDDSDFDDLKGELDEYVQKYLPGKIKVIRNTKREGLIRGRMIGAAHATGEVLVFLDSHCEVNVMWLQPLLAAIREDRHTVVCPVIDIISADTLAYSSSPVVRGGFNWGLHFKWDLVPLSELGRAEGATAPIKSPTMAGGLFAMNRQYFHELGQYDSGMDIWGGENLEISFRIWMCGGKLFIIPCSRVGHIFRKRRPYGSPEGQDTMTHNSLRLAHVWLDEYKEQYFSLRPDLKTKSYGNISERVELRKKLGCKSFKWYLDNVYPEMQISGSHAKPQQPIFVNRGPKRPKVLQRGRLYHLQTNKCLVAQGRPSQKGGLVVLKACDYSDPNQIWIYNEEHELVLNSLLCLDMSETRSSDPPRLMKCHGSGGSQQWTFGKNNRLYQVSVGQCLRAVDPLGQKGSVAMAICDGSSSQQWHLEG", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MANVSIRKYKNSDYETVNFLFVEGTKEHLPAACWNTLKKPRFYFIIIVACASIFMCTSSYVLSLTSLVALLAVGWYGLYLEFHGYASRCQREDMLDIENSYMMSDNTCFWVAEIDRKVVGIVGAKPLKEADDELFLLHLSVARDCRQQRIGTKLCQTVIDFARQRGFKAVCLETANIQDAAIKLYEAVGFKKSLVAIPPFLLNQYTSFTVIYYRYDIKS", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKVARFQKIPNGENETMIPVLTSKKASELPVSEVASILQADLQNGLNKCEVSHRRAFHGWNEFDISEDEPLWKKYISQFKNPLIMLLLASAVISVLMHQFDDAVSITVAILIVVTVAFVQEYRSEKSLEELSKLVPPECHCVREGKLEHTLARDLVPGDTVCLSVGDRVPADLRLFEAVDLSIDESSLTGETTPCSKVTAPQPAATNGDLASRSNIAFMGTLVRCGKAKGVVIGTGENSEFGEVFKMMQAEEAPKTPLQKSMDLLGKQLSFYSFGIIGIIMLVGWLLGKDILEMFTISVSLAVAAIPEGLPIVVTVTLALGVMRMVKKRAIVKKLPIVETLGCCNVICSDKTGTLTKNEMTVTHIFTSDGLHAEVTGVGYNQFGEVIVDGDVVHGFYNPAVSRIVEAGCVCNDAVIRNNTLMGKPTEGALIALAMKMGLDGLQQDYIRKAEYPFSSEQKWMAVKCVHRTQQDRPEICFMKGAYEQVIKYCTTYQSKGQTLTLTQQQRDVYQQEKARMGSAGLRVLALASGPELGQLTFLGLVGIIDPPRTGVKEAVTTLIASGVSIKMITGDSQETAVAIASRLGLYSKTSQSVSGEEIDAMDVQQLSQIVPKVAVFYRASPRHKMKIIKSLQKNGSVVAMTGDGVNDAVALKAADIGVAMGQTGTDVCKEAADMILVDDDFQTIMSAIEEGKGIYNNIKNFVRFQLSTSIAALTLISLATLMNFPNPLNAMQILWINIIMDGPPAQSLGVEPVDKDVIRKPPRNWKDSILTKNLILKILVSSIIIVCGTLFVFWRELRDNVITPRDTTMTFTCFVFFDMFNALSSRSQTKSVFEIGLCSNRMFCYAVLGSIMGQLLVIYFPPLQKVFQTESLSILDLLFLLGLTSSVCIVAEIIKKVERSREKIQKHVSSTSSSFLEV", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAKTTKRASSFRRLMIFAIIALISLAFGVRYLFHNSNATDLQKILQNLPKEISQSINSANNIQSSDSDLVQHFESLAQEIRHQQEVQAKQFDKQRKILEKKIQDLKQTPPEATLRERIAMTFPYDSHVKFPAFIWQTWSNDEGPERVQDIKGMWESKNPGFAHEVLNHDVINALVHHYFYSIPEILETYEALPSIILKIDFFKYLILLVHGGVYADIDTFPVQPIPNWIPEELSPSDIGLIVGVEEDAQRADWRTKYIRRLQFGTWIIQAKPGHPVLREIISRIIETTLQRKRDDQLNVNLRNDLNIMSWTGSGLWTDTIFTYFNDFMRSGVREKVTWKLFHNLNQPKLLSDVLVFPKFSFNCPNQIDNDDPHKKFYFITHLASQFWKNTPKVEQK", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAPARENVSLFFKLYCLTVMTLVAAAYTVALRYTRTTAEELYFSTTAVCITEVIKLLISVGLLAKETGSLGRFKASLSENVLGSPKELAKLSVPSLVYAVQNNMAFLALSNLDAAVYQVTYQLKIPCTALCTVLMLNRTLSKLQWISVFMLCGGVTLVQWKPAQATKVVVAQNPLLGFGAIAIAVLCSGFAGVYFEKVLKSSDTSLWVRNIQMYLSGIVVTLAGTYLSDGAEIQEKGFFYGYTYYVWFVIFLASVGGLYTSVVVKYTDNIMKGFSAAAAIVLSTIASVLLFGLQITLSFALGALLVCVSIYLYGLPRQDTTSIQQEATSKERIIGV", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MQMSYAIRCAFYQLLLAALMLVAMLQLLYLSLLSGLHGQEEQEQYFEFFPPSPRSVDQVKSQLRTALASGGVLDASGDYRVYRGLLKTTMDPNDVILATHASVDNLLHLSGLLERWEGPLSVSVFAATKEEAQLATVLAYALSSHCPEMRARVAMHLVCPSRYEAAVPDPREPGEFALLRSCQEVFDKLARVAQPGINYALGTNTSYPNNLLRNLAREEANYALVIDVDMVPSEGLWRGLREMLDQSNHWDGTALVVPAFEIRRSRRMPMNKNELVQLYQVGEVRPFYYGLCTPCHAPTNYSRWVNLPEESLLRPAYVVPWRDPWEPFYVAGGKVPTFDERFRQYGFNRISQACELHVAGFNFEVLNEGFLVHKGFKEALKFHPQKEAENQRNKILYRQFKQELKARYPNSPHRC", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLSQTSIPEVKEDVIGYALHQRRARVGQFQDLGPPDLITLIKSLPSSSSTTTATASANDNGATSNINGQDPTTIVTELHSHDKLKGQIGTFFYCMGIDTSDPTSITIFAKKITDLFLDTPQIWFGKKKHFHVSKISISSWNAFRKYDVNIIVHIPGTVQTYIINSDGEQSQLPSVAEASSGRNSQDLNVNMIWAETFMSGIVRDIMIMKDNRADGESQNLVETLIFNPFTSGELEDVANNFIKLFPLVYEKGVYLDAPTHVLNPSLTNNYLVETLVEIVRLTKSLEACRKMLKKLIEIHPEAVIILIRVYFACDLEIDAVDLINEQLNSPSSFLADDSKTSHIQLIFKSELLSIQSEFLLDVKRDYKLAKEVAMEAVNCAPNEFKTWYLLTRIYIKLNDMSNALLSLNACPMSQVKEKYVLRRIAPITSDENLHLPLPLDASIEEISSLNPMDVQLEQKSADPNLVNLSASSLKSTFQLAYKLLTEIVQITGWEQLLKYRSKIFVMEDEYQGSTSSIDEAEVRGNDISKMRSKRLCERWLDNLFMLLYEDLKTYTDWQSEQLYFDAQNSKYHKLTVEWELFGLCAKRLGHLPEAAKAFQIGLSQRFSPVCAKNLLQFYIDEHKRIRRDSVSANSELTSSQILSSINDIDSSIIDLVVKICCWNHRWYIEFSIILIDALSVAVQDMGITKVHNEIASRFSDPVAQLIDDNILNFLKNFTNDTFDN", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVDPGPITPGQVSFLLGVIPIFVGWIYSELLEYRKSWVPLKPHSDNNLVELGDVAEKDDDKADLLEGGLARSPSVKFHNSSIRTNIIRFLSMEDSFLLEHRATLRAMSEFGAILIYFYICDRTELLGDSTKNYNRDLFLFLYVLLIIVSAMTSLRKHNDKSPISGKSILYLNRHQTEEWKGWMQVLFLMYHYFAAAEIYNAIRIFIAAYVWMTGFGNFSYYYVRKDFSVARFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIFSKYNEIGSVMALKIFSCFLVVFLLWEIPGAFEIFWGPLTFLLGYNDPAKPDLHRLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEDCETKKRLSIKAAIVTITVLVGYVWYECIYKLDRTSYNMYHPYTSWIPITVYICLRNFTHQLRSVSLTLFAWLGKITLETYISQFHIWLRSNMPDGQPKWLLSIIPGYPMLNFMLTTAIYVLVSHRLFELTNTLKTVFVPTKDNKRLFSNFIAGIAIALPLYCFSFVLLQIHR", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAFPCRRSLTAKTLACLLVGVSFLALQQWFLQAPRSPREERSPQEETPEGPTDAPAADEPPSELVPGPPCVANASANATADFEQLPARIQDFLRYRHCRHFPLLWDAPAKCAGGRGVFLLLAVKSAPEHYERRELIRRTWGQERSYGGRPVRRLFLLGTPGPEDEARAERLAELVALEAREHGDVLQWAFADTFLNLTLKHLHLLDWLAARCPHARFLLSGDDDVFVHTANVVRFLQAQPPGRHLFSGQLMEGSVPIRDSWSKYFVPPQLFPGSAYPVYCSGGGFLLSGPTARALRAAARHTPLFPIDDAYMGMCLERAGLAPSGHEGIRPFGVQLPGAQQSSFDPCMYRELLLVHRFAPYEMLLMWKALHSPALSCDRGHRVS", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVDGSIHVPVQSHEGQHDNSSSLNEEIQTSQDPLGIVESYQESSTSDFDKSHYTHNSSIAAADSEYGSAFIQGQSSNGSEIKSERPSIDKKLDVNIDSHPIEPPPFEHDIGLPASQVPAAEEEVESTPKAKPLYFLLDKRFWIVFFLGQVLSLCITATNTFNGYMSGISNIPAFQTFLVYALLTLVYTPYTVFRMGFKKYFEMIFRHGWKYIIFAFFDVEGNYFVVLAYQYTNMLSASLLDSWATVAVVILSFIFLKVRYHWSQILGVVACIGGLVLLVVSDVISRGDYSAVNPGLGDGYMIIGATCYGVSNTLEEYFASKLPLYVVIGQLSLYGSIISIIQTFIFDRHHLYTLHWTSEMGGYLAGFILVMFLLYSLAPILFRMSSATFYNISLLTSDFWSLVIGIHVFGYHVYWLYPIAFVLIILGLFVYHVFVDATRESIKPWLKKGQGVDGVGTVRRPPSLVSSNDELNKKNDIVVAHHDNEVKERIYDAYLSVKNVFVRKS", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MISLTDTQKIGMGLTGFGVFFLFFGMILFFDKALLAIGNVLFVAGLAFVIGLERTFRFFFQKHKMKATGFFLGGVFVVLIGWPLIGMIFEIYGFFLLFRGFFPVVVGFIRRVPVLGSLLNLPGIRSFVDKVGESNNMV", - "output": "apparatus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPLRNLTETHNFSSTNLDTDGTGDDHDGAPLSSSPSFGQQNDNSTNDNAGLTNPFMGSDEESNARDGESLSSSVHYQPQGSDSSLLHDNSRLDLSQNKGVSDYKGYYSRNNSRAVSTANDNSFLQPPHRAIASSPSLNSNLSKNDILSPPEFDRYPLVGSRVTSMTQLNHHGRSPTSSPGNESSASFSSNPFLGEQDFSPFGGYPASSFPLMIDEKEEDDYLHNPDPEEEARLDRRRFIDDFKYMDKRSASGLAGVLLLFLAAIFIFIVLPALTFTGAIDHESNTEEVTYLTQYQYPQLSAIRTSLVDPDTPDTAKTREAMDGSKWELVFSDEFNAEGRTFYDGDDPYWTAPDVHYDATKDLEWYSPDASTTVNGTLQLRMDAFKNHGLYYRSGMLQSWNKVCFTQGALEISANLPNYGRVSGLWPGLWTMGNLGRPGYLASTQGVWPYSYESCDAGITPNQSSPDGISYLPGQKLSICTCDGEDHPNQGVGRGAPEIDVLEGETDTKIGVGIASQSLQIAPFDIWYMPDYDFIEVYNFTTTTMNTYAGGPFQQAVSAVSTLNVTWYEFGEYGGYFQKYAIEYLNDDDNGYIRWFVGDTPTYTIHAKALHPDGNIGWRRISKEPMSIILNLGISNNWAYIDWQYIFFPVVMSIDYVRIYQPSNAISVTCDPSDYPTYDYIQSHLNAFQNANLTTWEDAGYTFPKNILTGKCTSSKFKLSS", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MALKSSSADGKTRSSVQIFIVFSLCCFFYILGAWQRSGFGKGDSIALEMTNSGADCNIVPSLNFETHHAGESSLVGASEAAKVKAFEPCDGRYTDYTPCQDQRRAMTFPRDSMIYRERHCAPENEKLHCLIPAPKGYVTPFSWPKSRDYVPYANAPYKALTVEKAIQNWIQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPMENGTVRTALDTGCGVASWGAYLWSRNVRAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPTRAFDMAHCSRCLIPWGANDGMYLMEVDRVLRPGGYWILSGPPINWKVNYKAWQRPKEDLQEEQRKIEEAAKLLCWEKKYEHGEIAIWQKRVNDEACRSRQDDPRANFCKTDDTDDVWYKKMEACITPYPETSSSDEVAGGELQAFPDRLNAVPPRISSGSISGVTVDAYEDDNRQWKKHVKAYKRINSLLDTGRYRNIMDMNAGFGGFAAALESQKLWVMNVVPTIAEKNRLGVVYERGLIGIYHDWCEAFSTYPRTYDLIHANHLFSLYKNKCNADDILLEMDRILRPEGAVIIRDDVDTLIKVKRIIAGMRWDAKLVDHEDGPLVPEKVLIAVKQYWVTNSTSTH", - "output": "apparatus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MALFRRPNWSALFEKIFIQKSFLGFCSLRVGCEIIIWFAIINKVSGLYGIVSLFQNSDASPWQVLMYVSSVLMLILFSWLAIHIPKSSVPHALILFYVYLIDFLLNVLFTVLFALSWFSKLVQSDSSSTEESADSDPSPSLLYLFFQAESIPSLLLLIFFASLKFYFVLITLSYSNKLIVDSGIRPQNLPPNFSGRVTRLLMKPYIMAANRSYLRNHTKRFTDSIELEQRLMDEVV", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MISVMADEKHKEYFKLYYFQYMIIGLCTILFLYSEISLVPRGQNIEFSLDDPSISKRYVPNELVGPLECLILSVGLSNMVVFWTCMFDKDLLKKNRVKRLRERPDGISNDFHFMHTSILCLMLIISINAALTGALKLIIGNLRPDFVDRCIPDLQKMSDSDSLVFGLDICKQTNKWILYEGLKSTPSGHSSFIVSTMGFTYLWQRVFTTRNTRSCIWCPLLALVVMVSRVIDHRHHWYDVVSGAVLAFLVIYCCWKWTFTNLAKRDILPSPVSV", - "output": "apparatus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAEEALKTVDQYKTEIERLTKELTETTHEKIQAAEYGLVVLEEKLTLKQQYDELEAEYDGLKQELEQLREAFGQSFSIHRKVAEDGETREETLLQESASKEAYYLNKILEMQNELKQSRAVVTNVQAENERLSAVVQELKENNEMVELQRIRMKDEIREYKFREARLLQDYTELEEENITLQKLVSTLKQNQVEYEGLKHEIKRFEEETVLLNSQLEDAIRLKEIAEHQLEEALETLKNEREQKNNLRKELSQYINLSDSHISISVDGLKFAEDGSEPNNDDKMNGHIHGPLGKLNGDYRTPTTRKGESLHPVSDLFSELNISEIQKLKQQLIQVEREKAILLANLQESQTQLEHTKGALTEQHERVHRLTEHVNAMRGLQNSKEIKAELDCEKGRNSAEEAHDYEVDINGLEILECKYRVAVTEVIDLKAEIKALKEKYNKSVENYTEEKTKYESKIQMYDEQVTNLEKTSKESGEKMAHMEKELQKMTGIANENHNTLNTAQDELVTFSEELAQLYHHVCLCNNETPNRVMLDYYRQSRVTRSGSLKGPDDPRGLLSPRLSRRGVSSPVESRTSSEPVSKENTETSKEPSPTKTPTISPVITAPPSSPVLDTSDIRKEPMNIYNLNAIIRDQIKHLQKAVDRSLQLSRQRAAARELAPMIDKDKEALMEEILKLKSLLSTKREQIATLRAVLKANKQTAEVALANLKNKYENEKAMVTETMTKLRNELKALKEDAATFSSLRAMFATRCDEYVTQLDEMQRQLAAAEDEKKTLNTLLRMAIQQKLALTQRLEDLEFDHEQSRRSKGKLGKSKIGSPKIVSSLLPPYRHSAHN", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPRTRVNLQKSEANDRSFTISSLEFCLSQLRKADPNLVKKAIASGDGLTESKNDVSTRLSEAHRYSVQQCLDNSEQLAQLHNQLVHCDNVFERLQATLYSFQDNLGSIGQDMKNLQLQSHHIHQELENRQKVRVELSQFVDDIAVSQTMMKTINDTDANDRGFLEALHELHHKITLILQRGNGDAVAVNDTMPILEGLKLKAVVKVREWLLQKMFQFRKPLSNYQVFQHQLLKCRFFYEFLLHHDLISAKELQDEYIDTISKMFFTYFKAYATRLFKLAMKDVATKEDALGSIDFAKPAGLGAIFSSKQHVVRNKATVFSIGQRHQILSDDFLGALIVPHAATQNHQSYQFEALFRSIQLAFVDHYSHEYLFITDFFLVSNDEAIELHNKAMARAMSVVLKSCEEQIALSWDAISLHLCICLCDKFTEVLAEREVPEVSDYWNTVTSFLWTRLNLVMSQHYESVKSVDLKKLMHSGSLDARPHFIVRRYAELTSAHLMIAKASGKEMGAKMEAVLENSEDSIEQLLTRMSAMQQTQKNKHVFLINNYDLILSIIDNEESKHTKIYAIVHELEQKSIDDFVEEMLEPHIGYMIKFVNECESLIVQGHTQLLVRYNDKVGTVVANFNAKWRPAVDSINSECIQLFTNFSLGTTILQTIFTKYVQYINRFTKILSHDVFAKNPVCSQLVNVHQVMLEIKRFKPAY", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLIRLKKRKILQVIVSAVVLILFFCSVHNDVSSSWLYGKKLRLPVLTRSNLKNNFYTTLVQAIVENKPADSSPDLSKLHGAEGCSFANNVAAHDSGHDSDLSYESLSKCYNLNKTVQESLREVHSKFTDTLSGKLNFSIPQREALFSGSEGIVTIGGGKYSVLAYTMIKKLRDTGTTLPIEVIIPPQDEGEDDFCKNWLPKFNGKCIYFSDIVPSKPLSDLKLTHFQLKVFGLIISSFKRIIFLDADNYAVKNLDLAFNTTSFNDTGLILWPDFWRRVTPPAFYNIIGSSINIGKRVRFVSDDISPVSRYDPFVSNSNDYTPKERQEHFLKHVPLHDLDGTMPDLSSESGQMVIDKIRHFNTLLLALYYNVYGPTWYYKMISQGTAGEGDKDTFVAAAHALNMPYYQVRTNFEFDGFFYQKDDYKGLALLQHDFEQDYKQYQKAQQKVKANIEEFSKLDPDYTLDNGFLKTLMVNDDGSDLDIMFIHASFYKADPWTLYHENRFIGPNGEQVRGFRKPHRYGMDFELFLFNDMRGSFCTTPKSQVIKFKYFTDKVNTPEWDAMCEYLTNHVNYLESTHKEAMGEKN", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAIKPRTKGKTYSSRSVGSQWFNRLGFKQNKYGTCKFLSIITAFVFILYFFSNRFYPISRSAGASYSPSHGLYINEIPASSRLIYPHVEHVPVLKQMTVRGLYITRLEVDGSKRLILKPEENALTDEEKKKTTDQILLVKHSFLDHGKLVYRKSNDAPEVVVVTLIDFENYELETIIQIVQNRVDYAQKHQYGVYIRWIQEFLPVLENQNLAESYEFIKPLVIRAAMHAFPTAKYIHFVDQDALLMNLDLSLQKYLLDPKIMDLALLKNVPVVANSNIKTYNHFEYSSAKIIIPHDADGNIDASSFVIANDFYGKALIDYLNDPLLRNFPWDNTGDKLSAAIGHILQWHPTLLGKTAIVIPKVLASQYDASLDQEGESGNGASNGDVYHYNEGDLAASFKGCRSRGTCASEIGHMYQKIKKS", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRLTRKRLCSFLIALYCLFSLYAAYHVFFGRRRQAPAGSPRGLRKGAAPARERRGREQSTLESEEWNPWEGDEKNEQQHRFKTSLQILDKSTKGKTDLSVQIWGKAAIGLYLWEHIFEGLLDPSDVTAQWREGKSIVGRTQYSFITGPAVIPGYFSVDVNNVVLILNGREKAKIFYATQWLLYAQNLVQIQKLQHLAVVLLGNEHCDNEWINPFLKRNGGFVELLFIIYDSPWINDVDVFQWPLGVATYRNFPVVEASWSMLHDERPYLCNFLGTIYENSSRQALMNILKKDGNDKLCWVSAREHWQPQETNESLKNYQDALLQSDLTLCPVGVNTECYRIYEACSYGSIPVVEDVMTAGNCGNTSVHHGAPLQLLKSMGAPFIFIKNWKELPAVLEKEKTIILQEKIERRKMLLQWYQHFKTELKMKFTNILESSFLMNNKS", - "output": "apparatus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MANRDVERVGKKNRGANNNYFYEESSGETHWTSWLIPAIVVANLAVFIAVMFVNDCPKKITGPNKECVARFLGRFSFQPLKENPLFGPSSSTLEKMGALEWRKVVHEHQGWRLLSCMWLHAGIIHLLTNMLSLIFIGIRLEQQFGFIRVGLIYLISGLGGSILSSLFLQESISVGASGALFGLLGAMLSELLTNWTIYANKAAALITLLFIIAINLALGMLPRVDNFAHIGGFLTGFCLGFVLLVRPQYGWEASRTNTSRTKRKYSMYQYVLFVVSVVLLVVGLTVALVMLFKGENGNKHCKWCHYLSCFPTSKWTC", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAPNSVAVTMEKPDNFSLLEINGSDPSSFPDKRKSISPKQFSWFLLLKAHRLISCLSWLVSSVKKRIAFSAKNINEEEDPKSRGKQMYRFIKACLVISIIALSIEIVAHFKKWNLDLINRPSWEVYGLVEWSYMAWLSFRSDYIAPLVISLSRFCTVLFLIQSLDRLVLCLGCFWIKFKKIEPKLTEESIDLEDPSSFPMVLIQIPMCNEREVYEQSIGAASQLDWPKDRILIQVLDDSDDPNLQLLIKEEVSVWAEKGVNIIYRHRLIRTGYKAGNLKSAMTCDYVKDYEFVTIFDADFTPNPDFLKKTVPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVEVTCELPESYEAYKKQQHRWHSGPMQLFRLCLPSIIKSKISVWKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPLWIICYVPIFISLLNILPSPKSFPFLVPYLLFENTMSITKFNAMISGLFQFGSAYEWVVTKKTGRSSESDLLAFAEKEEKLHRRNSESGLELLSKLKEQETNLVGQETVKKSLGGLMRPKNKKKTNMVFKKELGLAFLLLTAAARSFLSAHGLHFYFLLFQGLSFLVVGLDLIGEQIS", - "output": "apparatus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKRVKSESFRGVYSSRRFKLSHFLLAIAGFYLVFLAFKFPHFIEMVAMLSGDTGLDGALSDTSLDVSLSGSLRNDMLNRKLEDEDHQSGPSTTQKVSPEEKINGSKQIQPLLFRYGRISGEVMRRRNRTIHMSPFERMADEAWILGSKAWEDVDKFEVDKINESASIFEGKVESCPSQISMNGDDLNKANRIMLLPCGLAAGSSITILGTPQYAHKESVPQRSRLTRSYGMVLVSQFMVELQGLKTGDGEYPPKILHLNPRIKGDWNHRPVIEHNTCYRMQWGVAQRCDGTPSKKDADVLVDGFRRCEKWTQNDIIDMVDSKESKTTSWFKRFIGREQKPEVTWSFPFAEGKVFVLTLRAGIDGFHINVGGRHVSSFPYRPGFTIEDATGLAVTGDVDIHSIHATSLSTSHPSFSPQKAIEFSSEWKAPPLPGTPFRLFMGVLSATNHFSERMAVRKTWMQHPSIKSSDVVARFFVALNPRKEVNAMLKKEAEYFGDIVILPFMDRYELVVLKTIAICEFGVQNVTAPYIMKCDDDTFIRVESILKQIDGVSPEKSLYMGNLNLRHRPLRTGKWTVTWEEWPEAVYPPYANGPGYIISSNIAKYIVSQNSRHKLRLFKMEDVSMGLWVEQFNASMQPVEYSHSWKFCQYGCTLNYYTAHYQSPSQMMCLWDNLLKGRPQCCNFR", - "output": "apparatus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAEEMESSLEASFSSSGAVSGASGFLPPARSRIFKIIVIGDSNVGKTCLTYRFCAGRFPDRTEATIGVDFRERAVEIDGERIKIQLWDTAGQERFRKSMVQHYYRNVHAVVFVYDMTNMASFHSLPSWIEECKQHLLANDIPRILVGNKCDLRSAIQVPTDLAQKFADTHSMPLFETSAKNPNDNDHVEAIFMTLAHKLKSHKPLMLSQPPDNGIILKPEPKPAMTCWC", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAPCGRVRSRCPGPALLLLLALAARPALAGPPAAALQAGPGLNAAGQPAQGAAPGAAGPRGARGGGGGSGGGWKLSEEAVCREDVVRLCSKHSWANNLAVLECLQDVREPDNEISSDCNHLLWNYKLNLTTDPKFESVAREVCKSTIAEIKECADEPVGKGFLVSCLVDHRGNITEYQCHQYITKMTAIIFSDYRLICGFMDDCKADINLLKCGSIRPGEKDAHSQGEVVACLEKGLVKEAEENDPRVQVSDQCKKAILRVAELSSDDFHLDRHLYFACRDDRERFCENTQAGEGRVYKCLFNHKFEESMSEKCRDALTTRQKLIAQDYKVSYSLAKSCKSDLKKYRCNVENLPRSREARLSYLLMCLESAVHRGRQVSSECQGEMLDYRRMLMEDFSLSPEIILSCRGEIEHHCSGLHRKGRTLHCLMKVVRGEKGNVGLNCQQALQTLIQETDPGADYRIDRALNEACESVIQTACKHIRSGDPMILSCLMEHLYTEKMVEDCEHRLLELQYFISRDWKLDVVLYRKCQGDASRLCHTHGWNETSELMPPGAVFSCLYRHAYRTEEQGRRLSRECRAEVQRILHQRAMDVKLDPALQDKCMIDLGKWCSEKTETGQELECLQDHLDDLVSDCRDIVGNLTELESEDIQIEALLMRACEPIIQTFCHEVADNQIDSGDLMECLIQNKHQKEMNEKCAIGVTHFQLVQMKDFRFSYKFKMACKEDVLKLCPNIKKKVDVVICLSTTVRNDTLQDAKEHRVSLKCRKQLRVEELEMTEDIRLEPELYEACKSDIKNYCQNVPYGNAQIIECLKEIKKQLSTRCHQKVFKLQETEMMDPELDYTLMRVCKQMIKRFCPEADSKNMLQCLKQNKNSEVMDPKCKQMITKRQITQNTDYRLNPVLRKACKADIPKFCQNILNRAKDDTELEGQVISCLKLKYADQRLSPDCEDQIRVIIQESALDYRLDPQLQMHCSEEISSLCAEEAAAQEQTGQVEECLKVNLLKIKTEMCKKEVLNMLKESKADIFVDPVLHTACALDIKHHCAAIPPGRGRQMSCLMEALEDKRVRLQPECKKRLNDRIEMWSYAAKVAPAEGFSDLAMQVMTSPSKNYILSVITVGICVLFLIGLMCGRITKRVTRELKDR", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKKPKLSKVEKIDKIDLFSSLWKQRSVRVIMAIGFLYLVIVSVEIPLVFKSWSSSSVPLDALSRLEKLNNEQEPQVEIIPNPPLEPVSYPVSNPTIVTRTDLVQNKVREHHRGVLSSLRFDSETFDPSSKDGSVELHKSAKEAWQLGRKLWKELESGRLEKLVEKPEKNKPDSCPHSVSLTGSEFMNRENKLMELPCGLTLGSHITLVGRPRKAHPKEGDWSKLVSQFVIELQGLKTVEGEDPPRILHFNPRLKGDWSKKPVIEQNSCYRMQWGPAQRCEGWKSRDDEETVDSHVKCEKWIRDDDNYSEGSRARWWLNRLIGRRKRVKVEWPFPFVEEKLFVLTLSAGLEGYHINVDGKHVTSFPYRTGFTLEDATGLTVNGDIDVHSVFVASLPTSHPSFAPQRHLELSKRWQAPVVPDGPVEIFIGILSAGNHFSERMAVRKSWMQHVLITSAKVVARFFVALHGRKEVNVELKKEAEYFGDIVLVPYMDSYDLVVLKTVAICEHGALAFSAKYIMKCDDDTFVKLGAVINEVKKVPEGRSLYIGNMNYYHKPLRGGKWAVTYEEWPEEDYPPYANGPGYVLSSDIARFIVDKFERHKLRLFKMEDVSVGMWVEHFKNTTNPVDYRHSLRFCQFGCVENYYTAHYQSPRQMICLWDKLLRQNKPECCNMR", - "output": "apparatus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MARDRREGLEIKVVNPPAAATNNVAVETSPATATRRRRQQQRASFAEFRPFKLWFPWLVPAIVVANIALFAISMFINNCPKNSAYCLARFLGRFAFQPMKENPLLGPSSLTLEKMGALDVSMVVHKHEVWRLFTCIWLHAGVFHVLANMLSLIFIGIRLEQEFGFVRIGLLYMISGFGGSLLSSLFNRAGISVGASGALFGLLGAMLSELLTNWTIYANKFAALLTLIFIIAINLAVGILPHVDNFAHLGGFTSGFLLGFVFLIRPQYGYFNQRNNPRGYAAPSAKSKHKPYQYVLWITSLVLLIAGYTAGLVVLLRGTDLNKHCSWCHYLSCIPTSLWSCKSQNVYCESSQIGQQMNLTCITNGKTEMYKLSNDIPSRIQQLCSQLCR", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MERRQAKIHVFVLIGLILLNSINQISSLSVTVNDEECVQEYVLYEGDTVSGNFVVVDHDIFWGSDHPGLDFTVTSPAGNIVQTLKGTSGDKFEFKAPKSGMYKFCFHNPYSTPETVSFYIHVGHIPNEHDLAKDEHLDPVNVKIAELREALESVVAEQKYLKARDTRHRHTNESTRKRVIFYTVGEYIFLAAASGLQVLYIRKLFSKSVAYNRV", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVTGDPVATKTPNAADSDDNDFTASMSHLTIGQQIQELSKQLQNTKEELHQQVRDKHGALLQQATHAGRFDAALNALAEDVQRVRETGHRLKNQVDTQYQQVENQTQVLGRLHDVSHLLRSAGTLLSLTAKLKATKDVLRLAEIHFELGQLIEDKELKDIDFIQQERAYVISSAQKIRNLTQMQLVTGLQERNENQVVNALKIFMNFNTLEKSLDNLLATFIADMEQSLKECFAGNDISVLNKSPTHNVSKPAPSRGPGKTPQLTTTQNFRAKFWKSLHWLLYDELFETCTQIKLLKTALEQINQFGYTSESSDQCIPQRFWQQVQQLLRKSFDECPQHVTQTLQEGLSKLLTSARGLEQRLHGEFQFDNELFAPLEVGYVSKCAANFKACLAGVDLPGNETVDNFIRVASTELSAALIDSRLTNAIANVFAACGKELCTKLEAQIKLGADSKQVVDLPNLQQQQNTQLANVLFYYKDSVRRMLSDLHVHFEKTPGTAREIISRSLEQADLLIGTILQQIMESIITTISIIVLSMHREPGLNSERMSTTGPSMYMKELQEFVNRSWSHHIALFDDKQMTKKCGHELAKRCIELFLHNVCILRPLSSCGRQRLKQDCQHMEQALKPLCPNLAELGKPSRLLRAMSLLIVQTAEELVKQTIGEDSLVPSYIVLLLLFGHAGADLQSPHTTANWSNERLIEWLDGHTAEREKLELISGALQRYRDNARRKNIQQYDEVYPMMVDYFEQALKALP", - "output": "apparatus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEKTEEENYSIKELGEKGSDSQEDVAVIFAEKHPIIDKSLNRKLKLKTDLWVMPLLCLISAFQYMDKSTSNYSSIMGIRTDLNMVGNQYNWVGTSFYLGFMVFSLPLSTLLQKFPLSKVTSAFIVAWGILMTLTCLVHSYASYIATRTLLGILESVITPAFVLFIAQWYRKEEQFFRMAFLVAWNGLGGLIGGSMSYGLYKRELENNLTMSPWRILFIITGLITIINGVFIFIHIPDEPSKAWFLSEKEKDLVLKRLDTDHAGLGSKKFKKYQILEACRDVRMYLYFFLQIAVAIPNGGLSNFSSIMLKNLGYVKGKALLMNMPTSSISFAALTLFGLIPEFTNRRMDIALVGLAINLTSGSLIAFAKPTHAQLAGYWLFGISPIPYICILSCISSNSAGHTKKVFMSAVSMIGYCVGNMVGPQTFRSTQAPKYQGAKVSFVVCYCVAIFIIIAIYAVNVRENRRRDEKNEYLSNELSEEDKKDLTDFENPEFRYSI", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGRWALDVAFLWKAVLTLGLVLLYYCFSIGITFYNKWLTKSFHFPLFMTMLHLAVIFLFSALSRALVQCSSHRARVVLSWADYLRRVAPTALATALDVGLSNWSFLYVTVSLYTMTKSSAVLFILIFSLIFKLEELRAALVLVVLLIAGGLFMFTYKSTQFNVEGFALVLGASFIGGIRWTLTQMLLQKAELGLQNPIDTMFHLQPLMFLGLFPLFAVFEGLHLSTSEKIFRFQDTGLLLRVLGSLFLGGILAFGLGFSEFLLVSRTSSLTLSIAGIFKEVCTLLLAAHLLGDQISLLNWLGFALCLSGISLHVALKALHSRGDGGPKALKGLGSSPDLELLLRSSQREEGDNEEEEYFVAQGQQ", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGHVNLPASKRGNPRQWRLLDIVTAAFFGIVLLFFILLFTPLGDSMAASGRQTLLLSTASDPRQRQRLVTLVEAGQHLQPIEYCPAEAVAHMPCEDPRRNSQLSREMNFYRERHCPLPEETPLCLIPPPSGYKIPVPWPESLHKIWHANMPYNKIADRKGHQGWMKREGEYFTFPGGGTMFPGGAGQYIEKLAQYIPLNGGTLRTALDMGCGVASFGGTLLSQGILALSFAPRDSHKSQIQFALERGVPAFVAMLGTRRLPFPAYSFDLMHCSRCLIPFTAYNATYFIEVDRLLRPGGYLVISGPPVQWPKQDKEWADLQAVARALCYELIAVDGNTVIWKKPVGDSCLPSQNEFGLELCDESVPPSDAWYFKLKRCVTRPSSVKGEHALGTISKWPERLTKVPSRAIVMKNGLDVFEADARRWARRVAYYRDSLNLKLKSPTVRNVMDMNAFFGGFAATLASDPVWVMNVIPARKPLTLDVIYDRGLIGVYHDWCEPFSTYPRTYDFIHVSGIESLIKRQDSSKSRCSLVDLMVEMDRILRPEGKVVIRDSPEVLDKVARMAHAVRWSSSIHEKEPESHGREKILIATKSLWKLPSNSH", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGNYRWPSKLSKLSLRAKQTNLYRVILIAILCVTFYFVGVWQHSGRGISRSSISNHELTSVPCTFPHQTTPILNFASRHTAPDLPPTITDARVVQIPSCGVEFSEYTPCEFVNRSLNFPRERLIYRERHCPEKHEIVRCRIPAPYGYSLPFRWPESRDVAWFANVPHTELTVEKKNQNWVRYEKDRFLFPGGGTMFPRGADAYIDEIGRLINLKDGSIRTAIDTGCGVASFGAYLMSRNIVTMSFAPRDTHEAQVQFALERGVPAIIGVLASIRLPFPARAFDIAHCSRCLIPWGQYNGTYLIEVDRVLRPGGYWILSGPPINWQRHWKGWERTRDDLNSEQSQIERVARSLCWRKLVQREDLAVWQKPTNHVHCKRNRIALGRPPFCHRTLPNQGWYTKLETCLTPLPEVTGSEIKEVAGGQLARWPERLNALPPRIKSGSLEGITEDEFVSNTEKWQRRVSYYKKYDQQLAETGRYRNFLDMNAHLGGFASALVDDPVWVMNVVPVEASVNTLGVIYERGLIGTYQNWCEAMSTYPRTYDFIHADSVFSLYKDRCDMEDILLEMDRILRPKGSVIIRDDIDVLTKVKKITDAMQWEGRIGDHENGPLEREKILFLVKEYWTAPAPDQSSDP", - "output": "apparatus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLDTILINVFRRDGDDDDDDGQDPALQELYSSWALFILLVLLIGALLTSYYVQSKKIRAIHETVISVFVGMVVGLIIRVSPGLIIQNMVSFHSTYFFNVLLPPIILNSGYELHQSNFFRNIGTILTFAFAGTFISAVTLGVLVYIFSFLNFENLSMTFVEALSMGATLSATDPVTVLAIFNSYKVDQKLYTIIFGESILNDAVAIVMFETLQQFQGKTLHFFTLFSGIGIFIITFFISLLIGVSIGLITALLLKYSYLRRYPSIESCIILLMAYTSYFFSNGCHMSGVVSLLFCGITLKHYAFFNMSYKAKLSTKYVFRVLAQLSENFIFIYLGMSLFTQVDLVYKPIFILITTVAVTASRYMNVFPLSNLLNKFHRQRNGNLIDHIPYSYQMMLFWAGLRGAVGVALAAGFEGENAQTLRATTLVVVVLTLIIFGGTTARMLEILHIETGVAADVDSDTEIGMLPWQQSPEFDLENSAMELSDASAEPVVVDQQFTTEHFDEGNIAPTLSKKVSSTFEQYQRAAGAFNQFFHSSRDDQAQWLTRFDEEVIKPVLLERDNLKNGTKK", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGEKMAEEERFPNTTHEGFNVTLHTTLVVTTKLVLPTPGKPILPVQTGEQAQQEEQSSGMTIFFSLLVLAICIILVHLLIRYRLHFLPESVAVVSLGILMGAVIKIIEFKKLANWKEEEMFRPNMFFLLLLPPIIFESGYSLHKGNFFQNIGSITLFAVFGTAISAFVVGGGIYFLGQADVISKLNMTDSFAFGSLISAVDPVATIAIFNALHVDPVLNMLVFGESILNDAVSIVLTNTAEGLTRKNMSDVSGWQTFLQALDYFLKMFFGSAALGTLTGLISALVLKHIDLRKTPSLEFGMMIIFAYLPYGLAEGISLSGIMAILFSGIVMSHYTHHNLSPVTQILMQQTLRTVAFLCETCVFAFLGLSIFSFPHKFEISFVIWCIVLVLFGRAVNIFPLSYLLNFFRDHKITPKMMFIMWFSGLRGAIPYALSLHLDLEPMEKRQLIGTTTIVIVLFTILLLGGSTMPLIRLMDIEDAKAHRRNKKDVNLSKTEKMGNTVESEHLSELTEEEYEAHYIRRQDLKGFVWLDAKYLNPFFTRRLTQEDLHHGRIQMKTLTNKWYEEVRQGPSGSEDDEQELL", - "output": "apparatus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEGVLYKWTNYLSGWQPRWFLLCGGILSYYDSPEDAWKGCKGSIQMAVCEIQVHSVDNTRMDLIIPGEQYFYLKARSVAERQRWLVALGSAKACLTDSRTQKEKEFAENTENLKTKMSELRLYCDLLVQQVDKTKEVTTTGVSNSEEGIDVGTLLKSTCNTFLKTLEECMQIANAAFTSELLYRTPPGSPQLAMLKSSKMKHPIIPIHNSLERQMELSTCENGSLNMEINGEEEILMKNKNSLYLKSAEIDCSISSEENTDDNITVQGEIRKEDGMENLKNHDNNLTQSGSDSSCSPECLWEEGKEVIPTFFSTMNTSFSDIELLEDSGIPTEAFLASCYAVVPVLDKLGPTVFAPVKMDLVGNIKKVNQKYITNKEEFTTLQKIVLHEVEADVAQVRNSATEALLWLKRGLKFLKGFLTEVKNGEKDIQTALNNAYGKTLRQHHGWVVRGVFALALRAAPSYEDFVAALTVKEGDHQKEAFSIGMQRDLSLYLPAMEKQLAILDTLYEVHGLESDEVV", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASENLNDKISVFKKLKAKSDNKICFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSSEQLKMMIYGGNNRAQVFFKQYGWSDGGKTEAKYTSRAADLYKQILAKEVAKSKAEEELDLPPSPPDSTQVPNGLSSIKTSEALKESNTLKQQEKPDVVPVSPRISRSVKKPLGAKKTGKTGGLGARKLTTKSSGTLYDQKPEESVIIQATSPVSAKSARSSFSSRFDYADNVQNREDYMSPQVVSHVAPPKSSGFFEEELEMNGGRFQKKPITSSSKLQIQETDEARKKFTNAKSISSAQYFGNDNNSADLEAKSSLKKFSGSSAISSADLFGDGDGDFPLDLTAGDLLNRLSLQAQQDISSLKNMAEETKKKLGSVASSLWV", - "output": "apparatus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDFSKFLADDFDVKEWINAAFRAGSKEAASGKADGHAATLVMKLQLFIQEVNHAVEETSHQALQNMPKVLRDVEALKQEASFLKEQMILVKEDIKKFEQDTSQSMQVLVEIDQVKSRMQLAAESLQEADKWSTLSADIEETFKTQDIAVISAKLTGMQNSLMMLVDTPDYSEKCVHLEALKNRLEALASPQIVAAFTSQAVDQSKVFVKVFTEIDRMPQLLAYYYKCHKVQLLAAWQELCQSDLSLDRQLTGLYDALLGAWHTQIQWATQVFQKPHEVVMVLLIQTLGALMPSLPSCLSNGVERAGPEQELTRLLEFYDATAHFAKGLEMALLPHLHEHNLVKVTELVDAVYDPYKPYQLKYGDMEESNLLIQMSAVPLEHGEVIDCVQELSHSVNKLFGLASAAVDRCVRFTNGLGTCGLLSALKSLFAKYVSDFTSTLQSIRKKCKLDHIPPNSLFQEDWTAFQNSIRIIATCGELLRHCGDFEQQLANRILSTAGKYLSDSCSPRSLAGFQESILTDKKNSAKNPWQEYNYLQKDNPAEYASLMEILYTLKEKGSSNHNLLAAPRAALTRLNQQAHQLAFDSVFLRIKQQLLLISKMDSWNTAGIGETLTDELPAFSLTPLEYISNIGQYIMSLPLNLEPFVTQEDSALELALHAGKLPFPPEQGDELPELDNMADNWLGSIARATMQTYCDAILQIPELSPHSAKQLATDIDYLINVMDALGLQPSRTLQHIVTLLKTRPEDYRQVSKGLPRRLATTVATMRSVNY", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MYYPVGWPKRVGLALPGESASIRHICCDAVKILVAAVGDDFLGIWYANPLIPIAYFRRTEDSLRQYGANQLIVWKPDSRQLALLTASGSLLLYQLDFEANGMGILQQIDPPAASLKRDSAELFIKENIPRLSLRELCSVTLGSVITTVCCISLSELLLATQSCELLRLQWTELEHAENDLELPALSSIKLRDIPFYVQQQPQQSARNVPPLNRDSYVASLEYSPFIGGCAAVFSDRRAAFLIANHLRFETDHMHGFWVPDVEDASVCSVNHKFRLLAYGQESSAVKVYAIDDATGGLEFSHRLILTENILPDSLGSVNELKWSPDGCVLAVSWTNGGLSLWSTFGALLMSTLSWDFGLNVDLVCQNPLKIRRLEWSTEGYQLFMLKLHPEKDKSNVLQLQFVKSALSMNPCMTTSPHILLQGDDCLYLNQGNNLELTYAGSHGTFPSSGLGSDEDISGDGDCLELKQSPHTGSILTESKYWTVLQLPLNYAATNWPIRYAAIDPDGLHLAVAGRTGLAHYSLVTRRWKLFGNESQEKDFVVSGGLLWWHGFVVMGCYSLLDRTDELRCYPADCKLDNQYGHKLQVRAPVISLNSFRHQLIVLTADGIVSLFNMSKNSAYALDIECAYELDVKSICIHPACIVSLTVTNLKNELKPQGQLGGDQAETIIVNVCGRILMIQRDAGEQVPNTLLATCLASCVEVFWLSHSLERCAMRDCLWLYSGAHGMRVWLPILPPGRERREGEQGGAQRLHSFMSKRIMLSFPLKLYPLVVLFDNVIVLGVENESTLYANEQVSHFSLPFAVMERKSQIYLHKVLRQLIKRNLGYSAWEMAQSCCSLPYFPHALELLLHEVLEEEATSKQPIPDAQLPSILDFIREFPVYLETIVQCARKTEIALWPYLFSMAGKPKDLFQMCLQSEQLDTAASYLIILQNLEPSVVSKQYATMLLDIALQQRKWELAKDLIRFLKAIDPNEIDSPRSSMVVNVKIAPPPQVNTQQQVNQNADAFNMVLGPIARERSFSTTVTSNLPKDKQASGTPGVAPVTESSSAGAPSVVRRRSTKQRETFCIDLILQRHARQLLQNHKLMDLGYMCAYLDFHLVSWLSQESERAAKLDDFAGALQALHEELDLPIPFPTAAKDDFAQIRGSLRQTGGGGSSQTSESGYFSLATPNGAATQSPQLQPSIREEEEELQQPSSLPILKTRSGSQLSFDNFRYRRLYSLPTSEDDLAVDILPQKLSIKLRYLLQLFIEANCTDYALVLSILLQDAASISRIVNGIIRSESVHTCRRTESALKQLSQSTFEHSGSLYRGFVLTLQPHVYLLEQYIQSLGDAPCSQLQDAGPGTEQGVDVSTGLQGLQNEVGEFVPNSQQANGNQWTVADLNPNHQRLTRHASLESNGNAVVASGSSAHSTPTQRQLSRQNSREREGCRLM", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDQARNFYNTILKSSHPLLLSFHLAGKAVPIVFYIIGSMFLNFTPQFITVVLLLSFDFYLTKNITGRKLVQLRWWYDSTDVNKDSNFTFESYKQYAPGPPINAIDSKLFWWSMYVTPVIWGVFAVLCLLRLKIFYLILVIVAMCLTAWNTYGFRCCDRWEPNSGQSDGQDTNNWFALPSVPGFENLSRLANIQSFFQRQ", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTNVYSLDGILVFGLLFVCTCAYFKKVPRLKTWLLSEKKGVWGVFYKAAVIGTRLHAAVAIACVVMAFYVLFIK", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSKKLSLEERLSLATKKGRKKNKRSTSNLSSPSPVVLSNNEQESARTSIDDAAAGVVSIDNAENIDDPAVRSESTVEGDTGKADSIAVDDVVHPDHNRTDCFDDTMVSLPTWLPKNYTEFTVEELVKEISPEYLRLNKQIDDLTNELNRKSQIETTDSSFFKLIKEKDDLIDQLRKEGAKLAETELRQSNQIKALRTKVKDLEYEVSELNDSSAQSVENYNELQSLYHNIQGQLAEATNKLKDADKQKESLETLEKNIKEKDDLITILQQSLDNMRTLLEKEKSEFQTEKKALQEATVDQVTTLETKLEQLRIELDSSTQNLDAKSNRDFVDDQQSYEEKQHASFQYNRLKEQLESSKANWDSIEYALNTKIVNLENRFESTMKEKNDIEEKYQTALRSSETLGKQLEKEKENHSKAVLEVKDLERRAETLKSSLQSISDDYNLLKKKYEIQRSQLEQKENELKPHQENSNEKIIDKIPVELTDSLNSMEGNIEDEWTLPQENSMLSLSMSKLGELESDPSLKPIYNESHETICSEESQHFDRKNVDFSIDDIPEEAAALQAIREGESMNSLNNTSIPYRRASVQLSNSNGHISAHLVNKLSTELKRLEGELSASKELYDNLLKEKTKANDEILRLLEENDKFNEVNKQKDDLLKRVEQMQSKLETSLQLLGEKTEQVEELENDVSDLKEMMHQQVQQMVEMQGKMR", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MWLTEAQKFGVAFTFGGFLFFLFGIFTFFDRALLALGNILFLIGVFLIIGSQKTYIFFTRPNKRRGSLFFLVGAFLILLKWTFLGFIIESLGIIGLFGDFFGVIVQFLRSMPIIGPILSHPAIAPIVDKLAGVRVLPV", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQPPGPPPAYAPTNGDFTFVSSADAEDLSGSIASPDVKLNLGGDFIKESTATTFLRQRGYGWLLEVEDDDPEDNKPLLEELDIDLKDIYYKIRCVLMPMPSLGFNRQVVRDNPDFWGPLAVVLFFSMISLYGQFRVVSWIITIWIFGSLTIFLLARVLGGEVAYGQVLGVIGYSLLPLIVIAPVLLVVGSFEVVSTLIKLFGVFWAAYSAASLLVGEEFKTKKPLLIYPIFLLYIYFLSLYTGV", - "output": "apparatus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSSQPRGSGTQPGPSQSPISQRNFRYEPARSGYTSPGQYSTYSTSTADRVGCLTAVRMSAFAKLSRFTRRLVHIRQMDFEFALWQMLYLLIQPSKVYKNFIYRKRTKDQFARDDPAFLVLLALSLLFSSIFYAYALGLEKIGFFTFFLWSVFVDCIGVGVVIATVLWWVSNRFLRKVRDQDVEWGYCFDVHLNAFFPMLILLHVIVPILYPTLIDSPAFLSILLGNTFWFLAACYYVYITFLGYTALPILHKTQYFLYPISFIFMFFVATLTGGWNISRTALNFYHSRAEPHKFAPQHGGL", - "output": "apparatus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAKFRRRTCIILSLFIVFIFSLMMGLKMLWPNAASFGPPFGLDLLPELRPPNTHLENKADFQRSDRIDMETNTKDLKGAGVTVHPPRASEVNLEELPPLNYFVHAFYYSWYGNPQFDGKYVHWNHPVLEHWDPRIAKNYPQGRHSPPDDIGSSFYPELGSYSSRDPSVIETHMKQMRSASIGVLALSWYPPDASDENGEATDYLVPTILDKAHKYNLKVTFHIEPYSNRDDQNMHQNVKYIIDKYGNHPAFYRYKTRMGHSLPMFYIYDSYITKPKTWANLLTPSGSQSVRGSPYDGLFIALLVEEKHKYDILQSGFDGIYTYFATNGFTYGSSHQNWNKLKSFCEKNNMIFIPSVGPGYIDTSIRPWNTQNTRNRINGKYYEVGLSAALQTQPSLISITSFNEWHEGTQIEKAVPKRTANTVYLDYRPHKPSLYLEITRKWSEKYSKERMTYALDQQLPAS", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTATKEESQKLLTSLRSQRDNKVCFDCGAKNPTWSSTTFGIYLCLDCSAAHRNMGVHISFVRSTVLDSWTYAQLRVMRVGGNENARNYFKRHGGVSLLNSKDCRLKYSSKTAKQYLEKLKSLAVEDEANYPDILDMDFLSNTHEGSSAADTTNEDDDFFSAWDKASVKKSDDNLDDKTDLASTSSSVVVESGEKDEPVVVTEEKTMVSPPSRPDSTSTTKSKTSSISSARARPIRASSRPTASKLGASRPQKLGIKKANADIDFDEFEKAVLSSESAPTKKPAAVASKESTVDTLVDNGVEEVKESTSTTVQGKPVKPVLKSAASAKSTKSDDSNLNANFARLGFGQFAAASNARAKAAAKARELKKNEVNAPTYARDHFASQKSISSDQYFGRGSFDPEAAAEAQERLSSFRDATAISSKSYFGEEEDENEEGESSHRPDSAYLRDIAETATEDIEAIKVAIHQGAEKLSDFIQKVGARYNF", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSKKGRNKGEKPEALIVALQAANEDLRTKLTDIQIELHQEKSKVSKLEREKTQEAKRIRELEQRKHTVLVTELKAKLHEEKMKELQAVRENLIKQHEQEMSRTVKVRDGEIQRLKSALCALRDGSSDKVRTALTIEAREEARKLFDTERLKLLQEIADLKTAKKQVDEALSNMIQADKIKAGDLRSEHQSHQEAISKIKWESERDIRRLMDEIKAKDRIIFSLEKELETQTGYVQKLQLQKEALDEQLFLVKEAECNMSSPKREIPGRAGDGSEHCSSPDLRRNQKRIAELNATIRKLEDRNTLLGDERNELLKRVRETEKQCKPLLERNKCLAKRNDELMVSLQRMEEKLKAVTKENSEMREKITSHPPLKKLKSLNDLDQANEEQETEFLKLQVIEQQNIIDELTRDREKLIRRRKHRRSSKPIKRPVLDPFIGYDEDSMDSETSSMASFRTDRTPATPDDDLDESLAAEESELRFRQLTKEYQALQRAYALLQEQTGGIIDAEREAKAQEQLQAEVLRYKAKIEDLEATLAQKGQDSHWVEDKQLFIKRNQELLEKIEKQEAENHRLQQELQDARDQNELLEFRNLELEERERRSPPFNLQIHPFSDGVSALQIYCMKEGVKDVNIPDLIKQLDILGDNGNLRNEEQVAIIQASTVLSLAEKWIQQIEGAEAALHQKMMELESDMEQFCKIKGYLEEELDYRKQALDQAYMRIQELEATLYNALQQETVIKFGELLSEKQQEELRTAVEKLRRQMLRKSREYDCQILQERMELLQQAHQRIRDLEDKTDIQKRQIKDLEEKSNRKHG", - "output": "apparatus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEGVLYKWTNYLTGWQPRWFVLDNGILSYYDSQDDVCKGSKGSIKMAVCEIKVHSADNTRMELIIPGEQHFYMKAVNAAERQRWLVALGSSKACLTDTRTKKEKEISETSESLKTKMSELRLYCDLLMQQVHTIQEFVHHDENHSSPSAENMNEASSLLSATCNTFITTLEECVKIANAKFKPEMFQLHHPDPLVSPVSPSPVQMMKRSVSHPGSCSSERSSHSIKEPVSTLHRLSQRRRRTYSDTDSCSDIPLEDPDRPVHCSKNTLNGDLASATIPEESRLMAKKQSESEDTLPSFSS", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMEESGIETTPPGTPPPNPAGLAATAMSSTPVPLAATSSFSSPNVSSMESFPPLAYSTPQPPLPPVRPSAPLPFVPPPAVPSVPPLVTSMPPPVSPSTAAAFGNPPVSHFPPSTSAPNTLLPAPPSGPPISGFSVGSTYDITRGHAGRAPQTPLMPSFSAPSGTGLLPTPITQQASLTSLAQGTGTTSAITFPEEQEDPRITRGQDEASAGGIWGFIKGVAGNPMVKSVLDKTKHSVESMITTLDPGMAPYIKSGGELDIVVTSNKEVKVAAVRDAFQEVFGLAVVVGEAGQSNIAPQPVGYAAGLKGAQERIDSLRRTGVIHEKQTAVSVENFIAELLPDKWFDIGCLVVEDPVHGIHLETFTQATPVPLEFVQQAQSLTPQDYNLRWSGLLVTVGEVLEKSLLNVSRTDWHMAFTGMSRRQMIYSAARAIAGMYKQRLPPRTV", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MADSQPITPGQVSFLLGVIPVFIAWIYSEFLEYKRSSLHSKVHSDNNLVELGEVKNKEDEGVVLLEGGLPRSVSTKFYNSPIKTNLIRFLTLEDSFLIENRATLRAMAEFGAILFYFYISDRTSLLGESKKNYNRDLFLFLYCLLIIVSAMTSLKKHNDKSPITGKSILYLNRHQTEEWKGWMQVLFLMYHYFAAAEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFTQMMWRLNLFVAFSCIILNNDYMLYYICPMHTLFTLMVYGALGIFSRYNEIPSVMALKIASCFLVVIVMWEIPGVFEIFWSPLTFLLGYTDPAKPELPLLHEWHFRSGLDRYIWIIGMIYAYFHPTVERWMEKLEECDAKRKMSIKTSIIAISSFVGYLWYEYIYKLDKVTYNKYHPYTSWIPITVYICLRNSTQQLRNFSMTLFAWLGKITLETYISQFHIWLRSNVPNGQPKWLLCIIPEYPMLNFMLVTAIYVLVSHRLFELTNTLKSVFIPTKDDKRLLHNVLAGAAISFCLYLTSLILLQIPH", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MANPFSRWFLSERPPNCHVADLETSLDPHQTLLKVQKYKPALSDWVHYIFLGSIMLFVFITNPAPWIFKILFYCFLGTLFIIPATSQFFFNALPILTWVALYFTSSYFPDDRRPPITVKVLPAVETILYGDNLSDILATSTNSFLDILAWLPYGLFHFGAPFVVAAILFVFGPPTVLQGYAFAFGYMNLFGVIMQNVFPAAPPWYKILYGLQSANYDMHGSPGGLARIDKLLGINMYTTAFSNSSVIFGAFPSLHSGCATMEALFFCYCFPKLKPLFIAYVCWLWWSTMYLTHHYFVDLMAGSVLSYVIFQYTKYTHLPIVDTSLFCRWSYTSIEKYDISKSDPLAADSNDIESVPLSNLELDFDLNMTDEPSVSPSLFDGSTSVSRSSATSITSLGVKRA", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MMLLKKGRLLMFLGSQVIVVALFIHMSVHRHLSQREESRRPVHVLVLSSWRSGSSFVGQLFGQHPDVFYLMEPAWHVWMTFTSSTAWKLHMAVRDLLRSVFLCDMSVFDAYMNPGPRKQSSLFQWEQSRALCSAPVCDFFPAHEISSPKHCKLLCGQQPFDMVEKACRSHGFVVLKEVRFLSLQALYPLLTDPSLNLHVVHLVRDPRAVFRSREHTTIELVVDSHIVLGQHLETIKEEDQPYYAMKIICKSQVDIVKAIQTLPEALQQRYLFLRYEDLVRAPLAQTTRLYKFVGLDFLPHLQTWVHNVTRGKGMGQHAFHTNARNALNVSQAWRWSLPYEKVSQLQDACGEAMDLLGYLQVRSQQEQGNLSLDLLSSSHILGQVFREG", - "output": "apparatus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSNEDYGYDYDYLFKIVLIGDSGVGKSNLLSRFTTDEFNIESKSTIGVEFATRTIEVENKKIKAQIWDTAGQERYRAITSAYYRGAVGALIVYDISKSSSYENCNHWLTELRENADDNVAVGLIGNKSDLAHLRAVPTDEAKNFAMENQMLFTETSALNSDNVDKAFRELIVAIFQMVSKHQVDLSGSGTNNMGSNGAPKGPTISLTPAPKEDKKKKSSNCC", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPSTALSPPSRPPAQSYDSYSSSLSPSSPRFHAAAGSHGRRSPSPSRLESLLDGPHVPPRSPSRKIRSALSRHIRPHITPRTLTPVFLWTLALWLIHHFLFPLSSPFAKLAKPKAEEHFLSTTFPPPAQRLGDDRLDSVDPRWRAYHPLPAPEPPFPRLRPTRFLPPQCLEQWFAEGETLCGAKEMGEEETLDATWLWVNGSDHRWRDSMVEWREKENVNSPERHYREQNELVHSMRSVLDALPGHLRTFHLILADYPFNYPEDLELVPSSIIPDLEVAASKSKGRRHPRELPGAPASLANLTERVTPESISPTLASHLQSEWRILQTPTWLDFSRRDPSDPSHPFHPYSVSKAGEIRQHYAEASYPTLRYASHWEVFHIPSVDRDGRQELMGEREWRENEWKKKALPSFNSMAIESRIGWLPGLADAIIALNDDFFLLRPHAVSDFHSPLYGSVIRFEHGYNQQVKPDVEKNHINDPGEMGGLYHANALLSRRFPRRLRPYFAHVPKVITRGLHHEASLMFQEALTESSTRRFREMKIGEGDVQMQWLLTSLRVERWREALLWTWTVANMGTLGGSQDHWDNDTRRAIKNLFGFTENDDDVVKIEVHRGERWTLEPGRMQRVFRQAGWEAPKATEFLFSSMDGIMPPLLRSGEDPAQNDRCIIDLNRCFGLFWTREEDVLSSDMMKRLTFQYPECGDCMIMALVTASGTLGLNAFFPPKETTITAPELGPGDAYPKFLPPPHLPLTPTWHEADFSLANILSTTALPGEQVDIRQYCMRLLSRYLYLDAKSVSHFHMMKSAEHARRVFRMIQGDPKVSILGMNDDIESDYDEVRGLMNEWFEMRWPRKAVWERDWDPVKDRYND", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSEDYGYDYDLLFKIVLIGDSGVGKSNLLSRFTKNEFNMDSKSTIGVEFATRTLEIDGKRIKAQIWDTAGQERYRAITSAYYRGAVGALIVYDISKSSSYENCNHWLSELRENADDNVAVGLIGNKSDLAHLRAVPTEESKTFAQENQLLFTETSALNSENVDKAFEELINTIYQKVSKHQMDLGDSSANGNANGASAPNGPTISLTPTPNENKKANGNNCC", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MYTLLSGLYKYMFQKDEYCILILGLDNAGKTTFLEQSKTRFNKNYKGMSLSKITTTVGLNIGTVDVGKARLMFWDLGGQEELQSLWDKYYAECHGVIYVIDSTDEERLSESKEAFEKVVSSEALDGVPILVLANKQDVETCLSIPDIKTAFSDCTCKIGRRDCLTQACSALTGKGVREGIEWMVKCVVRNVHRPPRQRDIT", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGGFFSSIFSSLFGTREMRILILGLDGAGKTTILYRLQVGEVVTTIPTIGFNVETVTYKNLKFQVWDLGGQTSIRPYWRCYYSNTDAVIYVVDSCDRDRIGISKSELVAMLEEEELRKAILVVFANKQDMEQAMTPSEMANALGLPALKDRKWQIFKTSATKGTGLDEAMEWLVETLKSRQ", - "output": "apparatus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKEEQTRSLFGISLSDKPTWQQFLICTSGFFFGYLVNGVCEEYVYNRLQFSFGWYFTFIQGFVYLFLIYLQGFTTKHIVNPMRTYVKLSAVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPVHEYISAFLLVLGLILFTLADAQMSPNFSMIGIMMITGALIMDAFLGNLQEAIFTMNPETTQMEMLFCSTVVGLPFLFVPMVLTGEVFRAWTACAQHPYVYGVLVFEAMATFIGQVSVLSLIALFGAATTALITTARKGVTLLLSYLIFTKPLTEQHGSGLLLIAMGIVLKMVPMDSKAPAKIPARPAVRIAGGDGDREEDEERKSLV", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKEESILKKCDSSSIKHVPSTPLETNCPDKYNPKTWPIRLKVRNVIVISSMTFLNQYGDSVFAPSISNIAEQFHASRTLVTLGATLYTLGILFGNLIFAPLSEQFGRRPIYLIGYSVFALLQIPIALSVNLAMFLVFRFFSGLFGSVGLSNGSGSLADLFEKKDRGKYMVIYFTVLSIGPGIAPIISGFISQSSIGWQWEFWILLILSGFNLFWAFLLLKETYPPVLNRKKFEKYGEIGENEPVALRLTGKQLLIKLLILLSMKKPISILLSQPILICVACTIGSIYGMINLVLIAFSEVWKSSYDFSPGISGLMYISITLGLFSAVFIAMPINQKFYSYLVKRNGGEGEPEFRLPMGFIGITLFEIGILLFGWTARYKIFWFVPTIGSAIMGGGYIMTSNPLNMYVVDSYGIYSASASAGVKIFQLLLGAIFPLFAESLFRRLNYGWGCTLLAFILLACGCSLPILFKYGKQIRNLRPFDPSKY", - "output": "apparatus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MACAGLLTVCLLRPPAPQPQPQTPRHPQLAPDPGPAGHTLFQDVFRRADKNDDGKLSFEEFQNYFADGVLSLGELQELFSGIDGHLTDNLETEKLCDYFSEHLGVYRPVLAALESLNRAVLAAMDATKLEYERASKVDQFVTRFLLRETVSQLQALQSSLEGASDTLEAQAHGWRSDAESVEAQSRLCGSRRAGRRALRSVSRSSTWSPGSSDTGRSSEAEMQWRLQVNRLQELIDQLECKVRAVGPGPHKGGPSWYPPEPGPCWRPGPHSVPSQAPRLEPLREEDLAKGPDLHILMAQRQVQVAEEGLQDFHRALRCYVDFTGAQSHCLHVSAQKMLDGASFTLYEFWQDEASWRRHQQSPGSKAFQRILIDHLRAPDTLTTVFFPASWWIMNNN", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASAVLSSVLTTASRFALLQVDSGSGSDSEPGKGKGRSNGKSQTLGNKATSNEKKREKRRKKKEQQQSEANELRNLAFKKIPQKSSHSICNVQHELSSPNPAQKESREENWQEWRQKDEQLTSEMFEADLEKALLLSKLEYEEHKQDYENAETASTQTKGINKKDKRKNHQGKDKPVTVSLKDFQCEDHISKKAEESNSAQTLSHDGGFFNRLEDDVHKILIREKRREQLTEHNGTDNSPAPEHNQEVGLKDGRIERLKLELERKDAEIQKLKAVITQWEAKYKEVKARNGQLLKMLQEGEMKDKAEILLQVDESQSIKNELTVQVSSLHAALEQERSKVKVLQAELAKYQGGRKGKRNFEPDHCR", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSQPSFVTIRGKAISLETQTESLLSKYSTFAQTTSSEQTGQEKKIDKQLEGILGQRQDVIDSLTQICDSNPAISASKLSQLHRHKEILQDHWKSFRNIRSSIQQERNRLNLLFSVKNDIANSTTDAPAPIGDADEYIQNETRRIDQSNNVVDRLISQAWETRSQFHSQSNVLNTANNKVLQTLQRIPGVNQLIMKINTRRKKNAFVLATITTLCILFLFFTW", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRNLIFEEPEGIPGNSSSSLRYAWQSIRAPVIIPLLKLAVIVCSVMSIMLFVERVAMAAVILIVKVLRKKRYTKYNLEAMKQKLERSKKYPMVLIQIPMYNEKEVYKLSIGAVCGLSWPADRFIVQVLDDSTNPVLRELVEMECQKWIQKGVNVKYENRRNRNGYKAGALKEGLEKQYVEDCEFVAIFDADFQPDADFLWNTIPYLLENPKLGLVQARWKFVNSEECMMTRLQEMSLDYHFSVEQEVGSSTYSFFGFNGTAGVWRIQAIKDAGGWKDRTTVEDMDLAVRASLHGWEFVFVGDVKVKNELPSTFKAYRFQQHRWSCGPANLFKKMTKEIICCKRVPLLKRLHLIYAFFFVRKIVAHWVTFFFYCIVIPACVIVPEVNLKKQIAIYIPATITILNAVSTPRSMHLLVLWILFENVMSLHRTKAAIIGLLEANRVNEWVVTEKLGNAMKQRNNARPSRASRFRIIERIHPLEIIVGMYMLHCATYDLLFGHDHFFVYLLLQAGAFFTMGFGLVGTIVPT", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGTFGIVALSIICSIAFLFVAYGVLRLINSIRRRNMMTADVSSVKSSQTWNFLKNPFSNSAKFEALDADDMWDTRVEEAELNTIPSASPFIDHTSETVPFVNTEAPPPRLSSSFSRQSGENAETQSQVSASPFNDKNSPYVQE", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAEAALLLLPEAAAERDAREKLALWDRRPDTTAPLTDRQTDSVLELKAAAENLPVPAELPIEDLCSLTSQSLPIELTSVVPESTEDILLKGFTSLGMEEERIETAQQFFSWFAKLQTQMDQDEGTKYRQMRDYLSGFQEQCDAILNDVNSALQHLESLQKQYLFVSNKTGTLHEACEQLLKEQSELVDLAENIQQKLSYFNELETINTKLNSPTLSVNSDGFIPMLAKLDDCITYISSHPNFKDYPIYLLKFKQCLSKALHLMKTYTVNTLQTLTSQLLKRDPSSVPNADNAFTLFYVKFRAAAPKVRTLIEQIELRSEKIPEYQQLLNDIHQCYLDQRELLLGPSIACTVAELTSQNNRDHCALVRSGCAFMVHVCQDEHQLYNEFFTKPTSKLDELLEKLCVSLYDVFRPLIIHVIHLETLSELCGILKNEVLEDHVQNNAEQLGAFAAGVKQMLEDVQERLVYRTHIYIQTDITGYKPAPGDLAYPDKLVMMEQIAQSLKDEQKKVPSEASFSDVHLEEGESNSLTKSGSTESLNPRPQTTISPADLHGMWYPTVRRTLVCLSKLYRCIDRAVFQGLSQEALSACIQSLLGASESISKNKTQIDGQLFLIKHLLILREQIAPFHTEFTIKEISLDLKKTRDAAFKILNPMTVPRFFRLNSNNALIEFLLEGTPEIREHYLDSKKDVDRHLKSACEQFIQQQTKLFVEQLEEFMTKVSALKTMASQGGPKYTLSQQPWAQPAKVNDLAATAYKTIKTKLPVTLRSMSLYLSNKDTEFILFKPVRNNIQQVFQKFHALLKEEFSPEDIQIIACPSMEQLSLLLLVSK", - "output": "apparatus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRPQQAPVSGKVFIQRDYSSGTRCQFQTKFPAELENRIDRQQFEETVRTLNNLYAEAEKLGGQSYLEGCLACLTAYTIFLCMETHYEKVLKKVSKYIQEQNEKIYAPQGLLLTDPIERGLRVIEITIYEDRGMSSGR", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MMSLTVLSPPQRFKRILQAMMLAVAVVYMTLLLYQSAYGYPGIQVPHSQVDALASEAVTTHRDQLLQDYVQSSTPTQPGAGAPAASPTTVIIRKDIRSFNFSDIEVSERPTATLLTELARRSRNGELLRDLSQRAVTATPQPPVTELDDIFISVKTTKNYHDTRLALIIKTWFQLARDQTWFFTDTDDHYYQEKTKGHLINTKCSQGHFRKALCCKMSAELDVFLESGKKWFCHFDDDNYVNVPRLVKLLDEYSPSVDWYLGKPSISSPLEIHLDSKNTTTNKKITFWFATGGAGFCLSRALTLKMLPIAGGGKFISIGDKIRFPDDVTMGFIIEHLLKVPLTVVDNFHSHLEPMEFIRQDTFQDQVSFSYAHMKNQWNVIKVDGFDMKTDPKRFYSLHCQLFPYFSFCPPR", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAKRQLLMLGIRTSFHTIAAVLVAGLIFTAVFLSRNSLPKENPQSHGVTDRGGDSGRECNLFEGKWVFDNVSYPLYKEEDCKFMSDQLACEKFGRKDLSYKFWRWQPHTCDLPRFNGTKLLERLRNKRMVYVGDSLNRGQWVSMVCMVSSVITNPKAMYMHNNGSNLITFKALEYNATIDYYWAPLLVESNSDDPTNHRFPDRIVRIQSIEKHARHWTNSDIIVFNSYLWWRMPHIKSLWGSFEKLDGIYKEVEMVRVYEMALQTLSQWLEVHVNPNITKLFFMSMSPTHERAEEWGGILNQNCYGEASLIDKEGYTGRGSDPKMMRVLENVLDGLKNRGLNMQMINITQLSEYRKEGHPSIYRKQWGTVKENEISNPSSNADCIHWCLPGVPDVWNELLYAYILDHHSS", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MMRGRSDGGLKKRLIASVCVVALFVCFLFMYYGSSSQGASALEYGRSLRKLGSSYLSGDDDNGDTKQDDSVANAEDSLVVAKSFPVCDDRHSEIIPCLDRNFIYQMRLKLDLSLMEHYERHCPPPERRFNCLIPPPSGYKVPIKWPKSRDEVWKANIPHTHLAKEKSDQNWMVEKGEKISFPGGGTHFHYGADKYIASIANMLNFSNDVLNDEGRLRTVLDVGCGVASFGAYLLASDIMTMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFEFAHCSRCRIDWLQRDGLLLLELDRVLRPGGYFAYSSPEAYAQDEENLKIWKEMSALVERMCWRIAVKRNQTVVWQKPLSNDCYLEREPGTQPPLCRSDADPDAVAGVSMEACITPYSKHDHKTKGSGLAPWPARLTSSPPRLADFGYSTDMFEKDTELWKQQVDSYWNLMSSKVKSNTVRNIMDMKAHMGSFAAALKDKDVWVMNVVSPDGPNTLKLIYDRGLIGTNHNWCEAFSTYPRTYDLLHAWSIFSDIKSKGCSAEDLLIEMDRILRPTGFVIIRDKQSVVESIKKYLQALHWETVASEKVNTSSELDQDSEDGENNVVFIVQKKLWLTSESLRDSE", - "output": "apparatus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MQLHISPSLRHVTVVTGKGLREFIKVKVGSRRFSYQMVFYSLLFFTFLLRFVFVLSTVDTIDGDPSPCSSLACLGKRLKPKLLGRRVDSGNVPEAMYQVLEQPLSEQELKGRSDIPQTLQDFMSEVKRSKSDAREFAQKLKEMVTLMEQRTRTAKIQEYLYRHVASSSIPKQLHCLALKLANEHSINAAARLQLPEAELVPMLVDNNYFHFVLASDNILAASVVAKSLVQNALRPHKIVLHIITDRKTYFPMQAWFSLHPLSPAIIEVKALHHFDWLSKGKVPVLEAMEKDQRVRSQFRGGSSVIVANNKENPVVVAAKLQALSPKYNSLMNHIRIHLPELFPSLNKVVFLDDDIVIQTDLSPLWDIDMNGKVNGAVETCRGEDKFVMSKKFKSYLNFSNPTIAKNFNPEECAWAYGMNVFDLAAWRRTNISSTYYHWLDENLKSDLSLWQLGTLPPGLIAFHGHVQTIDPFWHMLGLGYQETTSYADAESAAVVHFNGRAKPWLDIAFPHLRPLWAKYLDSSDRFIKSCHIRAS", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MIERCLGAYRCRRIQRALRQLKVTILCLLLTVVVLRSTIGAGKFGTPEQDLDEIRQHFHARKRGEPHRVLEEIQTGGDSSSGDGGGNSGGSNNYETFDINKIFVDEGEEEKPDPNKPYTLGPKISDWDEQRSDWLAKNPSFPNFIGPNKPRVLLVTGSAPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEIEFLWWMDSDAMFTDMAFELPWERYKDYNLVMHGWNEMVYDQKNWIGLNTGSFLLRNNQWALDLLDTWAPMGPKGKIREEAGKVLTRELKDRPVFEADDQSAMVYLLATQRDAWGNKVYLESGYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQIYGFTHKSLASRKVKRVRNETSNPLEMKDELGLLHPAFKAVKVQTNQV", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVYIDHNGRVWEKRPWDWRRIVELFVGIWFAIKQLFLTFLAPFTGNNNQANPRRGNGWGGGGGWGGGGGGGGGGGGGRPGSGSGGLRPNRRIGRIQPTMSCNMPAGGGUG", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRAHRIDTFLIRENIKLEIIHESNSYFGGEHISIAFRFKHLGSQHELFNYKEKLLTVDKAVEEKLEQQAKVQDDGEGTMENQTWSLKSLLGAFKRTGEPEESVDVDNMKMLNESKMLREKIQKQMYFHQPVTLISGYVQISGVFQYDSEVISESKFKQDEVKMVGLDIVPGHTTNSVLALEDGEHFKGKRNLTNYLNSDYTNVTNGLLFSESGSRGRTGTYNERTLMISNDTSIKTLPLLLIPQTLLFSEISLEPGEVRTFYFKSTKLPKDICPSYSSSKVASINYTLEVGADVLSDDNIEKFSNRVPITIAPYISSNAEQYTSRLDKPAIILKTGNIKELKPRLFTRKVSTASAVSFGRRKSSIIDIDSPLEDNEFVKRVKKNFIELVESNQNVSRDIDELIDLQMGVQFGKDEDSSDPEPNDSHFSNEMVTSAESSLRSDAVTKRRKSYSVRDNISNLEQKMWNDCSLVKSDENSNLLPQLINLQNAYQINRNNETMAKVSLSAPFYKTTDDINLVIELDPITTPLLKVTSLTVSLESFEIINPKYKTEGKGIGSKPKGNSVYEKHFICFDECKSVSVKLLPPRSPTNQITGQFKTDVFQHKWMIGLKFVIIAKTESITLDQFYEDKKGILFHSKENLEGEEFTCYVPIPILCTSEDFMGW", - "output": "apparatus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGMGRYQKSATSGVSARWVFVLCISSFLLGVLVVNRLLASFETVDGIERASPEQNDQSRSLNPLVDCESKEGDILSRVSHTHDVIKTLDKTISSLEVELATARAARSDGRDGSPAVAKTVADQSKIRPRMFFVMGIMTAFSSRKRRDSIRGTWLPKGDELKRLETEKGIIMRFVIGHSSSPGGVLDHTIEAEEEQHKDFFRLNHIEGYHELSSKTQIYFSSAVAKWDADFYIKVDDDVHVNLGMLGSTLARHRSKPRVYIGCMKSGPVLAQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVNRQLLHKYANEDVSLGSWFIGLDVEHIDDRSLCCGTPLDCEWKGQAGNPCAASFDWSCSGICKSVDRMLEVHQRCGEGDGAIWHSSF", - "output": "apparatus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKRSVRPLFSALLFAFFAATLICRVAIRRSSFSFASAIAELGSSGLMTEDIVFNETLLEFAAIDPGEPNFKQEVDLISDYDHTRRSHRRHFSSMSIRPSEQQRRVSRDIASSSKFPVTLRSSQAYRYWSEFKRNLRLWARRRAYEPNIMLDLIRLVKNPIDVHNGVVSISSERYLSCAVVGNSGTLLNSQYGDLIDKHEIVIRLNNAKTERFEKKVGSKTNISFINSNILHQCGRRESCYCHPYGETVPIVMYICQPIHVLDYTLCKPSHRAPLLITDPRFDVMCARIVKYYSVKKFLEEKKAKGFVDWSKDHEGSLFHYSSGMQAVMLAVGICEKVSVFGFGKLNSTKHHYHTNQKAELKLHDYEAEYRLYRDLENSPRAIPFLPKEFKIPLVQVYH", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSLNAERSYKMKLRDVENAFKYRRIPYPKRSVELIALLAISCTFFLFMHTNKLNSRLKEMEVKLQPSEFSALGLTGNHISGHDAGKHDDINTLHGTYQYLKSTGQKVGYNVHDRRSSEEQLRTPTAHGHHHDHHSHHHHMHQQEKIDGHKHKASHDKQLAVPDNKHKEDEVHYEDDEDEVEENDDDLANDVGTTDSEGFNFKADLLNNTKYAEVDFVFFNRVPKVGSQSLMELMARLGKINGFTHARNKGSAHETIVMNKQRQNDLIADLLTRPKPHIYSQHIAYINFTRFHLPKPIYINLIRDPIDRIISWHYYIRAPWYYRDMQAKLGENAIPMPSEEFMNLDLDTCVRNHDPHCTFTQMQIKNPVGDHRRQTLFFCGMNQKLCMPFNSEAAMQKAKRTVETEYAVVGTWEDTNITLSVLEAYIPRYFRNAKVAYYLGKDRLSRVNRNNVTRIVSDETRLILRKNLTNEIEFYEFCKQRLYLQYAALSHGKRFGEDDYLLVPEQQNEYNEDY", - "output": "apparatus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKLKQRVVLLAILLVIFIFTKVFLIDNLDTSAANREDQRAFHRMMTGLRVELAPKLDHTLQSPWEIAAQWVVPREVYPEETPELGAVMHAMATKKIIKADVGYKGTQLKALLILEGGQKVVFKPKRYSRDHVVEGEPYAGYDRHNAEVAAFHLDRILGFHRAPLVVGRFVNLRTEIKPVATEQLLSTFLTVGNNTCFYGKCYYCRETEPACADGDIMEGSVTLWLPDVWPLQKHRHPWGRTYREGKLARWEYDESYCDAVKKTSPYDSGPRLLDIIDTAVFDYLIGNADRHHYESFQDDEGASMLILLDNAKSFGNPSLDERSILAPLYQCCIIRVSTWNRLNYLKNGVLKSALKSAMAHDPISPVLSDPHLDAVDQRLLSVLATVKQCTDQFGMDTVLVEDRMPLSHL", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGNIFSSMFDKLWGSNKELRILILGLDGAGKTTILYRLQIGEVVTTKPTIGFNVETLSYKNLKLNVWDLGGQTSIRPYWRCYYADTAAVIFVVDSTDKDRMSTASKELHLMLQEEELQDAALLVFANKQDQPGALSASEVSKELNLVELKDRSWSIVASSAIKGEGITEGLDWLIDVIKEEQL", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVRLKTSLWVLLLALVSIQLNGSFGSESSKVAYVTLLYGDEFLLGVRVLGKSIRDTGSTKDMVALVSDGVSDYSKKLLKADGWKVEKISLLANPNQVHPTRFWGVYTKLKIFNMTDYKKVVYLDADTIVVKNIEDLFKCSKFCANLKHSERLNSGVMVVEPSEALFNDMMRKVKTLSSYTGGDQGFLNSYYPDFPNARVFDPSVTPEVLKTRPVPAMERLSTLYNADVGLYMLANKWMVDDSKLHVIHYTLGPLKPWDWWTAWLVKPVDAWHSIRVKLEETLPGTGGGSNQHDELVVKFLFLLPLCALLFCIYRSIQGREGSLCWSSFSNQIRYLYYKVRSNGTLGYGGVSTMSPSYQPHSGNAQSKVPQHLGAVSVVVCFTAVLLSLGISFAIVPRQIMPWTGLVLVYEWTFTIFFLLFGVFLLFVHQHGKRIAIQSESSSLDDSAKVHQRAGGSCDVTTLYYGLGMAFLAIAAVSLPYILGITALFTRLGLMVGLAIILAAFMTYASEHLAVRWFLKGLEDRRDTTRSNSLCFLC", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAIELGERISTSVGFLAELFLMKIPLFTVIVALLTIILGIVNIFLPIVDFFGLSWHNLINIRLHTLNTYPLVHHGVISFILGLLGIFLLMPRFERRYGTLCTIAMFFGFLEVIPAIAYLIACYVAESDDVYVGIGGWVYSLLAMYLLNLFGDLHPKLLNLPQVVRMALALVAPVLGLPLDFSITIVLHLTAVVISIIFSFAYMDFFLPRGGFLVWVETKFSKIIDAIPNYISVTEAAYYQADGAIPIQDLGSNSSGIV", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAACGRVRRMFRLSAALHLLLLFAAGAEKLPGQGVHSQGQGPGANFVSFVGQAGGGGPAGQQLPQLPQSSQLQQQQQQQQQQQQPQPPQPPFPAGGPPARRGGAGAGGGWKLAEEESCREDVTRVCPKHTWSNNLAVLECLQDVREPENEISSDCNHLLWNYKLNLTTDPKFESVAREVCKSTITEIKECADEPVGKGYMVSCLVDHRGNITEYQCHQYITKMTAIIFSDYRLICGFMDDCKNDINILKCGSIRLGEKDAHSQGEVVSCLEKGLVKEAEEREPKIQVSELCKKAILRVAELSSDDFHLDRHLYFACRDDRERFCENTQAGEGRVYKCLFNHKFEESMSEKCREALTTRQKLIAQDYKVSYSLAKSCKSDLKKYRCNVENLPRSREARLSYLLMCLESAVHRGRQVSSECQGEMLDYRRMLMEDFSLSPEIILSCRGEIEHHCSGLHRKGRTLHCLMKVVRGEKGNLGMNCQQALQTLIQETDPGADYRIDRALNEACESVIQTACKHIRSGDPMILSCLMEHLYTEKMVEDCEHRLLELQYFISRDWKLDPVLYRKCQGDASRLCHTHGWNETSEFMPQGAVFSCLYRHAYRTEEQGRRLSRECRAEVQRILHQRAMDVKLDPALQDKCLIDLGKWCSEKTETGQELECLQDHLDDLVVECRDIVGNLTELESEDIQIEALLMRACEPIIQNFCHDVADNQIDSGDLMECLIQNKHQKDMNEKCAIGVTHFQLVQMKDFRFSYKFKMACKEDVLKLCPNIKKKVDVVICLSTTVRNDTLQEAKEHRVSLKCRRQLRVEELEMTEDIRLEPDLYEACKSDIKNFCSAVQYGNAQIIECLKENKKQLSTRCHQKVFKLQETEMMDPELDYTLMRVCKQMIKRFCPEADSKTMLQCLKQNKNSELMDPKCKQMITKRQITQNTDYRLNPMLRKACKADIPKFCHGILTKAKDDSELEGQVISCLKLRYADQRLSSDCEDQIRIIIQESALDYRLDPQLQLHCSDEISSLCAEEAAAQEQTGQVEECLKVNLLKIKTELCKKEVLNMLKESKADIFVDPVLHTACALDIKHHCAAITPGRGRQMSCLMEALEDKRVRLQPECKKRLNDRIEMWSYAAKVAPADGFSDLAMQVMTSPSKNYILSVISGSICILFLIGLMCGRITKRVTRELKDR", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAITQFRLFKFCTCLATVFSFLKRLICRSGRGRKLSGDQITLPTTVDYSSVPKQTDVEEWTSWDEDAPTSVKIEGGNGNVATQQNSLEQLEPDYFKDMTPTIRKTQKIVIKKREPLNFGIPDGSTGFSSRLAATQDLPFIHQSSELGDLDTWQENTNAWEEEEDAAWQAEEVLRQQKLADREKRAAEQQRKKMEKEAQRLMKKEQNKIGVKLS", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAPMGIRLSPLGVAVFFLLGLGVLYHLYSGFLAGRFSLFGLGSEPAAGEAEVASDGGTVDLREMLAVAVLAAERGGDEVRRVRESNVLHEKSKGKTREGADDKMTSGDVLSNRKMFYLLKTAFPNVQINTEEHVDASDKEVIVWNRKIPEDILKEIAAPKEVPAESVTVWIDPLDATQEYTEDLRKYVTTMVCVAVNGKPVLGVIHKPFSEYTAWAMVDGGSNVKARSSYNEKTPKIIVSRSHAGMVKQVALQTFGNQTSIIPAGGAGYKVLALLDVPDMTQEKADLYIHVTYIKKWDICAGNAILKALGGHMTTLNGEEISYTGSDGIEGGLLASIRMNHQALVRKLPDLEKSGH", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKHKVSKRVISLKWVPFLCISFFALGAIFTSRSWEPSSDSGSQLISQHHRDHELQIVSDDCAHNKKATQEKDVTGEVLRTHEAIQDDRSLDKSVSTLSSTRSSQEMVDGSETNPRKKVFMVMGINTAFSSRKRRDSVRETWMPQGEKLERLEQEKGIVIKFMIGHSATSNSILDRAIDSEDAQHKDFLRLEHVEGYHELSAKTKIFFSTAVAKWDAEFYIKVDDDVHVNLGMLASTLARHRSKPRVYIGCMKSGPVLAQNLLNCFRTVKYHEPEYWKFGEDGNKYFRHATGQIYAISKDLANYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRNFCCGTPPDCRWKAEAGDVCVASFEWSCSGICKSVERMKIVHEVCSEGEGAVWNTLL", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAVCGRVRRMFRLSAALQLLLLVAAGVQNSHGQGQGLGVNFGPFAGQAGGGNPVGQQPPQLPQLSQQQQQQQPPPQQQQPFPAGGLPARRGGAGPGGTGGGWKLAEEESCREDVTRVCPKHTWSNNLAVLECLQDVREPENEISSDCNHLLWNYKLNLTTDPKFESVAREVCKSTISEIKECAEEPVGKGYMVSCLVDHRGNITEYQCHQYITKMTAIIFSDYRLICGFMDDCKNDINLLKCGSIRLGEKDAHSQGEVVSCLEKGLVKEAEEKEPKIQVSELCKKAILRVAELSSDDFHLDRHLYFACRDDRERFCENTQAGEGRVYKCLFNHKFEESMSEKCREALTTRQKLIAQDYKVSYSLAKSCKSDLKKYRCNVENLPRSREARLSYLLMCLESAVHRGRQVSSECQGEMLDYRRMLMEDFSLSPEIILSCRGEIEHHCSGLHRKGRTLHCLMKVVRGEKGSLGMNCQQALQTLIQETDPGADYRIDRALNEACESVIQTACKHIRSGDPMILSCLMEHLYTEKMVEDCEHRLLELQYFISRDWKLDPVLYRKCQGDASRLCHTHGWNETSELMPPGAVFSCLYRHAYRTEEQGRRLSRECRAEVQRILHQRAMDVKLDPALQDKCLIDLGKWCSEKTETGQELECLQDHLDDLAVECRDIVGNLTELESEDIQIEALLMRACEPIIHNFCHDVADNQIDSGDLMECLIQNKHQKDMNEKCAIGVTHFQLVQMKDFRFSYKFKMACKEDVLKLCPNIKKKVDVVICLSTTVRNDTLQEAKEHRVSLKCRKQLRVEELEMTEDIRLEPDLYEACKSDIKNYCSTVQYGNAQIIECLKENKKQLSTRCHQRVFKLQETEMMDPELDYTLMRVCKQMIKRFCPEADSKTMLQCLKQNKNSELMDPKCKQMITKRQITQNTDYRLNPVLRKACKADIPKFCHGILTKAKDDSELEGQVISCLKLRYADQRLSSDCEDQIRIITQESALDYRLDPQLQLHCSDEIANLCAEEAAAQEQTGQVEECLKVNLLKIRTELCKKEVLNMLKESKADIFVDPVLHTACALDIKHHCAAITPGRGRQMSCLMEALEDKRVRLQPECKKRLNDRIEMWSYAAKVAPADGFSDLAMQVMTSPSKNYILSVISGSICILFLIGLMCGRITKRVTRELKDR", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAPGPASRFWCSCPEVPSATFFTALLSLLVSGPRLFLLQPPLAPSGLSLRSEALRNWQVYRLVTYIFVYENPVSLLCGAIIIWRFAGNFERTVGTVRHCFFTLIFTVFSAIIYLSFESVSSLSKLGEVEDARGFTPVAFAMLGVTSVRSRMRRALVFGVVVPSVLVPWLLLCASWLIPQTSFLSNVSGLLIGLSYGLTYCYSLDLSERVALKLDQKFPFSLMRRIPLFKYISGSSAERRAAQSRRLNPAPGSYPTQSCHPHLTPSYPVTQMQHASGQKLASWPPGHMPSLPPYQPASGLCYVQNHFGPNPNASSVYPASAGTSQGVQPPSPISCPGTVYSGALGTPGATGSKESSKVAMP", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKQFMSVVRFKFGFTSVRMRDWSVGVSIMVLTLIFIIRYEQSDHTHTVDDSSIEGESVHEPAKKPHFMTLEDLDYLFSNKSFFGEEEVSNGMLVWSRMRPFLERPDALPETAQGIEEATLAMKGLVLEINREKRAYSSGMVSKEIRRICPDFVTAFDKDLSGLSHVLLELPCGLIEDSSITLVGIPDEHSSSFQIQLVGSGLSGETRRPIILRYNVNFSKPSIVQNTWTEKLGWGNEERCQYHGSLKNHLVDELPLCNKQTGRIISEKSSNDDATMELSLSNANFPFLKGSPFTAALWFGLEGFHMTINGRHETSFAYREKLEPWLVSAVKVSGGLKILSVLATRLPIPDDHASLIIEEKLKAPSLSGTRIELLVGVFSTGNNFKRRMALRRSWMQYEAVRSGKVAVRFLIGLHTNEKVNLEMWRESKAYGDIQFMPFVDYYGLLSLKTVALCILGTKVIPAKYIMKTDDDAFVRIDELLSSLEERPSSALLYGLISFDSSPDREQGSKWFIPKEEWPLDSYPPWAHGPGYIISHDIAKFVVKGHRQRDLGLFKLEDVAMGIWIQQFNQTIKRVKYINDKRFHNSDCKSNYILVHYQTPRLILCLWEKLQKENQSICCE", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRVSLRFTILAVSAMIFFPVIVFIYVVEAHTSPKVIADDQERSYGVICDAGSTGTRLFVYNWISTSDSELIQIEPVIYDNKPVMKKISPGLSTFGTKPAQAAEYLRPLMELAERHIPEEKRPYTPVFIFATAGMRLIPDEQKEAVLKNLRNKLPKITSMQVLKEHIRIIEGKWEGIYSWIAVNYALGKFNKTATLDFPGTSPAHARQKTVGMIDMGGASAQIAFELPDTDSFSSINVENINLGCREDDSLFKYKLFVTTFLGYGVNEGIRKYEHMLLSKLKDQNGTVIQDDCMPLNLHKTVTLENGENFVRRGTGNWNTCSNEVKKLLNPESSSEVCKAEAAKCYFGAVPAPSIPLSNIEMYGFSEYWYSTHDVLGLGGQYDAENIAKKTQQYCSKRWSTIQAESKKQLYPRADEERLRTQCFKSAWITSVLHDGFSVDKTHNKFQSVSTIAGQEVQWALGAMIYHMRFFPLRDSSRNLIVKETHSSSESLWAPLFFLSAVFCLFVLVCAKEQSVLCFDDKRRSSFGMSRSQYSYKMLKENRTSSSFLENFA", - "output": "apparatus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRCLAARVNYKTLIIICALFTLVTVLLWNKCSSDKAIQFPRHLSSGFRVDGLEKRSAASESNHYANHIAKQQSEEAFPQEQQKAPPVVGGFNSNGGSKVLGLKYEEIDCLINDEHTIKGRREGNEVFLPFTWVEKYFDVYGKVVQYDGYDRFEFSHSYSKVYAQRSPYHPDGVFMSFEGYNVEVRDRVKCISGVEGVPLSTQWGPQGYFYPIQIAQYGLSHYSKNLTEKPPHIEVYETAEDRDRNIRPNEWTVPKGCFMASVADKSRSTNVKQFIAPETSEGVSLQLGNTKDFIISFDLKLLTNGSVSVVLETTEKNQLFTVHYVSNTQLIAFRDRDIYYGIGPRTSWSTVTRDLVTDLRKGVGLSNTKAVKPTKIMPKKVVRLIAKGKGFLDNITISTTAHMAAFFAASDWLVRNQDEKGGWPIMVTRKLGEGFKSLEPGWYSAMAQGQAISTLVRAYLLTKDYVFLSSALRATAPYKFPSEQHGVKAVFMNKHDWYEEYPTTPSSFVLNGFMYSLIGLYDLKETAGETLGKEARSLYERGMESLKAMLPLYDTGSGTIYDLRHFMLGIAPNLARWDYHTTHINQLQLLSTIDESPIFKEFVKRWKSYLKGSRAKHN", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRNKSQSFISSKLIFICCSILVLFILFLKRASFSSNSTATIRDEYHQKSKCPSTPQQCTKLPTSLSDALVHYVTSEITPQQTFDEVSVSKRVLDKKSPCNFLVFGLGHDSLMWASLNHGGRTLFLEEDEAWIETVTKKFPNLESYHVVYDTKVKDSNKLMELKRTEDCKAVSDPRDSKCALSLKGFPADVYETQWDVIMVDAPTGYHDEAPGRMSAIYTAGLLARNRYDGGETDVFVHDINRPVEDEFSVAFLCGGYMKEQQGRLRHFNIPSHRASFGTPFCPADISRRF", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MANWISSKLKAAETILQQLDQQAADSLRKDEKSETHDEVFETSPKSGSSPVSLKDQLRKKTYEGSDSGSGSQRNSTEQKPSYLSSSKKVRKPDQSHERTSAPSQSLTQDNTKLTDNDWTELLSTPNQRTSTSTSRSPGGTSAIRGLKKDGKRHGNLGKNPLVSDGKKSSSSNVVNSRGRPQKQTNKEPSDKEVSSPSDADMKNRNAPRDIFVNSTHKESEKDVSGKTPPLDDSRRSANETLPRETSPSVGKRDGRESRRSSVWGKQVREEVSQSNVSDGLTRKESSLSSDESESDYESDSSTDSERERQREERRRRRERVFAEKVATKAVAVIKERENMVARLEGEKLSLEKIVEERAKQQAQEAAELQTNMMETLEAADLEKQKHNNTRMEVLTRLAGLEAENAELTRSLAAGQKKLETQIDQVAVLKQQVELKESTLEELKRNTFNIGGRGTTLKQLDTSRGDKFEHQMLEAEISLLTDKIGRLQDKATKLEADIEMMRKELEEPTEVEIELKRRLNQLTDHLIQKQSQVEALSSEKATILFRIEAVSRLIEENKGMSATEASSQDLEAGDWELSGSKFKPAFQDKIRSGKKHLGWLVMQLNAIFISGTVFLRRNPTAKIWAVVYLVCLHLWVLYILLSHSDASSSGELRSGAVISLENFSNSSLQ", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSISNDSLASTVPGDELPSSYPVFSSDFSYIKPSHVLDVVTVTSTAGPEATDDPSYSGFYSTVISTQNPEPTSASTPPSASASSLPNGAQKHNHTGVIAGPIVGVLGGLIVLVIIFYCLRHFKRKKFLAEQQEFERQFEEEKSRLAAVRKNTEQEKMGYRGGYQMHSTPWASSPRNSTIPQRSQSFYNDTRRSSDLGANAADFVTPPGNVANSDNIRILKRNSIATIGNYRSPSALEKRRSISYGAVQSVQGRPLAPIPGRRPLSISSDLYNDSNSGSHSNDDSDETKLKQSSTESSSELLDEKDKFDKNSLNDPFVTIRKSSYEHEISEEHKKHSKKRSEHF", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDLASRYKGVVGMVFGDNQSSNEDSYIQRLLDRISNGTLPDDRRTAIVELQSVVAESNAAQLAFGAAGFPVIVGILKDQRDDLEMVRGALETLLGALTPIDHARAQKTEVQAALMNSDLLSREAENITLLLSLLEEEDFYVRYYTLQILTALLMNSQNRLQEAILTTPRGITRLMDMLMDREVIRNEALLLLTHLTREAEEIQKIVVFEGAFEKIFSIIKEEGGSDGDVVVQDCLELLNNLLRSSSSNQILLRETMGFEPIISILKLRGITYKFTQQKTVNLLSALETINMLIMGRADTEPGKDSNKLANRTVLVQKKLLDYLLMLGVESQWAPVAVRCMTFKCIGDLIDGHPKNRDILASKVLGEDRQVEPALNSILRIILQTSSIQEFVAADYVFKTFCEKNTEGQTMLASTLIPQPHPTSRDHLEDDVHMSFGSMLLRGLCSGEADGDLETCCRAASILSHVVKDNLRCKEKALKIVLESPMPSMGTPEPLFQRIVRYLAVASSMKSKEKSSTLGKSYIQQIILKLLVTWTVDCPTAVQCFLDSRHHLTFLLELVTDPAATVCIRGLASILLGECVIYNKSIENGKDAFSVVDAVGQKMGLTSYFSKFEEMQNSFIFSPSKKPPQGYKPLTRTPTPSEAEINEVDEVDEMVKGNEDHPMLLSLFDASFIGLVKSLEGNIRERIVDVYSRPKSEVAVVPADLEQKSGENEKDYINRLKAFIEKQCSEIQNLLARNAALAEDVASSGRNEQSQGSEQRASTVMDKVQMESIRRELQETSQRLETVKAEKAKIESEASSNKNMAAKLEFDLKSLSDAYNSLEQANYHLEQEVKSLKGGESPMQFPDIEAIKEEVRKEAQKESEDELNDLLVCLGQEESKVEKLSAKLIELGVDVDKLLEDIGDESEAQAESEED", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPYLGSEDVVKELKKALCNPHIQADRLRYRNVIQRVIRYMTQGLDMSGVFMEMVKASATVDIVQKKLVYLYMCTYAPLKPDLALLAINTLCKDCSDPNPMVRGLALRSMCSLRMPGVQEYIQQPILNGLRDKASYVRRVAVLGCAKMHNLHGDSEVDGALVNELYSLLRDQDPIVVVNCLRSLEEILKQEGGVVINKPIAHHLLNRMSKLDQWGQAEVLNFLLRYQPRSEEELFDILNLLDSFLKSSSPGVVMGATKLFLILAKMFPHVQTDVLVRVKGPLLAACSSESRELCFVALCHVRQILHSLPGHFSSHYKKFFCSYSEPHYIKLQKVEVLCELVNDENVQQVLEELRGYCTDVSADFAQAAIFAIGGIARTYTDQCVQILTELLGLRQEHITTVVVQTFRDLVWLCPQCTEAVCQALPGCEENIQDSEGKQALIWLLGVHGERIPNAPYVLEDFVENVKSETFPAVKMELLTALLRLFLSRPAECQDMLGRLLYYCIEEEKDMAVRDRGLFYYRLLLVGIDEVKRILCSPKSDPTLGLLEDPAERPVNSWASDFNTLVPVYGKAHWATISKCQGAERCDPELPKTSSFAASGPLIPEENKERVQELPDSGALMLVPNRQLTADYFEKTWLSLKVAHQQVLPWRGEFHPDTLQMALQVVNIQTIAMSRAGSRPWKAYLSAQDDTGCLFLTELLLEPGNSEMQISVKQNEARTETLNSFISVLETVIGTIEEIKS", - "output": "apparatus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDLTQQAKDIQNITVQETNKNNSESIECSKITMDLKFNNSRKYISITVPSKTQTMSPHIKSVDDVVVLGMNLSKFNKLTQFFICVAGVFVFYLIYGYLQELIFSVEGFKSCGWYLTLVQFAFYSIFGLIELQLIQDKRRRIPGKTYMIIAFLTVGTMGLSNTSLGYLNYPTQVIFKCCKLIPVMLGGVFIQGKRYNVADVSAAICMSLGLIWFTLADSTTAPNFNLTGVVLISLALCADAVIGNVQEKAMKLHNASNSEMVLYSYSIGFVYILLGLTCTSGLGPAVTFCAKNPVRTYGYAFLFSLTGYFGISFVLALIKIFGALIAVTVTTGRKAMTIVLSFIFFAKPFTFQYVWSGLLVVLGIFLNVYSKNMDKIRLPSLYDLINKSVEARKSRTLAQTV", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAERGGAGGGPGGAGGGSSQRGSGVAQSPQQQPPQQPSQPQQPTPPKLAQATSSSSSTSAAAASSSSSSTSTSMAVAVASGSAPPGGPGPGRTPAPVQMNLYATWEVDRSSSSCVPRLFSLTLKKLVMLKEMDKDLNSVVIAVKLQGSKRILRSNEIILPASGLVETELQLTFSLQYPHFLKRDANKLQIMLQRRKRYKNRTILGYKTLAVGLINMAEVMQHPNEGALVLGLHSNVKDVSVPVAEIKIYSLSSQPIDHEGIKSKLSDRSPDIDNYSEEEEESFSSEQEGSDDPLHGQDLFYEDEDLRKVKKTRRKLTSTSAITRQPNIKQKFVALLKRFKVSDEVGFGLEHVSREQIREVEEDLDELYDSLEMYNPSDSGPEMEETESILSTPKPKLKPFFEGMSQSSSQTEIGSLNSKGSLGKDTTSPMELAALEKVKSTWIKNQDDSLTETDTLEITDQDMFGDASTSLVVPEKVKTPMKSSKADLQGSASPSKVEGTHTPRQKRSTPLKERQLSKPLSERTNSSDSERSPDLGHSTQIPRKVVYDQLNQILVSDAALPENVILVNTTDWQGQYVAELLQDQRKPVVCTCSTVEVQAVLSALLTRIQRYCNCNSSMPRPVKVAAVGSQSYLSSILRFFVKSLASKTPDWLGHMRFLIVPLGSHPVAKYLGSVDSRYSSTFLDSAWRDLFSRSEPPVSEPLDVVGRVMQYVNGATTTHQLPVAEAMLTCRHKFPDEDSYQKFIPFIGVVKVGLVEDSPSTAGDGDDSPVVSLTVPSTSPPSSSGLSRDATATPPSSPSMSSALAIVGSPNSPYGDVIGLQVDYWLGHPGERRREGDKRDASSKNTLKSVFRSVQVSRLPHAGEAQLSGTMAMTVVTKEKNKKVPTIFLSKKPREKEVDSKSQVIEGISRLICSAKQQQTMLRVSIDGVEWSDIKFFQLAAQWPTHVKHFPVGLFSGSKPT", - "output": "apparatus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MYTTTLSVQGMTCTSCVASIQSMLEGVEGIEQFTISLLLERAIAVHDPSIISPDQIAEKIEDCGFDASVISSTEGEHGVMANYLLLSPMQAEQWTKVHNHINELQGVLSVNCSSSPDAAIRVIYDSEITGPRSIMKEILSMGVKCTFQPVDSSTSRILSLQRGSQIRVWKIRFIISISFSLAVMFLPQIFDSCDSMRAAFLVPHYFGICAGHIISLVLSLPVQFGVGRVYYSAAYHALKRGTANMDVLVSLGSTVAFAASIFFMILYSARHADNPAPIFFDTADMLLTFVTLGRYLESKAKGSTSAALSQLLSLAPSSATIIEDNEQIEILADLIERGDLILVKPGEIIPVDGTVVEGSSYVDESSVSGEPVPVHKTIDDELLSGTANGNGRLLVKATKSPRESQLAVIVDLVQRAQISHAPIQQFADRVAGIFVPVIVALSISTFTFWFLFTKYSSKYPSVFDDPMGKFAVCLKLTISVVVVACPCALGLSTPTAVMVGTGVGALNGIIIKGGEILERLNQVDTVVFDKTGTLTVGKLSVTDISIVDNLEELLDIPKNIFWAFVKASESSSEHPIGKAITEKASEFTDVSEIGIESFNAVPGEGVDVVLRWKERTFHALLGNSLLLEHNNVSIPDDFDSKLKLSSSSGLTCVRIAIDGQFVGFLGCMDQVRPDSYQTVSALKQLGKKVCLLTGDQKATARRVAQGLEIDFSDVYAEAVPSQKAEIIQKLKDQKHCVAMVGDGINDSPSLVLADVGIAPINGSGIALESADVILVRKGVLLDTAVSFDLSRVIVKRIKMNLVWACIYNFVMIPIAMGFFLPWGIYLNPMWASAAMMFSSLSVLASSLLLRRWKKPKSLIFSEADDVETESSTNSSVLQKVYTATRSIFGRNKSSNKYQPVANEV", - "output": "apparatus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALEGLRAKKRLLWRLFLSAFGLLGLYHYWFKIFRLFEVFIPMGICPMAIMPLLKDNFTGVLRHWARPEVLTCTSWGAPIIWDETFDPHVAEREARRQNLTIGLTVFAVGRYLEKYLEHFLVSAEQYFMVGQNVVYYVFTDRPEAVPHVALGQGRLLRVKPVRREKRWQDVSMARMLTLHEALGGQLGREADYVFCLDVDQYFSGNFGPEVLADLVAQLHAWHFRWPRWMLPYERDKRSAAALSLSEGDFYYHAAVFGGSVAALLKLTAHCATGQQLDREHGIEARWHDESHLNKFFWLSKPTKLLSPEFCWAEEIGWRPEIHHPRLIWAPKEYALVRT", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTSEMESSLEVSFSSSCAVSGASGCLPPARSRIFKIIVIGDSNVGKTCLTYRFCAGRFPDRTEATIGVDFRERAVDIDGERIKIQLWDTAGQERFRKSMVQHYYRNVHAVVFVYDMTNMASFHSLPAWIEECKQHLLANDIPRILVGNKCDLRSAIQVPTDLAQKFADTHSMPLFETSAKNPNDNDHVEAIFMTLAHKLKSHKPLMLSQLPDNRISLKPETKPAVTCWC", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRFAPYLISAVVITTIILGGAWWTSAMDTKLQTKMKEIIDQHTSTWTPVVSSVTSTQTDTLRVTISEVVSVTATLTETFTATPTVTSVVHALATTDPHPDNSKIVILMGSNFQNDANSPLHPFAQSIIKNRREYAERHGYKFEFLDADAYASRVTGHLMPWVKVPMLQDTMKKYPDAEWIWWLDHDALVMNKDLNVVDHVLKHDRLNTILTREAEYKSGAGIPADGFRTPKDQDAKDVHFIISQDFNGINAGSLFIRNSEVGRWIVDLWFEPLYLDHIQGYAEQQAFSHMVFYHPQVYKHVGVVPLKAINAYDFDDNIWGYDDGDLCIHFAGCNYFKNCPEKFLKYAQILSSKQGSDWMSAQEKDHIQNLLKPSS", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MWSSVANLKENLNKIAHDVHDDDEDDDEDLTIYGSTNGGTDRRNSNGFRYSRSPMANGFESPVNPEIERYKAEINKLQKSESEIKALSVNYAALLKEKEDQISRLNQENGSLKQNLTSTNAALKESRLDLSRASNNNAIKGNGDHSPNRSQRSPTNWKNRNQMNNGIASKPNGTENDSESHKKEKEFAEMLEERTRSMASAQARELEKEREKSANLQILLQEERKQNETFKEELQSLRLDKEKTLMESNKVRRELDAKLAEIRQLQMKLNGGEQHAFGISRENLKEVNKALEKENNELKLKRSELEAALEASQKSTSRKLFPKSTEDLSRHLSSLDEEKAGTFPGKEDMEKSLQRLEKELEEARREKDKARQELKRLKQHLLEKETEESEKMDEDSRLIDELRQTNEYQRSQILGLEKALRQTMANQEEIKSSSDLEIRKSKGIIEDLNQKLANCLRTIDSKNVELLNLQTALGQYYAEIEAKEHFERELAVAKEDAMKLSARLKDVDEQLESSKKEKEEITSKVLHAENIAAEWKNRVSKVEDDNAKVRRVLEQSMTRLNRMSMDSDFLVDRRIVIKLLVTYFQRNHSREVLDLMVRMLGFSEEEKQRIGLAQQGAAGKGVVRGVLGFPGRLVGGILGGGGGSPDSHPNMASDNQSFADMWVEFLLKDAEERERREAEDAANKEQEKATVSSTQRPKYEQSDSEFSTVPLTSSNSNHRLSRLLT", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MMLIPTHHFRDIERKPEYLQPEKCAPPPFPGPAGAMWFIRDGCGIACAIVTWFLVLYAEFVVLFVMLVPSRDYAYSIINGIVFNLLAFLALASHCRAMLTDPGAVPKGNATKEFIESLQLKPGQVVYKCPKCCSIKPDRAHHCSVCKRCIRKMDHHCPWVNNCVGENNQKYFVLFTMYIALISLHALIMVGFHFLHCFEEDWTKCSSFSPPTTVILLILLCFEALLFLIFTSVMFGTQVHSICTDETGIEQLKKEERRWAKKTKWMNMKAVFGHPFSLGWASPFATPDQGKADPYQYVV", - "output": "apparatus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSLRPCFVSLIDESDKPILIYVPNEAENEMNDVLKYNVLSNISLDYFESALVEWHSLDSKPLLKSIFQLEGVSVFAMLIKQTGLKIVIGFEQKSLSGADDEFEAINQIFETVRKIYIRVKCNPLLVSGDEKSIIKSLERKFDELFISTEVEL", - "output": "apparatus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKKSKLDNSSSQIRFGLVQFLLVVLLFYFLCMSFEIPFIFRTGSGSGSDDVSSSSFADALPRPMVVGGGSREANWVVGEEEEADPHRHFKDPGRVQLRLPERKMREFKSVSEIFVNESFFDNGGFSDEFSIFHKTAKHAISMGRKMWDGLDSGLIKPDKAPVKTRIEKCPDMVSVSESEFVNRSRILVLPCGLTLGSHITVVATPHWAHVEKDGDKTAMVSQFMMELQGLKAVDGEDPPRILHFNPRIKGDWSGRPVIEQNTCYRMQWGSGLRCDGRESSDDEEYVDGEVKCERWKRDDDDGGNNGDDFDESKKTWWLNRLMGRRKKMITHDWDYPFAEGKLFVLTLRAGMEGYHISVNGRHITSFPYRTGFVLEDATGLAVKGNIDVHSVYAASLPSTNPSFAPQKHLEMQRIWKAPSLPQKPVELFIGILSAGNHFAERMAVRKSWMQQKLVRSSKVVARFFVALHARKEVNVDLKKEAEYFGDIVIVPYMDHYDLVVLKTVAICEYGVNTVAAKYVMKCDDDTFVRVDAVIQEAEKVKGRESLYIGNINFNHKPLRTGKWAVTFEEWPEEYYPPYANGPGYILSYDVAKFIVDDFEQKRLRLFKMEDVSMGMWVEKFNETRPVAVVHSLKFCQFGCIEDYFTAHYQSPRQMICMWDKLQRLGKPQCCNMR", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MIEKCIGAHRFRRLQRFMRQGKVTILCLVLTVIVLRGTIGAGKFGTPEKDIEEIREHFFYTRKRGEPHRVLVEVSSKTTSSEDGGNGGNSYETFDINKLFVDEGDEEKSRDRTNKPYSLGPKISDWDEQRRDWLKQNPSFPNFVAPNKPRVLLVTGSAPKPCENPVGDHYLLKSIKNKIDYCRIHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEIEFLWWMDSDAMFTDMVFELPWERYKDYNLVMHGWNEMVYDQKNWIGLNTGSFLLRNSQWSLDLLDAWAPMGPKGKIREEAGKVLTRELKDRPAFEADDQSAMVYLLATEREKWGGKVYLESGYYLHGYWGILVDRYEEMIENHKPGFGDHRWPLVTHFVGCKPCGKFGDYPVERCLRQMDRAFNFGDNQILQMYGFTHKSLGSRRVKPTRNQTDRPLDAKDEFGLLHPPFKAAKLSTTTT", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLLTKRFSKLFKLTFIVLILCGLFVITNKYMDENTSVKEYKEYLDRYVQSYSNKYSSSSDAASADDSTPLRDNDEAGNEKLKSFYNNVFNFLMVDSPKGSTAKQYNEACLLKGDIGDRPDHYKDLYKLSAKELSKCLELSPDEVASLTKSHKDYVEHIATLVSPKGTYKGSGIATVGGGKFSLMAFLIIKTLRNMGTTLPVEVLIPPGDEGETEFCNKILPKYNSKCIYVSDILPRETIEKFVFKGYQFKSLALIASSFENLLLLDADNFPIKPLDNIFNEEPYVSTGLVMWPDFWRRTTHPLYYDIAGIAVDKKKRVRNSRDDITPPAVYTKDLKDLSDVPLSDLDGTIPDVSTESGQLMINKTKHLATALLSLFYNVNGPTWYYPIFSQKAAGEGDKETFIAAANFYGLSFYQVRTRTGVEGYHDEDGFHGVAMLQHDFVQDYGRYLNAMESIGNKYGGTKSADAIKFDKNYSLEKYTEEFFDNEDLNAKNHVDVMFIHSNFPKFDPYDLSKSNFLTTNGKPARSYTALKKVKNYDIELENFKVLNEYVCVNKNPFKYLDDLLGQDKTEWKRVCGYITDRLAFLESTHDKAIAGK", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSTGGDFGNPLRKFKLVFLGEQSVGKTSLITRFMYDSFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSTVAVVVYDITNVNSFQQTTKWIDDVRTERGSDVIIMLVGNKTDLADKRQVSIEEGERKAKELNVMFIETSAKAGYNVKQLFRRVAAALPGMESTQDRSREDMIDIKLEKPQEQPVSEGGCSC", - "output": "apparatus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSTGGDFGNPLRKFKLVFLGEQSVGKTSLITRFMYDSFDNTYQATIGIDFLSKTMYLEDRTIRLQLWDTAGQERFRSLIPSYIRDSAAAVVVYDITNVNSFQQTTKWIDDVRTERGSDVIIMLVGNKTDLADKRQVSIEEGERKAKELNVMFIETSAKAGYNVKQLFRRVAAALPGMESTQDRSREDMIDIKLEKPQEQPVSEGGCSC", - "output": "apparatus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLRIRRRFALVICSGCLLVFLSLYIILNFAAPAATQIKPNYENIENKLHELENGLQEHGEEMRNLRARLAETSNRDDPIRPPLKVARSPRPGQCQDVVQDVPNVDVQMLELYDRMSFKDIDGGVWKQGWNIKYDPLKYNAHHKLKVFVVPHSHNDPGWIQTFEEYYQHDTKHILSNALRHLHDNPEMKFIWAEISYFARFYHDLGENKKLQMKSIVKNGQLEFVTGGWVMPDEANSHWRNVLLQLTEGQTWLKQFMNVTPTASWAIDPFGHSPTMPYILQKSGFKNMLIQRTHYSVKKELAQQRQLEFLWRQIWDNKGDTALFTHMMPFYSYDIPHTCGPDPKVCCQFDFKRMGSFGLSCPWKVPPRTISDQNVAARSDLLVDQWKKKAELYRTNVLLIPLGDDFRFKQNTEWDVQRVNYERLFEHINSQAHFNVQAQFGTLQEYFDAVHQAERAGQAEFPTLSGDFFTYADRSDNYWSGYYTSRPYHKRMDRVLMHYVRAAEMLSAWHSWDGMARIEERLEQARRELSLFQHHDGITGTAKTHVVVDYEQRMQEALKACQMVMQQSVYRLLTKPSIYSPDFSFSYFTLDDSRWPGSGVEDSRTTIILGEDILPSKHVVMHNTLPHWREQLVDFYVSSPFVSVTDLANNPVEAQVSPVWSWHHDTLTKTIHPQGSTTKYRIIFKARVPPMGLATYVLTISDSKPEHTSYASNLLLRKNPTSLPLGQYPEDVKFGDPREISLRVGNGPTLAFSEQGLLKSIQLTQDSPHVPVHFKFLKYGVRSHGDRSGAYLFLPNGPASPVELGQPVVLVTKGKLESSVSVGLPSVVHQTIMRGGAPEIRNLVDIGSLDNTEIVMRLETHIDSGDIFYTDLNGLQFIKRRRLDKLPLQANYYPIPSGMFIEDANTRLTLLTGQPLGGSSLASGELEIMQDRRLASDDERGLGQGVLDNKPVLHIYRLVLEKVNNCVRPSELHPAGYLTSAAHKASQSLLDPLDKFIFAENEWIGAQGQFGGDHPSAREDLDVSVMRRLTKSSAKTQRVGYVLHRTNLMQCGTPEEHTQKLDVCHLLPNVARCERTTLTFLQNLEHLDGMVAPEVCPMETAAYVSSHSS", - "output": "apparatus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPFSSYIGNSRRSSTGGGTGGWGQSLLPTALSKSKLAINRKPRKRTLVVNFIFANFFVIALTVSLLFFLLTLFHFGVPGPISSRFLTSRSNRIVKPRKNINRRPLNDSNSGAVVDITTKDLYDRIEFLDTDGGPWKQGWRVTYKDDEWEKEKLKIFVVPHSHNDPGWKLTVEEYYQRQSRHILDTIVETLSKDSRRKFIWEEMSYLERWWRDASPNKQEALTKLVKDGQLEIVGGGWVMNDEANSHYFAIIEQIAEGNMWLNDTIGVIPKNSWAIDPFGYSSTMAYLLRRMGFENMLIQRTHYELKKDLAQHKNLEYIWRQSWDAMETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRGFKYELCPWGKHPVETTLENVQERALKLLDQYRKKSTLYRTNTLLIPLGDDFRYISIDEAEAQFRNYQMLFDHINSNPSLNAEAKFGTLEDYFRTVREEADRVNYSRPGEVGSGQVVGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEHTLRGAEIMMSFLLGYCHRIQCEKFPTSFTYKLTAARRNLALFQHHDGVTGTAKDYVVQDYGTRMHTSLQDLQIFMSKAIEVLLGIRHEKEKSDQSPSFFEAEQMRSKYDARPVHKPIAAREGNSHTVILFNPSEQTREEVVTVVVNRAEISVLDSNWTCVPSQISPEVQHDDTKLFTGRHRLYWKASIPALGLRTYFIANGNVECEKATPSKLKYASEFDPFPCPPPYSCSKLDNDVTEIRNEHQTLVFDVKNGSLRKIVHRNGSETVVGEEIGMYSSPESGAYLFKPDGEAQPIVQPDGHVVTSEGLLVQEVFSYPKTKWEKSPLSQKTRLYTGGNTLQDQVVEIEYHVELLGNDFDDRELIVRYKTDVDNKKVFYSDLNGFQMSRRETYDKIPLQGNYYPMPSLAFIQGSNGQRFSVHSRQSLGVASLKEGWLEIMLDRRLVRDDGRGLGQGVMDNRAMTVVFHLLAESNISQADPASNTNPRNPSLLSHLIGAHLNYPINTFIAKKPQDISVRVPQYGSFAPLAKPLPCDLHIVNFKVPRPSKYSQQLEEDKPRFALILNRRAWDSAYCHKGRQVNCTSMANEPVNFSDMFKDLAASKVKPTSLNLLQEDMEILGYDDQELPRDSSQPREGRVSISPMEIRAYKLELRPHK", - "output": "apparatus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSNSRYSQTESNNDRKLEGLANKLATFRNINQEIGDRAVSDSSVINQMTDSLGSMFTDIKNSSSRLTRSLKAGNSIWRMVGLALLIFFILYTLFKLF", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTLKPSKRRKGRSRHSRKKQITSAILTEEGIMIKAKPSSPYTYANRMADKRSRSSIDNISRTSFQSNISRTSFQSNSDNNSIFETASLISCVTCLSDTDTIDRSETSTTDTSKDDLSANPKLHYPSVNGQLPANTVIPYGRILDARYIEKEPLHYYDANSSPSSPLSSSMSNISEKCDLDELESSQKKERKGNSLSRGSNSSSSLLTSRSPFTKLVEVIFARPRRHDVVPKRVSLYIDYKPHSSSHLKEEDDLVEEILKRSYKNTRRNKSIFVIINPFGGKGKAKKLFMTKAKPLLLASRCSIEVVYTKYPGHAIEIAREMDIDKYDTIACASGDGIPHEVINGLYQRPDHVKAFNNIAITEIPCGSGNAMSVSCHWTNNPSYSTLCLIKSIETRIDLMCCSQPSYAREHPKLSFLSQTYGLIAETDINTEFIRWMGPARFELGVAFNIIQKKKYPCEIYVKYAAKSKNELKNHYLEHKNKGSLEFQHITMNKDNEDCDNYNYENEYETENEDEDEDADADDEDSHLISRDLADSSADQIKEEDFKIKYPLDEGIPSDWERLDPNISNNLGIFYTGKMPYVAADTKFFPAALPSDGTMDMVITDARTSLTRMAPILLGLDKGSHVLQPEVLHSKILAYKIIPKLGNGLFSVDGEKFPLEPLQVEIMPRLCKTLLRNGRYVDTDFDSM", - "output": "apparatus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGLYASKLFSNLFGNKEMRILMVGLDGAGKTTVLYKLKLGEVITTIPTIGFNVETVQYKNISFTVWDVGGQDRIRSLWRHYYRNTEGVIFVIDSNDRSRIGEAREVMQRMLNEDELRNAVWLVFANKQDLPEAMSAAEITEKLGLHSIRNRPWFIQSTCATSGEGLYEGLEWLSNNLKNQS", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAISVQHVVVLLLSTLLIAITFFLFTSDNARFPFPSLSTTDYYTPIPKSPIPHRIVDVSSDQTPQKMKLNTSLEVGELKWDLCKGAESVDYIPCLDNYAAIKQLKSRRHMEHRERHCPEPSPKCLLPLPDNYKPPVPWPKSRDMIWYDNVPHPKLVEYKKEQNWVKKEGEFLVFPGGGTQFKFGVTHYVEFIEKALPSIKWGKNIRVVLDVGCGVASFGGSLLDKDVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQQLTFPSNAFDLIHCARCRVHWDADGGKPLLELNRVLRPGGFFIWSATPVYRDNDRDSRIWNEMVSLTKSICWKVVTKTVDSSGIGLVIYQKPTSESCYNKRSTQDPPLCDKKEANGSWYVPLAKCLSKLPSGNVQSWPELWPKRLVSVKPQSISVKAETLKKDTEKWSASVSDVYLKHLAVNWSTVRNVMDMNAGFGGFAAALINLPLWVMNVVPVDKPDTLSVVYDRGLIGVYHDWCESVNTYPRTYDLLHSSFLLGDLTQRCEIVQVVAEIDRIVRPGGYLVVQDNMETIMKLESILGSLHWSTKIYEDRFLVGRKGFWRPAKPELR", - "output": "apparatus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MFANLKYVSLGILVFQTTSLVLTMRYSRTLKEEGPRYLSSTAVVVAELLKIMACILLVYKDSKCSLRALNRVLHDEILNKPMETLKLAIPSGIYTLQNNLLYVALSNLDAATYQVTYQLKILTTALFSVSMLSKKLGVYQWLSLVILMTGVAFVQWPSDSQLDSKELSAGSQFVGLMAVLTACFSSGFAGVYFEKILKETKQSVWIRNIQLGFFGSIFGLMGVYIYDGELVSKNGFFQGYNRLTWIVVVLQALGGLVIAAVIKYADNILKGFATSLSIILSTLISYFWLQDFVPTSVFFLGAILVITATFLYGYDPKPAGNPTKA", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDFIKSAASFIAKAGSQFPYDLNEKIPLSSNSVWTLQTGSIRESAQPCSVFSISLSTHPEWAELADRACETMKTLRHPCIIKYLSTYKSSTHLYIATETVRPVTTELNELSAEIKTYGLWRVSAALSFLNDKNIVHGNLQMSSVYLNSADEWIIGDFFLAGDSPQFIKDNHDKILNWSRLVPFEIQSSTLNSASFIYLDSYELGKFISHLYNGTPGDLSQRGNIPANIFVSAKKLLNVEGKQKLLASEFLKLGERPGGFFRTHLITLYELLSEVRINEEEDRVKLKQLLSSKLEVIPKNYIQKVVLNILFLLLSIDTHSDVVELLFKCAQIVKGRPDIEKDFGVPLLSLLKQQSVPIRGLLLSGIINNPDVLPKNIYEDTSFSVFANLVRSNSPTLKEHAIVVFSIIAPKLSKKTLNNELLRSLAVVQNDQHPTLRTNSTICLGKIAEYLDASVRKPVLAAALSRSLKDPFVPAREAALKVLLSVQNYFDTKDVAIKLFPSVVPLLIDENEGIRRTAEDVTDQFLSRIKNFNLGEKENVSAPAKFNGSFWSKFIHSSSASPSPSIDMKKESLELKNDTTEIKEKKNSKSRVVGNTENSKDEFNNPLFETEEQIDESWMENWNDEEETENNVEESWGL", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLGICRGRRKFLAASLSLLCIPAITWIYLFSGSFEDGKPVSLSPLESQAHSPRYTASSQRERESLEVRMREVEEENRALRRQLSLAQGRAPSHRRGNHSKTYSMEEGTGDSENLRAGIVAGNSSECGQQPVVEKCETIHVAIVCAGYNASRDVVTLVKSVLFHRRNPLHFHLIADSIAEQILATLFQTWMVPAVRVDFYNADELKSEVSWIPNKHYSGIYGLMKLVLTKTLPANLERVIVLDTDITFATDIAELWAVFHKFKGQQVLGLVENQSDWYLGNLWKNHRPWPALGRGYNTGVILLLLDKLRKMKWEQMWRLTAERELMGMLSTSLADQDIFNAVIKQNPFLVYQLPCFWNVQLSDHTRSEQCYRDVSDLKVIHWNSPKKLRVKNKHVEFFRNLYLTFLEYDGNLLRRELFGCPSEADVNSENLQKQLSELDEDDLCYEFRRERFTVHRTHLYFLHYEYEPAADSTDVTLVAQLSMDRLQMLEAICKHWEGPISLALYLSDAEAQQFLRYAQGSEVLMSRHNVGYHIVYKEGQFYPVNLLRNVAMKHISTPYMFLSDIDFLPMYGLYEYLRKSVIQLDLANTKKAMIVPAFETLRYRLSFPKSKAELLSMLDMGTLFTFRYHVWTKGHAPTNFAKWRTATTPYRVEWEADFEPYVVVRRDCPEYDRRFVGFGWNKVAHIMELDVQEYEFIVLPNAYMIHMPHAPSFDITKFRSNKQYRICLKTLKEEFQQDMSRRYGFAALKYLTAENNS", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLFKLLQRQTYTCLSHRYGLYVCFLGVVVTIVSAFQFGEVVLEWSRDQYHVLFDSYRDNIAGKSFQNRLCLPMPIDVVYTWVNGTDLELLKELQQVREQMEEEQKAMREILGKNTTEPTKKSEKQLECLLTHCIKVPMLVLDPALPANITLKDLPSLYPSFHSASDIFNVAKPKNPSTNVSVVVFDSTKDVEDAHSGLLKGNSRQTVWRGYLTTDKEVPGLVLMQDLAFLSGFPPTFKETNQLKTKLPENLSSKVKLLQLYSEASVALLKLNNPKDFQELNKQTKKNMTIDGKELTISPAYLLWDLSAISQSKQDEDISASRFEDNEELRYSLRSIERHAPWVRNIFIVTNGQIPSWLNLDNPRVTIVTHQDVFRNLSHLPTFSSPAIESHIHRIEGLSQKFIYLNDDVMFGKDVWPDDFYSHSKGQKVYLTWPVPNCAEGCPGSWIKDGYCDKACNNSACDWDGGDCSGNSGGSRYIAGGGGTGSIGVGQPWQFGGGINSVSYCNQGCANSWLADKFCDQACNVLSCGFDAGDCGQDHFHELYKVILLPNQTHYIIPKGECLPYFSFAEVAKRGVEGAYSDNPIIRHASIANKWKTIHLIMHSGMNATTIHFNLTFQNTNDEEFKMQITVEVDTREGPKLNSTAQKGYENLVSPITLLPEAEILFEDIPKEKRFPKFKRHDVNSTRRAQEEVKIPLVNISLLPKDAQLSLNTLDLQLEHGDITLKGYNLSKSALLRSFLMNSQHAKIKNQAIITDETNDSLVAPQEKQVHKSILPNSLGVSERLQRLTFPAVSVKVNGHDQGQNPPLDLETTARFRVETHTQKTIGGNVTKEKPPSLIVPLESQMTKEKKITGKEKENSRMEENAENHIGVTEVLLGRKLQHYTDSYLGFLPWEKKKYFQDLLDEEESLKTQLAYFTDSKNTGRQLKDTFADSLRYVNKILNSKFGFTSRKVPAHMPHMIDRIVMQELQDMFPEEFDKTSFHKVRHSEDMQFAFSYFYYLMSAVQPLNISQVFDEVDTDQSGVLSDREIRTLATRIHELPLSLQDLTGLEHMLINCSKMLPADITQLNNIPPTQESYYDPNLPPVTKSLVTNCKPVTDKIHKAYKDKNKYRFEIMGEEEIAFKMIRTNVSHVVGQLDDIRKNPRKFVCLNDNIDHNHKDAQTVKAVLRDFYESMFPIPSQFELPREYRNRFLHMHELQEWRAYRDKLKFWTHCVLATLIMFTIFSFFAEQLIALKRKIFPRRRIHKEASPNRIRV", - "output": "apparatus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MQYSSRFLELNIPDSFLNINKIPDATKFITVTYICLTATLFCIRRSLYNKLVLEDPNLDYNLITSPLLQMVPSQIWRYPTSLVLSNFIDTKAWKVVVNLLNLIIGGSFIERNWNSSKEMFKFIIVLGSLTNVLIIMLTLLVSFFSNKVRLDIPLDGNYTILIGFPIIYRQLLPETTIIHLKTPQFLAKNFRFKLLPIFVMFTMTVTQIIWFHHFAQLFSIWVTFFASWSYLRFFQKLAPLNCPSLPTTNSQGGQEILVGDASDTFQLIYFFPDLIKPILRPIFNFIYNVVVVKFKVIKPFHDIDIDIGNTIAESRGAKKIMTVEERRRQLALQVLEERMVNP", - "output": "apparatus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGKNKKKTGKKAKSHPHVEDVDETVNKPEEIINSVNVTVPPKMSTDPEADGIVASPDDEGKDLSEGVDKQKVNDGLTVDTINPLEDKKAGDEMKELREEIERLKLELSHKKDQETPNEDFKNELANVIKERDEFKTQYDTLLSKISSMKSIFNKMKEAQKQLEEVQEQLTEYESQNLKLKKKLEATKTENSELQSTIVTLNTELENLEKEQESTEEVFLEYESRIEALEDEKHDIIEKHSKELNTYRKEKDQLNLQVQELMIILENNKQDISDLRTERDELRQALESHEKEKAVLKNSLNDLELKIEEVDNKREEEARERDQEVKSLRSQLDTEIETHNNDTEALESMKKQLEAMKEDASMKEKYEEESKQHILQIGKLRHEAIILNEHLTKALAMLKKSSDSESVDKELISNLLISFVSIPRADPRKFEVLELLSNFLNWDEDKKQQAGLISNNESKNSSAVSRTESFVSLWTNYLEKESEKD", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTTLTHRARRTEISKNSEKKMESEEDSNWEKSPDNEDSGDSKDIRLTLMEEVLLLGLKDKEGYTSFWNDCISSGLRGGILIELAMRGRIYLEPPTMRKKRLLDRKVLLKSDSPTGDVLLDETLKHIKATEPTETVQTWIELLTGETWNPFKLQYQLRNVRERIAKNLVEKGILTTEKQNFLLFDMTTHPVTNTTEKQRLVKKLQDSVLERWVNDPQRMDKRTLALLVLAHSSDVLENVFSSLTDDKYDVAMNRAKDLVELDPEVEGTKPSATEMIWAVLAAFNKS", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSDFPHYNFRMPNIGFQNLPLNIYIVVFGTAIFVFILSLLFCCYLIRLRHQAHKEFYAYKQVILKEKVKELNLHELCAVCLEDFKPRDELGICPCKHAFHRKCLIKWLEVRKVCPLCNMPVLQLAQLHSKQDRGPPQGPLPGAENIV", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKLSNIPQRYVIVFLTFLSTCVCYIERVGFSIAYTVAADAAGINQSSKGTILSTFFVGYACSQVPGGWAAQKIGGRKVLLLSFVLWSSTCFLVPLDPNRVGLLVVARLLVGVAQGFIFPSIHTVLAQWVPPHERSRLVSITTSGMYLGAALGMWLLPALVELRGPESVFLAEALAGVIWSLLWIRYATDPPRSEHPKAAAAGFGGALLPTNVNHHKVTHIPWKKIMLSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQISLQGMDSSKMVPYLNMFVFSIVGGFIADYLITKRILSVTRTRKFLNTVGFLIASAALMVLPMFRTENGVILCSSVALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIIGVDLTGKLLEASKLVYSDLSHPESWRVVFFIPGLLCIFSSVVFLLFSTGERIFD", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSAIFNFQSLLTVILLLICTCAYIRSLAPSLLDRNKTGLLGIFWKCARIGERKSPYVAVCCIVMAFSILFIQ", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAMGKYSRVDGKKSSGYGLTITIVLIVSLCLVGAWMFMSSWSAPTESIDFSANERTKDVDTTKSDFKSEEVDRGSKSFPDEKNEETEVVTETNEEKTDPEKSGEENSGEKTESAEERKEFDDKNGDGDRKNGDGEKDTESESDETKQKEKTQLEESSEENKSEDSNGTEENAGESEENTEKKSEENAGETEESTEKSKDVFPAGDQAEITKESSTGSGAWSTQLVESQNEKKAQVSSIKWKVCNVTAGPDYIPCLDNWQAIRKLHSTKHYEHRERHCPEESPRCLVSLPEGYKRSIKWPKSREKIWYTNIPHTKLAEVKGHQNWVKMSGEYLTFPGGGTQFKNGALHYIDFLQESYPDIAWGNRTRVILDVGCGVASFGGYLFDRDVLALSFAPKDEHEAQVQFALERGIPAMSNVMGTKRLPFPGSVFDLIHCARCRVPWHIEGGKLLLELNRALRPGGFFVWSATPVYRKTEEDVGIWKAMSKLTKAMCWELMTIKKDELNEVGAAIYQKPMSNKCYNERSQNEPPLCKDSDDQNAAWNVPLEACIHKVTEDSSKRGAVWPESWPERVETVPQWLDSQEGVYGKPAQEDFTADHERWKTIVSKSYLNGMGIDWSYVRNVMDMRAVYGGFAAALKDLKLWVMNVVPIDSPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHADHLFSSLKKRCNLVGVMAEVDRILRPQGTFIVRDDMETIGEIEKMVKSMKWNVRMTHSKDGEGLLSVQKSWWRPTEAETIQSAIA", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRGRSEGGKKKPVIVLLCVASVVLVFVYLFFGSSNHKAIEYGRKLGLGGDDDDSTKKDDTSSSFYVEDVVGNGFTPRSFPVCDDRHSELIPCLDRNLIYQMRLKLDLSLMEHYERHCPPPERRFNCLIPPPPGYKIPIKWPKSRDEVWKVNIPHTHLAHEKSDQNWMVVKGEKINFPGGGTHFHYGADKYIASMANMLNFPNNVLNNGGRLRTFLDVGCGVASFGGYLLASEIMTMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWREMSALVGRMCWTIAAKRNQTVIWQKPLTNDCYLGREPGTQPPLCNSDSDPDAVYGVNMEACITQYSDHDHKTKGSGLAPWPARLTSPPPRLADFGYSTDIFEKDTETWRQRVDTYWDLLSPKIQSDTVRNIMDMKASMGSFAAALKEKDVWVMNVVPEDGPNTLKLIYDRGLMGAVHSWCEAFSTYPRTYDLLHAWDIISDIKKRGCSAEDLLLEMDRILRPSGFILIRDKQSVVDLVKKYLKALHWEAVETKTASESDQDSDNVILIVQKKLWLTSESLRDLE", - "output": "apparatus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVEGWRNGFRDATNSKPLFVTIYATVIIGVLVSSFYVFSAIYSPTNGSSSFLSFPPLSTSGRIHSLPQENATLELPVAPPPPPQALPPPVLEEAQGNSLGKIWVSPPRDKKMPPLETFKLTKELFGERVKDNVIIVTFGNYAFMDFILTWVKHLTDLDLSNILVGAMDTKLLEALYWKGVPVFDMGSHMSTVDVGWGSPTFHKMGREKVILIDSVLPFGYELLMCDTDMVWLKNPMPYLARFPDADVLTSSDQVVPTVIDDSLDIWQQVGAAYNIGIFHWRPTESAKKLAKEWKEILLADDKVWDQNGFNEIVRRQLGPSVEGDSGLFYAYDGNLKVGILPASIFCSGHTYFVQAMYQQLRLEPYAVHTTFQYAGTEGKRHRLREGMVFYDPPEYYDSPGGFIAFKPSIPKSLLLDGKHTIESHFILVNHQMKQIRSALAIASLLNRTLVMPPIWCRLDRLWFGHPGTLQGSMTRQPFICPLDHVFEVNIMLKELPEEEFGPGIGIREYSFLDNPLLPKQVKESWLDVQLCQEGKEGCEASNNTSPSRVLKFPKRSNEDTFKAIFSSFDDVKVIKFSSIEDAFIGFSDKEREERFRRRVKRYVGIWCCEENKTPGHIYYDMYWDEKPGWKPVPPQTPEEDHPPL", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAGTSNYWEDLRKQARQLENELDLKLVSFSKLCTSYSHSGSRDGGRDRYSSDTTPLLNGSSQDRMFETMAIEIEQLLARLTGVNDKMAEYTHSAGVPSLNAALMHTLQRHRDILQDYTHEFHKTKANFTAIRERENLMGSVRKDIESYKSGSGVNNRRTELFLKEHDHLRNSDRLIEETISIAMATKENMTSQRGMLKSIHSKMNTLANRFPAVNSLIQRINLRKRRDSLILGGVIGICTILLLLYAFH", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MARNKLVSGSHGIWKYFNPAFYLRRPRRLALLIILFVSVSMVVWDRQSLSRDYQFEVSKLNEEVLRLQQMLEEIKSVTEDVSVNSLKDVQEDPVDAQRMQRVKEAMVHAWSSYEKYAWGQDELQPQTKDGVDSFGGLGATMIDALDTLYIMGLDEQFQKAREWVASSLDFDKDYAASMFETTIRVVGGLLSAYDLSGDKIFLEKAMDIADRLLPAWDTQSGIPYNIINLKHGNAHNPTWAGGDSILADSGTEQLEFIALSQRTGDPKYQQKVEKVISVLNKNFPADGLLPIYINPDTANPSQSTITFGAMGDSFYEYLLKVWVFGNKTSAVKHYRDMWEKSMNGLLSLVKKSTPLSFTYICEKSGNSLIDKMDELACFAPGMLALGASGYSDPAEGKKFLTLAEELAWTCYNFYQSTPTKLAGENYFFNSGSDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFEAFEKNSRIESGYVGLKDVNTGVKDNKMQSFFLAETLKYLYLLFSPTTVIPLDEWVFNTEAHPLKIKSRNDQVNLKQSNKVLLRKPAFRIRQRHYGRITKK", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSQYASSSSWTSFLKSIASFNGDLSSLSAPPFILSPISLTEFSQYWAEHPELFLEPSFINDDNYKEHCLIDPEVESPELARMLAVTKWFISTLKSQYCSRNESLGSEKKPLNPFLGELFVGKWENKEHPEFGETVLLSEQVSHHPPVTAFSIFNDKNKVKLQGYNQIKASFTKSLMLTVKQFGHTMLDIKDESYLVTPPPLHIEGILVASPFVELEGKSYIQSSTGLLCVIEFSGRGYFSGKKNSFKARIYKDSKDSKDKEKALYTISGQWSGSSKIIKANKKEESRLFYDAARIPAEHLNVKPLEEQHPLESRKAWYDVAGAIKLGDFNLIAKTKTELEETQRELRKEEEAKGISWQRRWFKDFDYSVTPEEGALVPEKDDTFLKLASALNLSTKNAPSGTLVGDKEDRKEDLSSIHWRFQRELWDEEKEIVL", - "output": "apparatus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNLFWPSETKKQNEIPGGDYTPGNSPSVQKGYQFLNRDIFKSCPRIMERQFGECLHNRTHLIKDLISSGNVGLGPIEIVHMSYLNKHEKEEFGEYFYVTGIEVSGPAMPVEFLEVLKSSKRISKNISNNIILTYCCFNFFSNLDIRIRYDADDTFQTTAIDCNKETTDLTMTEKMWEETFASSVIRAIITNTNPELKPPGLVECPFYVGKDTISSCKKIIELLCRFLPRSLNCGWDSTKSMQATIVNNYLMYSLKSFIAITPSLVDFTIDYLKGLTKKDPIHDIYYKTAMITILDHIETKELDMITILNETLDPLLSLLNDLPPRDADSARLMNCMSDLLNIQTNFLLNRGDYELALGVSNTSTELALDSFESWYNLARCHIKKEEYEKALFAINSMPRLRKNDGHLETMYSRFLTSNYYKKPLNGTREHYDLTAMEFTNLSGTLRNWKEDELKRQIFGRIAMINEKKIGYTKEIWDDIAIKLGPICGPQSVNLINYVSPQEVKNIKNINLIARNTIGKQLGWFSGKIYGLLMEIVNKIGWNGLLNIRTEAFMMETEFYQASNNIIDENGHIPMESRKKRFCEGWLDDLFLDLYQDLKLSKISLSNKDEKHSGLEWELLGLIMLRTWHWEDAVACLRTSIVARFDPVSCQQLLKIYLQPPKNIQEVTLLDTDTIISLLIKKISYDCRYYNYCQIFNLQLLEKLCNELGTHILRNKILLQPSIGDEIMVMIDAMLAWIADLDHTVQP", - "output": "apparatus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRVRPKRSVITLMAIVVVMLILRNQFYSSRTRGHGQEPVISSSQKNLYDGWITPNFYRKGDPLELIVNKVESDLTQLPYAYYDLPFTCPPTMHKKPLHLSLNEIIRGDRKWESDYKLKFGEDNPCETLCARKTTKEGMQTLDKLVREGYVVQWLIDDELPAATTFISTTDHKKYYASGFPLGFIDPDTDKTYLHNHVMLVIRFHASDNDKNTIVGFEVYPRSVSDYHCPGASKNYEQYEIVIPEDENELTYLPFTYSVYWREEFEVDWNHRWDYFLNAGELSDEQSIQFHWMSLANSVGIVLSISFITLIIYVRVMYTDKSNSKSPKYMINIEGIETEDDLDDDKYGKYSVYTVAKDWIQNGRPNLFGLKVLILLVSFGVQFLFTIIGSLTISCSMNKLHNVRNSVLTMAILFFVLGAFMASFVGTRLSMVTKTKRTKANYLDDNRYLKDYKKFSPIFTILCGSSLPGIVMVSTFLLNSIVWAHDSTSALPFKTIVFFMSIYFIVCIPLSLFGGIVANNIPLPQYWLSGITKDESNSDGNGLFVPKSRAKFNPLVYCGIYLCGIFPLLVIYVEMQYVYKSLWLEKTTFYYFYGFLFLSIILLCVLTMEISIIGSYLLMRFCFEDKVVRNNWRWKCFEMGFSGGVYMELYSLYYIFAVLNIHGFSSILISICYSLIFNVMCSLGLGALSYLTASWFINKIYHQKVNL", - "output": "apparatus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MMGLGNGRRSMKSPPLVLAALVACIIVLGFNYWIASSRSVDLQTRIMELEGRVRRAAAERGAVELKKNEFQGELEKQREQLDKIQSSHNFQLESVNKLYQDEKAVLVNNITTGERLIRVLQDQLKTLQRNYGRLQQDVLQFQKNQTNLERKFSYDLSQCINQMKEVKEQCEERIEEVTKKGNEAVASRDLSENNDQRQQLQALSEPQPRLQAAGLPHTEVPQGKGNVLGNSKSQTPAPSSEVVLDSKRQVEKEETNEIQVVNEEPQRDRLPQEPGREQVVEDRPVGGRGFGGAGELGQTPQVQAALSVSQENPEMEGPERDQLVIPDGQEEEQEAAGEGRNQQKLRGEDDYNMDENEAESETDKQAALAGNDRNIDVFNVEDQKRDTINLLDQREKRNHTL", - "output": "apparatus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKLSRQFTVFGSAIFCVVIFSLYLMLDRGHLDYPRGPRQEGSFPQGQLSILQEKIDHLERLLAENNEIISNIRDSVINLSESVEDGPRGPAGNASQGSAHLHSAQLALQADPKDCLFASQSGNQHRDVQMLDVYDLIPFDNPDGGVWKQGFDIKYEADEWDREPLQVFVVPHSHNDPGWLKTFNDYFRDKTQYIFNNMVLKLKEDSSRKFIWSEISYLAKWWDIIDNPKKEAVKSLLQNGQLEIVTGGWVMADEATTHYFALIDQLIEGHQWLEKNLGVKPRSGWAIDPFGHSPTMTYLLKRAGFSHMLIQRVHYSVKKHFSLQKTLEFFWRQNWDLGSTTDILCHMMPFYSYDIPHTCGPDPKICCQFDFKRLPGGRYGCPWGVPPEAISPGNVQSRAQMLLDQYRKKSKLFRTKVLLAPLGDDFRFSEYTEWDLQYRNYEQLFSYMNSQPHLKVKIQFGTLSDYFDALEKSVAAEKKGGQSVFPALSGDFFTYADRDDHYWSGYFTSRPFYKRMDRIMESRLRTAEILYHLALKQAQKYKINKFLSSPHYTTLTEARRNLGLFQHHDAITGTAKDWVVVDYGTRLFQSLNSLEKIIGDSAFLLILKDKKLYQSDPSKAFLEMDTKQSSQDSLPKKNIIQLSAQEPRYLVVYNPFEQERHSVVSVRVNSATVKVLSDLGKAVEVQVSAVWKDMRTTSQAAYEVAFLAHLPPLGLKVYKILESQSSSSHLADYFLYNNDGQAESGIFHMKNMVDSGDAITIENSFLTLGFDRSGLMEKVRRKEDNKQQELKVQFLWYGTTNKRDKSGAYLFLPDGQGQPYVSLRTPFVRVTRGRIYSDVTCFLEHVTHKVRLYHIQGIEGQSMEVSNIVDIRSVHNREIVMRISSKINNQNRYYTDLNGYQIQPRRTMAKLPLQANVYPMSTMAYIQDAAHRLTLLSAQSLGASSMASGQIEVFMDRRLMQDDNRGLGQGVHDNKITANLFRILLEKRNGMNMEEDKKSPVSYPSLLSHMTSAFLNHPFLPMVLSGQLPSPAIELLSEFRLLQSSLPCDIHLVNLRTIQSKVGKGYSDEAALILHRKVFDCQLSSRAMGLPCSTTQGKMSIPKLFNNFAVESFIPSSLSLMHSPPDAQNTSEVSLSPMEISTSRIRLR", - "output": "apparatus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MFYNRWLGTWLAMSALIRISVSLPSSEEYKVAYELLPGLSEVPDPSNIPQMHAGHIPLRSEDADEQDSSDLEYFFWKFTNNDSNGNVDRPLIIWLNGGPGCSSMDGALVESGPFRVNSDGKLYLNEGSWISKGDLLFIDQPTGTGFSVEQNKDEGKIDKNKFDEDLEDVTKHFMDFLENYFKIFPEDLTRKIILSGESYAGQYIPFFANAILNHNKFSKIDGDTYDLKALLIGNGWIDPNTQSLSYLPFAMEKKLIDESNPNFKHLTNAHENCQNLINSASTDEAAHFSYQECENILNLLLSYTRESSQKGTADCLNMYNFNLKDSYPSCGMNWPKDISFVSKFFSTPGVIDSLHLDSDKIDHWKECTNSVGTKLSNPISKPSIHLLPGLLESGIEIVLFNGDKDLICNNKGVLDTIDNLKWGGIKGFSDDAVSFDWIHKSKSTDDSEEFSGYVKYDRNLTFVSVYNASHMVPFDKSLVSRGIVDIYSNDVMIIDNNGKNVMITTDDDSDQDATTESGDKPKENLEEEEQEAQNEEGKEKEGNKDKDGDDDNDNDDDDEDDHNSEGDDDDDDDDDEDDNNEKQSNQGLEDSRHKSSEYEQEEEEVEEFAEEISMYKHKAVVVTIVTFLIVVLGVYAYDRRVRRKARHTILVDPNNRQHDSPNKTVSWADDLESGLGAEDDLEQDEQLEGGAPISSTSNKAGSKLKTKKKKKYTSLPNTEIDESFEMTDF", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLPRGRPRALGAAALLLLLLLLGFLLFGGDLGCERREPGGRAGAPGCFPGPLMPRVPPDGRLRRAAALDGDPGAGPGDHNRSDCGPQPPPPPKCELLHVAIVCAGHNSSRDVITLVKSMLFYRKNPLHLHLVTDAVARNILETLFHTWMVPAVRVSFYHADQLKPQVSWIPNKHYSGLYGLMKLVLPSALPAELARVIVLDTDVTFASDISELWALFAHFSDTQAIGLVENQSDWYLGNLWKNHRPWPALGRGFNTGVILLRLDRLRQAGWEQMWRLTARRELLSLPATSLADQDIFNAVIKEHPGLVQRLPCVWNVQLSDHTLAERCYSEASDLKVIHWNSPKKLRVKNKHVEFFRNFYLTFLEYDGNLLRRELFVCPSQPPPGAEQLQQALAQLDEEDPCFEFRQQQLTVHRVHVTFLPHEPPPPRPHDVTLVAQLSMDRLQMLEALCRHWPGPMSLALYLTDAEAQQFLHFVEASPVLAARQDVAYHVVYREGPLYPVNQLRNVALAQALTPYVFLSDIDFLPAYSLYDYLRASIEQLGLGSRRKAALVVPAFETLRYRFSFPHSKVELLALLDAGTLYTFRYHEWPRGHAPTDYARWREAQAPYRVQWAANYEPYVVVPRDCPRYDPRFVGFGWNKVAHIVELDAQEYELLVLPEAFTIHLPHAPSLDISRFRSSPTYRDCLQALKDEFHQDLSRHHGAAALKYLPALQQPQSPARG", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MYKNLEEHNRLVNKYLKIFFVVSLYWCTSILTVFVNKHLLSSDTVNLGAPLFMSWFQCVVSTVICFVASRLSRKYPSVFTFPEGNPLDIDTFRKILPLSVLYTLMIGANNLSLSYVTVAFYYIGRSLTTVFSVVLTYVILRQRTSFKCLLCCGAIVVGFWLGVDQESLTEVFSWRGTIFGVLSSLALAMFSIQTKKSLGYVNQEVWLLSYYNNLYSTLLFLPLIIINGELESIITYPHLWASWFWAAMTLSGLCGFAIGFVTALEIKVTSALTHNISGTAKACAQTVIATQYYHDVRSALWWTSNVVVLVASAAYTRVKQLEMMRQHQQRSTATQKA", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MADQIPLYPVRSAAAAAANRKRAAYYSAAGPRPGADRHSRYQLEDESAHLDEMPLMMSEEGFENEESDYHTLPRARIMQRKRGLEWFVCDGWKFLCTSCCGWLINICRRKKELKARTVWLGCPEKCEEKHPRNSIKNQKYNVFTFIPGVLYEQFKFFLNLYFLVISCSQFVPALKIGYLYTYWAPLGFVLAVTMTREAIDEFRRFQRDKEVNSQLYSKLTVRGKVQVKSSDIQVGDLIIVEKNQRIPSDMVFLRTSEKAGSCFIRTDQLDGETDWKLKVAVSCTQQLPALGDLFSISAYVYAQKPQMDIHSFEGTFTREDSDPPIHESLSIENTLWASTIVASGTVIGVVIYTGKETRSVMNTSNPKNKVGLLDLELNRLTKALFLALVALSIVMVTLQGFVGPWYRNLFRFLLLFSYIIPISLRVNLDMGKAVYGWMMMKDENIPGTVVRTSTIPEELGRLVYLLTDKTGTLTQNEMIFKRLHLGTVSYGADTMDEIQSHVRDSYSQMQSQAGGNNTGSTPLRKAQSSAPKVRKSVSSRIHEAVKAIVLCHNVTPVYESRAGVTEETEFAEADQDFSDENRTYQASSPDEVALVQWTESVGLTLVSRDLTSMQLKTPSGQVLSFCILQLFPFTSESKRMGVIVRDESTAEITFYMKGADVAMSPIVQYNDWLEEECGNMAREGLRTLVVAKKALTEEQYQDFESRYTQAKLSMHDRSLKVAAVVESLEREMELLCLTGVEDQLQADVRPTLEMLRNAGIKIWMLTGDKLETATCIAKSSHLVSRTQDIHIFRQVTSRGEAHLELNAFRRKHDCALVISGDSLEVCLKYYEHEFVELACQCPAVVCCRCSPTQKARIVTLLQQHTGRRTCAIGDGGNDVSMIQAADCGIGIEGKEGKQASLAADFSITQFRHIGRLLMVHGRNSYKRSAALGQFVMHRGLIISTMQAVFSSVFYFASVPLYQGFLMVGYATIYTMFPVFSLVLDQDVKPEMAMLYPELYKDLTKGRSLSFKTFLIWVLISIYQGGILMYGALVLFESEFVHVVAISFTALILTELLMVALTVRTWHWLMVVAEFLSLGCYVSSLAFLNEYFGIGRVSFGAFLDVAFITTVTFLWKVSAITVVSCLPLYVLKYLRRKLSPPSYCKLAS", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEDPKSDEKFDIGISEKNLDVGFGESSSVDVPVKGRFASFLKKLELSSGPEKENIDLRPTPPDRRHYSALDIIYLWSCNGISASAFRTGTSYMEMGLSPKQALAALIAGNVFIAMPMTLNGLFGSHYHIPFAVQSRASFGYYFNTLIILLRFIAGLFYYGTNVYTGAECVQTILYAIFKSFRSYKNRLPADAGITSDFLISYFVYWVISFPFHLIRPEYLQRFFLIKSISTYIACFAMLIFLLCNVGSHVVWDQPATVSGRSWSWVFMCALNSSVAGFSTLAVNVNDFTRYVKHPKTPYVQMLILPLVAAVSAPIGIVSGVASKIMYGTAMWDPLQIANNWTSRGGRAAAFFMGLTYLVSMIAQNISDNTVAAANDLLYFFPRYLDIRRAQVIVIIIGAWAIVPWKILQNGTAFLAFLGSLSIFLGPAAGIFVADKFKNHHKYDIDEFYNPSGIYRYNKLGLNWRALIAFLCACVPLIPGMAMSINPSITMPDGVIHLYYIGYFYSFMTAFLIYWGLNLVFPAKETLLEEAVYPPKSNAELVDPSTLSGKDKFWYYIDY", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKFSTLKLATCYLYKVAAEAKVAGKEEFVGDSDSNYQNEYLVTRTTGKDTFTPEMTRKMVLENGLAIVRETTTYVNPYEVKFVPGPVLESLDKVAFASERFGAPNKFIPKPDFSYMEGYNKMAKKTGSSNARTPDEGKKAKNAPEEEKVKTSGSEDAKGEESAVEGKEPEQGENDVEVANPTKSSEKAGFFPNGSFARPSFGKYSSLA", - "output": "apparatus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASWLKAAEDLFEVVDRRAKSVVEDLSEEQNDLQLPASGRKGSQGKRTSSKKKKLVKEESSNKRDSSGDQSGPGVSQSEVPPSKSSVSTDETSSSGPVLLTREIHPTDADVQSVLSLPLSVADTKSDDAAVVAQESIVDGDRSESKHADGDIPNDSLVQPSPSLPDKEIEVVVSENLMDAPKNGTQRELDDSSKRDVENLDSVVHAPSVNEGNVAQSTGDEVKVGTSINLEKEQEPKVPVTSTNLKREQDRRADTTSMKIQDQLEEAQGLLKATVSTGQSKEARLARVCAGLSSRLQEIKAENAQLEELLTAEQELTKSYEASIRHLQKDLSAAKSEVTKVESSMVEALAAKNSEIETLVSAMDALKNQAALNEGKLSSLQGDMESIMRNRELAETRMMQALREELATTERRAEEERSAHNATKMAAMERERELEHRAVDASTALVRIQRIADERTAKVADFEQKVALLEAECTSLNQELQDMEVRARRGQKKAPDEANQVIQIQAWQDEVDRARQGQRDAEEKLSLMEAEMQKLRVEMAAMKRDAEHYSRQEHTELEKRYRELTDLLYYKQTQLETMASEKAAAEFQLEKEVKRLHEAQVEVEKSRVSRRASATWEEDSEIKTLEPLPLYHRHMATASTQLQNAVKLLDSGAVRATRFLWRYPIARMFLLFYLVFVHLFLMYLIHRLQEQAEAQEVAAMTNNVFRL", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAGTSNYWEDLRKQARQLENELDLKLVSFSKLCTSYSHSSARDGRRDRYSSDTTPLLNGSSQDRMFETMAIEIEQLLARLTGINDKMAEYTSSAGVPSLNAALMHTLQRHRDILQDYTHEFHKTKANFVAIRERENLMGSVRKDIESYKSGSGVNNRRTELFLKEHDHLRNSDRLIEETISIAMATKENMTSQRGMLKSIQSKMNTLANRFPAVNSLIQRINLRKRRDSLILGGVIGVCTILLLLYAFH", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEPSQRSGSFSSISRRRSRVDSRPTYFKVTSKDLGFVDQVSEEYEFYTYPKKASEVESVTETFQSNRSSLVPTYPVDENANKLPPKVSIAFILINSLMSDMSLAVALPTSASYTQSLGGTNAFSGLVIGIPTLISLIFLYPMLCFANPKSANGYTLYFRPMVVSSFAHIFGHLLYCMAYRANWIYLILIGRMLNGIGFTTFLYHKKYTTDKLLVGQNRRTFLATMNILAQTLGFMAGPFLGGILAKATIHSKNAVWNQYTVASWVMLFMWFFYMLTIIFFFKEVTADKSEKVSQQKENDDEDRPKLSWKHKFLLFFLAEVAFIAYFTVNGYQASISIYTGKLYNYDAFQSGNFIALSALIVAPFILASSFLSRWLEDRHIMLGGLFLGILAVAIHLVLDAVHKLPMQVYFFLYSLMIYGYSIGSAPLISLSSKQLHPRHHNTASIVVQVGVSLSNTFGSICGGAIYNITTVGFISLCLGLAAVVYMQLIFMWGSLKCKTH", - "output": "apparatus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTLYIRRESSKLWKRFCSEISTEIGLLAENWKYLLAGLICQYIHGLAAKGVHYIHRPGPTLQDLGFFLLPELGQERSYISETVFTSVFLSFFLWTFHPFILKTKKIYTVLIWCRVLAFLVACQFLRVITFYSTQLPGPNYHCREGSKVSRLPWPKSALEVLEINPHGVMYGCGDLIFSSHMIFTLVFVRTYQKYGTKRFIKLFGWLTAIVQSLLIIASRKHYSVDVVVAWYTVNLVVFCLDKKLPELPDRTAVLLPVISKDRTKEENHKLLNGNGVDPADWRPRAQVNGKIDSNGVHTDNTMNGA", - "output": "apparatus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTEVVPSSALSEVSLRLLCHDDIDTVKHLCGDWFPIEYPDSWYRDITSNKKFFSLAATYRGAIVGMIVAEIKNRTKIHKEDGDILASNFSVDTQVAYILSLGVVKEFRKHGIGSLLLESLKDHISTTAQDHCKAIYLHVLTTNNTAINFYENRDFKQHHYLPYYYSIRGVLKDGFTYVLYINGGHPPWTILDYIQHLGSALASLSPCSIPHRVYRQAHSLLCSFLPWSGISSKSGIEYSRTM", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MIPRKRYGSKNTDQGVYLGLSKTQVLSPATAINSSSDIAPLPTPVALVPSPPDTMSCRDRTQEFLSACKSLQSRQNGIQTNKPALHATRQCSEFTLMARRIGKDLSNTFAKLEKLTILAKRKSLFDDKAVEIEELTYIIKQDINSLNKQIAQLQDFVRAKGSQSGRHLQTHSNTIVVSLQSKLASMSNDFKSVLEVRTENLKQQRNRREQFSRAPVSALPLAPNNLGGGPIVLGGESRASRDVAIDMMDPRTSQQLQLIDEQDSYIQSRADTMQNIESTIVELGSIFQQLAHMVKEQEETIQRIDENVLGAQLDVEAAHSEILKYFQSVTSNRWLMVKIFLILIVFFIIFVVFLA", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAGAGAGSAPRWLRALSEPLSAAQLRRLEEHRYSAAGVSLLEPPLQLYWTWLLQWIPLWMAPNSITLLGLAVNVVTTLVLISYCPTATEEAPYWTYLLCALGLFIYQSLDAIDGKQARRTNSCSPLGELFDHGCDSLSTVFMAVGASIAARLGTYPDWFFFCSFIGMFVFYCAHWQTYVSGMLRFGKVDVTEIQIALVIVFVLSAFGGATMWDYTIPILEIKLKILPVLGFLGGVIFSCSNYFHVILHGGVGKNGSTIAGTSVLSPGLHIGLIIILAIMIYKKSATDVFEKHPCLYILMFGCVFAKVSQKLVVAHMTKSELYLQDTVFLGPGLLFLDQYFNNFIDEYVVLWMAMVISSFDMVIYFSALCLQISRHLHLNIFKTACHQAPEQVQVLSSKSHQNNMD", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAEDSGALESSYDFSIVQPDDHEYGEADIRLAGSSNDLSSLQNVSASTTRGTKGKGRLDSLKENLYKQQERLTALKERALRKSQDERHKSSMSDSMESLKTLGQKLTVLKTRSGDSSTPLVSPTKDSDPGDVSLLQTSGSEKLLMLTQRTEQNRALLEQRKRDLAKSLLSVKSNIGHQTTAELGSSMTDLRHAASVSNPPVSRHRSALDLEAQGQEAVDESRVKLLRSRMKLTELKQGRQEQELNELRTELAKRAKLIERLELSGAELQRTLTQRNEELEQLRVVQAEEDSLKVQENSRLQGEVLVLRERLAELENVNDLLETTRCELQEELTTARERQRNLELEQEQEKASRSPQSEAAHTDAQVSAELAKQLQELTNQLADLQATNEELRQQVAAQAKLQVTDEIVSQRLEELEATIAAQLLELQEQKSAMAAQNEELAEKTTELNVLNVNLRLLEEKLAQSSRSKPLFLEDHSEDSAASKQMQEDLQQLKLKLDETNKANIKLKLKCKQAEKKLQKFQSQDGQQQLASLLADNEELQQRIAVLEDEKGQWQLANMQEDDRQPEQSTESNNPLQLETIRLLEEQKLELQQALEALLSSSSSAESIEIVERHHLECLGQRRPASEGDAQEQKQVHPPGPSHVSELTQTEQTEEEDSSGETLSQLRERLELFTQERGEVLDKLEQLSAENLQLQARLEESSSSLQLLQREREKDLISSTSTSSNLSQELSSMQRSSEVVATLDAGEGGPVLFEKCEKSLSKLNSELEAYRKANDRQAKFNVSKKLAKEAKNCHTQLSELLHKVKEASTAVETVTVVETVVAVTAPNGKALAEYEQLNAQNAELKAVISRLRQELDELRESYPETEAPLAIVGSDSQREDEILQLQSQLEDARSLQAEQRQQIEEQVDQIKELRQTEAEQLQLVARQSAEITQLQLQSEQFDQLLNSKEMSHEKQLEQQTRIRRELEARAESLEGELSILQTLVAEQKQQLIESVSESEHALNLKMLELQSAQEELRELRAKEDPDQLREALRVSKSLVAQQVRELTSSQETVDALNQQIQEYQGLEHAHKEEQFKNRELREKLKKYALNLKKRTQDNADLEQKVQELTSQLQEQQELVKQKEEVEREPIVDNHRVEQLQQQVSKLNEDLKAKIHLNLENRDALRQLKQQIQEQEQLIQERDAELQDANLVSKELRRERQEADQEVFQLGQENSRLREEISKLQEEIHNLGQRVNEEPTAVEDLRRQLEAKSKKFEKSKELIKLRNATIQSLQRELQQLQQDQDSEVEHVRNARAAHEQLRLEKDAEITALRQEILKLERSRAAGEGDDTITKTSHQLLESQSQQQAESLQVAERELQQLRVQLTAAQEQHALLAQQYASDKANFEMTIARLETLHEGIQAKLQEDASYIESLEAQNTELQARSAALEEQAASQANQQAASQDKVQILEQQLKEQREQEEQKRQQDQQLQERFYELGQREQAQSRQLELLTSEAEESRQQLAGLRTEYESLLAKHSQLTATAQAEREQMSSHSQEELAELRQQLDVKEADLHRQRQVYDAKLAAKATELDELECDLNSHVERAAAETRELCQQLERSQELVAQRTEELQRLNEEFQEVERERSTLSREVTLLRLQHDSAEQDVLELQELRMQAMQDKTEMDNLRTQIDALCANHSQELQALQQRIAELDTLGQNQTDDQVYIETENKRLAEQLSELQAQLARQQHQQQQQQHHHPAVQSQQHPPPASLFFGGDALAAPSPFDEIAQPLRVSSLAASAPPPISPPPTIEDLQRNVSDLEKHAQDLETKLLARNQNLAEQEERRLQLEQRLSEVERLLSERTQQLADIQTANEERDRLAALEKLIQPAAAPTLDMFFGGQAEETVPDAVSHHLDLGLPQTEPVVEPLIQPKKAYLCQPKQEIQEQTAQTIDWGVDEDPWASAANEAPQTDVEHLHTRIAQLELQLSNAEQQKTELQTKAAKLMKRLKEYKTKATTTATPTVTVDNDLDSTIIEELKHQLQLQESRLSKAEEISQQHALEKEKLAKRIDVLTAGNDRMAEMKERQDMDVQMYQARIRELQEKLSQLDQWGEPAATVSSSLDGDEAARIESLQQEIQQLRQQVSELEDERTRDQAELGALRQSSQGYDEAEDNQKLELQQLRQQESELEALRTRDQSELEALRQSCQGHDETVRIATLQQDNQQLELQQLRQAIIELETLRARDQTELEALRQSSQGHDEAARIAIEQRDNQQLELQQLRQQLIELEALRARDQAELEALRQSCQGQQLSVDMASRNDEQMAQLQEKESEIVHLKQRIEELMREDQTEKLVFEILTKNQELQLLRMQVKQLEEDKEDQQVSAAPPKDDGETVEKLKSLCQQLQQEKSDMEEELRVLNNHVLSSLELEDRMKQTLLQLDTKNIEITELRRSLEILQSQNLGQNSAAEQIPDLSAINQQWEQLVEQKCGEVASIWQEHLSQREAAFKAQLEEVTQQQQRELPQSQQSTQGEATSDIMQKMQKALETQEMEIVTLKEQLAIRSAEYARLAAQYDPFRLQNRGGASGGNPASTTVSAGGPPSLTANEPLPEYVLKADLDYALMMLHQRDMRVEEMIVELVQLLEERDHLQLKLSDTLRQLETERSRVSDEPSATASSSAASSSSPSKISSAGSNSELLGTTSAAGSDLKQKLAELQTVKHSKDKVIVDEREQRLQQMLQLQKDMAKQGSGSQSGAGAVAAVAAPTSAAPTAIGVDLSQSGLRSPSMMLMDWILGNNNKEEEAGHQTTG", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MARKGSSIRLSSSRISTLLLFMFATFASFYVAGRLWQESQTRVHLINELDRVTGQGKSAISVDDTLKIIACREQKKTLAALEMELSSARQEGFVSKSPKLADGTETKKRPLVVIGIMTSLGNKKKRDAVRQAWMGTGASLKKLESEKGVIARFVIGRSANKGDSMDKSIDTENSQTDDFIILDDVVEAPEEASKKVKLFFAYAADRWDAQFYAKAIDNIYVNIDALGTTLAAHLENPRAYIGCMKSGEVFSEPNHKWYEPEWWKFGDKKAYFRHAYGEMYVITHALARFVSINRDILHSYAHDDVSTGSWFVGLDVKHVDEGKFCCSAWSSEAICAGV", - "output": "apparatus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKNDVVRWSRQPTSNTTNSSSSSRSDSNSTHKHRSKSNKLNARQLGSNAARSCQQRSSVATTLEDEQQTIIECDIGNFNFDCNLFKTSFLTQHKQKRSGKSSSKSKSNRSRPLAKTKAVFLLALQFSAVVFLCNINVGFVAGSVATAASSAGGSSPAAPSSAPSSPPTVAVPPPPPPSSALKVDPNGQSPVLPPYVLDYETGGKAKLTPNNGKFGQSGSSGSNNNHIVGHYTHTWAVHIPNGDNGMADAVAKDHGFVNLGKIFDDHYHFAHHKVSKRSLSPATHHQTRLDDDDRVHWAKQQRAKSRSKRDFIRMRPSRTSSRAMSMVDAMSFNDSKWPQMWYLNRGGGLDMNVIPAWKMGITGKGVVVTILDDGLESDHPDIQDNYDPKASYDVNSHDDDPMPHYDMTDSNRHGTRCAGEVAATANNSFCAVGIAYGASVGGVRMLDGDVTDAVEARSLSLNPQHIDIYSASWGPDDDGKTVDGPGELASRAFIEGTTKGRGGKGSIFIWASGNGGREQDNCNCDGYTNSIWTLSISSATEEGHVPWYSEKCSSTLATTYSSGGQGEKQVVTTDLHHSCTVSHTGTSASAPLAAGIAALVLQSNQNLTWRDLQHIVVRTAKPANLKDPSWSRNGVGRRVSHSFGYGLMDAAEMVRVARNWKAVPEQQRCEINAPHVDKVIPPRTHITLQLTVNHCRSVNYLEHVQAKITLTSQRRGDIQLFLRSPANTSVTLLTPRIHDNSRSGFNQWPFMSVHTWGESPQGNWQLEIHNEGRYMAQITQWDMIFYGTETPAQPDDVANPSQSNQFNLYGNDMAHNDVEYDSTGQWRNMQQVGEVGMTRDHSNTAACLKWSDRKCLECNDSAYMFEDQCYDVCPVHTYPLDKFQAEEDEQDDEVTRGPVNPYSSSPMDHSLLMSNSLDDKQDPLQAEDRRRRSSLTQLVEVPSRVCAACDRSCLECYGALASQCSTCSPGSQLRKILNETFCYAYVVRSTGMASVVDISKMDDRDTQQYMTGTTVLLLVSVIFTLMGVAVAGGIVYHRRAMARSNELYSRVSLVPGDESDSDEDELFTAHFPARKSGVNIYRDEAPSEKIFEEDEISHLVP", - "output": "apparatus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFSFGRARSQGRQNRSMSLGGLDYADPKKKNNYLGKILLTASLTALCIFMLKQSPTFNTPSVFSRHEPGVTHVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNLAAVRILQELFPEPGRLQFIYADLGDAKAVNKIFTENAFDAVMHFAAVAYVGESTQFPLKYYHNITSNTLVVLETMAAHGVKTLIYSSTCATYGEPDIMPITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIMPGLQIKGTDYKTADGTCVRDYIDVTDLVDAHVKALQKAKPRKVGIYNVGTGKGSSVKEFVEACKKATGVEIKIDYLPRRAGDYAEVYSDPSKIRKELNWTAKHTNLKESLETAWRWQKLHRNGYGLTTSSVSVY", - "output": "apparatus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGQDGSPAHKRPSGSGGGLPTTTLTNGGGRGGRGGLLPRGRQMQKTFNNIKITILCGFVTILVLRGTIGVGNLGSSSADAVNQNIIEETNRILAEIRSDSDPTDLDEPQEGDMNPNATYVLGPKITDWDSQRKVWLNQNPEFPSTVNGKARILLLTGSPPKPCDNPIGDHYLLKSVKNKIDYCRLHGIEIVYNMAHLDKELAGYWAKLPMIRRLMLSHPEVEWIWWMDSDALFTDILFQIPLARYQKHNLVIHGYPDLLFDQKSWIALNTGSFLLRNCQWSLDLLDAWAPMGPKGPIRDEAGKVLTAYLKGRPAFEADDQSALIYLLLSQKDTWMEKVFVENQYYLHGFWEGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYADYAVERCLKSMERAFNFADNQVLKLYGFSHRGLLSPKIKRIRNETVSPLEFVDKFDIRRTPVETKPQN", - "output": "apparatus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLALINRILEWFKSIFWKEEMELTLVGLQFSGKTTFVNVIASGQFAEDMIPTVGFNMRKITRGNVTIKVWDIGGQPRFRSMWERYCRGVNAIVYMVDAADLDKLEASRNELHSLLDKPQLAGIPVLVLGNKRDLPGALDETGLIERMNLSSIQDREICCYSISCKEKDNIDITLQWLIQHSKSQSR", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MQTLDETSNLLPPPEEAEAPPLEQKFHEYNLALPKFPILFSLWLGSFLSSLDSTIVANIMNRVAEEFSESSKKQWIATSFLLTNTAFQPLYGKLSDITGRKSALLTAQFFFGLGCLLTCFARNVTEFSIARAICGIGAGGLNAISSIAVSDICTARERGVYQGYANIVFGFGQLLGAPLGGVFIETIGWRALFGIQVPVIMLCSVLAIKNINIKLFHVPPMKERYTLKNLSRIDIFGSLSLVATISGVLFLCSSQLNKLYLALFTIGSFIVFILVERYYATEKILPFELLTRSFCLSSAVTVISSFVVFGEIFRSPIYLQLLQNISVTKTGLFLIFPSISVAVGSLVTGWVLRNTKINLAHCAYQIIFGGMIMQLLGLGLGYFLLSHLNPDYTIYDMLESITFRSNSIWWKLIYVFASVLVSFGYACLLVATLVSIVFTVEKSQQGTMTGVFYLWRSIGNVLGASLTLVSYENSLSSMLWNYMFKTKRDDEYHFTKKQYYSLINDSSYLRGPNFPTDIFVRILDVYKKAFLISYIPNIALAAVGIVLSLYLVKHTYKRSSSS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTMFASLTSKMLSVSTSDHASVVSLNLFVALLCACIVIGHLLEENRWMNESITALLIGLGTGVVILLISRGKNSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFVTIMAFGAIGTVVSCTIISLGAIQFFKKLDIGTFDLGDFLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLTHLNHEAAFQFLGNFFYLFLLSTGLGVATGLISAYVIKKLYFGRHSTDREVALMMLMAYLSYMLAELFALSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFLAETFIFLYVGMDALDIEKWRFVSDSPGTSVAVSSILMGLVMLGRAAFVFPLSFLSNLAKKHQSEKISIKQQVVIWWAGLMRGAVSMALAYNKFTRSGHTELRGNAIMITSTITVCLFSTMVFGMLTKPLIRYLMPHQKATTSTTSMLSDDSTPKSIHIPLLDGEQLDSFELPGSHQDVPRPNSLRGFLMRPTRTVHYYWRQFDDAFMRPVFGGRGFVPFVPGSPTERSSHDLSKP", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAQEVDTAQGAEMRRGAGAARGRASWCWALALLWLAVVPGWSRVSGIPSRRHWPVPYKRFDFRPKPDPYCQAKYTFCPTGSPIPVMEGDDDIEVFRLQAPVWEFKYGDLLGHLKIMHDAIGFRSTLTGKNYTMEWYELFQLGNCTFPHLRPEMDAPFWCNQGAACFFEGIDDVHWKENGTLVQVATISGNMFNQMAKWVKQDNETGIYYETWNVKASPEKGAETWFDSYDCSKFVLRTFNKLAEFGAEFKNIETNYTRIFLYSGEPTYLGNETSVFGPTGNKTLGLAIKRFYYPFKPHLPTKEFLLSLLQIFDAVIVHKQFYLFYNFEYWFLPMKFPFIKITYEEIPLPIRNKTLSGL", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAESHGGGGGCCPPMDLMRSEPMQLVQVIVPMESAHLTVSYLGDLGLVQFKDLNSEKSPFQRTYAAQIKRCGEMARKIRFFKEQMSKAGVTPKETLDRENDIDLDDVEVKLEELEAELVEINANNDKLQRSYNELVEYKLVLEKAGEFFASAHRSATAQQSEIETEQVGEDLLEAPLLQEEKSVDPTKQVKLGFLTGLVPREKSMVFERILFRATRGNIFIRQSVIEESVVDPNSGEKAEKNVFVVFYSGERAKSKILKICEAFGANRYPFSEDLGKQAQMMTEVSGRLSELKTTIGAGLDQRNILLETIGDKFEQWNLKIRKEKAIYHTLNMLSLDVTKKCLVGEGWSPVFAATEIQDALHRAAVDSNSQVGSIFQVLRTKEMPPTFFRTNKFTTAFQEIVDAYGVAKYQEANPSVFTIVTFPFLFAVMFGDWGHGICLLLATMYLILREKKLSSQKLGDIMEMAFGGRYVIFMMSLFSIYTGLIYNEFFSIPYPLFASSAYDCRDVSCSEATTIGLIKTRDTYPFGVDPVWHGTRSELPFLNSLKMKMSILIGVAQMNLGIIMSFFNAKFFKSAVNIWFQFVPQMIFLNCLFGYLSVLIIIKWCTGSQADLYHVMIYMFLSPMDDLGENQLFPNQKIVQLTFLFLALVSVPWMLLPKPFILKKQHEARHQGLSYAQLDETDESLQVETNGGGHGHEEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLMAWGFNNVFIWIVGILVFIFATVGVLLVMETLSAFLHALRLHWVEYQNKFYEGDGYKFAPFTFTLVGNEDE", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTGGSSSRRRRAEERSSAAGTERNSRREAVGGMGAGPALAALLLAGSVLSATLLAPGRRAEPDLDEKRNVELKMDQALLLIHNELLGTSLTVYWKSDDCYQCTFQPLANVSHGGKPAKPSVAPVSVSTQHGSILQVNSTSEERAACRLEYKFGEFGNYSLLVQHASSGANKIACDIIVNENPVDSNLPVSIAFLVGLALIVAVSLLRLLLSLDDVNNWISKTIASRETDRLINSELGSPSRADPLSADYQPETRRSSANRLRCVDTFRGLALVLMVFVNYGGGKYWYFKHSSWNGLTVADLVFPWFVFIMGTSIFLSMTSILQRGCSKLKLLGKIVWRSFLLICIGVIIVNPNYCLGPLSWDKVRIPGVLQRLGVTYFVVAVLEFFFWKPVPDSCTLESSCFSLRDITSSWPQWLTILTLESIWLALTFFLPVPGCPTGYLGPGGIGDLGKYPHCTGGAAGYIDRLLLGDNHLYQHPSSTVLYHTEVAYDPEGVLGTINSIVMAFLGVQAGKILVYYKDQTKAILTRFAAWCCILGLISIVLTKVSANEGFIPINKNLWSISYVTTLSCFAFFILLILYPVVDVKGLWTGTPFFYPGMNSILVYVGHEVLENYFPFQWKLADEQSHKEHLIQNIVATALWVLIAYVLYKKKLFWKI", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGNKKLLTGGSSKTHGSGSSYRDPLLQNQEDKPKANGSENGLNDLEHGVVEAANVGFGRVFALAKPDAGKLVIGTIALLIGSTTNLLVPKFGGMIIDIVSRDVKTPEQQTESLIAVRNAVVIILLIVVIGSICTALRAWLFNSASERVVARLRKDLFRHLMHQEIAFYDVTKTGELLSRLSEDTQIIKNAATTNLSEALRNVTTALIGVGFMFTSSWKLTLLALVVVPVISVAVKQFGRYLRELSHTTQAAAAVAASIAEESFGAVRTVRSFAKESYMVSQYSKKVDETLKLGLKQAVLVGLFFGGLNAAFTLSVITVVSYGAYLTIYGSMTVGALTSFILYSLTVGSSVSSLSSLYTTAMKAAGASRRVFQILDRVSSMSSSGDKCPVGNPDGDVELNDVWFAYPSRPSHMILKGISLRLTPGSKVALVGPSGGGKTTIANLIERFYDPLKGKILLNGVSLMEISHQYLHKQISIVSQEPILFNCSVEENIAYGFDGEASFTDIENAAKMANAHEFIEAFPDKYNTVVGERGLRLSGGQKQRIAIARALLTNPSVLLLDEATSALDAESEYLVQDAMDSLMAGRTVLVIAHRLSTVKTADCVAVISDGEVAEKGTHDELLSLNGIYTNLVKRQLQSSSSVTTL", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MYGFEALTFNIHGGYLEAIVRGHRAGLLTTADYNNLCQCENLDDIKMHLSATKYGPYLQNEPSPLHTTTIVEKCTLKLVDDYKHMLCQATEPMSTFLEYIRYGHMIDNVVLIVTGTLHERDVQELIEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECLTSEDLDDMNIEIMRNTLYKAYLEDFYNFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTREDRKKLYSNFGLLYPYGHEELAICEDIDQVRGVMEKYPPYQAIFSKMSYGESQMLDKAFYEEEVRRLCLAFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRIHDSVVYMF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGLTSSLRFHRQNNKTFLGIFMILVLSCIPGRTNLCSNHSVSTPKELPSSNPSDIRSSLVSLDLEGYISFDDVHNVAKDFGNRYQLPPLAILHPRSVFDISSMMKHIVHLGSTSNLTVAARGHGHSLQGQALAHQGVVIKMESLRSPDIRIYKGKQPYVDVSGGEIWINILRETLKYGLSPKSWTDYLHLTVGGTLSNAGISGQAFKHGPQINNVYQLEIVTGKGEVVTCSEKRNSELFFSVLGGLGQFGIITRARISLEPAPHMVKWIRVLYSDFSAFSRDQEYLISKEKTFDYVEGFVIINRTDLLNNWRSSFSPNDSTQASRFKSDGKTLYCLEVVKYFNPEEASSMDQETGKLLSELNYIPSTLFSSEVPYIEFLDRVHIAERKLRAKGLWEVPHPWLNLLIPKSSIYQFATEVFNNILTSNNNGPILIYPVNQSKWKKHTSLITPNEDIFYLVAFLPSAVPNSSGKNDLEYLLKQNQRVMNFCAAANLNVKQYLPHYETQKEWKSHFGKRWETFAQRKQAYDPLAILAPGQRIFQKTTGKLSPIQLAKSKATGSPQRYHYASILPKPRTV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGAVLSCCRNHSGEENEALLREQQAGYGSQGNANDEYDAEQMRLKEHEHEQKLLAREQELRDIVANTNDKLIDISMINNSGIVIQGTDLQEALDKRQQEEGGDSREDERSAGDDNLSGHSVPSSGSAQATTHQTAPRTNTFTLLTSPDSAKISKEQLKKLHSNILNEIFSQSQVNKPGPLTVPF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MYGFEALTFNIHGGYLEAIVRGHRAGLLTTADYNNLCQCENLDDIKMHLSATKYGSYLQNEPSPLHTTTIVEKCTLKLVDDYKHMLCQATEPMSTFLEYIRYGHMIDNVVLIVTGTLHERDVQELIEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECLTSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTREDRKKLYSNFGLLYPYGHEELAICEDIDQVRGVMEKYPPYQAIFSKMSYGESQMLDKAFYEEEVRRLCLAFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRIHDSVVYMF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MADSLAPLLPTHIEEDEDTSSPLTFDKILEKSLSDFGFSQFLQIVLVGLALTFDSQQIFITVFTDAYPTWHCLDHTICNPATTDICKIPRSAWDWDGGFKGKSVISEFDLECSSSFLRSLPSSTFYVGSIVGGVVLAMIPDGSLGRKQLLFFSSFAMSLTGISIFLSSNIWIYSFLKFVIGFARSQTGTYALVLISERISTKWRPRATMVPFTLFVLGFMSLSGIAYLVRHASWKVLYLCTSIPAGIHSIFIYFFALESPRWLHLEGKNKEAIEVLKRISPANRGYLESVSSRLRPKETLEQTSSYSIKDLFIIKWAFRRVTLVMIIMFGLGMSYYGVPLAVRDIKVNIYMSEALNAMVELPTFVVTPILLEQFSRRSSVLVNCLIGGASGVLCFVMSLYGRTKIAFALELGSFFCARIGFNLMAIYLVELFPTCVRNSATMMLRQALVVGGACCPLIASLGRNVPSLSFAVFGFAMSGLGLFALLLPETKGLSLCDTMEEQEQRDQALKTSHSC", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEFVLFSQMSSFFLVSTLLLFLIISHSCHAQNSQQDYLDAHNTARADVGVEPLTWDDQVAAYAQNYASQLAADCNLVHSHGQYGENLAWGSGDFLTAAKAVEMWVNEKQYYAHDSNTCAQGQVCGHYTQVVWRNSVRVGCARVQCNNGGYIVSCNYDPPGNVIGKSPY", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGAAENNLEMEGTLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEHQEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDTTN", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MILKLVHCLVALTGLIFAKPYQQQQAVLAPSQDVPLRDIHIGDINFIHTTDTHGWLGSHLSQNDYDADWGDFVAFVDILREKILRQSRDVIVIDTGDKRDGNGLSDATWPPGLRSSEIFNMMDYDLLTLGNHELYTAESAILEYRGTSQSSKFKDKYVCSNVEFIEDDGTRVPFGNKYITFETPIMKQRVLALSFLFSFQRANNRAIVTPPLEEITQKSWFQNMVETNREEEIDLIIVFGHLPATDPTEREMHKIHALIRKYYPNTVIQYFGGHTHIRDFVQLDSKSTCLQSGRFAETVGFLSINMTDPVDAESPIFSRRYIDFNKEAFKYHLSKLGHDSNVPVSTKKGKTISRLVNDLRHELNLNEKLGYIPQTYYVSTRPLNSEENLYHLITHKILPNLIPPKNYEPSMSRFILINTGSVRYDLYKGPFTKDTEYIVMPFNNDWRFITVPLVVASRVETYLNKGPVIASLGIPSSSHHKQHFGGFQKCPFINNPNLSEGYTTEDDFGCHGDDTPHNSQREYDIPNVVQCKEVKKVQEEEADPSKMVHVIFYSFMELDILNAVNSIINDLGLRMENLTTNDCSHYGGDSTKKLLRDYFSQF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEGENDPLLGSYKPKRRRSSLVYGLSRPQFLDTAASEVSPIPQERPTTSLRKPTPRVQRPATDVSYGALEETEENESIASGLSVQEDFNSKAWWKELTLLIKFATPVVLTSLLQYGEVVTTVFSLGHLGKTELAAASLSNMTATITAFAIYQGIVSALDTVGTQSFGSGNYEMVGLHLQRILAILLLIQFPIFLIWWKIEGILLFLRQDPLTCMFAAKYMRVMMLASPAYALFEALKRFLQVQGIFHPVTYILAIVVPINIFLNYLFVWSPWVGFGFLGAPVAVALTLWSACAVLIIYIMKVNGRQAWGGFSREALKNWGPLCRLAVPGVIMICSEYWAFELVTFASGVLGTTELASMSVLSTTSTLSYNLAFGVAAAAATRVGNLIGAGNTKLAKLATHVSINLGAAIGVIIAVILFLTRNTWTYIFTSDKDVVALVATIIPLVALINIADNTQCVAGGLLRGQGRQRIGGVVNFIAYYLLGLPVAIILCFKLDWGLYGLWIGIGAAILIIAGVETWCSLHVNWDHLVELANRQFDEA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MIPSNKRNARILSITTLLLLLVFFVAQNANFLTVEIKEETSKAFSTNMDNMAGGSSREYAAMPTSTTNKGSSEVDEEINEIKQKVGLQQPIASVDDSLSAIKNDKGSRITKAFNVQKEYSLILDLSPIIIFSKSTCSYSKGMKELLENEYQFIPNYYIIELDKHGHGEELQEYIKLVTGRGTVPNLLVNGVSRGGNEEIKKLHTQGKLLESLQVWSDGKFSVEQREKPSNN", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVGQMYCYPGSHLARALTRALALALVLALLVGPFLSGLAGAIPAPGGRWARDGQVPPASRSRSVLLDVSAGQLLMVDGRHPDAVAWANLTNAIRETGWAFLELGTSGQYNDSLQAYAAGVVEAAVSEELIYMHWMNTVVNYCGPFEYEVGYCERLKSFLEANLEWMQEEMESNPDSPYWHQVRLTLLQLKGLEDSYEGRVSFPAGKFTIKPLGFLLLQLSGDLEDLELALNKTKIKPSLGSGSCSALIKLLPGQSDLLVAHNTWNNYQHMLRVIKKYWLQFREGPWGDYPLVPGNKLVFSSYPGTIFSCDDFYILGSGLVTLETTIGNKNPALWKYVRPRGCVLEWVRNIVANRLASDGATWADIFKRFNSGTYNNQWMIVDYKAFIPGGPSPGSRVLTILEQIPGMVVVADKTSELYQKTYWASYNIPSFETVFNASGLQALVAQYGDWFSYDGSPRAQIFRRNQSLVQDMDSMVRLMRYNDFLHDPLSLCKACNPQPNGENAISARSDLNPANGSYPFQALRQRSHGGIDVKVTSMSLARILSLLAASGPTWDQVPPFQWSTSPFSGLLHMGQPDLWKFAPVKVSWD", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MANVSKKVSWSGRDRDDEEAAPLLRRTARPGGGTPLLNGAGPGAARQSPRSALFRVGHMSSVELDDELLDPDMDPPHPFPKEIPHNEKLLSLKYESLDYDNSENQLFLEEERRINHTAFRTVEIKRWVICALIGILTGLVACFIDIVVENLAGLKYRVIKGNIDKFTEKGGLSFSLLLWATLNAAFVLVGSVIVAFIEPVAAGSGIPQIKCFLNGVKIPHVVRLKTLVIKVSGVILSVVGGLAVGKEGPMIHSGSVIAAGISQGRSTSLKRDFKIFEYFRRDTEKRDFVSAGAAAGVSAAFGAPVGGVLFSLEEGASFWNQFLTWRIFFASMISTFTLNFVLSIYHGNMWDLSSPGLINFGRFDSEKMAYTIHEIPVFIAMGVVGGVLGAVFNALNYWLTMFRIRYIHRPCLQVIEAVLVAAVTATVAFVLIYSSRDCQPLQGGSMSYPLQLFCADGEYNSMAAAFFNTPEKSVVSLFHDPPGSYNPLTLGLFTLVYFFLACWTYGLTVSAGVFIPSLLIGAAWGRLFGISLSYLTGAAIWADPGKYALMGAAAQLGGIVRMTLSLTVIMMEATSNVTYGFPIMLVLMTAKIVGDVFIEGLYDMHIQLQSVPFLHWEAPVTSHSLTAREVMSTPVTCLRRREKVGVIVDVLSDTASNHNGFPVVEHADDTQPARLQGLILRSQLIVLLKHKVFVERSNLGLVQRRLRLKDFRDAYPRFPPIQSIHVSQDERECTMDLSEFMNPSPYTVPQEASLPRVFKLFRALGLRHLVVVDNRNQVVGLVTRKDLARYRLGKRGLEELSLAQT", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MANVSKKVSWSGRDRDDEEGAPLLRRTGQPDEETPLLNGAGPGARQSHSALFRIGQMNNVELDDELLDPEVDPPHTFPKEIPHNEKLLSLKYESLDYDNSENQLFLEEERRINHTAFRTVEIKRWVICALIGILTGLVACFIDIVVENLAGLKYRVIKDNIDKFTEKGGLSFSLLLWATLNSAFVLVGSVIVAFIEPVAAGSGIPQIKCFLNGVKIPHVVRLKTLVIKVSGVILSVVGGLAVGKEGPMIHSGSVIAAGISQGRSTSLKRDFKIFEYFRRDTEKRDFVSAGAAAGVSAAFGAPVGGVLFSLEEGASFWNQFLTWRIFFASMISTFTLNFVLSIYHGNMWDLSSPGLINFGRFDSEKMAYTIHEIPVFIAMGVVGGILGAVFNALNYWLTMFRIRYIHRPCLQVIEAMLVAAVTATVAFVLIYSSRDCQPLQGSSMSYPLQLFCADGEYNSMAAAFFNTPEKSVVSLFHDPPGSYNPMTLGLFTLVYFFLACWTYGLTVSAGVFIPSLLIGAAWGRLFGISMSYLTGAAIWADPGKYALMGAAAQLGGIVRMTLSLTVIMMEATSNVTYGFPIMLVLMTAKIVGDVFIEGLYDMHIQLQSVPFLHWEAPVTSHSLTAREVMSTPVTCLRRREKVGIIVDVLSDTASNHNGFPVVEDVGDTQPARLQGLILRSQLIVLLKHKVFVERSNMGLVQRRLRLKDFRDAYPRFPPIQSIHVSQDERECTMDLSEFMNPSPYTVPQEASLPRVFKLFRALGLRHLVVVDNHNQVVGLVTRKDLARYRLGKGGLEELSLAQT", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGVRHPPCSHRLLAVCALVSLATAALLGHILLHDFLLVPRELSGSSPVLEETHPAHQQGASRPGPRDAQAHPGRPRAVPTQCDVPPNSRFDCAPDKAITQEQCEARGCCYIPAKQGLQGAQMGQPWCFFPPSYPSYKLENLSSSEMGYTATLTRTTPTFFPKDILTLRLDVMMETENRLHFTIKDPANRRYEVPLETPHVHSRAPSPLYSVEFSEEPFGVIVRRQLDGRVLLNTTVAPLFFADQFLQLSTSLPSQYITGLAEHLSPLMLSTSWTRITLWNRDLAPTPGANLYGSHPFYLALEDGGSAHGVFLLNSNAMDVVLQPSPALSWRSTGGILDVYIFLGPEPKSVVQQYLDVVGYPFMPPYWGLGFHLCRWGYSSTAITRQVVENMTRAHFPLDVQWNDLDYMDSRRDFTFNKDGFRDFPAMVQELHQGGRRYMMIVDPAISSSGPAGSYRPYDEGLRRGVFITNETGQPLIGKVWPGSTAFPDFTNPTALAWWEDMVAEFHDQVPFDGMWIDMNEPSNFIRGSEDGCPNNELENPPYVPGVVGGTLQAATICASSHQFLSTHYNLHNLYGLTEAIASHRALVKARGTRPFVISRSTFAGHGRYAGHWTGDVWSSWEQLASSVPEILQFNLLGVPLVGADVCGFLGNTSEELCVRWTQLGAFYPFMRNHNSLLSLPQEPYSFSEPAQQAMRKALTLRYALLPHLYTLFHQAHVAGETVARPLFLEFPKDSSTWTVDHQLLWGEALLITPVLQAGKAEVTGYFPLGTWYDLQTVPVEALGSLPPPPAAPREPAIHSEGQWVTLPAPLDTINVHLRAGYIIPLQGPGLTTTESRQQPMALAVALTKGGEARGELFWDDGESLEVLERGAYTQVIFLARNNTIVNELVRVTSEGAGLQLQKVTVLGVATAPQQVLSNGVPVSNFTYSPDTKVLDICVSLLMGEQFLVSWC", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPTRNIAIGGVQEEVYHPNALRAALAEFISTLIFVFAGSGSGIAFNKITDNGATTPSGLVAAALAHAFGLFVAVSVGANISGGHVNPAVTFGVLLGGNITLLRGILYWIAQLLGSVAACFLLSFATGGEPIPAFGLSAGVGSLNALVFEIVMTFGLVYTVYATAVDPKNGSLGTIAPIAIGFIVGANILAGGAFSGASMNPAVAFGPAVVSWTWTNHWVYWAGPLIGGGLAGIIYDFVFIDENAHEQLPTTDY", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MESPEDRNGNDVRQPLLEKIPVKKEAEGEERLCIDEMLQRYCGEFGRWQLKHFVLTCIAWALEAFHTMVMIFADQEPEWRCVGSDCRVGSLNCELDPSSWEWTAGKGSSTVSEWGLICGDKYKVGLVQALFFAGCMIGAGVFGHLSDSKLGRKGSLTVVCIINAIFGIATAFSPNYWTYVVLRFLTGFSTGGVGLTAFVLATEPIGPSKRGVAGMSTFYFFSAGIAVLSGIAYVFRSWRELFIVSSLPSLLFLLIVIPFISESPRWYLVRGKVDEAMKLMHSIAKTNGRHIPAGVTLALDDDVENNNGERNTAVEGSLKDVILSPLMRMRLVISVAISFTVSIVYYGLSLNVGNLKTNLYLNVFVNAVSEMPAFAITAVLLDKYGRKPLSIGTQWFSCVFCLVGFSVWGAGPWKSVRMVSGVLGIFGMAGTYNLLFIYIAELFPTVVRNAALGCATQAAQMGAILAPFVVVLGEELPFGVFAVCGLVGGGLAFYLPETLNKPLYDTMFGMHEAESESNRERGEVIC", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSQCVDGIKHLCTSVLGCFDLDLYKQSGGLGDPELLARDTVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIHFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLKDTVIEDIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVEDT", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MMDSPFLELWQSKAVSIREQLGLGDRPNDSYCYNSAKNSTVLQGVTFGGIPTVLLIDVSCFLFLILVFSIIRRRFWDYGRIALVSEADSESRFQRLSSTSSSGQQDFENELGCCPWLTAIFRLHDDQILEWCGEDAIHYLSFQRHIIFLLVVVSFLSLCVILPVNLSGDLLDKDPYSFGRTTIANLQTDNDLLWLHTIFAVIYLFLTVGFMRHHTQSIKYKEENLVRRTLFITGLPRDARKETVESHFRDAYPTCEVVDVQLCYNVAKLIYLCKEKKKTEKSLTYYTNLQVKTGQRTLINPKPCGQFCCCEVLGCEWEDAISYYTRMKDRLLERITEEERHVQDQPLGMAFVTFQEKSMATYILKDFNACKCQSLQCKGEPQPSSHSRELYTSKWTVTFAADPEDICWKNLSIQGLRWWLQWLGINFTLFLGLFFLTTPSIILSTMDKFNVTKPIHALNNPIISQFFPTLLLWSFSALLPSIVYYSTLLESHWTKSGENQIMMTKVYIFLIFMVLILPSLGLTSLDFFFRWLFDKTSSEASIRLECVFLPDQGAFFVNYVIASAFIGNGMELLRLPGLILYTFRMIMAKTAADRRNVKQNQAFQYEFGAMYAWMLCVFTVIVAYSITCPIIAPFGLIYILLKHMVDRHNLYFVYLPAKLEKGIHFAAVNQALAAPILCLFWLYFFSFLRLGMKAPATLFTFLVLLLTILVCLAHTCFGCFKHLSPLNYKTEEPASDKGSEAEAHMPPPFTPYVPRILNGLASERTALSPQQQQQQTYGAIHNISGTIPGQCLAQSATGSVAAAPQEA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRLIAALLSVLLIASTAQSTVTIYESSKPGDFDFYLFVQQWIYSYCDSQTCIQNKEREAFTIHGLWPENSDGSYPSFCSGPSFNVNAIQDLEDQLNFDWPSLTGPNTDFWTHEFSKHGTCSITGPITDIHDYFATGIKLYTEFNITAALESENIYPSDSNTYKPVDITNAITTHFGGKPGIQCSSGQLSTVAVCIDKNSLSIMDCPDLQGWSCSGSVKFPSTA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MESNRGQGSIQQLLAAEVEAQHIVNAARTAKMARLKQAKEEAEKEIAEYKAQTEQDFQRKLEETSGDSGANVKRLEQETDTKIEQLKNEASRISKDVVEMLLKHVTTVKN", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLIKSIIALVCLAVLAQADFVRVQLHKTESARQHFRNVDTEIKQLRLKYNAVSGPVPEPLSNYLDAQYYGAITIGTPPQSFKVVFDTGSSNLWVPSKECSFTNIACLMHNKYNAKKSSTFEKNGTAFHIQYGSGSLSGYLSTDTVGLGGVSVTKQTFAEAINEPGLVFVAAKFDGILGLGYSSISVDGVVPVFYNMFNQGLIDAPVFSFYLNRDPSAAEGGEIIFGGSDSNKYTGDFTYLSVDRKAYWQFKMDSVKVGDTEFCNNGCEAIADTGTSLIAGPVSEVTAINKAIGGTPIMNGEYMVDCSLIPKLPKISFVLGGKSFDLEGADYVLRVAQMGKTICLSGFMGIDIPPPNGPLWILGDVFIGKYYTEFDMGNDRVGFATAV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTEEDRKLTVETETVEAPVANNLLLSNNSNVVAPNPSIPSASTSTSPLHREIVDDSVATANTTSNVVQHNLPTIDNNLMDSDATSHNQDHWHSDINRAGTSMSTSDIPTDLHLEHIGSVSSTNNNSNNALINHNPLSSHLSNPSSSLRNKKSSLLVASNPAFASDVELSKKKPAVISNNMPTSNIALYQTARSANIHGPSSTSASKAFRKASAFSNNTAPSTSNNIGSNTPPAPLLPLPSLSQQNKPKIIERPTMHVTNSREILLGENLLDDTKAKNAPANSTTHDNGPVANDGLRIPNHSNADDNENNNKMKKNKNINSGKNERNDDTSKICTTSTKTAPSTAPLGSTDNTQALTASVSSSNADNHNNNKKKTSSNNNGNNSNSASNKTNADIKNSNADLSASTSNNNAINDDSHESNSEKPTKADFFAARLATAVGENEISDSEETFVYESAANSTKNLIFPDSSSQQQQQQQQPPKQQQQQQNHGITSKISAPLLNNNKKLLSRLKNSRHISTGAILNNTIATISTNPNLNSNVMQNNNNLMSGHNHLDELSSIKQEPPHQLQQQQPPMDVQSVDSYTSDNPDSNVIAKSPDKRSSLVSLSKVSPHLLSSTSSNGNTISCPNVATNSQELEPNNDISTKKSLSNSTLRHSSANRNSNYGDNKRPLRTTVSKIFDSNPNGAPLRRYSGVPDHVNLEDYIEQPHNYPTMQNSVKKDEFYNSRNNKFPHGLNFYGDNNVIEEENNGDSSNVNRPQHTNLQHEFIPEDNESDENDIHSMFYYNHKNDLETKPLISDYGEDEDVDDYDRPNATFNSYYGSASNTHELPLHGRMPSRSNNDYYDFMVGNNTGNNNQLNEYTPLRMKRGQRHLSRTNNSIMNGSIHMNGNDDVTHSNINNNDIVGYSPHNFYSRKSPFVKVKNFLYLAFVISSLLMTGFILGFLLATNKELQDVDVVVMDNVISSSDELIFDITVSAFNPGFFSISVSQVDLDIFAKSSYLKCDSNGDCTVMEQERKILQITTNLSLVEESANNDISGGNIETVLLGTAKKLETPLKFQGGAFNRNYDVSVSSVKLLSPGSREAKHENDDDDDDDGDDGDDENNTNERQYKSKPNARDDKEDDTKKWKLLIKHDYELIVRGSMKYEVPFFNTQKSTAIQKDSMVHPGKK", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPSNVRSGVLTLLHTACGAGVLAMPFAFKPFGLMPGLITLTFCGICSLCGLLLQTRIAKYVPKSENASFAKLTQLINPSISVVFDFAIAVKCFGVGVSYLIIVGDLVPQIVQSIFYRNDDNMSGSQEHHMFLDRRLYITLIIVFVISPLCFKRSLNSLRYASMIAIVSVAYLSGLIIYHFVNRHQLERGQVYFMVPHGDSQSHSPLTTLPIFVFAYTCHHNMFSVINEQVDKSFKVIRRIPIFAIVLAYFLYIIIGGTGYMTFGENIVGNILTLYPNSISTTIGRLAMLLLVMLAFPLQCHPCRSSVKNIIIFIENFRKGKLYDNRASFIPLDNFNSEDPQEAPTQQNNEEPNLRSESLRHINIITLCILLFSYLLAISITSLAKVLAIVGATGSTSISFILPGLFGYKLIGSEFTGTNERVPTSIKIFKYLSLSLFIWGIAVMVASLSAIVFLGTSSH", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLMKQTFLYFLLTCVVSAQFNGYVPPEQNGGDIVVPKDFYEKFGEDFIREQEESSAPLMNPVPERDEAEAPHHPKGHHEFNDDFEDDTALEHPGFKDKLDSFLQPARDFLHTVSDRLDNIFDDDEDEHVREKRPHDSADEDAPRRKHGKCKGKGKHHKGKHAKGKGKKSHPKPEDDSVFFDDERPKHHEFDDEDREFPAHHEPGEHMPPPPMHHKPGEHMPPPPMHHEPGEHMPPPPMHHEPGEHMPPPPMHHEPGEHMPPPPMHHEPGEHMPPPPMHHEPGEHMPPPPMHHEPGEHMPPPPMHHEPGEHMPPPPMHHEPGEHMPPPPMHHEPGEHMPPPPFKHHELEEHEGPEHHRGPEDKEHHKGPKDKEHHKGPKDKEHHKGPKDKEHHKGPKDKEHHKGPKDKEHHKGPKDKEHHQGPKEKHNERPEQNMQSSHELLVIEAFADLINSVPVEEIAEEFSRFLDTLGIEYYGNIPVHIQENAPKDSSIPPLFEFDDDLELSDLTPEQFAYLEMLKAEGIDPMTAFRDQSHPAKPSNAQPADSSRPYAVFSQEENGEHVNLKAFPDHTLRVKDSKPESLGIDTVKQYTGYLDVEDDRHLFFWFFESRNDPENDPVVLWLNGGPGCSSLTGLFMELGPSSINIETLKPEYNPHSWNSNASVIFLDQPINTGFSNGDDSVLDTVTAGKDVYAFLNLFFAKFPQYAHLDFHIAGESYAGHYIPQFAKEIMEHNQGANFFVASGYEMEKQYINLKSVLIGNGLTDPLVQYYFYGKMACESPYGPIMSQEECDRITGAYDTCAKLITGCYQTGFTPVCIGASLYCNNAMIGPFTKTGLNIYDIREECRDQEHLCYPETGAIESYLNQEFVQEALGVEYDYKGCNTEVNIGFLFKGDWMRKTFRDDVTAILEAGLPVLIYAGDADYICNYMGNEAWTDALEWAGQREFYEAELKPWSPNGKEAGRGKSFKNFGYLRLYEAGHMVPFNQPEASLEMLNSWIDGSLFA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGTRGLALALLAAVLLLQTVLPAASEAEGLVRIALKKRPIDRNSRVATGLSGGEEQPLLSGANPLRSEEEGDIVALKNYMNAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACYLHSRYKAGASSTYKKNGKPAAIQYGTGSIAGYFSEDSVTVGDLVVKDQEFIEATKEPGITFLVAKFDGILGLGFKEISVGKAVPVWYKMIEQGLVSDPVFSFWLNRHVDEGEGGEIIFGGMDPKHYVGEHTYVPVTQKGYWQFDMGDVLVGGKSTGFCAGGCAAIADSGTSLLAGPTAIITEINEKIGAAGVVSQECKTIVSQYGQQILDLLLAETQPKKICSQVGLCTFDGTRGVSAGIRSVVDDEPVKSNGLRADPMCSACEMAVVWMQNQLAQNKTQDLILDYVNQLCNRLPSPMGESAVDCGSLGSMPDIEFTIGGKKFALKPEEYILKVGEGAAAQCISGFTAMDIPPPRGPLWILGDVFMGPYHTVFDYGKLRIGFAKAA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAATTASCISFSQFQRLKKLIDSPASKHKPMEFKGTSKDDLEDDLNSFFDCEEELFLSANKIFYSKLRVYQTVRHWSLKQNWSSMSLDQKRNFILHCHHELSSGELLRNSALYSILYIAAGAYDSVGSFEEHVHSISENVYLLREFDIPKTVYSLFIEWNRTRKHSFLDNSRDEGFLNLLLSLMFFFLTLNNTDKTWIHSIRSLNPPVLPTLVKLFIDITSDYQLNLDKVIHSSLKKLSFLIFKVCIRLWGSQSYLQEKKAGLAEVLNISTSQQKPKTTALDYEVFRHEMATKFSSFADSYYPVPLDREQAHILPTLNTDSFHKSSFISSTRLCSPENPSLLVNTAKTSKMSARREQFQTNQNLPFCFTPNLEKLTIPYSVTEAANVFQNKTKRTLAVEQLLSERELLRRFTLQQRLVADLHEFYNSVKGPAHSFETEDPVLKFVSQSYDDLFPYMDNFIQLAVQLFYHISKKVNCLYVEAFSSSDAVLQRIQDNAVVDSPTEELSEQAARNRKSNVKIEYTEDFATGFAISGEISHSINNLEFVLYSLSSLFLMMLKWFRLSHVLRFERLAFLLYENHFLEIFNRHLTEGDCNRNTEKDVKCVRGGFFSYSSKMYKYDRVSIPVITRASSSRNLLITMNCLRVLEKVCKYSNIRKEIIARSNLHENLKKLLAIPHDKLRLYALKVLKLSVPFLGLKWKQANMSIITQIYLNCSLDLRDSWMFHENGSDTYRSAQLQETFLAILIRFYHIRLYGKKCKSLYQFCILEEMRLKKSIEELAASNMMEYIPESLWSYSFERSDTGFFENEFAAMHINDIA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MIAWRLPLCVLLVASVESHLGALGPKNVSQKDAEFERTYADDVNSELVNIYTFNHTVTRNRTEGVRVSVNVLNKQKGAPLLFVVRQKEAVVSFQVPLILRGLYQRKYLYQKVERTLCQPPTKNESEIQFFYVDVSTLSPVNTTYQLRVNRVDNFVLRTGELFTFNTTAAQPQYFKYEFPDGVDSVIVKVTSKKAFPCSVISIQDVLCPVYDLDNNVAFIGMYQTMTKKAAITVQRKDFPSNSFYVVVVVKTEDQACGGSLPFYPFVEDEPVDQGHRQKTLSVLVSQAVTSEAYVGGMLFCLGIFLSFYLLTVLLACWENWRQRKKTLLLAIDRACPESGHARVLADSFPGSAPYEGYNYGSFENGSGSTDGLVESAGSGDLSYSYQDRSFDAVGPRPRLDSMSSVEEDDYDTLTDIDSDKNVIRTKQYLCVADLARKDKRVLRKKYQIYFWNIATIAVFYALPVVQLVITYQTVVNVTGNQDICYYNFLCAHPLGNLSAFNNILSNLGYILLGLLFLLIILQREINHNRALLRNDLYALECGIPKHFGLFYAMGTALMMEGLLSACYHVCPNYTNFQFDTSFMYMIAGLCMLKLYQKRHPDINASAYSAYACLAIVIFFSVLGVVFGKGNTAFWIVFSVIHIISTLLLSTQLYYMGRWKLDSGIFRRILHVLYTDCIRQCSGPLYTDRMVLLVMGNIINWSLAAYGLIMRPNDFASYLLAIGICNLLLYFAFYIIMKLRSGERIKLIPLLCIVCTSVVWGFALFFFFQGLSTWQKTPAESREHNRDCILLDFFDDHDIWHFLSSIAMFGSFLVLLTLDDDLDTVQRDKIYVF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MMMQCLDGLKHLALILLTCCDADPPKVRQNPKDVARGTVFTVNEIEALYELFKSISKNGLIDKEQFQLVLFKMNTTRSLFADRVFDLFDTKNTGILDFEAFARSLSVFHPNAKFEDKIEFSFKLYDLNQQGYIKRQEVKQMVVRTLAESGMNLSDHVIESIIDKTFEEADTKLDGKIDKEEWRSLVLRHPSLLQNMSLQHLKDVTKTFPNFVFHTIVTDTPSELDG", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLFGVKLANEVYPPWKGSYINYEGLKKFLKEDSVKDGSNDKKARWDDSDESKFVEELDKELEKVYGFQLKKYNNLMERLSHLEKQTDTEAAIKALDADAFQRVLEELLSESTELDNFKRLNFTGFAKIVKKHDKLYPKYPSVKSLLEVRLKELPSHSEEYSPLLYRISFLYNILRSNFNTASEPLASASKFSSIVSNDIDMNFRSFKFWVHNDNLMEVKTRILRHLPVLVYANVPSENDDLVNRFESDISNNDEIVGSSSSTSSVEHGLGARSFDPLINTLYFDNEHFELYNDKLLKLNSAPTLRLRWTGQLSDKPDIFLEKKTLIEDEATGKSEFDLTKLQLKQKFINGFIFEGDKKFKEQTLKKLKESGTAGRDLERLEEDFSEIQNFIIKNELQPVFRTVYTRTAFQIPGDDKIRVTIDSNIVFIKEDSFDRERPIRDPNTWHRTDIDANVANPLKFLRGGEYAKFPYSVMEIKVKSSLDSSMSASSMISNVKLPKKHGQWLNDLTNSHLVKEIPKFSIFVQGVASLYGDDEKLDILPFWLPDLETDIRQDPKQAYEEEKKKLLKQKEIQKKIDGMRRLSNLKEPQHQAAVPVSQEENERITSQGDLEADGSSDEETEQEPHSKRSKKVRRRKPKATFLRILAGRDPKLMGVDSEEEEIELPPGVKKPLNLLKNAGPVNVEAKVWLANERTFNRWLSVTSLLSVLTFSIYNSVKKAEYPTLANYMAYVYFGLTIFCALWSYSIYMKRVDIIQQRSGQHLDAPLGPVLVSIVLFVTLVVNFVMAFRNAAKSRQELQIQNLEVPERIPEVLRPLQNYLFKLMGPSSD", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAETERLMPNGGSRETKPLITGHLILGTIVACLGSIQYGYHIAELNAPQEFLSCSRFEAPDENISYDDTWVGQHGLKQCIALTDSQYGAITSIFSIGGLFGSYYAGNWANRYGRKYVSMGASAMCMVSSLLLFFSNSYLQLLFGRFLVGMSCGTAIVITPLFINEIAPVEWRGAMGSMNQVSINLGILLTQTLALKYADSYNWRWLLFSGSVIAVANILAWLKVDESPRWLVSHGFVSEAETALFKLRPGTYQQAKQEIQDWQRSHGHNRDPESSEETHSGPTLWQYVTDPSYKKPRTVILAILSCQQFCGINSIIFYGVKVIGKILPDYSIQVNFAISILNVVVTLAASAIIDHVGRRPLLLASTTVMTAMSLLISVGLTLSVSFLLVTATFVYIAAFAIGLGPIPFLIIGELSYPQDAATAQSFGTVCNWLATFIVGYLFPIGHGLMGGYVFAIFAAIAAMFATYVYKRVPETKGKTTYSEVWAGY", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSESIQDQDHEKTTIIEKTRRFVLSIFTKDFWIGDYDYSFLLPAIPFTKQKPKSPPFFSLNAKVPVLLALLLGFQHALAMVGGVTSPPRIIAASANLTTEQTNYLVSAGLISSGIMTLIQIARVHIPKTKYYIGTGMLSVLGISFTSVSVAPKVLSQMYENGYCPKDENGTKLPCPDGYGAFLATACVCSLLEIFMSFIPPRILKRLFPPIVTGPVVLLIGTSLISSGLNDWAGGEGSCTGRPTEAEAPGYSLCPSDTSPHALGWGSAQFIGLGFSVFATIIIIERFGPPLMKTTSVVLGLVVGMIISAATGYWDHSIIDAAPVVTFNWVHTFRLRIYGPAVLPMLALYIVNMMEAIGDIGATSDVSMLEVDGPAFDARVQGGILGDGLASLIASLMTTTPLTTFAQNNGVISLTKCANRRAGFFCAVILFFMGLFAKFAAVFVAIPSPVLGGMTTFLFSSVAVSGIAIISQIPFNRRNRFILTASMTLGMGAILVPDWFTYFFEYSGPNKALVGFLDAITLVMENGFAIGAFISIFLNLILPYEFDPDLTNDSPGLSTTNGVNNGIVEVRGIDPNDSLSNTDTEYANENKKDEVDVDKVV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAEKEEAIFRSAEMALVQFYIPQEISRDSAYTLGQLGLVQFRDLNSKVRAFQRTFVNEIRRLDNVERQYRYFYSLLKKHDIKLYEGDTDKYLDGSGELYVPPSGSVIDDYVRNASYLEERLIQMEDATDQIEVQKNDLEQYRFILQSGDEFFLKGDNTDSTSYMDEDMIDANGENIAAAIGASVNYVTGVIARDKVATLEQILWRVLRGNLFFKTVEIEQPVYDVKTREYKHKNAFIVFSHGDLIIKRIRKIAESLDANLYDVDSSNEGRSQQLAKVNKNLSDLYTVLKTTSTTLESELYAIAKELDSWFQDVTREKAIFEILNKSNYDTNRKILIAEGWIPRDELATLQARLGEMIARLGIDVPSIIQVLDTNHTPPTFHRTNKFTAGFQSICDCYGIAQYREINAGLPTIVTFPFMFAIMFGDMGHGFLMTLAALSLVLNEKKINKMKRGEIFDMAFTGRYIILLMGVFSMYTGFLYNDIFSKTMTIFKSGWKWPDHWKKGESITATSVGTYPIGLDWAWHGTENALLFSNSYKMKLSILMGFIHMTYSYFFSLANHLYFNSMIDIIGNFIPGLLFMQGIFGYLSVCIVYKWAVDWVKDGKPAPGLLNMLINMFLSPGTIDDELYPHQAKVQVFLLLMALVCIPWLLLVKPLHFKFTHKKKSHEPLPSTEADASSEDLEAQQLISAMDADDAEEEEVGSGSHGEDFGDIMIHQVIHTIEFCLNCVSHTASYLRLWALSLAHAQLSSVLWTMTIQIAFGFRGFVGVFMTVALFAMWFALTCAVLVLMEGTSAMLHSLRLHWVESMSKFFVGEGLPYEPFAFEYKDMEVAVASASSSASS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAAEEQPLLGRDRGSGQVHSGAAADQELCIDQAVVFIEDAIKYRSIYHRMDAGSLWLYRWYYSNVCQRVLGFIIFLILILAFVEVPSSFTKTADVRYRSQPWQPPCGLTETIEAFCLLAFLVDLSVKGYLVGQAQLQQNLWLLAYFMVLVVSVVDWIVSLSLACEEPLRMRRLLRPFFLLQNSSMMKKTLKCIRWSLPEMASVGLLLAIHLCLFTIIGMLLFTIGEKDEAQDQERLAYFRNLPEALTSLLVLLTTSNNPDVMIPAYTQNRAFALFFIVFTLIGSLFLMNLLTAIIYNQFRGYLMKSLQTSLFRRRLGARAAYEVLASRAGPAGTTPELVGVNPETFLPVLQKTQLNKTHKQAIMQKVQSYEGRPMLADEFQKLFDEVDKGLAKERPLKPQYQSPFLQTAQFIFSHHYFDYLGNLVALGNLLSICVFLVLDSDLLPGERDDFVLGILDYIFILYYLLELLFKVFALGLPGYLSYHSNVFDGLLTIILLVSEICTLAVYRLPHSGWKPEQYGPLSLWDMTRLMNTLIVFRFLRIIPNIKPMAEVANTILGLIPNLRAFGGILVVAYYVFAMIGINLFRGVIVPPGNSSLVPDNNSAVCGSFEQLGYWPNNFDDFAAALITLWNVMVVNNWQVILEAYKRYAGPWSMVYFVLWWLVSSVIWINLFLALLLENFLHRWDPQGHKQLLVGTKQMSVELMFRDILEEPKEEELMEKLHKHPHLHLCR", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSALRAEQQPSRSGERQPLVAQGRWGPRRWRRTAAAAVLLVEMLERAAFFGVTSNLVLYLNSLNFNWDGEHASRATLLFLGASYLLAPVGGWLADVYLGRFLAISLSLLLYLAATGLLLTTITDDGRRSFCGEMPELPLKPACPSANCQGSWSSPYCATTLYLVLLLLALAASSVRSNLTSFGADQVMDLGRDATRRFFNWFYWSINLGAILSLLVVAFIEQNISFLQGYSIIVGLVGLAFFIFLIATPVFITKPPTGSQVSSMLNLAFQNCCPGWQWWRRPSSRNSEGAHLLPDQRSNQPGPSPQEDMANFQVLLKVLPVMVTLVPYWMVYFQMQSTYVLQGLHLHIPNIFRTNPNISLPLRSDSSNYRIPEAWLLLANVAVILILVPVKDHLIDPLLLRCKLLPSALQKMALGMFFGFTSIIVAGVLEKERLQYIAANQTVPQLIGKDLYYAAPLSIWWQIPQYLLIGISEIFASIPGLEFAYSEAPRSMQGAIMGIFFCLSGVGSLLGSGLVALLSLPGGWMYCPKDFGNINNCRMDLYFFLLAGIQAVTAVLFLWIAGRYERTRQDPDSQNSTSRVRG", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAKDKDITETLLTAAEERSDLPFLSVDDIPPITTVGGFVREFNVETKKLWYLAGPAIFTSVNQYSLGAITQVFAGHISTIALAAVSVENSVVAGFSFGIMLGMGSALETLCGQAFGAGKLSMLGVYLQRSWVILNVTALILSLLYIFAAPILASIGQTAAISSAAGIFSIYMIPQIFAYAINFPTAKFLQSQSKIMVMAVISAVALVIHVPLTWFVIVKLQWGMPGLAVVLNASWCFIDMAQLVYIFSGTCGEAWSGFSWEAFHNLWSFVRLSLASAVMLCLEVWYFMAIILFAGYLKNAEISVAALSICMNILGWTAMIAIGMNTAVSVRVSNELGANHPRTAKFSLLVAVITSTLIGFIVSMILLIFRDQYPSLFVKDEKVIILVKELTPILALSIVINNVQPVLSGVAVGAGWQAVVAYVNIACYYVFGIPFGLLLGYKLNYGVMGIWCGMLTGTVVQTIVLTWMICKTNWDTEASMAEDRIREWGGEVSEIKQLIN", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSFLPLRSRSRSGAPHWVYIILYHIFTIPKIYSLPLLSGSHVLNSRDVADSGHSVGDEASVTTYYIISIILVLLGGVFAGLTLGLMGQDEVYLKVISTSGSNSEKKLAKRVLDLISRGKHWVLVTLLLSNVITNETLPIVLDRCLGGGWQAVVSSTILIVIFGEIIPQSVCVKYGLQVGAFFCPFVLVLMYLMYPVAYPIATLLDYMLGEDHGTMYKKSGLKTLVTLHRTMGVERLTKDEVTIISAVLDLKAKRVEEIMTPIENVFTMSADTILDDKTVEKIFNSGFSRIPIFLPNEPNNFIGMLLVRVLISYDPDDCLPISHFPLATLPETSPNTSCLNILNYFQEGKAHMCVVSKEPGSSHGAIGVLTLEDVIEELIGEEIVDESDVFVDMHQHIMRQQPGPLSKRHITSYLHHLYTSSHKEHKAADQADESSPLLSPSNSNHPSEHPQQDLNNKSWKQKSNDGYDRSNAVLSPTPQVTEHGTIIPSNLASNPLNVNKSFVTIKKPANVPKIITTHTPHSSKEPSPAPHSNDKSLSAEEQQLLSDHAELSRQAVLHTQRSGQPTQVTTSTKTTRNSPDSISIPNSGANHGNENQNVTISTSYQNTKNGIVESVITVKGVPKTIIGPAKDWDESKSEYGNENINQENSNRSDDRESSSSNASLFSSIKNKFKNENANNNDRSNFTDSLSRTSNYDANGSSSTIKR", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFDKLPYEIFKQIAWRIPQEDKISLTYVCKRSYESIIPFIYQNLFLNETYHINGDYDNSFGTCYWSVLNFHYIDEDDSNTKNDMSNRRLAKVKFSYFERTLAESPKRLCPLINRIRCTWHLNEDVMTNVLKLLSEYGSNLKFVDQFVRSSVNKGLEPLSKQLKTLTLTPPTLMPTHNSVSGSYLNKIDRLLLKCDLSRLEKLSIHINALKYFKNTGSPMKIKALVLNLRPDTLNLAEYDASDDFLKELEYIDIFDASTLRQLEILSWYSRDDFPSGEEGGFDRLYVKWGLEGFWKFPNIEKLSLASLVYSEFFLMNCLAVFHNLKILKLDYMGKFDFDVSLINFLSKQVCGKKLQRFDIHCQLNHRLFFPMTDNPLTRLNFDGFCPCSTCKNTIHEVILKKIFPETRSKLLKNPNKFQAHNFFYQMFFENKIMPYTNIIDNESPAMGWDSVPIETFVRKFNENLQSTIENTENITVNKITREDAISLYHLYLHYLKDVFKVFEQSLPNLEYLTINGIPTKIIQVDELQRCAVPLFYNNGYKSNSVYELVDAEALFS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAGVAFGSFDDSFSLASLRAYLAEFISTLLFVFAGVGSAIAYAKLTSDAALDTPGLVAIAVCHGFALFVAVAIGANISGGHVNPAVTFGLAVGGQITVITGVFYWIAQLLGSTAACFLLKYVTGGLAVPTHSVAAGLGSIEGVVMEIIITFALVYTVYATAADPKKGSLGTIAPLAIGLIVGANILAAGPFSGGSMNPARSFGPAVAAGDFSGHWVYWVGPLIGGGLAGLIYGNVFMGSSEHVPLASADF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGKKDRQRKKLREFAKLKNRQRNLRKSVQTLKNEVQREAKVPRTSNQIALGNDKIEEINENSPLLSAPSKQEEVSIPKAVDIDTIDAQPLHEGPKIDDSPQDEVNSIKGKPADKANEDDLKPPSQHEACGNSALQSSITDFSDRSVSPLQSITSCNTPMSEHELPVSSSNSFERADDMPVVQADNQTSSSKSLHIVAPSPEVPVSGDEITSYGYGSIPQSIGDVENGLNPPYVENTSSDELVHDLTRRRIFSSCMCTYLFFIAMDSSIILVIASKIASEFHELWRLSLVISAYLLSNAIGQLVFLKLSLISSVKLLLCIAQFSFILGGYLSWSSAHFWTFIFARCVTGFGGGSLIALKSTIMNRFSQKNDSRYSLSASMITFAMGVVIGPFMMNLFDSSHGSGWRNAFLIPVPFCLVNASIMLADMYSVKSTLYGRPTPTLWKRFKNTLLSPDLYEILTLTLFLLCFVQVTSLDLTGLKNNTMIQALLFSVIIVCGILFFLIETSDTYMNSVISMSLQGDKRLIWTMIGISFCFAALMCIIPFGTTYFIIVLNLSTLQLAERLSPFFFSIVLGYFSVSYFWKSKGQNFLLKFVLSGATLLLYVALMGVSLNLPVWKQYICLSLPFLGSSMILTLLSNLYHEYHEQRKSPISGSIVYCFGAVGGTVGISLGGYVFHKTLIKLMHEKVMPFSKQGYLKKDLLKIIKHATESSDWVHESAPKFVFQTLIECYLQACRNVFKLSTLFFTITVVAIFIFNRIHCRSQNCLSLS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAAPVDGSSGGWAARALRRALALTSLTTLALLASLTGLLLSGPAGALPTLGPGWQRQNPDPPVSRTRSLLLDAASGQLRLEDGFHPDAVAWANLTNAIRETGWAYLDLSTNGRYNDSLQAYAAGVVEASVSEELIYMHWMNTVVNYCGPFEYEVGYCEKLKNFLEANLEWMQREMELNPDSPYWHQVRLTLLQLKGLEDSYEGRLTFPTGRFTIKPLGFLLLQISGDLEDLEPALNKTNTKPSLGSGSCSALIKLLPGGHDLLVAHNTWNSYQNMLRIIKKYRLQFREGPQEEYPLVAGNNLVFSSYPGTIFSGDDFYILGSGLVTLETTIGNKNPALWKYVQPQGCVLEWIRNVVANRLALDGATWADVFKRFNSGTYNNQWMIVDYKAFLPNGPSPGSRVLTILEQIPGMVVVADKTAELYKTTYWASYNIPYFETVFNASGLQALVAQYGDWFSYTKNPRAKIFQRDQSLVEDMDAMVRLMRYNDFLHDPLSLCEACNPKPNAENAISARSDLNPANGSYPFQALHQRAHGGIDVKVTSFTLAKYMSMLAASGPTWDQCPPFQWSKSPFHSMLHMGQPDLWMFSPIRVPWD", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSFQDLESGRGRSTRKFNGGRQDSTQAVASGIFQINTGVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKEASETDHQSGVNPSKKIADAKLARDFQAVLKEFQKAQQTAAERETTYTPFVPQSALPSSYTAGEVDKVPEQRAQLQESKRQELVLLDNEIAFNEAVIEEREQGIQEIHQQIGEVNEIFKDLAVLVNDQGVMIDDIGTHIDNSRAATSQGKSQLVQAAKTQKSNSSLTCLLLVIFGIVLLIVIIVLAA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPELDLEENNPLLPPSQINDENYEGSIFGEDARFCPNSRQVFRICSNLKLLIDKIIPICFKEDEITSSNSAILSDPVIDLVYQAAGGKGDGKEGTSSYKYRGSLVFCLLKVCDWYWQQAEFELSDNELYSLRALTAQTIAAIIIEREKRDKYLFLNMLCHRYTICVNGVDATPVSALEMAVDMHSTIVIGSSGYQRCIKWLWRGWIIQSSTDPHSYVLYKGAASQSFRTHFDPARIKTPLYQNILEIFLSIIYLIIFTIVVNTHSTLTGDIDFFETVLYLFTVGYILDELIKFYHVGWNYLGFWNAFNDTMYCILTVAVCFRIASVNSHGATRIKYDEISFRVLACASPLMWSRLLLFLDAYKFVGAMIVVLKTMMKESILFFFLLFVVIVGFLQGFIGLDSSDGKNEATQRILISLVKAVIGGSSFEDMGNLVPPYASVLYYFYQFMLTVILMNILIALYSTAYAAIVENATDEYFALVAHKTLRYIRAPDQNLYVPPFNLIELLITPIGWFVSTSTWKNINYYVMLVIYSPLLAYITSDELSNARRIQYNRFKGVPDDANEIDTEWDLTDGYDEDSPGDGDDCWDRIRERNSEITEELRIQREGERQDPEFMINTHQFSEKIDKIVKPVGQASKVGVNWQIYEVIEKIDKLTNLLEVVVAENKELKKKLENKA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLGLCGQRLPAAWVLLLLPFLPLLLLAAPAPHRASYKPVIVVHGLFDSSYSFRHLLEYINETHPGTVVTVLDLFDGRESLRPLWEQVQGFREAVVPIMAKAPQGVHLICYSQGGLVCRALLSVMDDHNVDSFISLSSPQMGQYGDTDYLKWLFPTSMRSNLYRICYSPWGQEFSICNYWHDPHHDDLYLNASSFLALINGERDHPNATVWRKNFLRVGHLVLIGGPDDGVITPWQSSFFGFYDANETVLEMEEQLVYLRDSFGLKTLLARGAIVRCPMAGISHTAWHSNRTLYETCIEPWLS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVDQVNLATEQTSLLYPEVSRKKEELSVNKWTILPALWVGGFLSALDMTIVASLYPVIGSEFKLMNNASYIVTAYLITNTAFQPLYGRLSDIFGRRPTVVFANAAFTLGTFWCGISRSLPELCMARALAGIGGGGLGTMSSIISSDIVSLRERGTWQGITNIVWGIGGSLGGPLGGLIAQRWGWRTAFHFQVPMGILSTILVAWRVRVKPTVRNSNASLLSRIDYLGSFLLVTGITALVVTFNMGGDAFPWVSPVIITLLVSSVLILFAFYWVEKNIAVEPIAPVEILSQPTPLNVCLGNFFNAFCSFVIVYELPLFFETTLLMPSSEAGVRIFPYVISTSVGSLCSGLYMKKTGRYRNLVIAGFFFMLMGIVSFAVLTSFGHRTPLILISLCLAMTGCSYGMNLTSTLIAIISSLAPEEQAVATGLSYLFRATGSVIGISLSQTTTLSILMKQLASNLKDDPDKDDLIRRLRESISIIPNLPKDIQKLVIKSYATAFTWTFALVAIIAFAGFWCSLRIKQFYLHTSVDRS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAIIFALVARGTVVLSEFSATSTNASSISKQILEKLPGNDSDSHMSYSQDRYIFHVKRTDGLTVLCMADETAGRNIPFAFLDDIHQRFVKTYGRAIHSAQAYSMNDEFSRVLSQQMEFYSNDPNADRMSRIKGEMSQVRNVMIENIDKVLDRGERLELLVDKTENMQGNTFRFRKQARRYRTIMWWRNVKLTIALILVLALVVYIAMAFVCHGPSLPSCFK", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDRVVFFFLIAATLLAGSLGSTVISGEVTDGFVNPIRQVVPEENDEQLLNAEHHFTLFKSKYEKTYATQVEHDHRFRVFKANLRRARRNQLLDPSAVHGVTQFSDLTPKEFRRKFLGLKRRGFRLPTDTQTAPILPTSDLPTEFDWREQGAVTPVKNQGMCGSCWSFSAIGALEGAHFLATKELVSLSEQQLVDCDHECDPAQANSCDSGCSGGLMNNAFEYALKAGGLMKEEDYPYTGRDHTACKFDKSKIVASVSNFSVVSSDEDQIAANLVQHGPLAIAINAMWMQTYIGGVSCPYVCSKSQDHGVLLVGFGSSGYAPIRLKEKPYWIIKNSWGAMWGEHGYYKICRGPHNMCGMDTMVSTVAAVHTSPK", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRLWKAVVVTLAFMSVDICVTTAIYVFSHLDRSLLEDIRHFNIFDSVLDLWAACLYRSCLLLGATIGVAKNSALGPRRLRASWLVITLVCLFVGIYAMVKLLLFSEVRRPIRDPWFWALFVWTYISLGASFLLWWLLSTVRPGTQALEPGAATEAEGFPGSGRPPPEQASGATLQKLLSYTKPDVAFLVAASFFLIVAALGETFLPYYTGRAIDGIVIQKSMDQFSTAVVIVCLLAIGSSFAAGIRGGIFTLIFARLNIRLRNCLFRSLVSQETSFFDENRTGDLISRLTSDTTMVSDLVSQNINVFLRNTVKVTGVVVFMFSLSWQLSLVTFMGFPIIMMVSNIYGKYYKRLSKEVQNALARASNTAEETISAMKTVRSFANEEEEAEVYLRKLQQVYKLNRKEAAAYMYYVWGSGLTLLVVQVSILYYGGHLVISGQMTSGNLIAFIIYEFVLGDCMESVGSVYSGLMQGVGAAEKVFEFIDRQPTMVHDGSLAPDHLEGRVDFENVTFTYRTRPHTQVLQNVSFSLSPGKVTALVGPSGSGKSSCVNILENFYPLEGGRVLLDGKPISAYDHKYLHRVISLVSQEPVLFARSITDNISYGLPTVPFEMVVEAAQKANAHGFIMELQDGYSTETGEKGAQLSGGQKQRVAMARALVRNPPVLILDEATSALDAESEYLIQQAIHGNLQKHTVLIIAHRLSTVEHAHLIVVLDKGRVVQQGTHQQLLAQGGLYAKLVQRQMLGLQPAADFTAGHNEPVANGSHKA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSDAARTPLLPTEKIDTMAQDFNLNSRTSSSRKRRLRRSRSAPRGDCMYNDDVKIDEPPPHPSKIPMFSDLNPNLRRVIMFLALYLTIGTLCFYLVRDQISGHKTSGVVDALYFCIVTMTTVGYGDLVPNSSASRLLACAFVFSGMVLVGHLLSRAADYLVEKQEALLVRAFHLRQSFGPTDILKELHTNKLRYKCYATCLVLVVLFIVGTIFLVMVEKMPVISAFYCVCSTVTTLGYGDKSFNSEAGRLFAVFWILTSSICLAQFFLYVAELNTENKQRALVKWVLTRRITNNDLEAADLDEDGVVGAAEFIVYKLKEMGKIDEKDISGIMDEFEQLDYDESGTLTTSDIVLAQTTSQIQR", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSSNYATPLDDEVFPLSFANYQFTEHVSLGEHYSLNTSEDAKYNNLNGPFVVPRDTGKFDLNTSSASDETVFSLDNPQENNYKHQAMNNVQDCRMAVAAKTTQSCDKLTDLYANAAQQNYRLWLSSF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVKKNFIPSVSLVRRDLPTLVTTTTSSTALSKPTSSVVSETSSKSLPSLTSSAFSTSSGATSSSSLIVASITPPSTAGNPFILNAADKPNGTVYIAVGAVIGAIFISILIWWLVSSYLSRRFTMTNSYANDSKNLYRGHHKHSSSLQSNPFDINDEKSYMQDDWDSMSQLESSQYEDAASPFNPIQDPFTDNRRSLFISPTLQVSQYEKSHSRHQSKDTNIFIDDPFLYVGTYLEEEEEEEEERKLNLNRPQRAASPERKEKKINSMEGYHKRNQSSLGLIPVASATSNTSSPKKAHKRQAPSMFLDDVLNGREII", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDPRLSTVRQTCCCFNVRIATTALAIYHVIMSVLLFIEHSVEVAHGKASCKLSQMGYLRIADLISSFLLITMLFIISLSLLIGVVKNREKYLLPFLSLQIMDYLLCLLTLLGSYIELPAYLKLASRSRASSSKFPLMTLQLLDFCLSILTLCSSYMEVPTYLNFKSMNHMNYLPSQEDMPHNQFIKMMIIFSIAFITVLIFKVYMFKCVWRCYRLIKCMNSVEEKRNSKMLQKVVLPSYEEALSLPSKTPEGGPAPPPYSEV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEKSIAKGLSDKLYEKRKAAALELEKLVKQCVLEGDYDRIDKIIDELCRDYAYALHQPMARNAGLMGLAATAIALGINDVGRYLRNILPPVLACFGDQNDQVRFYACESLYNIAKIAKGEILVYFNEIFDVLCKISADTENSVRGAAELLDRLIKDIVAERASNYISIVNNGSHGLLPAIKTDPISGDVYQEEYEQDNQLAFSLPKFIPLLTERIYAINPDTRVFLVDWLKVLLNTPGLELISYLPSFLGGLFTFLGDSHKDVRTVTHTLMDSLLHEVDRISKLQTEIKMKRLERLKMLEDKYNNSSTPTKKADGALIAEKKKTLMTALGGLSKPLSMETDDTKLSNTNETDDERHLTSQEQLLDSEATSQEPLRDGEEYIPGQDINLNFPEVITVLVNNLASSEAEIQLIALHWIQVILSISPNVFIPFLSKILSVLLKLLSDSDPHITEIAQLVNGQLLSLCSSYVGKETDGKIAYGPIVNSLTLQFFDSRIDAKIACLDWLILIYHKAPNQILKHNDSMFLTLLKSLSNRDSVLIEKALSLLQSLCSDSNDNYLRQFLQDLLTLFKRDTKLVKTRANFIMRQISSRLSPERVYKVISSILDNYNDTTFVKMMIQILSTNLITSPEMSSLRNKLRTCEDGMFFNSLFKSWCPNPVSVISLCFVAENYELAYTVLQTYANYELKLNDLVQLDILIQLFESPVFTRMRLQLLEQQKHPFLHKCLFGILMIIPQSKAFETLNRRLNSLNIWTSQSYVMNNYIRQRENSNFCDSNSDISQRSVSQSKLHFQELINHFKAVSEEDEYSSDMIRLDHGANNKSLLLGSFLDGIDEDKQEIVTPISPMNEAINEEMESPNDNSSVILKDSGSLPFNRNVSDKLKK", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGSIEEEARPLIEEGLILQEVKLYAEDGSVDFNGNPPLKEKTGNWKACPFILGNECCERLAYYGIAGNLITYLTTKLHQGNVSAATNVTTWQGTCYLTPLIGAVLADAYWGRYWTIACFSGIYFIGMSALTLSASVPALKPAECIGDFCPSATPAQYAMFFGGLYLIALGTGGIKPCVSSFGADQFDDTDSRERVRKASFFNWFYFSINIGALVSSSLLVWIQENRGWGLGFGIPTVFMGLAIASFFFGTPLYRFQKPGGSPITRISQVVVASFRKSSVKVPEDATLLYETQDKNSAIAGSRKIEHTDDCQYLDKAAVISEEESKSGDYSNSWRLCTVTQVEELKILIRMFPIWASGIIFSAVYAQMSTMFVQQGRAMNCKIGSFQLPPAALGTFDTASVIIWVPLYDRFIVPLARKFTGVDKGFTEIQRMGIGLFVSVLCMAAAAIVEIIRLHMANDLGLVESGAPVPISVLWQIPQYFILGAAEVFYFIGQLEFFYDQSPDAMRSLCSALALLTNALGNYLSSLILTLVTYFTTRNGQEGWISDNLNSGHLDYFFWLLAGLSLVNMAVYFFSAARYKQKKASS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTGARASAAEQRRAGRSGQARAAERAAGMSGAGRALAALLLAASVLSAALLAPGGSSGRDAQAAPPRDLDKKRHAELKMDQALLLIHNELLWTNLTVYWKSECCYHCLFQVLVNVPQSPKAGKPSAAAASVSTQHGSILQLNDTLEEKEVCRLEYRFGEFGNYSLLVKNIHNGVSEIACDLAVNEDPVDSNLPVSIAFLIGLAVIIVISFLRLLLSLDDFNNWISKAISSRETDRLINSELGSPSRTDPLDGDVQPATWRLSALPPRLRSVDTFRGIALILMVFVNYGGGKYWYFKHASWNGLTVADLVFPWFVFIMGSSIFLSMTSILQRGCSKFRLLGKIAWRSFLLICIGIIIVNPNYCLGPLSWDKVRIPGVLQRLGVTYFVVAVLELLFAKPVPEHCASERSCLSLRDITSSWPQWLLILVLEGLWLGLTFLLPVPGCPTGYLGPGGIGDFGKYPNCTGGAAGYIDRLLLGDDHLYQHPSSAVLYHTEVAYDPEGILGTINSIVMAFLGVQAGKILLYYKARTKDILIRFTAWCCILGLISVALTKVSENEGFIPVNKNLWSLSYVTTLSSFAFFILLVLYPVVDVKGLWTGTPFFYPGMNSILVYVGHEVFENYFPFQWKLKDNQSHKEHLTQNIVATALWVLIAYILYRKKIFWKI", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKFASKKNNQKNSSKNDERYRELDNLVQEGNGSRLGGGSCLGKCAHVFKLIFKEIKDNIFIYILSIIYLSVCVMNKIFAKRTLNKIGNYSFVTSETHNFICMIMFFIVYSLFGNKKGNSKERHRSFNLQFFAISMLDACSVILAFIGLTRTTGNIQSFVLQLSIPINMFFCFLILRYRYHLYNYLGAVIIVVTIALVEMKLSFETQEENSIIFNLVLISALIPVCFSNMTREIVFKKYKIDILRLNAMVSFFQLFTSCLILPVYTLPFLKQLHLPYNEIWTNIKNGFACLFLGRNTVVENCGLGMAKLCDDCDGAWKTFALFSFFNICDNLITSYIIDKFSTMTYTIVSCIQGPAIAIAYYFKFLAGDVVREPRLLDFVTLFGYLFGSIIYRVGNIILERKKMRNEENEDSEGELTNVDSIITQ", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAEEYKEALLEKQNYHDGCPGCKVEQMKQLRRGYPYLELSFVWIIVLSTSLPISSLYPFLYYMIEDFGVAKTEKDIGFYAGFVGCSFMLGRALTSVFWGIVADRYGRKPIILLGTISIAIFNALFGLSSNFWMAIGTRFLLGSFNCLLGTMKAYASEIFRDEYQATAMSAVSTAWGIGLIIGPALGGFLAQPADKYPNVFSQESLFGRFRYALPCFTISAFALLVTVLCCFIPETLHNHKLDSLSHDDSYDILEAASHESSPSTGKAGKNERKASQSLLKNWPLMSSIIVYCVLCLHDTAYSEIFALWANSPRKYGGLSYSTNEVGTVLAISGLGLFSFQVFVYPLAEKLLGPVLVTRYAGALMIPIQMSYPFIAGLSGLSLSLMLNCASILINVLSVSAITGLLILQNRAVDQSQRGAANGIAMTAMSLFKTVGPAGAGILFSWSERRLNAAFLPGSHMVFFVLNVIVVVGVALTFKPFLTTSRR", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNSPIQLHKKFSTTVWHVLSWILYAVGAGPTAIISMGVYVPLIVMKNAHDHGFLRTDHTIPCSLYPEEPCSLNIVGPLWIDVSSIVFAASAISTFLQMAMMVSLGVICDYGNNRRYILFSCVIIGSISGIILSWSPSSFLLGKIVFLILVDLNFIISQSCYDSFLPIFLRFYPITRGPITLESALQDETDDLDSYITNTTIDSSEEEPYLLEHSLILNESAPPADVEDEHKAKIAARLSSVGFGSFFGAAILFQIIFTPILYKTNNNPIILPITVTVCSCWWLILSTPLCTIVTLPVENHSSDAILTLLYNSVKESYHSFKHAMSISSIRLFLFSRLFINCGIQTSLSSAVIFGKARLNLSNFQLTLLGMGISSFALLGTVIIPYLTEYFQLNSLQVVMIISILLPMAPLYGLLGYIPGFENAGIRTSADVFRATLFFGFFLGGAHSYCRSVYAQLVPSGKETRFFALYALISQTGVLFSHISLTLISNYTSDLRAVYIFVIVVMTLPLSSLWIMYQHSKTPNLHRSSS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGCFQSTARRPRPGYEDPVGLASETAFSVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKNKRKENLFANRIFDLFDVKKRGVIDFGDFVRALNVFHPNIPMEEKIDFSFKLYDMDNTGFIERKEVKQMLIALLGESEMRLSDEIIETILDKTFSDADTNQDGRIDRTEWENFVSRNPSLLKIMTLPYLKDITTTFPSFVFNSEVDDLVT", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGRTIRRRRSNSSLSEAISVSLGINQDSSVNKMHRASVSAMSPPLCRSYMSGFFTGGNSPMINNLSDSKLPISNKQHPKVIHGSENLHRQTAQLSNEFCSSSVEENSPTIKDYMDIIGNGDRKDDQSMRTIEENIDEEYSDEYSRLLLSPASSNVDDDRNRGLQNSSLPELEDGYAGGYQSLRPSHNLRFRPRNLWHMCTSFPSKFAHYLPAAVLGLLLNILDALSYGMIIFPITEPVFSHLGPTGISMFYISTIISQAVYSGGWSSFPSGIGSEMIEITPFYHTMALAIKEALAGNDDEIITTTIFCYVISSMLTGVVFYALGKLRLGKIVGFFPRHILIGCIGGVGYFLIITGIEVTTRVAKFEYSWPFFSGLFTDYDTLAKWLLPVLLTVVLIGTQRYFKNSLVLPSFYILTLVLFHFIVAIIPTLSLDALRQAGWIFPIANSDSKWYDHYRLFNVHKVHWSLVLQQIPTMMALTFFGILHVPINVPALAMSLQMDKYDVDRELIAHGYSNFFSGLLGSVQNYLVYTNSVLFIRAGADSPFAGFLLIALTICIMIIGPVIISFIPICIVGSLIFLLGYELLVEALVDTWNKLNRFEYLTVVIIVFTMGIFDFVLGIIVGILIACFSFLVDSTKLQTINGEYNGNVARSTVYRDYVQTKFLDGIGEQIYVLKLQNLLFFGTIISIEEKIERLLQISNKDATKRRIKYLILDFKNINADNIDYSAAEGFNRIKRFTETKRIKLIISSIKERDRIYNAFNNVGLLNDVELFADLNSALEWCENEFLFQYKQLRKKAKERLEEGKQNNVVSAVIAATKNKKIDTIGNGLNRGSNGDTARNLMSLPTNTPRNYQILSVAQNVFVNDEQAVKNFKKEYKDDEPVLPILLFALKQYRPDIISEVQKVREKEIKFWAQLCPYFTRRRLASQSHLLHADNIFFLVETGMLKATYELPQGTLYEIFSNGTCFGKIIAPGNAMPREQKLTIETETDSVLWVIDSSSLNKLKEDNLALYVEVALMVMCIKDTRFKELLGYTLVSA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNGGDVTVAGSDDLKSPLLPVVHNDEPFERQTVGQQLRTIFTPKNCYIALGPLLCAVVCLCVDLGGDETTTARNMLGVLVWMFAWWLTEAVPMPITSMTPLFLFPLFGISAADDVANSYMDDVISLVLGSFILALAVEHYNIHRRLALNITLVFCVEPLNAPLLLLGICATTAFVSMWMHNVAAAVMMMPVATGILQRLPSSSSTTEVVHPAVGKFSRAVVLGVIYSAAVGGMSTLTGTGVNLILVGMWKSYFPEADPISFSQWFFFGFPLALCIFVVLWCVLCVMYCPKGAGQALSPYLHKSHLRRELDLLGPMNFAEKMVLAVFGGLVVLWMTRNITDDIPGWGRIFAGRAGDGTVSVMMATLLFIIPSNIKKGEKLMDWNKCKKLPWNIVLLLGAGFAIADGVRTSGLAEVLSKGLVFLETAPYWAIAPTVCLIAATITEFTSNNATTTLLVPLLIEIAKNMGIHPLLLMVPGAIGAQFAFLLPTGTPSNVVGFTTGHIEIKDMIKTGLPLKIAGTIFLSILMPTLGAYVFASMGGV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKRFFSKLFSKSPTSGRVPSPDSDYSEEEQRLLAEENGYFQDSNEYVEPNIPAVYGSMIPVAQQLQQHHVHTPGESFADNASGYPVIKHELSELLRLGSPTVIAYLLQSSEQFSTVFTLGHLGKEYLAASSLSTMTAAISAFSIFQGVISSLDTLATQAFGANKPYNVAIYLQRCLLILAVLHIPVALIWLNLEHILIFLHQDPMVAHLCGRYMRVFILAAPGYAVFEALKRYLQAQGIFTPITYVLCFAAPLNILLNYLLVWHPTIGFGFLGAPVAVATTFWFQSICLILYICFSSTPIPWPGFSRQALKNLSPMLHFSFHGMLMIVTEWAAYEMTSLGAGYLGTAPLASQSILLTSTSLLFQIPFAFAVASSTRVGHLIGSGRANLARLCSRVAYSLALCISIFDGSLIFCFRDVWGSLFTSDPEVLAVVKDIFPILSLFIVTDGLNAVGGGLLRGTGKQYIGGLISIGSSYLFALPVTVFVVVYFNTGLKGIWCGMILSSVTAITCQFTVLFNTDWHRVLQEARHRLTHV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLHMNSLWGCFLFVLLAVTGAVQGLQEDYSEYAVYRFTSDNYSTLVRDVIAPLTDDYDVWTRSNNFIDIKLPKEIGEQINDGQVIIDNMNELIQNTLPTSQMMAREQAVFENDYDFFFNEYRDLDTIYMWLDLLERSFPSLVAVEHLGRTFEGRELKALHISGNKPESNPEKKTIVITGGIHAREWISVSTVCWALYQLLNRYGSSKKETKYLDDLDFLVIPVFNPDGYAYTWSHDRLWRKNRQRTHVPQCLGIDIDHSFGFQWEKAHTHACSEEYSGETPFEAWEASAWYKYINETKGDYKIYGYIDMHSYSQEILYPYAYSCDALPRDLENLLELSYGLSKAIRSKSGRNYDVISACKDRGSDIFPGLGAGSALDFMYHHRAHWAFQLKLRDTGNHGFLLPPENIKPVGKETYAALKYFCDFLLDPEI", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MANDGNGDNNDDPLRQYLMNPRINPPPPSLLTLPENNDVTIPMPITPLELKNRLIFGSFVRSRKESSLPIDALSQNPSTSSSATTSFSDSTDLLLPLTEPNKPVRKSKPTINFHRSKTAPAMAAINNISHPNDPKTDQQSDSKTIVNQAVALLVVYLSLGVLIYWLNRDSYNVKQTHPVVDALYFCIVTMCTIGYGDITPDSVVTKLFSIFFVLVGFGFMDILLSGMVTYVLDLQENYMLETARNESLNLNDRDKVRSYIIDVKKGRMRIRLKVGLALGVVVLCLGFGVLIMHFVEKIGWLDSFYFSVMSVTTVGYGDRAFNTLAGRLLAAMWLLVSTLAVARAILFLAESRVDKRNRERAKKVLGESMSISQFLDADIDCNGCVSKAEFVIYKLKKMDKITEKDINPIGFQFDKLDRTNSGRITLLDLLESSTKDLPTATSI", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTSQEYEPIQWSDESQTNNDSVNDAYADVNTTHESRRRTTLQPNSTSQSMIGTLRKYARFIGPGLMVSVSYMDPGNYSTAVAAGSAHRYKLLFSVLVSNFMAAFWQYLCARLGAVTGLDLAQNCKKHLPFGLNITLYILAEMAIIATDLAEVVGTAISLNILFHIPLALGVILTVVDVLIVLLAYKPNGSMKGIRIFEAFVSLLVVLTVVCFTVELFYAKLGPAKEIFSGFLPSKAVFEGDGLYLSLAILGATVMPHSLYLGSGVVQPRLREYDIKNGHYLPDANDMDNNHDNYRPSYEAISETLHFTITELLISLFTVALFVNCAILIVSGATLYGSTQNAEEADLFSIYNLLCSTLSKGAGTVFVLALLFSGQSAGIVCTLSGQMVSEGFLNWTVSPALRRSATRAVAITPCLILVLVAGRSGLSGALNASQVVLSLLLPFVSAPLLYFTSSKKIMRVQLNRTKELSRTTDKKPVADRTEDDETIELEEMGIGSSSQERSLVSPAPEYKDMSNGMIVTVLAIIVWLIISGLNFYMLLGFTTGKEVHL", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MFNHDWKYSINSKTFADLNIELFRNHKFKTVLNYIIGVVGWNGLKLALFVSDIYTCIKLLAFNSWSNNIIKPYLPFKISKWLFSGCILASIVLLIWEAIAGMRIYKTGNISLTYVNNFSRNLNSVLNYSKFCVYNMIERKGFRQKMTFFTFFQLKDCIRLIFTDTPRQVINGLTLWSVLVTVNKNEDLGDLESFTGLINKIKNIGQTNHEEAVILSLMLFSFIIWALFVFKFLLAVICSIFVYYKIINDQEYSGLREYICVTVSENVDELVERQRKKENDDTIYKTGLLESQTFDDFKEVENKIETSFNDTSYASNNDSMIELIERRPEYKSQDVCGPIPTMKKTETMESFVDNGNPQYTTRFSAILDSPYINSYESNDIKKAKIQSRSVNTPKYEDLSSSDIFNKIHSAGQLKSTTSMEFHGPLDSMPNTTNNIRNFNSNSSRPRPPPLQTKSSINSKADSNDNGRIYTPMKAYFREPDLPRKGLLEDEDRTYNYT", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTTSTIENGASSPIIVSSSTPKLYQEGAGVWIPDQELGWIGADVIEHSETSADQVLVRTEDDREVKIPLSKVFQKNPDILEGVDDLSFLSHLHEPAILHNLHHRYNLNQIYTYIGKILIAINPYTSLPLYGKEMISAYYGKQLGTLAPHVYAVAEDAFKDMRYDGTSQSILVSGESGAGKTETTKFLLQYFAAMGNMIKESTSSSSINGINTSSDGIPVTPPPSPMKKSPVDKSVEERVLESTPLLEAFGNAKTLRNDNSSRFGKFIEIHFNEMGSIIGAKILTYLLEKSRIVRQVYNERNYHIFYQLLSGASEELKEKLNLKTIEEYSYLNKSGCFEIEGVSDEEHFNKTCHAMQVAGITLVEQENVFRILSAILLIGNFEFENIAGSNDDSCQLIDRDPLEKVSVLLGCAQPDELLNSMLTRKVVTGKESYISHNTKERAENARDSLSMFLYGMMFDWLVVKINSSMSISTQQKSKSFIGVLDIYGFESFEVNGFEQFCINYANEKLQQLFNQHVFKEEQQEYIKEKIDWSYIDFNDNQDTLDLIEKKPICILTLLDEETMFPKATPQTLATKLYSKMTSHSKFEKPRFSSTAFTINHYAGKVTYETDQFLDKNKDFIIPEQISILQRSNFSFIKVLMSHSDKFTQSPGGHPQGNGGPTSSNTKGTSGSSSMKFLSVGSQFSTSLATLMKTISTTTPHYVRCIKPNPEKLPQTFNKQDVIHQLRCGGVMESVRICCAGFPTRRLLSEFYQRYKILYVKDINTGSGGGKKGSNNNKIKDPKILVQNLLTGIELSDDKYKIGLTKVFLRAGQLASLEDMRLEQLDRSATVIQKRWKGYLYRKRYKQLRDASLIIQTKLRSVHAKQQLSALQRTHSAILIQKVWRAHRDRVQYQKIRDASLQLQTVMRRHLFSEQVHRERCENAAIILQTKIRQILSKREVDKKLRGIILIQARWRMKLAKRVYIQLRAEARSLRTVQEQKNKLQEKLEELQWRLTSEAKRKQQLEDQKVKSDTTISELSSNNDHLELQLSEIQLKYQELDKSNQSSQLQLSECLSKLEEQTQQLDHSSKLNKKLEKDLSDQHDSIEKLQSQFNETEQQLQQFKQQSEELSSKLSKTTQQLDFNKQEFDRLSQERDTDNTNNQLEIQQLKKANSTLEEDYFSLSGIRDNLERQVLELRDENQLIKERLDSLGQQSSQFQSGAALEKQQLEQLVQEQSEQLIKLSSEKLGSEEEAKKQINQLELELTDHKSKLQIQLQLTEQSNEKIKKLKGKLEEYQDEKKQLQQELERIKQSKQSVEDEKNSLITQLTTVKFESTQVSTNVSHQKEKITTLKSTIEELNKSIGKLQAEQKNKDDEIRKIQFELNDQKQQFTRQTKEFSDLQSQQSIDRQKSEITIHSLERTNETLKSDFERVQQSLKQQERDCQQYKDTINRLENEVKQLTQLKERFENEFFVAKEQNSNQTQESVYLKEVTTQMQQNQSRIERELEEKKQHITRIDDERDELKKQLTQLQQQHEQSSTQLLLAQNELERLRKKELKYKERGHETSKQQDQFNMEIQSLRITNNDQLKSLQDYEQEKKKLKDKLSSSKQEAQQQRESIIKMDAELSAIKQHSQWVENSFTDMKQRNQELIESSALYKQQLLQQTSTIDSTIKEKENEISKLQQQLETSNQQLHQLKEELNSMKQSNQLESTEQSKQLNQLIQENQQLKSVTNEISKQLDDAVFENQKINNTIKEQEIKSKRMSVELQQHIDEGKQQEIQQLQSTIAQLKQQQQSETDRLEKEIQQMKRERETQMKLVESTKLNYHMLEDRMELYRNVMEIIDYKETEWEKLARLAGCKELDTKLLSDFLLSCKLEHTSLGSQMWFHQIDYWCPYERDSSKGIFYGIIRSIVDFTIKNFDDVDLLSYLLACCSLTLFLYKKNLVKHLNGANSIMPIIPTLGDLEELNERLSHQSLTTSGKFSGGGGGGGIDFIDQLQQSTGITFGLIFKATTLKLSPLVDGAILNENYNKKLTSISASSFGSGSFGLGSNGVGSVLSIELITTYLSSIITIFQHRMVHFTLSQRFFNQVFCWIGALIMKGFMLRQTFCTETFATFVKTKIDFLTRWADDIGNVWVGDVANAFQQVREVINVLNIKDKEKIIDDKIRKQYCPTLNSNQLKQVLSLFSPGEFGGKRVSAKVIASICPPNKSSAGQSFVQDENKLNTIPIDSLHYLEIQDIKTLSLPLSIRQTIETEIINLKQQIACKK", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPDNNTEQLQGSPSSDQRLRVDWDNGNHFDVSPDRYAPHLSEFYPIVNSKRPVASSAGSENNDHLDDMNHLRSSKVYSKARRASSITSGTSTINDLQTLITKRDVKETQEALSTLLRNSNAYSDSLLKTSQNGAEIAHSLENIAKLKGCNDETAEKLLSASGLFYLLSNHQLIMSKYFNDLLGDNLIDDIDEFELQTKIMENKFKAQSKEQSLKLKLQERHNFDISKRKIRNLISYRESLSSLQARLDQLETLKHDFYMDSYELVENTCNKVLSKVATVSRAQVEISENIARKGWSGGGLDELLCDADDPFSKKADGPYGTIGGDGETAGEAYNSDEETGGNDVVLNELLEGTSQPSTSKTSLPKSKGSSTVSTPNHSQSSSNKDGVRNNGGGKNGEDEDTDNLMGTENSFSLPPTRNSAEETTQTFKQLSIKEDNDNHSSDTDGMQDQSSNI", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MHHVLNSTRPDHRFWFYDDVTQYGRTKYLNYYTPLVLLIFTVLFITYNIWKHYYYYDVLHLKQKNPIDELLYSSTDEDEQSPLINNNTITTNYVDNNCTKDALKNRHFSLEKLKSVKVNGEPHGTPEIVRRGFIEKSRIILEFFLVLSQVIIHSFILLHYVNKNPEFTQQGTITGLVEWCALFIIVSLRLANVNQNFKFINKYPGNLWSVSFINYLALFISMILPFRSIFIHHINSPISRKYYISQISINLALFLLLFFARIRNNFAIIYKTDSWITPSPEPVTSIAGFICWAWLDSFVWKAHKVSIKVKDIWGLMMQDYSFFVVKKFRYFVDHKVKRKRIFSLNLFFFFSNYLVLQCFWAFLGSVLSFIPTVLLKRILEYVEDQSSAPSNLAWFYVTVMFVGRILVAICQAQALFFGRRVCIRMKSIIISEIYTKALRRKISTNKTKPSNEDPQEINDQKSINGDEESTSSANLGAIINLMAIDAFKVSEICGYLHSFLEAFVMTVVALALLYRLLGFAAIVGVLIIVAMLPLNYKLAKYIGDLQKKNLAVTDNRIQKLNEAFQAIRIIKYFSWEENFEKDINTIRENELSLLLMRSIVWSISSFLWFVTPTIVTAASFAYYIYVQGEVLTTPVAFTALSLFTLLRDPLDRLSDMLSFVVQSKVSLDRVQDFLNENDTKKYDQLTIDPNGNRFAFENSTISWDKDNQDFKLKDLNIEFKTGKLNVVIGPTGSGKTSLLMALLGEMYLLNGKVVVPALEPRQELIVDANGTTNSIAYCSQAAWLLNDTVKNNILFNSPFNEARYKAVVEACGLKRDFEILKAGDLTEIGEKGITLSGGQKQRVSLARALYSNARHVLLDDCLSAVDSHTASWIYDNCITGPLMEDRTCILVSHNIALTLRNAELVVLLEDGRVKDQGDPIDMLQKGLFGEDELVKSSILSRANSSANLAAKSSTSLSNLPAVKEQQVSVNNNSSHFEAKKLQKSLRTEAERTEDGKLIKEETKEEGVVGLDVYKWYLKIFGGWKIVSFLASLFLIAQLLYIGQSWWVRAWASHNVIAKIIPRAQRAIAFISKKASHLIDWRGSSQISMASAENQPSSGHSTMYYLVLYLIIGFAQALLGAGKTILNFVAGINASRKIFNMILNKVLHSKIRFFDATPTGRIMNRFSKDIEAIDQELTPYIQGAFYSLIECLSTVILITFITPQFLSVAIVVSILYYFVGYFYMAGSRELKRFESISRSPIYQHFSETLVGVTTIRAFGDEGRFMQENLHKIDENNKPFFYLWVANRWLAFRIDMIGSLVIFGAGLFILFNINNLDSGMAGISLTYAISFTEGALWLVRLYSEVEMNMNSVERVKEYMEIEQEPYNEHKEIPPPQWPQDGKIEVNDLSLRYAPNLPRVIKNVSFSVDAQSKIGIVGRTGAGKSTIITALFRFLEPETGHIKIDNIDISGVDLQRLRRSITIIPQDPTLFSGTIKTNLDPYDEFSDRQIFEALKRVNLISEEQLQQGATRETSNEASSTNSENVNKFLDLSSEISEGGSNLSQGQRQLMCLARSLLRSPKIILLDEATASIDYSSDAKIQETIRKEFQGSTILTIAHRLRSVIDYDKILVMDAGEVKEYDHPYSLLLNKQSAFYSMCEHSGELDILIELAKKAFVEKLNSKKD", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGFLVDTQKEGGGHSWGYVRSLVRRKQVDSANGQSHGHQLARALTVPHLVAIGVGATIGAGVYILVGTVAREHSGPSLALSFLIAGIAAGLSAFCYAELSSRCPSAGSAYHYSYICVGEGVAWIIGWALILEYTIGGSAVARGISPNLALIFGGEDGLPAILARHQIPGLDIVVDPCAAILVFVVTGLLCMGIKESTFAQGIVTAVNVCVLLFVIVAGSYLGFKTGWPGYELPTGFFPFGVDGMFAGSATVFFAFIGFDSVASTAEEVRNPQRDLPIGIGLALLLCCSLYMMVSIVIVGLIPYYAMDPDTPISSAFASHDMQWAVYLITLGAVMALCSALMGALLPQPRILMAMARDGLLPSIFSDINKRTQVPVKATVATGLCAATLAFFMDVSQLAGMVSVGTLLAFTMVAISVLILRYVPPDEQPLPSSLQERIDSVSFICGETTSSGHVGTSDSSHQPLIVNNDALVDVPLIKNQEALGCLVLSEETRRIVAGWSIMFTCVGAFLLSYAASSLSFPGLIRYPLCGVGGCLLLAGLIALSSIDQDDARHTFGHSGGYMCPFVPLLPIICILINMYLLVNLGSATWARVSVWLLIGVIVYVFYGRKNSSLANAVYVTTAHAEEIYREHEGSLA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSCDGGKPAPAKLGDEQLAELREIFRSFDQNKDGSLTELELGSLLRSLGLKPSQDQLDTLIQKADRNNNGLVEFSEFVALVEPDLVKCPYTDDQLKAIFRMFDRDGNGYITAAELAHSMAKLGHALTAEELTGMIKEADRDGDGCIDFQEFVQAITSAAFDNAWG", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDDNSIQQSLLADNPNVLQRKPSEGVNRFRRCRSTPSTDPLQGPPEKGSSVKAKELFKEMRPSFRLVGLLLFIYLLVGVLAFYAVMDEISGKRTNRVLDALYFCVVTMTTVGYGDLVPNNDTTKLLACAFVFMGMAVVALFVSKVADYLVEKQEVLFFKALHTNLKGGETKMLRAIETNRIKYKFYTNALLLVLSIISGTVFLWKVEKLSLVDSFYCVCATITTLGYGDKSFSSKLGRVFAVFWIITSTIIMAQFFMYLAEIYTERRQKMLANWVLTRKMTKMDLEAADLDDDRQVGAAEFVVYKLKELGKINQEEISSFLEEFEKLDVDHSGTLSPYDLTLAQSAQ", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTHNDDDHKWVTTKRKEPIFFTVILFIFQIFMIICFAALTGYDTNKNYTGSENPDEFKGGEVQERVNNFYGYFRDINIMIFFGFGFLMTFLRRYGYSALGYTFIISALVSQWSVLLNGFFEAWSHSNKHGEFPSTWEFSMDSLLQGFFCSGSVMISYGAILGRVTPLHMLIMGIIEPIFFFLNVFIGEMNLEAIDVGGGMYIHLFGSVFGLTVAWFLTDRKSKECTDNAPSYSGDNFAMAGTLFLWMMWPSFNAAIAPLGEPQFRAIANTFLSLTGSTVATFIVSRLFSHLGNKLDMVHVQNSSLAGGVVQGCIAHMNINPGGAIAMGFIAGTISVCGYLFITPKVQRKLHIQDTCGILNLHCIPGFLGSIAAIFAAIKGLNNPNMYSKVEFEQIFRAGDSQASANLIATMVSIGLGIVGGLLVGVILLQLKKIKGLKSKEYYQDSAFWILPIDYPKDVATVVALNNAATSEDTAGGDDEEEGVGKEHGAVEMGKHNRIVQPKQDNKYHKQLPSDDEEEDEFKQEPI", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MATSARRAYGFGRADEATHPDSIRATLAEFLSTFVFVFAAEGSILSLDKLYWEHAAHAGTNTPGGLILVALAHAFALFAAVSAAINVSGGHVNPAVTFGALVGGRVTAIRAIYYWIAQLLGAILACLLLRLTTNGMRPVGFRLASGVGAVNGLVLEIILTFGLVYVVYSTLIDPKRGSLGIIAPLAIGLIVGANILVGGPFSGASMNPARAFGPALVGWRWHDHWIYWVGPFIGSALAALIYEYMVIPTEPPTHHAHGVHQPLAPEDY", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASSSLGSSIPAPEAVQLLVSSLADDSSVVREASMASLRDIASLNPLLVLDCCYAVSRGGRRRFGNMAGVFQVMAFSVGALEKGESDSVFMGKLAKIATAEIISSKELNADWQRQASGLLVSIGTHFPDLMMEEIFLHLSGPATAAPAMVQILADFASSDALQFTPRLKGVLSKVSPILGNVRDIHRPIFANAFKCWSQAVWLYITDLTSDSPLDSDVMSFLNSVFELLLRVWAVSRDHKVRVSTVDALGQMVGLITRTQLKSALPRLIPAILELYKKDHDDALLATCSLHNLLNASLLSESGPPLLDFEDLTIVLSTLLPVIGINNERKRFSDISVGRKTYNEVQRCFLTVGLVYPEDLFTFLLNKCKLKEDPLTFGALCILKHLLPRLFEAWHSKRPLLVDTASSLLDEQSLAVRKALSELIVVMASHCYLVGPSGELFVEYLVRHSAIGESDHLKAKGELVSPTQLRAVCGKGLLLLTVTIPEMELSDFNAKEYMKLQYILWPFLLKMIIPKVYTGAVASVCRCITELCRRRSSTTPMLIECKARADIPNPEELFTRLVVLLHNPLAKEQLASQILTVLGYLSPLFPKNISMFWQDEIPKMKAYVYDTEDLKLDPTYQETWDDMIINFLAESLDVTQDADWVISLGNSFAKQYILYAPDDDHAALLHRCIGILLQKVNDRAYVRDKIDWMYEQADISIPANRLGLAKAMGLVAASHLDTVLEKLKIIVDNVGQSIFQRILSLFSESYKTEDSDDIHAALALMYGYAAKYAPSSVIEARIDALVGTNMLSRLLHVRQQTAKQAVITAIDLLGRAVINAAETGATFPLKRRDQMLDYILTLMGRDENEGFAESSLEVLHTQALALNACTTLVSVEPKLTIETRNRVMKATLGFFALPNDPSDVISPLIDNLVTLLCAILLTSGEDGRSRAEQLLHLLRQLDQYVSSPIDYQRKRGCVAVHEMLLKFRKLCVGGYCALGCSGDCPHRKYADRSMQGNFSNLPSVFLFPDREVLCLGDRVITYLPRCADTNSEVRKISAQILDQFFSISLSLPKAVLTSGLDSEDSYKALSSLEDVIAILKSDASIDPSEVFNRIVSSICSLLTEHELVAALHSCTAAICDKIRQSAEGAIQAVTEFVSRRGSQLSDNDISRTTHSLLSAAVHITDKNLRVEAIGAISALAENTQSSIVFNEVLATAGKDIVTKDITRMRGGWPMQDAFYAFSQHTELSVLFMEHLISILNRSSLVKSDSHKGENTSSSSETHVEDDILQAAIFALTAFFRGGGKIGKKAVEKSYSSVVGALTLQLGSCHGLASSGQQDPLRVLLTSFQAFCECVGDLEMGKILARNGEQIEKEKWVGLIGDIAGCISIKRPKEVRHICMILTKALNRPQRFQREAAAAALSEFIRYSGDFSSVMEEMVEALCRHVSDDSPTVRRLCLRGLVQMPSACMSHYTTQVIGVILALLDDLDESVQLTAVSCLLMVTESASNDAVEPILLNLSVRLRNLQVSMDPKMRANAFSALGALSKYATGGQREGFVEQIHSTLPRLVVHLHDDDPSIRQACRVTLKRFAPLVDIINYSTLYDSRAFGSEDRTDYENFVRDLSKHLVQESERVDTYMASTIQAFDAPWPVIQANAIHFSTTMLSLSEDQHIISLYYPQVFETLVSKMTRSQDSVVRAACSSAFGLLLRSSKSTLWRGARLDGTDSGRKANDLESVKK", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRLCKFTALSSLLFSLLLLSASAEQCGSQAGGARCPSGLCCSKFGWCGNTNDYCGPGNCQSQCPGGPTPTPPTPPGGGDLGSIISSSMFDQMLKHRNDNACQGKGFYSYNAFINAARSFPGFGTSGDTTARKREIAAFFAQTSHETTGGWATAPDGPYAWGYCWLREQGSPGDYCTPSGQWPCAPGRKYFGRGPIQISHNYNYGPCGRAIGVDLLNNPDLVATDPVISFKSALWFWMTPQSPKPSCHDVIIGRWQPSAGDRAANRLPGFGVITNIINGGLECGRGTDSRVQDRIGFYRRYCSILGVSPGDNLDCGNQRSFGNGLLVDTM", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKSPSPVDPESPSSPDCKGGSSSKRRRLPWRMTMSLAYQSLGVVYGDLSTSPLYVYKAAFAEDIQHSETNEEILGVLSFVFWTLTLVPLLKYVCVVLRADDNGEGGTFALYSLLCRHARAALLPPGGGGGGGEPGDEDQFLDAGADKKAAANGNALALSGRGGGGGAAAGVRRLLERHKVLQRVLLVLALVGTCMVIGDGVLTPAISVFSAVSGLELSMEKHQHKYVEVPIACFVLVCLFCLQHYGTHRVGFLFAPIVITWLLCISMIGVYNIVHWEPNVYRALSPYYMYKFLKKTQRGGWMSLGGILLCITGSEAMFADLGHFNQLSIQIAFTCMVYPSLILAYMGQAAYLCKHHIIESDYRIGFYVSVPEKIRWPVLAIAILAAVVGSQAVITGTFSMIKQCTALGCFPRVKIVHTSDKVHGQIYIPEINWILMILCLAITIGFRDTKHLGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAFGFIIFFGTIEALYFSASLIKFREGAWVPIVLAFIFMAIMCIWHYGTIKKYEFDLQNKVSINWLLGLSPNLGIVRVRGIGLIHTELDSGIPAIFSHFVTNLPAFHQVLIFLCIKNVPIPHVSPEERFLVGRIGPKEYRIYRCIVRYGYHDVHKDDQEFEKELVCSVAEFIRSGAAAAADAAASSKPKNVCGGGAEESEKEEEERMSVIPSGSIRMMEEDGGAGAPSSEDTVGGSGSGSGRGSSRGGGGAREIMSPSPSPPPVVVAPRKRVRFVLPAASPRPDAGVREELQELMDAREAGMAFILGHSYVKAKSGSSFFRRLVINFCYDFLRRNSRGPNYAVTIPHASTLEVGMIYYV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSTQPLLQTTPGKRIALPVRVEPKVFFANERTFLSWLSFAVVLGGLSVGLLNFGDRIGKISAGLFTIVAIGTMGYALGIYHWRASAIRRRGSGPYDDRLGPTILCFVLLAAIITNFVLRMLF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTSKGSQEEYDYLYKIVLIGDSGVGKSNLLSRFTRNEFSLETKSTIGVEFATRTIQTEGKTIKAQVWDTAGQERYRAITSAYYRGAVGALLVYDIAKQATYKSVERWILELRENADRNIEIMLVGNKSDLRHLREVSTDEAKEFSEKHKLTFIETSALDSSNVELAFQNILTQIYHIMSRPSHSTGPQTTIDSNTETIILPTTSEPPAAKSGCC", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKLKSVFRSVLKYRKTNLSLLLLITYSIITLLYIFDHERYKLNLPKEDEHPEFNDLLETAWGDLQIITASFHPYTSKENDKVHDYLLKRVLEITGNSSFASVSDDKESERSILFQQQDPFNESSRFSRVTYFESSNILVKLEGKNPEEEGLLLSAHFDSVPTGYGATDDGMGVVSLLANLKYHIKHRPNRTLIFNFNNNEEFGLLGASTYFDHSWSNLTKYVINLEGTGAGGKAVLFRTSDTSTARIYQQSVKENPFGNSIYQQGFYSRYVRSETDYKIYEENGMRGWDVAFYKPRNLYHTIKDSIQYTSKASLWHMLHTSLQLSAYVASNSLDTADQTPACYFDFIGLKFFVISAKTLFYWNCIFLLVSPVVAIGLYLISRDRMTWKSYSWLSWTRFPLSLAAGIIVQKLFSNDIIRSNPLTFSRNYFWPISAFFTQVIFTSYVLINCSNFFFPCADMKSLSIIELFIILWTILLFTSKLLYSSDYRYTGLYPLSIFFLLSTIAAILRLLALALGMRTRKRLGRECRDHHSNYSSHSQIDMERDGQENLEQPQDQLTSSQDDQASIQDDNVSTTSAGPSHNVDEDHGMDSSSQQHDERVPLLKGSNSMEEGLSTRENSLKLEYTDYAWIIQFLLIVPIPSFILFNSVDVIMDALNHTVQEGSKATFDVLRFGMVGSILIALPILPFFYKVNYITISLTALLFLISASKTLLVHPFTNSNPLKVRFSQNIDLSQGNAASVHVLGREGNFLKPMLQDLPSIKYSSTHINCTSVTNGMELCMYDGMQPNLLSTNGNTNISSMVKVHVLHNNRNSTERSPYEPIVAELLLEVKENRACTLTFESRHQAKSPVREITVYQKKNSAPQKANITKTIKSASGINELQLHKLDFDQETYHIGVQWFPKLLTDGNVEDDKLGTKDELSVSISCYWGEYDSESVVNGTAVRKIPAFDELINYAPLSFSFTNEQKGLVIVKDAIIL", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGFLVLLLFSLLGLSSSSSISTHRSILDLDLTKFTTQKQVSSLFQLWKSEHGRVYHNHEEEAKRLEIFKNNSNYIRDMNANRKSPHSHRLGLNKFADITPQEFSKKYLQAPKDVSQQIKMANKKMKKEQYSCDHPPASWDWRKKGVITQVKYQGGCGRGWAFSATGAIEAAHAIATGDLVSLSEQELVDCVEESEGSYNGWQYQSFEWVLEHGGIATDDDYPYRAKEGRCKANKIQDKVTIDGYETLIMSDESTESETEQAFLSAILEQPISVSIDAKDFHLYTGGIYDGENCTSPYGINHFVLLVGYGSADGVDYWIAKNSWGFDWGEDGYIWIQRNTGNLLGVCGMNYFASYPTKEESETLVSARVKGHRRVDHSPL", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTSTRTLRYAQVACACIWCLFSAGIIFGFAALKPILISEGVYHELCDPKDGDRLLCTAQDLKLNFIFALSATVTNIMALPVGKILDMYGPRVCGIIGSCLLFLASGNFISAKHLVSLWDPYLVGYTLLAVAGPFVFISCFQLANSFPQRSGTVLALLTGSFDSSSALFLLYRLLYQNWFPTLNVSRFFTLYLIVPVFILACQLTIMPHSSYKTVNHIAKIAVEGLDENGRLIEGDTGSGIIPDEQERQSLIAIEREEDSIPSRPQRRKSVLETYVEDKLQKKSGGIFGVLHGKSAYEQIKSPWFYLMLLFALVAMLRINYFIATVRTQEEYLLNDPDLALKLNSIFDMLLPLGGAVSIPFIGLLLDHTDTLSTLTILFTTSTAIGVFGLIPNSFTWNLIGIVLLVVYRPFYYTVVSDYSSKVFGFDTFGTVYGLLSCICGIFNMSQNLLDKWTHTTFNMNPFPINLTLVILTVVFSLTLTFYIRSQILPKPVNERGLSSNYQTI", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MASQESLTEEQIAEFKEAFSLFDKDGDGSITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGNIDFPEFLTMMARKMQDTDTEEEIREAFKVFDKDGNGYISAAELRHVMTSLGEKLTNEEVDEMIREADLDGDGQVNYDEFVKMMIVRN", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNHLVIISVFLSSVLLLYRGESGITSSFIRSEWPAVDIPLDHHVFKVPKGYNAPQQVHITQGDYDGKAVIISWVTPDEPGSSQVHYGAVQGKYEFVAQGTYHNYTFYKYKSGFIHHCLVSDLEHDTKYYYKIESGESSREFWFVTPPHVHPDASYKFGIIGDMGQTFNSLSTLEHYMESGAQAVLFLGDLSYADRYQYNDVGVRWDSWGRFVERSTAYQPWLWSAGNHEVDYMPYMGEVTPFRNYLQRYTTPYLASKSSSPLWYAVRRASAHIIVLSSYSPFVKYTPQWHWLSEELTRVDREKTPWLIVLMHVPIYNSNEAHFMEGESMRAAFEEWFVQHKVDVIFAGHVHAYERSYRISNVRYNVSSGDRYPVPDKSAPVYITVGDGGNQEGLAGRFTEPQPDYSAFREASYGHSTLDIKNRTHAIYHWNRNDDGKKVATDEFVLHNQYWGKNIRRRKLKKHYIRSVVGGWIAT", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSNPESLKKQVEPPGYNELFMVEDVCNVDLEQGLDLCKPEKVNKQSQRSRQSRQSLFTNTIKPQKDKMNIKTNKIKEFLNDLFTEFSKFHNSYYPDGRISTRSNFRWPLLIIWSIIIVFAVDKKFEVQKFLSIWINENRFYSEIWVPIAIYVCLLVLMLLSLIFFAEFAVLALRVTGVIIAVLGMIIAVLGMIIAALGATITGLLYFGHWALYKLVILSLGFKIVTPGDVCVSNTLPTHNGETALHSETTVGSDIEQIELQNMPTPVKK", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKRFNVSYVEVIKNGETISSCFQPFQKNENYGTITSANEQITPVIFHNLIMDMVLPKVVPIKGNKVTKMSMNLIDGFDCFYSTDDHDPKTVYVCFTLVDMPKILPIRILSGLQEYESNATNELLSSHVGQILDSFHEELVEYRNQTLNSSGNGQSSNGNGQNTISDIGDATEDQIKDVIQIMNDNIDKFLERQERVSLLVDKTSQLNSSSNKFRRKAVNIKEIMWWQKVKNITLLTFTIILFVSAAFMFFYLW", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEGVYFNIDNGFIEGVVRGYRNGLLSNNQYINLTQCDTLEDLKLQLSSTDYGNFLSSVSSESLTTSLIQEYASSKLYHEFNYIRDQSSGSTRKFMDYITYGYMIDNVALMITGTIHDRDKGEILQRCHPLGWFDTLPTLSVATDLESLYETVLVDTPLAPYFKNCFDTAEELDDMNIEIIRNKLYKAYLEDFYNFVTEEIPEPAKECMQTLLGFEADRRSINIALNSLQSSDIDPDLKSDLLPNIGKLYPLATFHLAQAQDFEGVRAALANVYEYRGFLETGNLEDHFYQLEMELCRDAFTQQFAISTVWAWMKSKEQEVRNITWIAECIAQNQRERINNYISVY", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDPTAPLLTHGGEVEEDYAPARSWTDVKRVLSTESAKLWMIAAPVGFNIICQYGVSSVTNIFVGHIGEVELSAVSISLSVIGTFSFGFLLGMGSALETLCGQAYGAGQVNMLGVYMQRSWIILFVSCFFLLPIYIFATPVLRLLGQAEEIAVPAGQFTLLTIPQLFSLAFNFPTSKFLQAQSKVVAIAWIGFVALSLHVIMLWLFIIEFGWGTNGAALAFNITNWGTAIAQIVYVIGWCNEGWTGLSWLAFKEIWAFVRLSIASAVMLCLEIWYMMSIIVLTGRLDNAVIAVDSLSICMNINGLEAMLFIGINAAISVRVSNELGLGRPRAAKYSVYVTVFQSLLIGLVFMVAIIIARDHFAIIFTSSKVLQRAVSKLAYLLGITMVLNSVQPVVSGVAVGGGWQGLVAYINLGCYYIFGLPFGYLLGYIANFGVMGLWSGMIAGTALQTLLLLIVLYKTNWNKEVEETMERMKKWGGSETTSKDILA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASSDALLPISAREEEPLCPYTRLPMADPNQETHGPRRRRPFKGLLAVSFGLLFIAFYVALIATHDGSRSNDEGIDETETITSRARLAGVSEKRNDGLWKLSGDRNTPAFEWNNSMLSWQRTAFHFQPEQNWMNDPNGPLFYKGWYHFFYQYNPNAAVWGDIVWGHAVSRDLIHWVHLPIAMVADQWYDSNGVWTGSATFLPDGSIVMLYTGSTDKAVQVQNLAYPEDPNDPLLLKWVKFPGNPVLVPPPGILPKDFRDPTTAWKTSEGKWRITIGSKLNKTGISLVYDTIDFKTYEKLDTLLHRVPNTGMWECVDFYPVSKTAGNGLDTSVNGPDVKHIVKASMDDTRFDHYAVGTYFDSNGTWIPDDPTIDVGMTASLRYDYGKFYASKSFYDQNKGRRVLWSWIGESDSEASDVQKGWSSLQGIPRTVVLDTKTGKNLVQWPVEEIKSLRLSSKQFDLEVGPGSVVPVDVGSAAQLDIEAEFEINKESLDKIIGNASVVAEAEEFSCEKSGGSTVRGALGPFGFSVLATESLSEQTPVYFYVAKGKDSELKTFFCTDTSRSSVANDVVKPIYGSVVPVLKGEKLTMRILVDHSIVEAFGQGGRTCITSRVYPTTAIYGAAKLFLFNNALDATVTASFTVWQMNSAFIHPYSDEAVRALSRT", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSSAITALTPNQVNDELNKMQAFIRKEAEEKAKEIQLKADQEYEIEKTNIVRNETNNIDGNFKSKLKKAMLSQQITKSTIANKMRLKVLSAREQSLDGIFEETKEKLSGIANNRDEYKPILQSLIVEALLKLLEPKAIVKALERDVDLIESMKDDIMREYGEKAQRAPLEEIVISNDYLNKDLVSGGVVVSNASDKIEINNTLEERLKLLSEEALPAIRLELYGPSKTRKFFD", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEEQREILEQLKKTLQMLTVEPSKNNQIANEEKEKKENENSWCILEHNYEDIAQEFIDFIYKNPTTYHVVSFFAELLDKHNFKYLSEKSNWQDSIGEDGGKFYTIRNGTNLSAFILGKNWRAEKGVGVIGSHVDALTVKLKPVSFKDTAEGYGRIAVAPYGGTLNELWLDRDLGIGGRLLYKKKGTNEIKSALVDSTPLPVCRIPSLAPHFGKPAEGPFDKEDQTIPVIGFPTPDEEGNEPPTDDEKKSPLFGKHCIHLLRYVAKLAGVEVSELIQMDLDLFDVQKGTIGGIGKHFLFAPRLDDRLCSFAAMIALICYAKDVNTEESDLFSTVTLYDNEEIGSLTRQGAKGGLLESVVERSSSAFTKKPVDLHTVWANSIILSADVNHLYNPNFPEVYLKNHFPVPNVGITLSLDPNGHMATDVVGTALVEELARRNGDKVQYFQIKNNSRSGGTIGPSLASQTGARTIDLGIAQLSMHSIRAATGSKDVGLGVKFFNGFFKHWRSVYDEFGEL", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNLEFSFGNLIAKNTENSTKEEGTWEVNKLMKFSSEEGRSSSDDYMFSSPDFEKAGNGDAEMREFFNFDGLPDQGLNLPSIAPPSLSHASSPNLSNSQDEAECLPSDRQQDYINPSLHLNRTVFPTPQHSISDANFLANTVDQPLGDNPMFGESDVYLLKMDPMKQAPYEAGFNSVKSSGAIEDPLQFRQPITMLETPFNESINTLTPYAEDYAFSSLNTSAPPLSNKEYAFSVNHLPAINEHKWKSRVETNMLFELRIKSNDNQSVPFEYLRLPSWAHREDKKRSSKPQPLQPDPETVIHLVPTVLAGDKSSVVKTCCTRCLLRERKRNARSQATKDACMPNYTKLKAYERNMTDASPEEKQQFRIKLLNQFPKLEDIDEDRMIMVFTGPEYVRLQLDGNERVAHINARITCYSSHQSCPYFHIIWDLYSMSRLVDRLVFPEPVTVLDDHKSRNLTKSEKTGKSNSQQAPSNHVLSKSNTVPNLVTGFPTRSDNPPNEKRRRTSSSENSRALDIQLSASDSHSPNSKSTLKSVEGSAFSMSKSPSVLSMTTPSGVSPSISKNGFHVVRVPSDAAGFQQRQQQEEGVLEAHTNESAPIAPFPYCTDDFSFSVEEKSSVNNLLTQFDEVAKPDFVSTPIKENVDSSFINMTPPDVSHAPLISRIIPNKGSIMGGYEVTILGANFFNGLVCLFGDNPAAVTFSWSESTIIATCPPATNAGTVPVTFQNYNSSSEAPVMFTYEDNLDNELYKLTVQVLGLKLTGSIQNPLTLSKKLLSSWRDDFAQYITNSIKQPPNSESKGQSKKTLLHDSNMESLKSVISRIVKKDSNQSDDSVESTILAAFALVTDTTTPYLSDFSLVNESGRSLLHLTAACGLSNASTFLCNAGCDVNKRDALGYTPLHYASLYDHKDICVNLLSNGAKPDVIGASGKKPIDLSSSEPIKLVFKEANNEQAQSISRSLIKDSEGSINTNETLESTSIVNEIEESAVQTKSYSESMWNKTVTMFPSLQELPQNYMSEVPSMMQKAMLSTLKSISAIPDDVPPPYSEFADDTTAQAGSSKRDSAISEDPDHHKSVWWSLRWQSRLVGRGKSTALTPEETRAIQEQAKTLKKAGMDFMLFSFWLPALLLLSIFGLRSYAQMIGGYLYRCIIGI", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNRVSFIKTPFNIGAKWRLEDVFLLIIMILLNYPVYYQQPFERQFYINDLTISHPYATTERVNNNMLFVYSFVVPSLTILIIGSILADRRHLIFILYTSLLGLSLAWFSTSFFTNFIKNWIGRLRPDFLDRCQPVEGLPLDTLFTAKDVCTTKNHERLLDGFRTTPSGHSSESFAGLGYLYFWLCGQLLTESPLMPLWRKMVAFLPLLGAALIALSRTQDYRHHFVDVILGSMLGYIMAHFFYRRIFPPIDDPLPFKPLMDDSDVTLEEAVTHQRIPDEELHPLSDEGM", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRGSVECTWGWGHCAPSPLLLWTLLLFAAPFGLLGEKTRQVSLEVIPNWLGPLQNLLHIRAVGTNSTLHYVWSSLGPLAVVMVATNTPHSTLSVNWSLLLSPEPDGGLMVLPKDSIQFSSALVFTRLLEFDSTNVSDTAAKPLGRPYPPYSLADFSWNNITDSLDPATLSATFQGHPMNDPTRTFANGSLAFRVQAFSRSSRPAQPPRLLHTADTCQLEVALIGASPRGNRSLFGLEVATLGQGPDCPSMQEQHSIDDEYAPAVFQLDQLLWGSLPSGFAQWRPVAYSQKPGGRESALPCQASPLHPALAYSLPQSPIVRAFFGSQNNFCAFNLTFGASTGPGYWDQHYLSWSMLLGVGFPPVDGLSPLVLGIMAVALGAPGLMLLGGGLVLLLHHKKYSEYQSIN", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MALKHMQIFLFVAIFSSFCFSITLSRPLDNELIMQKRHIEWMTKHGRVYADVKEENNRYVVFKNNVERIEHLNSIPAGRTFKLAVNQFADLTNDEFRSMYTGFKGVSALSSQSQTKMSPFRYQNVSSGALPVSVDWRKKGAVTPIKNQGSCGCCWAFSAVAAIEGATQIKKGKLISLSEQQLVDCDTNDFGCEGGLMDTAFEHIKATGGLTTESNYPYKGEDATCNSKKTNPKATSITGYEDVPVNDEQALMKAVAHQPVSVGIEGGGFDFQFYSSGVFTGECTTYLDHAVTAIGYGESTNGSKYWIIKNSWGTKWGESGYMRIQKDVKDKQGLCGLAMKASYPTI", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGAAILPDLGTEILIPVCAVIGIAFALFQWLLVSKVKLSAVRDASPNAAAKNGYNDYLIEEEEGINDHNVVVKCAEIQNAISEGATSFLFTEYKYVGIFMVAFAILIFLFLGSVEGFSTSPQACSYDKTKTCKPALATAIFSTVSFLLGGVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKIYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGLNHELTAMLYPLIVSSVGILVCLLTTLFATDFFEIKAVKEIEPALKKQLVISTVLMTIGVAVVSFVALPTSFTIFNFGVQKDVKSWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFTFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRASITTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLVVGILFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDSSPNDGVHQPLLHPQPSPSPPESTNGELETVLSDVETPLFLRLRKATIIESKLLFNLAAPAVIVYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGGRKYEMLGVYLQRSTVLLTLTGLLLTLIYVFSEPILLFLGESPAIASAASLFVYGLIPQIFAYAANFPIQKFLQSQSIVAPSAYISTATLFVHLLLSWLAVYKLGMGLLGASLVLSLSWWIIVVAQFVYIVTSERCRETWRGFSVQAFSGLWSFFKLSAASAVMLCLETWYFQILVLLAGLLENPELALDSLSICMTISGWVFMISVGFNAAISVRVSNELGAGNPKSAAFSVIIVNIYSLITCVILAIVILACRDVLSYAFTEGKEVSDAVSDLCPLLAVTLVLNGIQPVLSGVAVGCGWQTFVAKVNVGCYYIIGIPLGALFGFYFNFGAKGIWTGMIGGTVIQTFILAWVTFRTDWTKEVEEASKRLDKWSNKKQEVVPE", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTLTIPNAPGSSGYLDMFPERRMSYFGNSYILGLTVTAGIGGLLFGYDTGVISGALLYIKDDFEVVKQSSFLQETIVSMALVGAMIGAAAGGWINDYYGRKKATLFADVVFAAGAIVMAAAPDPYVLISGRLLVGLGVGVASVTAPVYIAEASPSEVRGGLVSTNVLMITGGQFLSYLVNSAFTQVPGTWRWMLGVSGVPAVIQFILMLFMPESPRWLFMKNRKAEAIQVLARTYDISRLEDEIDHLSAAEEEEKQRKRTVGYLDVFRSKELRLAFLAGAGLQAFQQFTGINTVMYYSPTIVQMAGFHSNQLALFLSLIVAAMNAAGTVVGIYFIDHCGRKKLALSSLFGVIISLLILSVSFFKQSETSSDGGLYGWLAVLGLALYIVFFAPGMGPVPWTVNSEIYPQQYRGICGGMSATVNWISNLIVAQTFLTIAEAAGTGMTFLILAGIAVLAVIFVIVFVPETQGLTFSEVEQIWKERAYGNISGWGSSSDSNNMEGLLEQGSQS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTSALTQGLERIPDQLGYLVLSEGAVLASSGDLENDEQAASAISELVSTACGFRLHRGMNVPFKRLSVVFGEHTLLVTVSGQRVFVVKRQNRGREPIDV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MADPISEPLLSHLTDDSGVNEKTRLEALTFDKIVEQSLSDFGFWQFFQISLVGLALLFDAQQIFITVYTDAYPTWHCLNHTICDPSASDICKLPRSAWEWDGGSQGKSVISEFGLECSSSLLRGMPSSAFYIGAIVGGFFLALIPDDSLGRKKLVLFSTFAMSITSISVIFSTNVWIYTFLKFIIGFSRSQTWSYALVLISERVSTRWRPRATMIPFTLFVLGFMSLSGIAFLAQDSSWRYLYLYTSVPAVFYCIFLYLFALESPRWLHMQGKDKEAIDVLTKMSPKEKAYLESVVSKLPLKQENFEQAPTYSIKDFFFRKWAFRRILVVMIIMFGLGISYYGVPLAARDIDVNIYLSETLNALVELPTFVITPILLERFNRRSSVLVNTLLGGASGVLCFVLSILGKTEIAFAFELGTFFCARIGFNLMAVFMVEMFPTCVRSSATMMFRQALVVGGACCPLIASIGRYIPSVSFAIFGIAMSGLGMFVLILPETKGLSLCDSMEEQEKRDQAVNTSHVC", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNKKDKKFNYIFGSEIVNDVKKRLPYYKSDWIDACHYRVLPACLNIYFSNLLPELAFALDMFAKTNNSFGVNEVLLASVLGSVVFALLSSQPLCIVGVTGPITVFNYTVYDIMHDRGTPYFPFLCWICLWSMIFHFIIAIANGVYFVKHITKFSCEIFGLYVAFIYLEKGVQVLCDQLKYGLTNTFLSITIALLFLMVGWLCDTVGKSSLFSYKVRILLLDYGLVASIIFFSGFQHIGKMREVSLAKLPTTKAFEPTLSRSWFIKFWKIPVGDVFLAIPFSIVLTILFYFDHNVSSVMAQDPSFPLTKPAGFHWDFFLLGITTGVSGILGIPAPNGLIPQAPMHTAALCVKRVDYDEDEIEKTHKEVIDRVVEQRASNFIQGLMTVGTMTGPLLLVLHQIPQCVLAGLFWVMGFSAIFGNGITQNVIWMLSDRKVVSKNHTLNHCSSKRVVWLYTILQLIGFGATFAITQVDKASIGFPIIILLLIPFRTYCMPKWFLEEDLEILDENVGIIAYQKV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRVLPATLLVGAATAATPAQQVLGGLQDFGNAVQDAMHENLPKINKPLEAFQEQLKSLYEAREFWEEVANAFPQNLDHNPVFSLPKKHTRRPDSHWDHIVRGADVQSVWVTGENGEKEREIEGKLEAYDLRIKKTDPSSLGIDPDVKQYTGYLDDNENDKHLFYWFFESRNDPKNDPVVLWLNGGPGCSSLTGLFMELGPSSIDENIKPVYNPYAWNSNASVIFLDQPVNVGYSYSGSTVSDTVAAGKDVYALLTLFFKQFPEYAEQDFHIAGESYAGHYIPVFTSEILSHQKRNINLKSVLIGNGLTDGLTQYEYYRPMACGEGGYPAVLDESSCRSMDNALGRCQSMIESCYNSESAWVCVPASIYCNNALLAPYQRTGQNVYDVRGKCEDESNLCYKGMGYVSEYLNKPEVRAAVGAEVDGYDSCNFDINRNFLFHGDWMKPYHRLVPGILEQIPVLIYAGDADFICNWLGNKAWTEALEWPGHKEFAAAPMEDLKIVDNEHTGKKIGQIKTHGNFTFMRLYGGGHMVPMDQPEASLEFFNRWLGGEWF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSASAATGVFVLSLSAIPVTYIFNHLAAQHDSWTIVGVAALILLLVALLARVLVRRKPPRDPLFYVYAVFGFTSVVNLIIGLEQDGIIDGFMTHYLREGEPYLNTAYGHMICYWDGSVHYLMYLVMVAAIAWEESYRTIGLYWVGSIIMSIVVFVPGNIVGKYGTRICPAFFLSIPYTCLPVWAGFRIYNQPSENYNYPSKVLQEAQAKALLRRPFDLVLVLCLFLATGFCLFRGLIALDCPAELCRLYTQFQEPYLKDPAAYPKIQMLAYMFYSVPYFVIALYGLVVPGCSWMPDITLVHAGGLAQAQFSHIGASLHARTAYVYRVPEEAKIFFLALNIAYGVLPQLLAYRCTYNPEFFLRTKADEKLE", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPMTPSEFKNRLLFGSLPRSSSDPTDLQFTEPNVPPSLFSLPEHNDDTATDMAPDQETEQSVSKSIARQALALLVVYLSLGVLIYWLTLDSDNAYQTHPVAVALYFFVVTFCGFLIVHFVVKIGWLDSFCFSVMMVTTVGFGDRAFNTWLGTFLAAVWLLVSTLAVARAFLFLADARADKRNRERAKKVLGESISISQFFAADIDNDGRLSLAEFAIYKLKQMEKITQEDFIQICNQFDKLDRTQSGRITLVDLTTATSV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSNPRTKSPNTNRGQGLRSERSALLNDSLSSLNGNSSYDSIKDSSKNNKDVAEVNEYPRRPESSVSVVSNSPHRQDAATTNTVSTVSVSKVLPALLLGVVLAALDNTIVASTYTKIGAEFGKFSQVSWTATAYMISCTAFQPLFGKFCDIYGRKKTLLAAYCVFGIGCFLCGTSRSLWQLVAARAIAGIGGGGMNSTVSILMSDIVPLKQRGTYQGIINVFFAIGSSLGGPVGGYFADQYTWRIGFLIQVPLIAIAFLCVYFTLNLPHHNHVSFMTRFRKIDLKGLILLIIGVTTMTCAFTLGGNVREWNDPVVISLLIASSISYLSFVYVEAFVAFEPLAPMDVLTERTCLSSYLCNFFHSVANFGWIYGMPLFFQSIKNEGAEKSGIRLIPMIIGSSLGSLLGGAVISLTGNYKKITVGSYFFGSVAALFMLRYGYSNFNWEYAVYPFSGGLGNGIAVTTTLVAIIHASPSAFQASAIATSYLFRSNGCVLGVSISSSIVQTVLGIKLRKSLDFDVDELLHHLRKDISYVHRLPEEIRQTVLDALLGSIHYSFLFVSFMFFCAFVCSMFIKNRNL", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPTYNDDDDSSRPPSVHSERNQKPSSSQFLGVPSSNYNQRENSSRSGSSTISREPSSSGTMYPMASRDSMKESYDKNKGTPPDYTSYVSHSDAEPEQASSKSSTSIEDLLHTEYDDAPFAFSIPLLQRLQDPKNTSLLHAIHGLKGLCKGLKVDPSTGISTHEPHYADKLQMSDILNDDSNPKLVVHLDRIRSQDNNPEAKVSHDSDRVKYYGKNVLPEHDSKGLIRLMLEAFKDKVLILLSIAAVVSLALGLYQTFGQPPTLDPITGKPEPRVEWVEGVAIMAAIVIVVTVGGVNDWQKELQFKKLNAKVSNFDVQVLRDGAVHSTSVFDLVVGDVLFVEAGDVVPVDGVLIESNNLVLDESAMTGETDNIKKVDANTAIERTSPDVEYRKNADPYLISGTTILEGNGKLLVTAVGVNSFNGRTTMAMRTEGQATPLQLRLSRVADAIAKLGGAASALLFIVLLIEFLVRLKSNDSSSKNKGQEFLQILIVSVTLLVVAVPEGLPLAVTLALAFATNRMQKDNNLVRHLQACETMGTATNICSDKTGTLTQNRMTVVAGGFGTDVLFFDHNDETPTNVDQGSDSSKFEDAGASAFAFKRLSPELRDLTLYSIAVNSTCRQLFEDNSDTPRFIGSKTETALLDMSVKELGLTNVDSMRSSVDIKQFFSFSSDRKASGAIFEYKDKYYFVVKGMPERVLQQSTSVITNGSLDEVEDMHSHADYFKEMITGYAKRSLRTLGLCYRVFDSWPPKDIPTNDEDSSNPLKWEDAFTDMTFLGFFGIMDPIRPDVPLAVKVCQGAGVTVRMVTGDNIVTAKAIASQCGIYTEDGISMEGPEFRSLSDEKRLEILPKLDVLARSSPLDKQLLIEGLQKLGNVVAVTGDGTNDAPALKKANVGFSMGKSGTEVAKEASDIILMDDNFSSIVKAIAWGRTVNDAVKKFLQFQITVNITAVFLTIISAVASTDQSSVLTAVQLLWVNLIMDTLAALALATDPPTPEVLKRKPEKPGASLFTFDMWKMIICQSMYQLAVTLVLHFAGNSIFHYPSNTADMNTIVFNTFVWLQLFNEINNRRLDNKLNIFERINHNFLFIAIFVIVAGIQVIIVFFGGAAFSVKRIDGKGWAISIVFGVISIPLGALIRCVPNNFLRKVLPVKTIDTVFSWILNPRFRSKRRSTDHDVESLSLIPYEPTSPNEVIDSIRHSLGFVQRIRGGRIRHLLNNSKFDKQMEALPERLRPRVKQRFMKIRSPSVSSATSVALMIPISTLVSEASGRLGGHDIWISHNRQALDKKSSNVH", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVRLNHAASYFMPIFCSTRPHIVILSALFSISLFSLFYASSELLLHQYDDPLMFKPNSQDYFRTFLLGLFSPFLYYFLKTFLFNINQRFLILNLIVDFPINDVFMLLILIGLAYPQVQDHEGGTIKHKECSWHIIPRQAYIFGISWALGEFTICIIGNLFNYQEIADPNINSGFTHQESANTYCNNNDMSHNDDCGCSTEYRPNVVDRSDITLSKCIEVRNDSSSISNNVYSSEYHPIKPLRSSSSTYGSIRQQPHENKKQLHVPDNSQDDTIIMMNPIDNSLKLTTLDTGDLSFPIDEEQPILKKSFGYTWAVPNENTQNTTKSFTPIKRFIAFSTAYQLVTGLLLMILVVGSNIMLTIGESLILSMYFVYVRGHEGLFTPVVNYFGSRTISNFILCVIIPFISLNFLINTSIYLRRELDDWFNNSQGEFEDDDENTISKRVATNQEYQHPLSANYISMDSPDVINSSPGHFGMNSGQLLGNTTLYYGSLNGDDDDMTNDSALLRFCKKLVKNWRALARNDSFVLGVMVSWSLLVFVTGILSTVYI", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MADLSFYVGVIGNVISVLVFLSPVETFWRIVQRRSTEEYECFPYICTLMSSSLWTYYGIVTPGEYLVSTVNGFGALAESIYVLIFLFFVPKSRFLKTVVVVLALNVCFPVIAIAGTRTLFGDANSRSSSMGFICATLNIIMYGSPLSAIKTVVTTRSVQFMPFWLSFFLFLNGAIWGVYALLLHDMFLLVPNGMGFFLGIMQLLIYAYYRNAEPIVEDEEGLIPNQPLLA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSRHSQDERLGLPQPPALLPLLLLLLAVAVPLSQAGVYYATAYWMPTEKTIQVKNVLDRKGDAYGFYNNSVKTTGWGILEIKAGYGSQSLSNEIIMFAAGFLEGYLTAPHMDDHFTNLYPQLIKKRSMLNKVQDFLTKQDQWTRENIKYYKSDPFWRHADYVMAQMDGLFAGATKRAVLEGKKPMTLFQIQFLNAIGDLLDLIPSLSPTKNSSLKFFKRWDMGHCSALIKVLPGFENIFFAHSSWYTYAAMLRIYKHWDFNIVDKDTSSSRLSFSSYPGFLESLDDFYLLSSGLVLLQTTNSVYNKTLLQHVVPQSLLAWQRVRVASMMANNGKQWAEVFSKYNSGTYNNQYMVLDLKKVNLNHSLDEGTLYIVEQIPTYVEYSEQTAVLRRGYWPSYNIPFHEKVYNWSGYPILVKKLGLDYSYDLASRAKIFRRDQGKVTDMESMKYIMRYNNYKQDPYSKGDPCNTVCCREDLNSHSPSPGGCYDTKVADIYLASKYKAYAISGPTVQGGLPVFHWSRFNKTLHEGMPEAYNFDFITMKPIL", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVSRFYQIPGTHRPSSAISSSNESSSLLSARRISQTYFNYQATPECQKVSSKYDPDNPNKDKLGTYDGVFVPTALNVLSILMFLRFGFILGQLGIICTIGLLLLSYTINLLTTLSISAISTNGTVRGGGAYYMISRSLGPEFGGSIGLVFFLGQVFNAGMNAVGIIEPLLYNLGYSAQGEPPAALGELLPRGHWHEFTYATVILFLCFSVAFVGSQTVSRAGNILFLVLAASIFSIPLSALIRSPFTEGGISYTGPSWQTFHDNLLPHLTKGAAGSLLKGKETFNDLFGVFFPATAGIFAGAGMSSELRKPSKSIPKGTLWGLLFTFICYAVVVFSMGCSIPRRSLYDEVQIIQTISSVQWVIFMGEMATSLFSIIVGMLGAAYVLEAIAKDNIIPGLEIFAHSPLYSLIFTWILTQLCLFSDVNKIATFITMTFLMTFVVMNLACFLLGISSAPNFRPSFKYFNRYTTAIGALLSVVAMLIVDGISASVLFLAMILLFLFIHYFSPPKSWGDVSQSLIYHQVRKYLLRLRQDNIKYWRPQILLFVDNPRTSWNLIRFCNHLKKGGLYILGHVAVTADFPKQLNELKTQQKAWMKIRDMAAIKAFVQVGTGPSLIWGIRNVFIGSGLGGMKPNITVVGFFDLESYRKHIPQSRSQNNLQKQVEIKATVPRSTCSDVKINVPLPTDECKNETKVNVQQWVQIVEDLSLMQSNIAIAHGFKNLEIPNKRDSCFPKKTIDLYPIQMCGKVEAKGDQPAAITTNFDTYTLILQLAAILVTVPEWKRTHSLRVILFVEQEYHRTNETQRMKKLLQVLRIDAEVLVVSLDQFRVYNTIVKGDPIVFDYVNSKLADNEWWKDLVEARDTLKPKRRFSTIEPQTIAKQFTQSRKYTSGVQKLGVSFTMNTRMPTNRIDTPCESEDSDLDTDLTSIRDAFSASTNISVGKDLTTKSKTGSDRTNLLVKNLQSDVSTQSLRPVFSSNTLPRTRVVEDGTGEQPTLIPIAEPDLSNGNGTGSGIGNGNKLKKPVLPELSPCCSKDSLVTAMQNLGFNDLPSTAQHLVLNDVMTQMSKSSDLIFSTLPVPALGTHEDHDASLQYVEDLDIWLEGLPPCMLINSQTMTVTTAL", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAEASFYIGVIGNVISVLVFLSPVETFWKIVKRRSTEEYKSLPYICTLLGSSLWTYYGIVTPGEYLVSTVNGFGALVETIYVSLFLFYAPRHLKLKTVDVDAMLNVFFPIAAIVATRSAFEDEKMRSQSIGFISAGLNIIMYGSPLSAMKTVVTTKSVKYMPFWLSFFLFLNGAIWAVYALLQHDVFLLVPNGVGFVFGTMQLILYGIYRNAKPVGLSNGLSEIAQDEEEGLTSRVEPLLS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKANGLDNDPARTGMERTDIDSEHPEAQPLLNNNHRTLGAGSANGPAVNEGRDIESDGFIKDSLFQIRKGYRIFIHNSKWILNILILINTIWLVTTLISDFFFNINILFGFSNRYASFNDLTLIFISIIANSFNLWFNKLGLYSALDYSLNVTLCVLTLFNLALTYLIKYTRQRIGFVGTFTYLWTSFSFFIGAILDWYLLFYNNSINEPLEERRIDDANISTFNENHTNSTENRDRSQYGSGSPTPTHRSQLVQNKHTLTEWVSIGFRNTIKFLILIFFALFTLNTLLTTLDTYRLTHKLPITVQSPSYEAFHYVDAAKTYQLHITCYGDVFDQENNTDLSENKKQPIILFEHGGYDTGYLSATWIEELYHLDKIQRYCLYDRPGYGLSDSPPAPISIAMVAESLRYALIKDAKIKGPFTTVGYDLGGLFTRVFTAKNVDIVDSMMLVESWHEELLLKNYIQRLLPPGRGDGDDGDDGNGNDGDGRNHDKTWLPSEIERHNEFRLWWKGIWSSLGWRLQTSWLLAHHGSKERIYGRDMKYQGRFLRSKFLESVTSSILSYRDVTNNAESLQNVKTSIVSSKEMVKKSALWGDWQRDLTKISHKTQEWKIVEGGHEIYKYGLGKQQTQEVLLRLIGELGKLTED", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPAPRAREQPRVPGERQPLLPRGARGPRRWRRAAGAAVLLVEMLERAAFFGVTANLVLYLNSTNFNWTGEQATRAALVFLGASYLLAPVGGWLADVYLGRYRAVALSLLLYLAASGLLPATAFPDGRSSFCGEMPASPLGPACPSAGCPRSSPSPYCAPVLYAGLLLLGLAASSVRSNLTSFGADQVMDLGRDATRRFFNWFYWSINLGAVLSLLVVAFIQQNISFLLGYSIPVGCVGLAFFIFLFATPVFITKPPMGSQVSSMLKLALQNCCPQLWQRHSARDRQCARVLADERSPQPGASPQEDIANFQVLVKILPVMVTLVPYWMVYFQMQSTYVLQGLHLHIPNIFPANPANISVALRAQGSSYTIPEAWLLLANVVVVLILVPLKDRLIDPLLLRCKLLPSALQKMALGMFFGFTSVIVAGVLEMERLHYIHHNETVSQQIGEVLYNAAPLSIWWQIPQYLLIGISEIFASIPGLEFAYSEAPRSMQGAIMGIFFCLSGVGSLLGSSLVALLSLPGGWLHCPKDFGNINNCRMDLYFFLLAGIQAVTALLFVWIAGRYERASQGPASHSRFSRDRG", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAEPTQPLLTDSNSSSPRSLDDTIESYIGSFGWAQFLQAALVSFSGVFDAQQTFISVFTDSEPTWHCTDSNSICHESISNICILPKTAWSWDYSPHVSVISEWGLQCAGSFVKGLPESSFFVGCLIGGLVLSTLADSSLGRKNMLFLSCLVMAISTMLTVFSPNIWVYAVLRFVNGFGRATIGTCALVLSTELVGKKWRGRVGIMSFFGFMLGFLSLPLMAYMNRGSSWRILYAWTSIPTIIYCVLVRFFVCESPRWLFVRGRREEAISILKRVASIPSTDVSSGGAISMSFSSLPFEEDEEKPSTNVNIFTTMKVLVEKRWALKRLSAVMAIAFGIGLVYYGMPLALSNLDFNIYLSAAFNALMDLPANLITLFLVDKLSRRNALIGFTALGGVSSVLIFALHNMRIGNHGALQLALELISYFSACSAFNMEMIYTIELFPTCVRNSAIAMARQALVLGGVFSPIMVAAGRKNAFWSFGLFGLAIGLLGLFAVGLPETRGSDLCDTMDEEECKDRRSKVAVNNVIA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSGFFTSLDPRRVQWGAAWYAMHSRILRTKPVESMLEGTGTTTAHGTKLAQVLTTVDLISLGVGSCVGTGMYVVSGLVAKEMAGPGVIVSFIIAAVASILSGVCYAEFGVRVPKTTGSAYTYSYVTVGEFVAFFIGWNLILEYLIGTAAGASALSSMFDSLANHTISRWMADSVGTLNGLGKGEESYPDLLALLIAVIVTIIVALGVKNSIGFNNVLNVLNLAVWVFIMIAGLFFINGKYWAEGQFLPHGWSGVLQGAATCFYAFIGFDIIATTGEEAKNPNTSIPYAITASLVICLTAYVSVSVILTLMVPYYTIDTESPLMEMFVAHGFYAAKFVVAIGSVAGLTVSLLGSLFPMPRVIYAMAGDGLLFRFLAHVSSYTETPVVACIVSGFLAALLALLVSLRDLIEMMSIGTLLAYTLVSVCVLLLRYQPESDIDGFVKFLSEEHTKKKEGILADCEKEACSPVSEGDEFSGPATNTCGAKNLPSLGDNEMLIGKSDKSTYNVNHPNYGTVDMTTGIEADESENIYLIKLKKLIGPHYYTMRIRLGLPGKMDRPTAATGHTVTICVLLLFILMFIFCSFIIFGSDYISEQSWWAILLVVLMVLLISTLVFVILQQPENPKKLPYMAPCLPFVPAFAMLVNIYLMLKLSTITWIRFAVWCFVGLLIYFGYGIWNSTLEISAREEALHQSTYQRYDVDDPFSVEEGFSYATEGESQEDWGGPTEDKGFYYQQMSDAKANGRTSSKAKSKSKHKQNSEALIANDELDYSPE", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDLENVSCPICLRKFDNLQALNAHLDVEHGFNDNEDSLGSNDSRLVNGKQKKARSVDSSAQKLKRSHWEKFKKGKSCCHTCGRTLNNNIGAINCRKCGKLYCRRHLPNMIKLNLSAQYDPRNGKWYNCCHDCFVTKPGYNDYGEVIDLTPEFFKVRNIKREDKNLRLLQLENRFVRLVDGLITLYNTYSRSIIHNLKMNSEMSKLERTVTPWRDDRSVLFCNICSEPFGLLLRKHHCRLCGMVVCDDANRNCSNEISIGYLMSAASDLPFEYNIQKDDLLHIPISIRLCSHCIDMLFIGRKFNKDVRMPLSGIFAKYDSMQNISKVIDSLLPIFEDSLNSLKVETAKDSENTLDPKNLNDLARLRHKLLNSFNLYNTLTRQLLSVEPQSHLERQLQNSIKIASAAYINEKILPLKSLPAILNPEGHKTNEDGQKAEPEVKKLSQLMIENLTIKEVKELREELMVLKEQSYLIESTIQDYKKQRRLEEIVTLNKNLEELHSRIHTVQSKLGDHGFN", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSAPLLQRTPGKKIALPTRVEPKVFFANERTFLSWLNFTVMLGGLGVGLLNFGDKIGRVSAGLFTFVAMGTMIYALVTYHWRAAAIRRRGSGPYDDRLGPTLLCFFLLVAVIINFILRLKYNDANTKL", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDQAELSIEQVLKRDIPWETYMNTKLVSAKGLQLLRRYDKKPESARAQLLDEDGPAYVHLFVSILRDIFKEETVEYVLALIYEMLSANPTRARLFHDESLANEDTYEPFLRLLWKGNWFIQEKSCKILAWIISARPKAGNAVIGNGIDDVLKGLVEWLCAQLKQPSHPTRGVPIAISCLSSLLKEPVVRSSFVQADGVKLLVPLISPASTQQSIQLLYETCLCIWLLSYYEPAIEYLATSRTMQRLTEVVKHSTKEKVVRVVILTFRNLLPKGTFGAQMVDLGLPHIIHSLKTQAWSDEDLLDALNQLEEGLKDKIKKLSSFDKYKQEVLLGHLDWNPMHKETNFWRENVTCFEENDFQILRVLLTILDTSSDPRSLAVACFDISQFIQYHAAGRVIVADLKAKERVMKLINHENAEVTKNAILCIQRLLLGAKYASFLQA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTDSGLMMPAEIAGILTTAITSWWDDVNESTQWQDGIFFALCGAYALVSAVALVQLIRIQMRVPEYGWTTQKVFHLMNFVVNGVRAVLFGFHMQVFLVHPKALCWVLLDLPGLLFFSAYTLLVLFWAEIYHQARSLPTDKLRITYISVNVAVYLAQIGIWAYIWVHDNSTVELVGKIFIAVVSFIAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFLIRCVVVAVSAFDKDLTLDVLDHPVLNLIYYMVVEVLPSALVLFILRKLPPKRVSAQYHPIQ", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVVVGKSEVRNVSMSRPKKKSLIAILSTCVLFFLVFIIGAKFQYVSVFSKFLDDRGDNESLQLLNDIEFTRLGLTPREPVIIKDVKTGKERKLHGRFLHITDIHPDPYYVEGSSIDAVCHTGKPSKKKDVAPKFGKAMSGCDSPVILMEETLRWIKENLRDKIDFVIWTGDNIRHDNDRKHPRTEAQIFDMNNIVADKMTELFSAGNEEDPRDFDVSVIPSLGNNDVFPHNMFALGPTLQTREYYRIWKNFVPQQQQRTFDRSASFLTEVIPGKLAVLSINTLYLFKANPLVDNCNSKKEPGYQLLLWFGYVLEELRSRGMKVWLSGHVPPIAKNFDQSCYDKFTLWTHEYRDIIIGGLYGHMNIDHFIPTDGKKARKSLLKAMEQSTRVQQGEDSNEEDEETELNRILDHAMAAKEVFLMGAKPSNKEAYMNTVRDTYYRKVWNKLERVDEKNVENEKKKKEKKDKKKKKPITRKELIERYSIVNIGGSVIPTFNPSFRIWEYNITDIVNDSNFAVSEYKPWDEFFESLNKIMEDSLLEDEMDSSNIEVGINREKMGEKKNKKKKKNDKTMPIEMPDKYELGPAYVPQLFTPTRFVQFYADLEKINQELHNSFVESKDIFRYEIEYTSDEKPYSMDSLTVGSYLDLAGRLYENKPAWEKYVEWSFASSGYKDD", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MHRTLLFLTWLISLTKAFNIKLPHTEKKDHLESNAVLACASYINTLKWSFDSSVVPGFYSTICSYSPAFDTWSLCIFNSLTDQIIPMDNTSFEESLGNVRKTCSFVDKKFSNISLEQYYSSLNNASSHALEDYGSIESLSTSIRVDRETRSRWIRAFHAHAYNLDISSVYGAYLTYYFVIVGIIAVFFHMSHYNGLNRALFASRFVNYIRGHFVLPTFLVDKHANHFKFLNVEVFTGLMPNSLEAWIIFGYTLANIIFLSISYIIDPYNLIFNSHLSQFTRLLADRSGILAFTQFPLIIIFTARNSFLEFLTGVKFNSFISFHKWIGRIMVLNATIHSLSYSLFAIINHAFKISNKQLYWKFGIASITVLCVLLVLSLGIVRKRHYEFFLYTHIILALLFFYCCWQHVKIFNGWKEWIVVSLLIWGLEKLFRIWNILQFRFPKATLINLNTSNNPHDEMFKVIIPKYNRRWHSKPGQYCFIYFLHPLVFWQCHPFTIIDEGEKCVLVIKPKSGLTRFIYNHILQSLNGKLQLRVAIEGPYGPSNLHLDKFDHLLLLSGGTGLPGPLDHAIKLSRNPDKPKSIDLIMAIKNPSFLNGYKSEILELKNSRSHVNVQVYLTQKTAVTKAANARDQLIHFDDIMTELTSFAHIGNARPNFSNVIENAIKSTPPGDSLAVVCCGPPVLVDDVRNTVSQKLLGYPERIIEYFEEYQCW", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNHLGVFETRFRPRTRHKSQRAQLIPEQITMRTAVLLPLLALLAVAQAVSFADVVMEEWHTFKLEHRKNYQDETEERFRLKIFNENKHKIAKHNQRFAEGKVSFKLAVNKYADLLHHEFRQLMNGFNYTLHKQLRAADESFKGVTFISPAHVTLPKSVDWRTKGAVTAVKDQGHCGSCWAFSSTGALEGQHFRKSGVLVSLSEQNLVDCSTKYGNNGCNGGLMDNAFRYIKDNGGIDTEKSYPYEAIDDSCHFNKGTVGATDRGFTDIPQGDEKKMAEAVATVGPVSVAIDASHESFQFYSEGVYNEPQCDAQNLDHGVLVVGFGTDESGEDYWLVKNSWGTTWGDKGFIKMLRNKENQCGIASASSYPLV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGFVLFSQLPSFLLVSTLLLFLVISHSCRAQNSQQDYLDAHNTARADVGVEPLTWDDQVAAYAQNYASQLAADCNLVHSHGQYGENLAEGSGDFMTAAKAVEMWVDEKQYYDHDSNTCAQGQVCGHYTQVVWRNSVRVGCARVQCNNGGYVVSCNYDPPGNYRGESPY", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAALDQQPLLHDGGDQKPPPEGAARRFRRCRTAPSSEPPPTDKDNSSAADAPPKTLFTGGGRPSFRLVGLLLVAYLLLGTIAFYLAMDHMSGTRTTRALDALYFCVVTMTTVGYGDLVPASDAAKLLACAFVFAGVAVVGTFLSKAADYLVEKQEALLFRALHSHTMVRAMEMNKVRYKLYTAGLLLVAAVASGTVVLWKVEGMRAVDAFYCVCATVTTLGYGDRSFSSEGGRAFAVAWITVSTVVVALFFLYAAELYTERRQRELARWVLRRRTTNMDLEAADLDGDHRVGAADFVLYKLKELGKISQEDISEFLDEFDNLDADHSGTLSPADLAAAQPTPDPPPSLR", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAKNNHISASGNSTSGDHRLKEEVLTPTTSASTPHRIFSVDDDPKEIQNDIRYLEGLHEGLKFALHANKSKRSVSSQSPIVHSSNNTLHHHEHQQHLPPTLESLSSKSHSVPDLNTATPSSPKRMHSSIRELPHDDNDDEDANDDSRFIIHDSHGHDLLIDEINCQSPSHLENNDQASNASSTESFTLRERQDAINETHPFGIRIWKPALYKKHRSVQRTAAQDIHETQLKTITWEVTCSNVLWFILFGFPIAILFYSAAIVVFLLGGGGLVTNSAKEYSKCLYKLANYFLWPFGKMVYLLQDEQYLQEDKDEGISMQQFYNWVTSYSNRLVFHQSQAKFQQREDHPAPATESSSLMPPANTTATPLNSNHPSYNSIRHEIPHAAAQRRYFGRGKWSWGRVLFYTIFHLVLQPILAVLSLCLWLLVFTIPMSNVLWQIMYHCRRHPLALGFKYVENSSQSHENEITQQQLNKNILLCTFRAAGWHYYKYTVDGTNVIVVNLISIVFFTIFDFYVLKNFLHWKTWFTYESSIFILCLTSTIPLAFYIGQAVASISAQTSMGVGAVINAFFSTIVEIFLYCVALQQKKGLLVEGSMIGSILGAVLLLPGLSMCGGALNRKTQRYNPASAGVSSALLIFSMIVMFVPTVLYEIYGGYSVNCADGANDRDCTFSHPPLKFNRLFTHVIQPMSISCAIVLFCAYIIGLWFTLRTHAKMIWQLPIADPTSTAPEQQEQNSHDAPNWSRSKSTCILLMSTLLYAIIAEILVSCVDAVLEDIPSLNPKFLGLTIFALIPNTTEFLNAISFAIHGNVALSMEIGSAYALQVCLLQIPSLVIYSIFYTWNVKKSMINIRTQMFPLVFPRWDIFGAMTSVFMFTYLYAEGKSNYFKGSMLILLYIIIVVGFYFQGALSE", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAGQNARLNVVPTVTMLGVMKARLVGATRGHALLKKKSDALTVQFRALLKKIVTAKESMGDMMKTSSFALTEVKYVAGDNVKHVVLENVKEATLKVRSRTENIAGVKLPKFDHFSEGETKNDLTGLARGGQQVRACRVAYVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPKLENTISYIKGELDELEREDFFRLKKIQGYKRREVERQAANAKEFAEEMVLEDISMQRGISINAARNFLVGGAEKDSDIIF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEDRETHLGTREVNETSPDLLKNTPSNIARLEDVIEQCHGRQKYLAQTRSPSDGSDVRWYFCKVPLAENELAASVPRTDVVGKSEYFRFGMRDSLAIEASFLQREDELLSLWWKEYAECSEGPKLQVNSKKKSIETPSEASVSSSLYEVEEERVGVPVKGGLYEVDLVRRHCFPVYWNGDNRRVLRGHWFARKGGLDWLPIPETVSEQLEVAYRNKVWRRRSFQPSGLFAARIDLQGSSLGLHALFTGEDDTWEAWLNVDPSGFSGIVGYTGNGIKLRRGYAGSYSPKPTQEELRQQKEEEMDDYCSQVPVRHLVFMVHGIGQKGEKSNLVDDVGNFRQITAALAERHLTSHQLSTQRVLFIPCQWRKGLKLSGEAAVDKCTLDGVRRFREMLSATVHDVLYYMSPIYCQAIIDSVSKQLNRLYLKFLKRNPDYVGKISIYGHSLGSVLSYDILCHQHNLSSPFPMDSVYKKFFPDEESPPTPAKADKPCSSHPSSNFEPEKSDQLNNPEKITGQDNNTMAKEPTVLEHHDVIQEDPSLISDSVVANVGLERRGGQEDDHHDSSGAISSQDVPDGADCRTPDSPSCSQEQSWDKESVNSNNEERIKLLQDEVNSLRSKVAQLLSENARILSDEKAKTSVAPKELNNEKVQTEDADAPTSFTPFIKYQKLEFKVDTFFAVGSPLGVFLALRNIRLGIGKGKDYWEEENAIEEMPACRRMFNIFHPYDPVAYRVEPLVCKEYLPERPVIIPYHRGGKRLHIGLQDFREDFAARSQRIMNHFDSVRTRVLTICQSKSADNLDEMEETDDEKDDRSYGSLMIERLTGTRDGRIDHMLQEKTFEHPYLQAIGAHTNYWRDQDTALFIIKHLYRELPDGPNSPTESTEGDDSPKDSSRPHSWIDRREADYDDEELPLTFSDKQITRSFSAEAKKYLKKP", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MYQLRKFDFFEEKYGGKIPEDVTGDIQCCSSGRGKVVIGSNDGSVSFLDRGVKFDSGFQAHSSSVLFLQHLKQRNFLVTVGEDEQISPQQSGMCLKVFDLDKVQEEGTSSSAPECIGILRIFTNQFPEAKITSFLVLEEVPPILLIAIGLDNGCIYCVKGDIARERITRFKLQVDGRSAITGLGFRMDGQALLLFAVTPESVNLFSMQAQPPKLQTLDHIGGSVNTVTMSDRSELIVGRPEAVYFYEVDGRGPCWAFEGEKKFMGWFRGYLLCVIDDSKTGNTVFNVYDLRNRLIAYSIVVDKVSNMLCEWGNIILIKADKSLLCITEKDMESKLDMLFKKNLYTVAINLVQSQHADAAATANVMRKYGDHLYGKQDFDEAMLQYINTIGYLEPSFVIQKFLDAQRIYNLTNYLEKLHEKGLASKDHTTLLLNCYTKLKDVEKLNTFIRKEDGIGELKFDVETAIRVCRAANYHEHAMYVAKKAGKHEWYLKILLEDLGNYDEALQYVSSLEPSQAGVTIEQYGKILIEHKPKETIDILMRLCTEQGIPNGVFLSMLPSPVDFITVFVQHPHSLMHFLERYAEIVQDSPAQAEINNTLLELYLSRDLNFPSISLSENGLDKDLIDHSVAAAVSKADPEKKTNADSKDAMEKDCTERQQKGLELLKMAWPSDLEQPLYDVDLAVILCEMNSFKDGLLYLYEKMKFYKEVIACYMQNHDHEGLIACCKRLGDSSKGGDPSLWADLLKYFGEIGEDCTKEVKEVLTYIERDDILPPIIVLQTLAKNPCLTLSVIKDYIARKLEQESKIIEEDRRAVEKYQETTKNMRKEIEDLRTNARIFQLSKCTACTFTLDIPAVHFMCMHSFHQRCLGDNEKECPECAPEYRSVMEMKRSLEQNSKDQDLFFQQVKGSKDGFSVIAEYFGKGIISKTRDATS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNHGGNSTMRHCSMKMTFNTDYDNLCIVFKSWHIGNLSQFLLSLLAIAILGYLFERLRSFTSLKETEFQRGYAGQQSEGLLTHHSKSLKSGRPFRLCALYAVQLVFSYFLMLVAMTYNAYVILAIAIGAAFGYRRSHCDTVQTVGLCH", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGNVSVAVGTAVGIPIAVGVIIALIFWCKLQRRYKKEEIRDADLEKMVMEEVAVSVYDGFKAEINSSSEASTINEKEANQDLKPCQEKTAKAGYTPAYRRQLNASMGTLRPKKQSTAYTNVPVIFSGEKVNYGMVRDPSYSFMYPLTLSRKETSSLRSASTSNLSSSTENTALHEEIKLDDPYENDFTNYTVNKREFIDSLRPH", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDYDLLRSKKSIKRVESTKSNPWWWDSHIGLKNSKWLENNLDEMDRSVKRMVKLIEEDADSFAKKAEMYYQSRPELIALVDEFHRMYRALAERYENITGELRKGSPLELQSQGSGLSDISASDLSALWTSNEVNRLGRPPSGRRAPGFEYFLGNGGLPSDLYHKDGDDSASITDSELESDDSSVTNYPGYVSIGSDFQSLSKRIMDLEIELREAKERLRMQLEGNTESLLPRVKSETKFVDFPAKLAACEQELKDVNEKLQNSEDQIYILKSQLARYLPSGLDDEQSEGAASTQELDIETLSEELRITSLRLREAEKQNGIMRKEVEKSKSDDAKLKSLQDMLESAQKEAAAWKSKASADKREVVKLLDRISMLKSSLAGRDHEIRDLKTALSDAEEKIFPEKAQVKADIAKLLEEKIHRDDQFKELEANVRYLEDERRKVNNEKIEEEEKLKSEIEVLTLEKVEKGRCIETLSRKVSELESEISRLGSEIKARDDRTMEMEKEVEKQRRELEEVAEEKREVIRQLCFSLDYSRDEYKRLRIAFSGHPPTRPSSILAS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSLKIKFLLLLVLYHHVDSASIVKFLPGFEGPLPFELETGYIGIGEDENVQFFYYFIKSENNPKEDPLLIWLNGGPGCSCLGGIIFENGPVGLKFEVFNGSAPSLFSTTYSWTKMANIIFLDQPVGSGFSYSKTPIDKTGDISEVKRTHEFLQKWLSRHPQYFSNPLYVVGDSYSGMIVPALVQEISQGNYICCEPPINLQGYMLGNPVTYMDFEQNFRIPYAYGMGLISDEIYEPMKRICNGNYYNVDPSNTQCLKLTEEYHKCTAKINIHHILTPDCDVTNVTSPDCYYYPYHLIECWANDESVREALHIEKGSKGKWARCNRTIPYNHDIVSSIPYHMNNSISGYRSLIYSGDHDIAVPFLATQAWIRSLNYSPIHNWRPWMINNQIAGYTRAYSNKMTFATIKGGGHTAEYRPNETFIMFQRWISGQPL", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MISIVLELFQNLCCCRGFSDATIRVNDKRYRIQRLLGEGGMSFVYLVQLSKNSLIIDNGIATPELYALKKIICPSVESISNGMREIENYKRFQSPYVIKSIDSQVMQEKDGSKTIYIVLPYYSLGSLQDSINRRLLEGTFVSEAECVRIMLGVTRGLLCLHDPASRQDNATSRVNVDAVSMTYSDETAMLLEDTPLEMDMLSSNSAGSIAYAHRDITPSNILFSSDGLPVIGDLGSCSQADITIENRHQLSELQEWVNDNCTLPYTPPELLNLKLNQVLSSKVDIWSLGCTFYTLMFGISPFEREEQIHGASLTYAINTGKYSFPRNSRFSEGLLSVIKKCIQVDPIQRPTTSQLLNLLQDLDT", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASILNQTQELQESSKVLGHLRCENFFLFPGENTLSDGLRGVLYFLGLAYCFIGLSAITARFFKSMENVVKHSRKVVTIDPITKAEVITYKKVWNFTIADISLLAFGTSFPQISLATIDAIRNMGERYAGGLGPGTLVGSAAFDLFPIHAVCVVVPKAGELKKISDLGVWLVELVWSFWAYIWLYIILEVWSPNVITLVEALLTVLQYGLLLVHAYAQDKRWPYLSLPMSRGDRPEEWVPEEIDTSKDDNDNDVHDVYSDAAQDAVESGSRNIVDIFSIHSANNDTGITYHTVADTPPDSATKKGKAKNSTVFDIWKHQFVDAITLETSESKKVDSIYLRIAKSFWHLLLAPWKLLFAFVPPCNIAHGWIAFICSLLFISGVAFVVTRFTDLISCVTGINPYVIAFTALASGTSWPDLVASKIAAERQLTADSAIANITCSNSVNIYVGIGVPWLINTVYNYFAYREPLYIENAKGLSFSLLIFFATSVGCIVVLVLRRLIIGAELGGPRLWAWLTSAYFMMLWVVFVVLSSLKVSGVI", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MFRRFKDLIGTSEDPTPQVPHSPGHPPHQPPQQQQQQQQQQQQQQQQQQQQQQQQQQPQPQQPLSPRSVSSPIGSTTSSNSTSSFSSPVRKYSSATEESSSINSNNNNNNNKNNNNNNNSNIIESNINVWTIMIGSEVEVIRSQATAQKLKLLWQEFLSSKSDKDKVMRLNKLLPYFIGLYEDKKIDTKSPMVDIFGNNSKSFSFAISRRLVKDINEIMKQANSPQQPPQPPQLIKETIAKEIYKFFSTTSGQVSGFELLYSIEILSESNTSCAEAMAEASIPSMLVRCLQYFFLVPYTTMMETTKGIIEEKLIRTLCFLSKQKSAIEELQKTDTLSTLFALMSNECPPSHRPLRAKIGSFGLELTDLYPPTITYINSKRVIANIIKDLTNYYMFTPESYVTLCRIIIKILSESSKKSTILLDEFQRNDGYTFLVDSLFRLESSKDKPALFEQLLDSICSLVYIGYGNVSLPVENSSVPYQTSINNIKEISNQNYISKNGNAFKVLERYFLKSNYEENRVKILDRILSVYSSNTVNFILLQHTSTLTKFIQEYESLSNGLKYHVMKIVCFVVTVLNCVPFQELSTFSLLVGENPSFYTLEMINQLITTLVNFEFRYKHIFRETGLLDILVKVIDVIAQDIIRLNNSKKIDDDDENNNNNNNNNNNNNNNNNNNNDNDNNNNNDNNNEENGSGSNGPIVPCMTGNGEKEADSNDQALNSIIKVESFQILLDSLFILISENPDNISLIRSFSIFNILLRFLPYSSVRGKSLRILQQLIKYDPEPTQKEFDGLIKVLTSVNKENYPMKSDILNATRKLFNISKHARDSFREHGGFVSIISVFISLESSFSPNRKDSRNWDMEKLELIESICRCTTSALCGNVINRENFEQQIGYKTFSSCLIMTGVLGTEFSKSVVDFIFDMVTENLNASDQISNQMIINNVESFNVILDIIPHIENKDFRLQIISRINKMAEYGRYNQEALSKLSIPDWILSRFPSNLSNANDPLQPLLLSLIQTVGANCLSGSELRQFVKLLQPEHSPEVLLKILSSMAKSPPTPPYFEFNLSKIPFGYIRVPITERAWPPTNGYTIMFWLYIDKFPTVNNNNNNNNSSNNSNNSNNSNNNNNNNNNNDQIDLVHIYSDDKKSSLYIYLKNGIITVNIINSSKYVIEIPSYKFVEGKWYHIGIVHARRLLGGTDFKLFVDGFLKYTATKAQYPAQITSGSMLICDIGVSNQNRFPTDSIWRIGTFYLLEDSLGAKHINTIYFLGPNYASNFKGRFSPYQTYEIVNSANLMAIKDLDYGDQLGPLNLAKVSMQIDENKILVGLCASNKLIRTNNSSKVVYNEIFNGIINELSQNHGVALNVFSSPNGTSPNLTGLQNNNNNNNNSGGSNSKKDLEGRVEIINQADLTTKLRGVLIGSVEAFRRNKVADSIKKIGGMPISLLLLEKANSEETLFDSLGLLVGLIQYHPTNTHEMSQINGYELLAWVLKKKASLGLFNSNILELLFDLIGINGNCSTTITSRAPQEGTVANWNACKYIMMNWDIWRLTTPALQRHVINGYNSLIVNNIQRRFNIDSLRKVNVIQEIFDILSSSTNEEPLPESVASSVINVLYNILSYGGLIEDDIRQISAFLISHLHKDIPTPSSSSSSSSTSSTSSRRKSIHRSKLATMELSNTATIQLVNHVFYTFLKVVSNCQTQETAAIFRRVSSYWCFFFIDENLPPLTVSLALRVTCIFFLYKYDYCSTFIKKSGFKLLEKVLPSLSGHQEIYLCLLHLLLGGDPKLLVDLDLSSSSGGAGGTTIVFHELLRIFTPFEKSLYCIEAAQLILSLIKRSYEDNYQYLEQKQQELQNANQGLDNDELLSSLISNVNINNSINNNDNSNSPLSTFQTISRSVSSSSISSNISSSSSSSTLVNSSNSNNNNNTPTSGLASTITKFGNLWNKFEEKTLEFAVTTGAIDENSTGATDAQQAALKKKNRMSIQSSPFQSKNLGTGGDDSVTNTPNGSSLHNRVTGMDDDSKLNGALGGGGGGGGGGVSVGDNQPINFNLYDEMLPELKISQFATPEESSNLQYTMLTYFIYLFHENQYFQQECYSQPMVEELISILFPNGKINLPPLYNSTGQTNGIKDRVLDLVVKFLCQIMLSAMRKTSKAISIIEMVLEGAPTTATDEEFILYHSRILLDLMYVVETNITKTEFFDNERVHSNLIKLSSMLVDRVNLDQLVKNNKIIIAKRIFLFIVKILEKLEADRVGLQKTVQSLYKSLNRIILYLINHTTDTDLSFVANHIINHQRIIFSENNLDSDFMNAFCYPLYKLVISDQHEHVDNSIKLWRLLLSLKTSSYIESLATVLQLKVSSGSNQRQSEIIDLKPGFELLRNTSGNGAFNNDEFKLWINDNIQTITQVFEENPKKQHLSFKNNEKKHSSEHTLPSLKSRRTERLSKKQRQDRKDQSHQEEKSKHITKKAQYFVRSESDRRKKIKQLESDKQKFNAIQWENMRAQITRERAVWGPSEPHPLDKWKLDSTEGPYRMRKKMEKNYNFYKNYPYVPPSFDEQNNSLLPIPCSADSETYMNIVGTEEANLLESSYWKFDLLSTNQVITSSTNTSSITNNNNNNNNNNNNNNNNNNNTITKSTSQNANNNNNANNMNQSTSSSSSNTTTTTTPQQSSSQIKVSSPELSSNEITPPTSPVQSSSEDVFKSPKLQSSTVEGQLSRNPSSSELFNDNSSTISEENSSLTSASTTLSPPPPSTQTTTTTTTSTPTTQSSVATTTTGNTNEVDEETSTNNQTTSEDETQAFIRLLDPYDQSYLKDAMRKDPRLNGIMYNCGSVDGMDKIEGILIFCPVYMYIFDGYYKDENTGDISEVEEKINSEWLPEGTVLPMKKKIIHYFLKWAYEDIRDVLKRRYLLRQVALEIFSTDGRNNLVVYRDEPTRDEVYHTLVNNVSSHNTIGGDAQGITGGQTGNDDNDDHHGGGGGRGVRDRFTSIWRKSPLTLKWQQGQISNFQYLMHLNTLAGRSYNDLTQYPVFPWVLSDYESEELDIDDPKVYRDLSKPMGALEESRAQKFRERFENWDDQEPNEHGHKVPKFHYGTHYSSAAIVLYYLIRLEPFTQHFLKLQGGRWDQPDRLFSSITEAWASSSQGSTGVVMELIPEFYYLDEFLVNNNKFNFGTKQGGEPIDDIILPPWAKGSPQEFIKLHRKALESDYVSEHLHEWIDLIFGYRQQGKAADDSLNVFYYLTYEGAVNIDAISDPVEKAATIAQINNFGQTPKQLFDKPHPKRNATLMGLPFYAKALTGNFIKDIGEPVGQIRLINDRATCVGFNKVLLPPNHSKYMLWGLPDGSIRYNTGDKIKVLEDHHDGPLTCLTATEDGRICVSGGSDSLICVYNLKRFSLAKRLSGHTGSITCVSASRPYSIIVSGSDDRTCIIWDLNRLCYVRSLDAHEGPISCIGIHDTTGEIVVCSGTTISVYTVNGELLINYKTSQIANDQITCCIWSKGPEWLGENVLLTGHRDGKVKVWGLETRLLPDNNNSNNNNNNNNNNNNNATQIPSTNNNKLKFKNVIILRATFSNSQSHSTAITSIFLTNDQQKFYTGDITGRVCMWSDNEASQVKQRGWINTDIRGILQSEKK", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNNDAMEHTLGGGILTTSGSKQRKTSKFVMGKYTLYETKDRMYIVGSNKRETMFRILEIDLTVPRGELTVLEDNVFFTRNEIMNVLASLEEATEDGLHKKITGYGLLGFIKFTCWYYLIMVTKYSQVAVIGGHGIYHIDGIDIIPITNNYKKPEKSSDEARLLNIFKDLDLTKTFYFSYTYDITNTLQTNILREKLKAVDRCDITIPCGITDYNEMFVWNNNLLSPIFACIDTVFDWFQCIIHGFIDQVNVSVLGKSIYITLIARRSHHFAGARFLKRGVNNKGHVANEVETEQIVTDMILTPFHQPGNGFFDSDRYTSFVQHRGSIPLYWTQDASNLTTKPPIRINVVDPFFSPAALHFDNLFQRYGGGTIQILNLIKTKEKTPRETKLLWEFEQCIDYLNEFLPTLKKLDYTSWDMSRASKQDGQGVIEFLEKYAVNTVTTTGIFHNGPDFASTKIQEGICRSNCIDCLDRTNAAQFVIGKRALGCQLKSLGIIDNSYLEYDSDIVNILTELFHDLGDTIALQYGGSHLVNTMETYRKINQWSSHSRDMIESIKRFYSNSFVDAQRQDAINLFLGHYSWREGFPSLWEMNTDFYLHNAYSLNMPKRSYIHWWNDYNIKSVKELINEELIATGNDVTREKIIKNVRGYPGAFDNYWNEYYLPRSVTWIRDLFAYNMNSTRRYHNALSKQDKAMSPFTSRKQSWLNNKLKMITSSKSLEKAEGRVVETTDLDRDTSPKQELELYEHYLHIISDRSQKLEEKMNSFSYSKYPIFISHESSEIPPMRKVIGEPLVDIAEDFTDVYDDDDDGDDENDEMTTEALLIAPDHVSVDEKFYEKVLNVDDYKPALDDYSAVIHIKPDNLQLYRDLCFSKDIQLDFQ", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNDRLSLTSLFPRCLTTCLYIWTAYITLTRIHQIPRWFLALTIVPTLAVALYTYYKVIARGPGSPLDFPDLLVHDLKAAENGLELPPEYMSKRCLTLKHDGRFRVCQVCHVWKPDRCHHCSSCDVCILKMDHHCPWFAECTGFRNQKFFIQFLMYTTLYAFLVLIYTCYELGTWFNSGSFNRELIDFHLLGVALLAVAVFISVLAFTCFSIYQVCKNQTTIEVHGMRRYRRDLEILNDSYGTNEHLENIFDLGSSMANWQDIMGTSWLEWILPIETFKYKKSKHTKDEKGLYFNVRPQVQDRLLSSRCLEDQLLRRVTPRPSLEADRASVEIIDAN", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLLCNRKVPKTLNTCFILHIFTLLTLGVLVSGMPSKMVSFASQETLQRINNLLRGSANRDVDIIAEYLKKDDDDDGGDKDHHNIDIDPLPRRPSLTPDRQLLKVGLHGAISSDLEVCSNLTINEVLLKFPGSNAADAAVTQALCKGMVNFFNSGIGGGGYVVFSGKDDEDHLSIDFREKAPMDSHKFMFENCSLCSKIGGLAVGVPGELMGLYRLFKERGSGQVDWRDLIEPVAKLGSVGWQIGEALGATLELYEDVFLTLKEDWSFVLNSTHDGVLKEGDWIKRPALSNMLMELAKNGSVAPFYDPDHWIAKSMIDTVAKYNGIMNLQDVSSYDVHVTKPLSMKIRKGANFIPDNDMTVLTSSGSSSGAALLAALRIMDNFQNQEGGDYEKETTYHLLESMKWMASARSRLGDFEGEALPKHIEEVLDPEWALKAVKSIKRNSQDGNFKTLENWTLYDPAYDINNPHGTAHFSIVDSHGNAVSLTTTINLLFGSLVHDPKTGVIFNNEMDDFAQFNKSNSFELAPSIYNFPEPGKRPLSSTAPTIVLSELGIPDLVVGASGGSRITTSVLQTIVRTYWYNMPILETIAYPRIHHQLLPDRIELESFPMIGKAVLSTLKEMGYTMKEVFPKSVVNAIRNVRGEWHAVSDYWRKRGISSVY", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFKNPNIRYHKLSSKSNDNDQESSHRCKHILLFIITLFLLIVGLYIANSLAYARFASTSTGPIAAPDVTKCGQPDLPPGTAPINCCPPIPAKIIDFELPPPSTTMRVRRAAHLVDDAYIAKFKKAVELMRALPEDDPRSFKQQANVHCAYCAGAYNQAGFTNLKLQIHRSWLFFPFHRYYIYFFERILGKLINDTTFALPFWNYDSPGGMTIPSMFIDTNSSLYDSLRDSNHQPPTIVDLNYAFSDSDNTTTPEEQMIINLKIVYRQMVSSAKTPQLFFGRPYRRGDQEFPGVGSIELVPHGMIHLWTGSENTPYGENMGAFYSTARDPIFFAHHSNVDRMWSIWKTLGGPRRTDLTDPDFLDASFVFYDENAEMVRVKVRDCLDEKKLGYVYQDVEIPWLNTRPTPKVSPSLLKKFHRTNTANPRQVFPAILDRVLKVIVTRPKKTRSRKEKDELEEILVIEGIELERDHGHVKFDVYINADEDDLAVISPENAEFAGSFVSLWHKPIKGKRTKTQLLTLSICDILEDLDADEDDYVLVTLVPRNAGDAIKIHNVKIELDG", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNDADASIQIQQMVRFIRQEAEEKANEISISSEEEFNIEKLQLVEAEKKKIRQEYEKKEKQVDVRKKIDYSMQLNASRIKVLQAQDDIVNAMKEEAAKQLLKVSQHGFFNHHHHQYKHLLKDLIVQCLLRLKEPAVLLRCREEDLDIVESMLDDASEEYCKKAKVHAPEIIVDKDIFLPPAPSDDDPHALSCAGGVVLASRDGKIVCENTLDARLEVAFRNKLPEIRKSLFGKVGAA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEAKEKQHLLDARPAIRSYTGSLWQEGAGWIPLPRPGLDLQAIELAAQSNHHCHAQKGPDSHCDPKKGKAQRQLYVASAICLLFMIGEVVEILGALVSVLSIWVVTGVLVYLAVERLISGDYEIDGGTMLITSGCAVAVNIIMGLTLHQSGHGHSHGTTNQQEENPSVRAAFIHVIGDFMQSMGVLVAAYILYFKPEYKYVDPICTFVFSILVLGTTLTILRDVILVLMEGTPKGVDFTAVRDLLLSVEGVEALHSLHIWALTVAQPVLSVHIAIAQNTDAQAVLKTASSRLQGKFHFHTVTIQIEDYSEDMKDCQACQGPSD", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEAKEKQHLLDARPAIRSYTGSLWQEGAGWIPLPRPGLDLQAIELAAQSNHHCHAQKGPDSHCDPKKGKAQRQLYVASAICLLFMIGEVVGGYLAHSLAVMTDAAHLLTDFASMLISLFSLWMSSRPATKTMNFGWQRAEILGALVSVLSIWVVTGVLVYLAVERLISGDYEIDGGTMLITSGCAVAVNIIMGLTLHQSGHGHSHGTTNQQEENPSVRAAFIHVIGDFMQSMGVLVAAYILYFKPEYKYVDPICTFVFSILVLGTTLTILRDVILVLMEGTPKGVDFTAVRDLLLSVEGVEALHSLHIWALTVAQPVLSVHIAIAQNTDAQAVLKTASSRLQGKFHFHTVTIQIEDYSEDMKDCQACQGPSD", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVSKDQTSFNKRWTLGLLMLGLVIILWVLSSFLINLIFEDDSYRKPFFITYTNTAAFIFYLFPTAKAVVVNYKDTGRANVHRELIMEEEGTGSDSNRSVDMTSPLLTNLEAGTHANQKKRLTLYETIKLSAEFCILWFTANLVTNASLAFTSVASQTILSTTSSFFTLFIGAICHVESLSKSKVLGSFISFVGIIMVTKSDSHQRYQRHIADVSGDDNDAVQVLIGNLLALAGAVLYGVYSTLLKREVGDETRVNMKIFFGFVGLFNLLFLWPSLIVLDFFGWEPFSLPKDPKVVVIIFVNCLITFVSDFCWAKAMLLTSPLTVTVGLSITIPLAMFGDVIFKHKTMSALYLFGATLILGSFFIINKSSEEEHFENSITASNYESVEVPAANN", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPLQLFGRDQIVVHYDNGNMSNDDQNHQSVLGSWTRRAAAALRTLMNKRIQRITLTHWLLLVIWVTSLWKFTSHYRQLYANSAVFATLCTNILLFGISDILAQSIACFYSYHVDPIPQILNDTFHHVQNNRDVENGGGYESDELSIFNDFTSEHSSYTDNDDYPELDRPLATFKTDTFDFFRWGCFMFWGFFISFFQAPWYKFLNFFYTEDPTVVQVFERVLSDQLLYSPISLYCFFMFSNYVMEGGDKDTLGKKIQRLYISTLGCNYLVWPMVQFINFLIMPRDFQAPFSSSVGVVWNCFLSMRNASK", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKFSHSLQFNSVPEWSTKYLAYSQLKKLIYSLQKDKLYSNNKHHVVEPHDANDENLPLLADASPDDQFYISKFVAALNQELKKIDKFYISQETGLIANYNELKDDVMELENTNKATQLFNQQQQHQLQSVARNRKSKSQQRQRRFSSVSSTDSNPSLTDMSIDSAPVIHTQVSNTTNNGNSMQNLASASVSLSNSNPVYLSPFTQHRLSLKKRLISIYTQLSELKDFIELNQTGFSKICKKFDKSLNTNLKQNYLNYIKFHSHVFNPATINRIQHHITETILTYASLNKGTRRPSNTFNLDADRINNDENSSGNEEDEDGNRQEVLDFQDAERELSSHLRDHVVWERNTVWKDMMNLERKYQSAKTDNKKFSKLSSSQLRPNANITESMAMSSGGAGIIAPSTDSLTFRELMHLPPKQWLQFIMGQTSLLKFLLITSCFIALLTFNLTPFTQDSLQKNCFAILIYASLLWATETIPLFVTSLMIPLLIVVFPVIKDPITSQPMSPRDSSQFILSTMWSSVIMLLLGGFTLAAALSKYNIAKVLSTHILASAGTNPHFILLTNMFVALFVSMWVSNVAAPVLCYSIVQPLLRTLPRNCSYAKALILGIALASNIGGMSSPIASPQNIFSIGIMDPSPSWAEWFMIALPVCFICVMAIWVLLIITFPPEPNVKILQLHPSRDPFTLKQWFVTLVCIITIVLWCLSNQISGIFGEMGIISIIPIVVFFGTGLLTSDDFNNFMWTIVVLAMGGTTLGKAVSSSGLLSTMAQLIKAQVEHEPIFIIVLIFGLVILVMATFVSHTVAAMIIVPLMSEIGSNLPSGDHSRLLIVIAALLCSSAMGLPTSGFPNVTAISMIDEVGDRYLTVGTFITRGVPASLLSYAAIVTVGYGILKVMGF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAPMDRTHGGRAARALRRALALASLAGLLLSGLAGALPTLGPGWRRQNPEPPASRTRSLLLDAASGQLRLEYGFHPDAVAWANLTNAIRETGWAYLDLGTNGSYNDSLQAYAAGVVEASVSEELIYMHWMNTVVNYCGPFEYEVGYCEKLKSFLEANLEWMQREMELSPDSPYWHQVRLTLLQLKGLEDSYEGRLTFPTGRFNIKPLGFLLLQISGDLEDLEPALNKTNTKPSVGSGSCSALIKLLPGSHDLLVAHNTWNSYQNMLRIIKKYRLQFREGPQEEYPLIAGNNLIFSSYPGTIFSGDDFYILGSGLVTLETTIGNKNPALWKYVQPQGCVLEWIRNIVANRLALDGATWADVFRRFNSGTYNNQWMIVDYKAFIPNGPSPGSRVLTILEQIPGMVVVADKTAELYKTTYWASYNIPYFESVFNASGLQALVAQYGDWFSYTRNPRAKIFQRDQSLVEDVDTMVRLMRYNDFLHDPLSLCEACSPKPNAENAISARSDLNPANGSYPFQALRQRAHGGIDVKVTSVALAKYMSMLAASGPTWDQLPPFQWSKSPFHNMLHMGQPDLWMFSPVKVPWD", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTSRYWVVSLPVKDSASSLWNRLQEQISKHSFDTPVYRFNIPNLRVGTLDSLLALGDDLLKSNSFVEGVSQKIRRQIEELERISGVESNALTVDGVPVDSYLTRFVWDEAKYPTMSPLKEVVDNIQSQVAKIEDDLKVRVAEYNNIRGQLNAINRKQSGSLAVRDLSNLVKPEDIVESEHLVTLLAVVPKYSQKDWLACYETLTDYVVPRSSKKLFEDNEYALYTVTLFTRVADNFRIAAREKGFQVRDFEQSVEAQETRKQELAKLVQDQESLRSSLLQWCYTSYGEVFSSWMHFCAVRTFAESIMRYGLPPAFLACVLSPAVKSEKKVRSILERLCDSTNSLYWKSEEDAGAMAGLAGDSETHPYVSFTINLA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASSSCLWLLALAFLLGSCASLALGHLDPPAPLPLVIWHGMGDSCCNPLSMGAIKKMVEKKIPGIHVLSLEIGKTLREDVENSFFLNVNSQVTTVCQILAKDPKLQQGYNAMGFSQGGQFLRAVAQRCPSPPMVNLISVGGQHQGVFGLPRCPGESSHICDFIRKTLNAGAYNKAIQERLVQAEYWHDPIREDIYRNHSIFLADINQERGVNESYKKNLMALKKFVMVKFLNDTIVDPVDSEWFGFYRSGQAKETIPLQESTLYTQDRLGLKAMDKAGQLVFLALEGDHLQLSEEWFYAHIIPFLE", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKFGEHLSKSLIRQYSYYYISYDDLKTELEDNLSKNNGQWTQELETDFLESLEIELDKVYTFCKVKHSEVFRRVKEVQEQVQHTVRLLDSNNPPTQLDFEILEEELSDIIADVHDLAKFSRLNYTGFQKIIKKHDKKTGFILKPVFQVRLDSKPFFKENYDELVVKISQLYDIARTSGRPIKGDSSAGGKQQNFVRQTTKYWVHPDNITELKLIILKHLPVLVFNTNKEFEREDSAITSIYFDNENLDLYYGRLRKDEGAEAHRLRWYGGMSTDTIFVERKTHREDWTGEKSVKARFALKERHVNDFLKGKYTVDQVFAKMRKEGKKPMNEIENLEALASEIQYVMLKKKLRPVVRSFYNRTAFQLPGDARVRISLDTELTMVREDNFDGVDRTHKNWRRTDIGVDWPFKQLDDKDICRFPYAVLEVKLQTQLGQEPPEWVRELVGSHLVEPVPKFSKFIHGVATLLNDKVDSIPFWLPQMDVDIRKPPLPTNIEITRPGRSDNEDNDFDEDDEDDAALVAAMTNAPGNSLDIEESVGYGATSAPTSNTNHVVESANAAYYQRKIRNAENPISKKYYEIVAFFDHYFNGDQISKIPKGTTFDTQIRAPPGKTICVPVRVEPKVYFATERTYLSWLSISILLGGVSTTLLTYGSPTAMIGSIGFFITSLAVLIRTVMVYAKRVVNIRLKRAVDYEDKIGPGMVSVFLILSILFSFFCNLVAK", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATALYTANDFILISLPQNAQPVTAPGSKTDSWFNETLIGGRAFVSDFKIPEFKIGSLDTLIVESEELSKVDNQIGASIGKIIEILQGLNETSTNAYRTLPINNMPVPEYLENFQWQTRKFKLDKSIKDLITLISNESSQLDADVRATYANYNSAKTNLAAAERKKTGDLSVRSLHDIVKPEDFVLNSEHLTTVLVAVPKSLKSDFEKSYETLSKNVVPASASVIAEDAEYVLFNVHLFKKNVQEFTTAAREKKFIPREFNYSEELIDQLKKEHDSAASLEQSLRVQLVRLAKTAYVDVFINWFHIKALRVYVESVLRYGLPPHFNIKIIAVPPKNLSKCKSELIDAFGFLGGNAFMKDKKGKINKQDTSLHQYASLVDTEYEPFVMYIINL", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSAKTILSSVVLVVLVAASAAANIGFDESNPIRMVSDGLREVEESVSQILGQSRHVLSFARFTHRYGKKYQNVEEMKLRFSIFKENLDLIRSTNKKGLSYKLGVNQFADLTWQEFQRTKLGAAQNCSATLKGSHKVTEAALPETKDWREDGIVSPVKDQGGCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNYGCNGGLPSQAFEYIKSNGGLDTEKAYPYTGKDETCKFSAENVGVQVLNSVNITLGAEDELKHAVGLVRPVSIAFEVIHSFRLYKSGVYTDSHCGSTPMDVNHAVLAVGYGVEDGVPYWLIKNSWGADWGDKGYFKMEMGKNMCGIATCASYPVVA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPPQKENHRTLNKMKTNLFLFLIFSLLLSLSSAEQCGRQAGGALCPNGLCCSEFGWCGNTEPYCKQPGCQSQCTPGGTPPGPTGDLSGIISSSQFDDMLKHRNDAACPARGFYTYNAFITAAKSFPGFGTTGDTATRKKEVAAFFGQTSHETTGGWATAPDGPYSWGYCFKQEQNPASDYCEPSATWPCASGKRYYGRGPMQLSWNYNYGLCGRAIGVDLLNNPDLVANDAVIAFKAAIWFWMTAQPPKPSCHAVIAGQWQPSDADRAAGRLPGYGVITNIINGGLECGRGQDGRVADRIGFYQRYCNIFGVNPGGNLDCYNQRSFVNGLLEAAI", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MIFNLPVSVLLYFSLIWAMEPSFVRGKNVVNLITFKDSNGKLHKRLAPEEIPPRLHNSQVNSYPLGYKGMRDFSRPAVNLDDILGTQQRKQQEFLAELSPLSLESKLSLVNEVQIFASYVRNDVETYNKVSDPNEDLIIIAPTNRAVSQLTLKPWQFPNNIDKLESDGATEKELDTAIQENISKFVRSHIVVYNDDKNSYKKVSPGCTLLQSIDFTESKKSDSETGGDILLKKEGEVYYVASSRDEKFHAVESIENGSNGVILMVDFTLVGP", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAQIPSLENAPLNLKSIRDKSERELVNLLKDVRGTKCLVIDPKLSGSVSLIIPTSKLKELGLELRHLTAEPVQTECTKVVYLVRSQLSFMKFIASHIQNDIAKAIQRDYYVYFVPRRSVACEKILEQEKVHNLVTVKEFPLYMVPLDEDVISFELELSEKDCLVDGDVSSLWHIAKAIHELEFSFGVISKMRAKGKASVRVADILNRMQVEEPVNSNDVGRPEVDTLILLDREVDMVTPMCSQLTYEGLIDEILHISNGAVEVDSSVMGAQQEGKKMKVPLNSSDKLFKETRDLNFEVVVQVLRQKAMTMKEDYTEINSTQTVSELKDFVKKLNSLPEMTRHIHLAQHLTTFTSKQSFNSQLDMEQTLVEAENYDICYEYIEEMIHKQEPLTNVLRLLVLFSVTNSGLPKKQFDYIRMELLHSYGFEHVVTLNNLEKAGLLKKQEFKSNWLTVKRTLKLIVEDTDTSRPNDIAYVYSGYAPLSIRLIQQAIHSGWRPMEDILKLLPGPHLETKRSGFPSSPSVDSLHGASNGVADGRRSIVLVVFIGGVTFAEISALRYLASKEGMAYDLIVATTKIVNGATLIETFMEKLG", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MITANKGLSLVLLIPNLFALVSGGLQYVFDVRRRIFRPHFSQFWTIWMKFFSIALVIITQIYVGYKTKNIGWNFFSVVTYCFVLFLQFAEQSTLRVPMASLLIFWLLKVVTSLLILLFSPYIAITSMARLLTLITLFCSLVCFISEVYVPPCNRVWYSDDTNEVEEKGIRPSEVRYANIFSKLSFSWISSFIKFGYTNYLKESDVWLLPPDERSGNLIIGFEDWWIYHSKNKRRSLFLWKLLFFNHWKLVALITITKLIQDVLAFVQPTLIQKTILFISSYTSPNPESPSRGFIIAILVLVANFLQTLLLQQYNQLIMLLGMRWKTELLASIYRKSLLLSSSARQNRSIGDIINYMAVDTQKISDLPIYLFIIVSGPFQIALALSNLYHLMGYSAFTGVAASVILFPCNIIVANVYKKFQSILMKNKDSRSKLMTEIINNIRSIKLYAWETPFLQKLLHIRNTKELSMLKKIGFITAIGDFAWIFTTIIVTTVAFGAFIIFHGKTQALTADIVFPAVSLFNLLQFPLAMLPTVISSLLEASVSVSRIYEFLIAQELDYNGVQRFPATEIPHEICLEIKSGTFSWSKKTLKQQVTPTLRQINFVAKNGELTCIFGKVGAGKSSLLEACMGNMYKNSGSVFQCGSLAYAAQQPWIFDATIRENILFGSEFDPELYEKTIHACCLKRDFEIFTEGDQTEVGQKGASLSGGQKSRISLARAIYSQADIYLLDDVLSSVDQHVSRDLIKNLFGPEGFLRTHCVVLTTNSLNVLKEADSIYILSNGKIVEKGNYEHLFVSTNSELKQQLSEFNDEKDTQPLPEHTTSYPSTQISLAPSIHVEGLETYSSSERKDSSNKYKSRKRNPIRQKVTEDDKGKCVAQTDELVQRGKVKWHVYWMYFKSCSIGLILLYFFFIISGIMMNVATNVWLKHWSEENGKSSSELNPSPYFYLGIYLFFGFLSCAFISSSSLTMTVLCGIRSGRYLHDSMLKTILRAPMGFFETTSSGRILNRFSNDVYKVDEVVSLTFMFFFRNSIQVLFILGVICYSAPLSLLLIVPLFFLYLYNRAYYVRTSRELKRLDNVTRSPLYAHVQESLSGLSTIRAYGMQETFVEENDLRIDTNHRVWFMFFSSSRWQAIRVECIGDLIIFCTAFYGILSAIKGSPNPGLVGFSLSYAIQITQGLSFIVQQSVDAENNTVSVERILEYINVKSEAPEIIPENRPPCEWPTDGAVSFNHYSAKYREDLSFALNNINIEISPREKIGIVGRTGAGKSTLAMALFRIIEPTEGKIEIDNEDITKFGLYDLRSRLSIIPQESQIFEGNIRENLDPNHRLTDKKIWEVLEIASLKNCISQLEDGLYSRVAEGGANFSSGQRQLICLARVLLTSTRILLLDEATASVHAETDAIVQQTIRKRFKDRTILTVAHRINTVMDSDRILVLDHGKVVEFDATKKLLENKDSMFYSLAKESGLI", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGSRWSSEEERQPLLGPGLGPGLGASWRSREAAAAALPAAVPGPGRVYGRRWLVLLLFSLLAFVQGLVWNTWGPIQNSARQAYGFSSWDIALLVLWGPIGFLPCFAFMWLLDKRGLRITVLLTSFLMVLGTGLRCIPISDLILKRRLIHGGQMLNGLAGPTVMNAAPFLSTTWFSADERATATAIASMLSYLGGACAFLVGPLVVPAPNGTSPLLAAESSRAHIKDRIEAVLYAEFGVVCLIFSATLAYFPPRPPLPPSVAAASQRLSYRRSVCRLLSNFRFLMIALAYAIPLGVFAGWSGVLDLILTPAHVSQVDAGWIGFWSIVGGCVVGIAMARFADFIRGMLKLILLLLFSGATLSSTWFTLTCLNSITHLPLTTVTLYASCILLGVFLNSSVPIFFELFVETVYPVPEGITCGVVTFLSNMFMGVLLFFLTFYHTELSWFNWCLPGSCLLSLLLILCFRESYDRLYLDVVVSV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSEDIIYDPQFKPVQGIYENRLRQFIDTGGDYHDLNLPKFYDKKRISLDHDHVKVWWYQVSFERGSSPVSPDKRPSWKSIIERDKKGELEFREANINQPFGPSWSTTWFKVKISLPEDWVKSNEQLLFQWDCSNEGIVIDPKTLIPVTAFSGGERTEYVLPKTSDGKHFFYIEAGNNGMFGCGAGSTINPPDDNRFFHLRKADIVWPDLDARALYIDFWMLGDAARELPGDSWQKHQARQLGNAVMNLFDPNDRSSVRKCRELLQREYFDSFLESSKVYEQGESQVLTNVYGIGNCHIDTAWLWPFAETRRKIVRSWSSQCTLMDRFPEYKFVASQAQQFKWLLEDHPEFFNKVLIPKIQQSQFFAVGGTWVENDTNIPSGESLARQFFFGQRFFLKHFGLKSKIFWLPDTFGYSSQMPQLCRLSGIDKFLTQKLSWNNINSFPHSTFNWAGIDGSQLLTHMPPGNTYTADSHFGDVLRTAKQNKTPEYYGSGLMLYGKGDGGGGPTEEMLQKMRRIRSMNNRNGNVIPKLQVGITVDEFYDDILKRTNQGHDLPTWSGELYFEFHRGTYTSQAQTKKLMRLSEIKLHDLEWIAAKTSVLYPDSYKYPSKQINELWENVLLCQFHDVLPGSCIEMVYKYEAVPMLHNVVKECTSLIDKTVQFLQSQSKADLVEMRTLTWSKPEKVSEECSLNGSYTSSVTGYDDYIVLANGKLKVIICKKTGVITSITDETLGVEYLDTEHGRNKLGANQFVIYDDKPLGWQAWDTELYSVNQYKYVTKPKKVQVSCNTKEKCAVEVIFQISEKCKIKSVISLNATAVTDAKLSKVDISTTVENWDARNKFLKVEFPVNIRNDFASYETQFGITKRPTHYNTSWDVAKFEVCHHKFADYSEYSKGVSILNDCKYGFSTHGNLMRLSLLRSPKAPDAHADMGTHEIKYAIYPHRGALSSDTVKLAHEFNYCFKYKLPKDIGMNFDDIISISGDENVILSNIKRGEDDSAVKSNYSLNPRDEQSIVVRVYESLGGESFASLNTTLNLKRIEKVDNLEMKVYKSLTATRDESNHAINRIPIKLRPFEIASFRLYF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRLRLQVLVALLTCSSISVSLAYKPVVILHGILSGAESMASLVREIEEFHPGTIVYNCDKFNGWYSLENAWRQVDQVRDYLNEVGKLHPEGIIVLGYSQGGLLARAAIQSLPEHNVKTFISLSSPQAGQYGTSFLHLIFPDLAAKTAFELFYSRVGQHTSVGGYWNDPQRQDLYLKYSEFLPLINNEKKTSNSTSFKMGMVRLNKLVMIGGPNDDVITPWQSSHFGYFDENMDVIPFIRRPIFTSDSIGIRTLQEAGKLIIVVKPHVHHLAWHTRRDVIHEVIFPYLD", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRLREFTALSSLLFSLLLLSASAEQCGSQAGGARCASGLCCSKFGWCGNTNDYCGPGNCQSQCPGGPTPPGGGDLGSIISSSMFDQMLKHRNDNACQGKGFYSYNAFINAARSFPGFGTSGDTTARKREIAAFFAQTSHETTGGWATAPDGPYAWGYCWLREQGSPGDYCTPSGQWPCAPGRKYFGRGPIQISHNYNYGPCGRAIGVDLLNNPDLVATDPVISFKSALWFWMTPQSPKPSCHDVIIGRWQPSSADRAANRLPGFGVITNIINGGLECGRGTDSRVQDRIGFYRRYCSILGVSPGDNLDCGNQRSFGNGLLVDTM", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKKIELGHHSEAAKPDCIKALIVEFITTFLFVFAGVGSAMATDSLVGNTLVGLFAVAVAHAFVVAVMISAGHISGGHLNPAVTLGLLLGGHISVFRAFLYWIDQLLASSAACFLLSYLTGGMGTPVHTLASGVSYTQGIIWEIILTFSLLFTVYATIVDPKKGSLDGFGPLLTGFVVGANILAGGAFSGASMNPARSFGPALVSGNWTDHWVYWVGPLIGGGLAGFIYENVLIDRPHVPVADDEQPLLN", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGWTMRLVTAALLLGLMMVVTGDEDENSPCAHEALLDEDTLFCQGLEVFYPELGNIGCKVVPDCNNYRQKITSWMEPIVKFPGAVDGATYILVMVDPDAPSRAEPRQRFWRHWLVTDIKGADLKKGKIQGQELSAYQAPSPPAHSGFHRYQFFVYLQEGKVISLLPKENKTRGSWKMDRFLNRFHLGEPEASTQFMTQNYQDSPTLQAPRERASEPKHKNQAEIAAC", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVSANGDLHLPISNEQCMPENNGSLGFEAPTPRQILRVTLNLKYLIDKVVPIVYDPNDIVCDHSEILSPKVVKLAYEACGGNPKDKANKRKYQSVIIFSLLKVCEWYSILATMEVHNAKLYETRNLASQQLCKLLIEREETRDLQFLFMQLLLRRYVINENDEDQEPLNALELATDMHCTTVIGSSGFQRCLKWIWRGWIVQNGLDPTTFIKDDSLAEVSLISHFNPVRLKAPVYQNYLQMIFSFLFLGLYTLVVNGKDSERVQSFDLLESIFYVFNTGFILDELTKLYYIGYAHLSFWNLFNDTTYLIITFAMGFRAMSVTPLNAKYSSEDWDKISYRVLSCAAPFVWSRLLLYLESQRFIGIMLVILKHMMKESIVFFFLLFLIMIGFTQGFLGLDSADGKRDITGPILGNLTITVLGLGSFDVFEEFAPPYAAILYYGYYFIVSVILLNILIALYSTAYQKVIDNADDEYMALMSQKTLRYIRAPDEDVYVSPLNLIEVFMTPIFRILPPKRAKDLSYTVMTIVYSPFLLLISVKETREARRIKYNRMKRLNDDANEYDTPWDLTDGYLDDDDGLFSDNRNSGMRATQLKNSRSLKLQRTAEQEDVHFKVPKKWYKNVKKCSPSFEQYDNDDTEDDAGEDKDEVKELTKKVENLTAVITDLLEKLDIKDKKE", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSGYSPLSSGPADVHIGKAGFFSSVINLANTILGAGILSLPNAFTKTGLLFGCLTIVFSAFASFLGLYFVSQCAARLPRGKASFAAVAKHTFPSLAVVFDASIAVKCFGVAVSYLVIVGDLMPQIAPSLGLSSPMFLRRQTWIVFALFVLTPLSFLKRLDSLRHTSVISLIALCYLVFIVLYHFIIGDTVKGEIRYFVPESGFGYLSVLPVFVFGFTCHQNAFSVINEVRNFSQGFVNFTMFTAIISSTLLYLLVAITGYLSFGSLASGNIIAMYDNTSIWIIGGKLAIVVLVLFSYPLQCHPCRNSVYQAIRRSYSAHDMSDGYHAVITLCILLFTHSLALLLSSLEMVLAFVGSTGSTFISFILPGSLYYFFSHKVASPGNSSPLQLRISRAFAAGLAIYGTVVMILCLNINIAKLSH", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVETSIDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGSTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKTENLIQEDHGEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVMQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDSTS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGFEPLDWYCKPVPNGVWTKTVDYAFGAYTPCAIDSFVLGISHLVLLILCLYRLWLITKDHKVDKFCLRSKWFSYFLALLAAYATAEPLFRLVMRISVLDLDGAGFPPYEAFMLVLEAFAWGSALVMTVVETKTYIHELRWYVRFAVIYALVGDMVLLNLVLSVKEYYGSFKLYLYISEVAVQVAFGTLLFVYFPNLDPYPGYTPVGTENSEDYEYEELPGGENICPERHANLFDSIFFSWLNPLMTLGSKRPLTEKDVWHLDTWDKTETLMRSFQKSWDKELEKPKPWLLRALNNSLGGRFWWGGFWKIGNDCSQFVGPLLLNELLKSMQLNEPAWIGYIYAISIFVGVVLGVLCEAQYFQNVMRVGYRLRSALIAAVFRKSLRLTNEGRKKFQTGKITNLMTTDAESLQQICQSLHTMWSAPFRIIVALVLLYQQLGVASIIGALFLVLMFPIQTVIISKTQKLTKEGLQRTDKRIGLMNEVLAAMDTVKCYAWENSFQSKVQTVRDDELSWFRKAQLLSAFNMFILNSIPVLVTVVSFGVFSLLGGDLTPARAFTSLSLFSVLRFPLFMLPNIITQMVNANVSLNRLEEVLSTEERVLLPNPPIEPGQPAISIRNGYFSWDSKADRPTLSNINLDIPLGSLVAVVGSTGEGKTSLISAMLGELPARSDATVTLRGSVAYVPQVSWIFNATVRDNILFGAPFDQEKYERVIDVTALQHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVCILDDPLSALDAHVGQQVFEKCIKRELGQTTRVLVTNQLHFLSQVDKILLVHEGTVKEEGTYEELCHSGPLFQRLMENAGKVEDYSEENGEAEVDQTSVKPVENGNANNLQKDGIETKNSKEGNSVLVKREERETGVVSWKVLERYQNALGGAWVVMMLVICYVLTQVFRVSSSTWLSEWTDSGTPKTHGPLFYNIVYALLSFGQVSVTLINSYWLIMSSLYAAKKMHDAMLGSILRAPMVFFQTNPLGRIINRFAKDMGDIDRTVAVFVNMFMGSIAQLLSTVILIGIVSTLSLWAIMPLLVVFYGAYLYYQNTSREIKRMDSTTRSPVYAQFGEALNGLSSIRAYKAYDRMAEINGRSMDNNIRFTLVNMAANRWLGIRLEVLGGLMVWLTASLAVMQNGKAANQQAYASTMGLLLSYALSITSSLTAVLRLASLAENSLNSVERVGNYIEIPSEAPLVIENNRPPPGWPSSGSIKFEDVVLRYRPELPPVLHGVSFLISPMDKVGIVGRTGAGKSSLLNALFRIVELEKGRILIDECDIGRFGLMDLRKVLGIIPQAPVLFSGTVRFNLDPFSEHNDADLWESLERAHLKDTIRRNPLGLDAEVTEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDVLIQKTIREEFKSCTMLIIAHRLNTIIDCDKVLVLDSGKVQEFSSPENLLSNGESSFSKMVQSTGTANAEYLRSITLENKRTREANGDDSQPLEGQRKWQASSRWAAAAQFALAVSLTSSHNDLQSLEIEDDNSILKKTKDAVVTLRSVLEGKHDKEIEDSLNQSDISRERWWPSLYKMVEGLAVMSRLARNRMQHPDYNLEGKSFDWDNVEM", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSNVTLSDFLLIVLSFFVPFIVVGIRRGFCTADFLINICLCALGIPGIIHAIYIVIKYPRTVRLDIENSPNDPLVRYTPNPEHAVSPHSGPAPPSYSSLASNDNKHQSP", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPINRIALGSHQEVYHPGALKAAFAEFISTLIFVFAGQGSGMAFSKLTGGGPTTPAGLIAAAVAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLFRGLLYWVAQLLGSTVACFLLRFSTGGQATGTFGLTGVSVWEALVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWEWGYQWVYWVGPLIGGGLAGVIYELLFISHTHEQLPSTDY", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTHSLKALFALLFLYTAAVNAGVIGIFNALPPPNTKPINGESPLYQCDILDKQLVEIKEVNLDPNPPVRGENLTISANGEVFETIEEGAYIDVEVRLGYIRLLSQTFDLCETLEDNDIEGLSCPIEPGEYNIKKIVEIPGEVPPGKYVVVARAYTEKDDLITCLTGEVIFPPR", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGTNDLDIEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGSTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKTVDLLEDHGEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVMQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDSTS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MARCCFYTAGTLSLLLLVTSVTLLVARVFQKAVDQTIEKNMVLQNGTKVFDSWEKPPLPVYIQFYFFNVTNPEEILQGEIPLLEEVGPYTYRELRNKANVQFGENGTTISAVTNKAYIFERNQSVGDPTVDLIRTINIPLLTVVEMAQQPFLREIIEAMLKAYQQTLFVTHTVHELLWGYKDEVLSLVHIFRPDVSPNFGLFYERNGTNDGEYVFLTGEDNYLNFTKIVEWNGKTSLDWWTTDTCNMINGTDGDSFHPLISKDETLYIFPSDFCRSVYITFSSFENVEGLPAFRYKVPAEILANSSENAGFCIPEGNCMDAGVLNVSICKNGAPIIMSFPHFYQADEKFVSAIKGMRPNKEEHESFVDINPLTGIILRGAKRFQINTYVKKLDDFVETGNIRTMVFPVMYLNESVLIDKETASQLKSVINTTLIVTNIPYIIMALGVFFGLIFTWLACRGQGSTDEGTADERAPLIRT", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGFFLFSQMPSFFLVSTLLLFLIISHSSHAQNSQQDYLDAHNTARADVGVEPLTWDNGVAAYAQNYVSQLAADCNLVHSHGQYGENLAQGSGDFMTAAKAVEMWVDEKQYYDHDSNTCAQGQVCGHYTQVVWRNSVRVGCARVKCNNGGYVVSCNYDPPGNVIGQSPY", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MARDAELARSSGWPWRWLPALLLLQLLRWRCALCALPFTSSRHPGFADLLSEQQLLEVQDLTLSLLQGGGLGPLSLLPPDLPDLEPECRELLMDFANSSAELTACMVRSARPVRLCQTCYPLFQQVAIKMDNISRNIGNTSEGPRCGGSLLTADRMQIVLMVSEFFNSTWQEANCANCLTNNGEDLSNNTEDFLSLFNKTLACFEHNLQGHTYSLLPPKNYSEVCRNCKEAYKNLSLLYSQMQKLNGLENKAEPETHLCIDVEDAMNITRKLWSRTFNCSVTCSDTVSVVAVSVFILFLPVVFYLSSFLHSEQKKRKLILPKRLKSSTSFANIQENAT", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSSEEDKITRISIEPEKQTLLDHHTEKHFTAGEIVRDIIIGVSDGLTVPFALAAGLSGANASSSIVLTAGIAEVAAGAISMGLGGYLAAKSEEDHYAREMKREQEEIVAVPETEAAEVAEILAQYGIEPHEYSPVVNALRKNPQAWLDFMMRFELGLEKPDPKRALQSAFTIAIAYVLGGFIPLLPYMLIPHAMDAVVASVVITLFALFIFGYAKGHFTGSKPLRSAFETAFIGAIASAAAFCLAKVVQH", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEATSSALSSTANLVKTIVGAGTLAIPYSFKSDGVLVGVILTLLAAVTSGLGLFVLSKCSKTLINPRNSSFFTLCMLTYPTLAPIFDLAMIVQCFGVGLSYLVLIGDLFPGLFGGERNYWIIASAVIIIPLCLVKKLDQLKYSSILGLFALAYISILVFSHFVFELGKGELTNILRNDICWWKIHDFKGLLSTFSIIIFAFTGSMNLFPMINELKDNSMENITFVINNSISLSTALFLIVGLSGYLTFGNETLGNLMLNYDPNSIWIVIGKFCLGSMLILSFPLLFHPLRIAVNNVIIWIEITYGGANPEEDPQVSEYTRASNLRPISMTVEDPAQPSDALDATSYNEQECLLPNGNFDNGSIESQENNNDERGTMAVAGDNEHHAPFVKSRFYWITALLLISMYTLALSVQSFALVLSFVGATGSTSISFTLPGLLGYKLIGLDSLAIGKMIPPKDRFYKRCSLLLVFYGLSVMFLSLYVTVFNRSDEA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLCFLRGMAFVPFLLVTWSSAAFIISYVVAVLSGHVNPFLPYISDTGTTPPESGIFGFMINFSAFLGAATMYTRYKIVQKQNQTCYFSTPVFNLVSLVLGLVGCFGMGIVANFQELAVPVVHDGGALLAFVCGVVYTLLQSIISYKSCPQWNSLSTCHIRMVISAVSCAAVIPMIVCASLISITKLEWNPREKDYVYHVVSAICEWTVAFGFIFYFLTFIQDFQSVTLRISTEINGDI", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MALSLLLAVVCAKPLVSRAELRRIQALNPPWKAGMPKRFENVTEDEFRSMLIRPDRLRARSGSLPPISITEVQELVDPIPPQFDFRDEYPQCVKPALDQGSCGSCWAFSAIGVFGDRRCAMGIDKEAVSYSQQHLISCSLENFGCDGGDFQPTWSFLTFTGATTAECVKYVDYGHTVASPCPAVCDDGSPIQLYKAHGYGQVSKSVPAIMGMLVAGGPLQTMIVVYADLSYYESGVYKHTYGTINLGFHALEIVGYGTTDDGTDYWIIKNSWGPDWGENGYFRIVRGVNECRIEDEIYAVYLD", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGRCCFYTAGTLSLLLLVTSVTLLVARVFQKAVDQSIEKKIVLRNGTEAFDSWEKPPLPVYTQFYFFNVTNPEEILRGETPRVEEVGPYTYRELRNKANIQFGDNGTTISAVSNKAYVFERDQSVGDPKIDLIRTLNIPVLTVIEWSQVHFLREIIEAMLKAYQQKLFVTHTVDELLWGYKDEILSLIHVFRPDISPYFGLFYEKNGTNDGDYVFLTGEDSYLNFTKIVEWNGKTSLDWWITDKCNMINGTDGDSFHPLITKDEVLYVFPSDFCRSVYITFSDYESVQGLPAFRYKVPAEILANTSDNAGFCIPEGNCLGSGVLNVSICKNGAPIIMSFPHFYQADERFVSAIEGMHPNQEDHETFVDINPLTGIILKAAKRFQINIYVKKLDDFVETGDIRTMVFPVMYLNESVHIDKETASRLKSMINTTLIITNIPYIIMALGVFFGLVFTWLACKGQGSMDEGTADERAPLIRT", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKLFLLAAAAFSAPALTVSELNHIKSLNPRWKAGIPKRFEGLTKDEISSLLMPVSFLKRDRAAVPRGTVSATQAPDSFDFREEYPHCIPEVVDQGGCGSCWAFSSVASVGDRRCFAGLDKKAVKYSPQYVVSCDRGDMACDGGWLPSVWRFLTKTGTTTDECVPYQSGSTGARGTCPTKCADGSDLPHLYKATKAVDYGLDAPAIMKALATGGPLQTAFTVYSDFMYYESGVYQHTYGRVEGGHAVDMVGYGTDDDGVDYWIIKNSWGPDWGEDGYFRIIRMTNECGIEEQVIGGFFEN", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKLFLLAAAAFSAPALTVSELNHIKSLNPRWKAGIPKRFEGLTKDEISSLLMPVSFLKNAKGAAPRGTFTDKDDVPESFDFREEYPHCIPEVVDQGGCGSCWAFSSVATFGDRRCVAGLDKKPVKYSPQYVVSCDHGDMACNGGWLPNVWKFLTKTGTTTDECVPYKSGSTTLRGTCPTKCADGSSKVHLATATSYKDYGLDIPAMMKALSTSGPLQVAFLVHSDFMYYESGVYQHTYGYMEGGHAVEMVGYGTDDDGVDYWIIKNSWGPDWGEDGYFRMIRGINDCSIEEQAYAGFFDE", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKYNTGAGTVPEQLNVHLVPHSHDDVGWLKTVDQYYVGSENYIQEACVENVLDSVVMSLQRDPNRKFVFGEMAFFHRWWLEQTPETKELXXKLVKAGQLEFVNGGWCMHDEATTHYIDMIDHTTLGHRFLQEQFNKIPRAGWQIDPFGHSAVQGYLLGAELGFDSVHFARIDYQDREKRKGEKSLEVVWRGSKTFGSSAQIFANAFPGHYGPPNGFNFEVRNNFVPLQDDPRLFDTNVEERVQNFLDAALTQAKLTRTNHLMWTMGDDFQYQYAESWFKQMDKLLHHVNKDGRVNALYSTPSLYTEAKNAANQTWPLKIDDYFPYADGRNAYWTGFYTSRXXXXXXXXMLSGYYLATRHSGFFAGKKSTKYHAFDLADALGIAQHHDAVSGTAKQHTTNDYAKRLALGASKAEAVVSSSLACLTSKQSADQCSAPASAFSQCHLFNISYCPPTESSLPDDKSLVVVVYNPLGWSRNEIVRIPVNDANLVVKDSSGNKLEVQYVEMDDVTANLRSFYVKXXXXXXXXXXXXYWSLFKASVPPLGWSTYFISEATGKGTRNALTLSQKGETLNIGPGDLKMSFSSLTGQLKRMYNSKTGVDLPIQQNYLWYESSEGDFSDYQASGAYLFRPNGQPPPHTVSRSSVTRVTRGPLVDEVHQKFNSWISQVTRLYKDKDHAEIEFTIGPIPTDDGVGKEVITRMTSTMATNKEFYTDSNGRDFLKRVRDYREDWPLEVTQPVAGNYYPLNLGLYTKDEKSEFSVLVDRATGGASIKDGEVELMLHRRTLRDDGRGVGEPLDEQVCMNKEYTCEGLTVRGNYYLSIHKPAGGSRWRRTTGQEIYSPMLLAFTQENMENWKSSHSTKAYAMDPNYSLPPSVALITLEELDDGLVLLRLAHLYEPSEDAEYSTLTKVELKKLFATQKLEELREVSLSANQEKSEMKKMKWSVEGDNEQEPQAVRGGPVSNADFVVELGPMEIRTFLLQF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAILYALVARGTVVLSEFTATSTNASTIAKQILEKVPGDNDSNVSYSQDRYVFHVKRTDGLTVLCMAEETAGRRIPFAFLEDIHQRFVRTYGRAVHTALAYAMNEEFSRVLSQQIDYYSNDPNADRINRIKGEMNQVRGVMIENIDKVLDRGERLELLVDKTANMQGNTFRFRKQARRFRSNVWWRNCKLTVLLILLLLVIIYIAVAFLCHGPTLPSCI", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVTPKLHLDLSLTKKMKDHIHEHDHMVQICGEVSSGETSLVGIKKTCGEAPCGFSDAKTSSIEAQERAASMRKLLIAVLLCAIFIVVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWASGWKANPQQSYGFFRIEILGALVSIQMIWLLAGILVYEAIVRLNNGSGEVEGSLMFAVSAVGLLVNIAMAILLGHDHGHGHGHSHDNGHGHSHDHGHGIAATEHHHDSGHDESQLSDVLIEQKKQRNVNIQGAYLHVLGDSIQSVGVMIGGAIIWYKPEWKILDLICTLVFSVIVLGTTIGMLRNILEVLMESTPREIDPTMLEKGVCEIEEVVAVHELHIWAITVGKLLLACHVKIRPEAEADMVLDKIIDYIKREHNISHVTIQIERQ", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGRCCFYTAGTLSLLLLVTSVTLLVARVFQKAVDQTIEKNMVLQNGTKVFNSWEKPPLPVYIQFYFFNVTNPEEILQGEIPLLEEVGPYTYRELRNKANIQFGENGTTISAVTNKAYVFERNQSVGDPNVDLIRTINIPLLTVVDLAQLTLLRELIEAMLKAYQQKLFVIHTVHELLWGYKDEILSLVHIFKPDVSPNFGLFYERNGTNDGEYVFLTGEDNYLNFSKIVEWNGKTSLDWWTTDTCNMINGTDGDSFHPLISKDEVLYLFPSDLCRSVHITFSSFENVEGLPAFRYKVPAEILANTSENAGFCIPEGNCMDSGVLNISICKNGAPIIMSFPHFYQADEKFVSAIKGMHPNKEEHESFVDINPLTGIILRGAKRFQINTYVRKLDDFVETGDIRTMVFPVMYLNESVLIDKETANQLKSVINTTLVVTNIPYIIMALGVFFGLVFTWLACRGQGSMDEGTADERAPLIRT", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVASIRSGVLTLLHTACGAGILAMPYAFKPFGLIPGVIMIVLCGACAMQSLFIQARVAKYVPQGRASFSALTRLINPNLGIVFDLAIAIKCFGVGVSYMIVVGDLMPQIMSVWTRNAWLLNRNVQISLIMLFFVAPLSFLKKLNSLRYASMVAISSVAYLCVLVLLHYVAPSDEILRLKGRISYLLPPQSHDLNVLNTLPIFVFAYTCHHNMFSIINEQRSSRFEHVMKIPLIAISLALILYIAIGCAGYLTFGDNIIGNIIMLYPQAVSSTIGRIAIVLLVMLAFPLQCHPARASIHQILQHFAEENVSISATSADEPTVATESSPLIRDSSLDLNEVIEEESIYQPKETPLRGKSFIVITCSILVASYLVAISVSSLARVLAIVGATGSTSISFILPGLFGYKLIGTEHKTAVPLTTKIFKYTGLLLFIWGLIIMITCLTAALKLN", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTRLPLGSSSIDIAGPTTNWWDQINESVQWQDGIFYSLCASYALVSAVALIQLIRIELRVPEYGWTTQKVFHLMNFVVNGVRAIVFGFHKQVFLFHPKVLSLAILDLPGLLFFSTFTLLVLFWAEIYHQARSLPTDKLRISYISINGAIYFIQACIWVYLWSNDNSTVEFIGKIFIAVVSFIAALGFLLYGGRLFLMLRRFPIESKGRRKKLHEVGSVTAICFTCFLISCFVVVLSAFDPDASLDVLDHPVLNLIYYLLVEILPSALVLYILRKLPPKRVSAQYHPIS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPIRNIAIGRPDEATRPDALKAALAEFISTLIFVVAGSGSGMAFNKLTENGATTPSGLVAAAVAHAFGLFVAVSVGANISGGHVNPAVTFGAFIGGNITLLRGILYWIAQLLGSVVACLILKFATGGLAVPAFGLSAGVGVLNAFVFEIVMTFGLVYTVYATAIDPKNGSLGTIAPIAIGFIVGANILAGGAFSGASMNPAVAFGPAVVSWTWTNHWVYWAGPLVGGGIAGLIYEVFFINTTHEQLPTTDY", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MANVSKKVSWSGRDRDDEEGAPLLRRTGQPDEETPLLNGAGPGARQSHSALFRIGQMNNVELDDELLDPEVDPPHTFPKEIPHNEKLLSLKYESLDYDNSENQLFLEEERRINHTAFRTVEIKRWVICALIGILTGLVACFIDIVVENLAGLKYRVIKDNIDKFTEKGGLSFSLLLWATLNSAFVLVGSVIVAFIEPVAAGSGIPQIKCFLNGVKIPHVVRLKTLVIKVSGVILSVVGGLAVGKEGPMIHSGSVIAAGISQGRSTSLKRDFKIFEYFRRDTEKRDFVSAGAAAGVSAAFGAPVGGVLFSLEEGASFWNQFLTWRIFFASMISTFTLNFVLSIYHGNMWDLSSPGLINFGRFDSEKMAYTIHEIPVFIAMGVVGGILGAVFNALNYWLTMFRIRYIHRPCLQVIEAMLVAAVTATVAFVLIYSSRDCQPLQGSSMSYPLQLFCADGEYNSMAAAFFNTPEKSVVSLFHDPPGSYNPMTLGLFTLVYFFLACWTYGLTVSAGVFIPSLLIGAAWGRLFGISLSYLTGAAIWADPGKYALMGAAAQLGGIVRMTLSLTVIMMEATSNVTYGFPIMLVLMTAKIVGDVFIEGLYDMHIQLQSVPFLHWEAPVTSHSLTAREVMSTPVTCLRRREKVGIIVDVLSDTASNHNGFPVVEDVGDTQPARLQGLILRSQLIVLLKHKVFVERSNMGLVQRRLRLKDFRDAYPRFPPIQSIHVSQDERECTMDLSEFMNPSPYTVPQEASLPRVFKLFRALGLRHLVVVDNHNQVVGLVTRKDLARYRLGKGGLEELSLAQT", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFALGLPFLVLLVASVESHLGVLGPKNVSQKDAEFERTYVDEVNSELVNIYTFNHTVTRNRTEGVRVSVNVLNKQKGAPLLFVVRQKEAVVSFQVPLILRGMFQRKYLYQKVERTLCQPPTKNESEIQFFYVDVSTLSPVNTTYQLRVSRMDDFVLRTGEQFSFNTTAAQPQYFKYEFPEGVDSVIVKVTSNKAFPCSVISIQDVLCPVYDLDNNVAFIGMYQTMTKKAAITVQRKDFPSNSFYVVVVVKTEDQACGGSLPFYPFAEDEPVDQGHRQKTLSVLVSQAVTSEAYVSGMLFCLGIFLSFYLLTVLLACWENWRQKKKTLLVAIDRACPESGHPRVLADSFPGSSPYEGYNYGSFENVSGSTDGLVDSAGTGDLSYGYQGRSFEPVGTRPRVDSMSSVEEDDYDTLTDIDSDKNVIRTKQYLYVADLARKDKRVLRKKYQIYFWNIATIAVFYALPVVQLVITYQTVVNVTGNQDICYYNFLCAHPLGNLSAFNNILSNLGYILLGLLFLLIILQREINHNRALLRNDLCALECGIPKHFGLFYAMGTALMMEGLLSACYHVCPNYTNFQFDTSFMYMIAGLCMLKLYQKRHPDINASAYSAYACLAIVIFFSVLGVVFGKGNTAFWIVFSIIHIIATLLLSTQLYYMGRWKLDSGIFRRILHVLYTDCIRQCSGPLYVDRMVLLVMGNVINWSLAAYGLIMRPNDFASYLLAIGICNLLLYFAFYIIMKLRSGERIKLIPLLCIVCTSVVWGFALFFFFQGLSTWQKTPAESREHNRDCILLDFFDDHDIWHFLSSIAMFGSFLVLLTLDDDLDTVQRDKIYVF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEPLISPQPRFRLQPIPENPSSSSSSASITIPRSISNTSFFHEISQERLLLHHQDLEQSVQDDKEDQDSDSDETNRFLSQTRPLHRSRTAPAMVIIKDLRTKPPETKKPSPVSKSIIRQAIFLLIVYLTLGVSIYSFNRDHYSGIETHPVVDALYFCIVTMCTIGYGDIAPLTPWTKIFAVVFVLFGFGFLDILLSGVVNYVLDLQESMILTGIQTRQHHQHHHHHRFSAKDYIIDFEKGRMRIRMKVCLALCVVVLCIGVGALVLHFVEELGFVDSVYLSVMSVTTVGYGDRAFKTLQGRLFAAVWLLVSTLAVARAFLYLAEARIDRRHRKAVKLALNREITVDDLLKADTYQHGFISKSEYIVLKLKEMGKITQKDIDQVVIQFEKLDPNQIGKITLPDLLGDPL", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSYGTINDMNESVTNYRIKKAQNNIKGWYAYSFSSEPFVVSAVSTYIPLLLQQFASINGVKVHDHSIPCLSETGSDSDKCVLGLFNNRIFVDTSSFALYVFSLSVLFQTIIVISVSGIVDLWGSVKFKGRILVWFGIVGALSTVAISKLNDTQIYSLAGLYIVANGCFGVINVVGNSLLPIFVKDSLKCQSQGAYEPDKVDSLTTVISGRGASLGYSSALIVQIVSMFLVASKKGSKQDVQVAVLFVGIWWFVWQLPMIWLIDDVTIPIRVDDSTLASARSPYPGEQDALGQLNWKNYLSYGWVSLFESFKHARLLKDVMIFLIAWFIISDSITTINSTAVLFSKAELHMSTLNLIMISVLTVVNAMLGAFMIPQFLATKFRWTSSQTLMYIIIWASFIPFYGILGFFFNAFGLKHKFEMFLLAIWYGLSLGGLSAVSRSVFSLIVPPGKESTFFSMFSITDKGSSILGPFLVGLLTDKTHNIRYSFYFFFLLLMLSLPVLNCLDVKRGRREAEELSQVLPESERRLD", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSQCIDGFKHVCSSFFRCFDIDIYKQSGGLGDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIEDKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEIIESIIDKTFEEADTKHDGRIDKEEWRTLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVEDT", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASKSLFLVALLVGSFAFTSFASVANRKLKSGLEDQKTFFHHPGGGLGGGGGIGGGSGLGGGGGFGGGGGLGGGAGGGGGLGGGAGGGAGGGFGGGAGSGGGLGGGGGAGGGFGGGAGGGSGGGFGGGAGAGGGLGGGGGAGGGGGFGGGGGSGIGGGFGGGAGAGGGFGGGHH", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNVLWIIALVGQLMRLVQGTATCAMYGNCGKKSVFGNELPCPVPRSFEPPVLSDETSKLLVEVCGEEWKEVRYACCTKDQVVALRDNLQKAQPLISSCPACLKNFNNLFCHFTCAADQGRFVNITKVEKSKEDKDIVAELDVFMNSSWASEFYDSCKNIKFSATNGYAMDLIGGGAKNYSQFLKFLGDAKPMLGGSPFQINYKYDLANEEKEWQEFNDEVYACDDAQYKCACSDCQESCPHLKPLKDGVCKVGPLPCFSLSVLIFYTICALFAFMWYYLCKRKKNGAMIVDDDIVPESGSLDESETNVFESFNNETNFFNGKLANLFTKVGQFSVENPYKILITTVFSIFVFSFIIFQYATLETDPINLWVSKNSEKFKEKEYFDDNFGPFYRTEQIFVVNETGPVLSYETLHWWFDVENFITEELQSSENIGYQDLCFRPTEDSTCVIESFTQYFQGALPNKDSWKRELQECGKFPVNCLPTFQQPLKTNLLFSDDDILNAHAFVVTLLLTNHTQSANRWEERLEEYLLDLKVPEGLRISFNTEISLEKELNNNNDISTVAISYLMMFLYATWALRRKDGKTRLLLGISGLLIVLASIVCAAGFLTLFGLKSTLIIAEVIPFLILAIGIDNIFLITHEYDRNCEQKPEYSIDQKIISAIGRMSPSILMSLLCQTGCFLIAAFVTMPAVHNFAIYSTVSVIFNGVLQLTAYVSILSLYEKRSNYKQITGNEETKESFLKTFYFKMLTQKRLIIIIFSAWFFTSLVFLPEIQFGLDQTLAVPQDSYLVDYFKDVYSFLNVGPPVYMVVKNLDLTKRQNQQKICGKFTTCERDSLANVLEQERHRSTITEPLANWLDDYFMFLNPQNDQCCRLKKGTDEVCPPSFPSRRCETCFQQGSWNYNMSGFPEGKDFMEYLSIWINAPSDPCPLGGRAPYSTALVYNETSVSASVFRTAHHPLRSQKDFIQAYSDGVRISSSFPELDMFAYSPFYIFFVQYQTLGPLTLKLIGSAIILIFFISSVFLQNIRSSFLLALVVTMIIVDIGALMALLGISLNAVSLVNLIICVGLGVEFCVHIVRSFTVVPSETKKDANSRVLYSLNTIGESVIKGITLTKFIGVCVLAFAQSKIFDVFYFRMWFTLIIVAALHALLFLPALLSLFGGESYRDDSIEAED", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "SGAVHFSFTKFSTSSSDLTLQGSALVSSKGSLKKNPSKKGKPVDHSVGRALYRSPIHIWDETTGKVASFDATFSFVSEAPAIPMLFPSSKGELNDEDDTRIGGQLGVVNDSYNVIRVTVAVENDGYRNRVDPSARPHISLPIKSVRSKKTAKWNMQTGKVGTAHISYNSVAKRLSAVVSYTGNSSSTTVSYDVLLNLAVLPSKVLVGKTATGLYKDHVETNTILSWSFTSKLKTNSIAD", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKIYSRTVAVSLIVSFLLCFSAFAERNDGTFRVGLKKLKLDSKNRLAARVESKQEKPLRAYRLGDSGDADVVVLKNYLDAQYYGEIAIGTPPQKFTVVFDTGSSNLWVPSSKCYFSLACLLHPKYKSSRSSTYEKNGKAAAIHYGTGAIAGFFSNDAVTVGDLVVKDQEFIEATKEPGITFVVAKFDGILGLGFQEISVGKAAPVWYNMLKQGLIKEPVFSFWLNRNADEEEGGELVFGGVDPNHFKGKHTYVPVTQKGYWQFDMGDVLIGGAPTGFCESGCSAIADSGTSLLAGPTTIITMINHAIGAAGVVSQQCKTVVDQYGQTILDLLLSETQPKKICSQIGLCTFDGTRGVSMGIESVVDKENAKLSNGVGDAACSACEMAVVWIQSQLRQNMTQERILNYVNELCERLPSPMGESAVDCAQLSTMPTVSLTIGGKVFDLAPEEYVLKVGEGPVAQCISGFIALDVAPPRGPLWILGDVFMGKYHTVFDFGNEQVGFAEAA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASTEALLPVTSLQDPLSESRSDQIPETRRRRPIKVHLAVYSGLLLIALYVTLIVTHDGSKAEIATESRPRMAGVSEKSNDGVWISSDDGKVEAFPWNNTILSWQRTAFHFQPEKNWMNDPNGPLFYKGWYHFFYQYNPNAAVWGDIVWGHAVSKDLIHWLYLPIAMVPDQWYDANGVWTGSATFLDDGSIVMLYTGSTDEFVQVQNLAYPEDPSDPLLLKWVKFSGNPVLVPPPGIGAKDFRDPTTAWKTSSGKWRITIGSKINRTGISLIYDTTDFKTYEKHETLLHQVPNTGMWECVDFYPVSKTQLNGLDTSVNGPDVKHVIKASMDDTRIDHYAIGTYDDSNATWVPDNPSIDVGISTGLRYDYGKYYASKTFYDQNKGRRILWGWIGESDSEAADVQKGWSSVQGIPRTVVLDTRTHKNLVQWPVEEIKSLRLSSKKFDMTIGPGTVVPVDVGSATQLDIEAEFEIKTDDLKLFFDDDSVEADNKFSCETNGGSTARGALGPFGFSVLADEGLSEQTPVYFYVTKGKHSKLNTVFCTDTSRSTLANDVVKPIYGSFVPVLKGEKLTMRILVDHSIVEGFAQGGRSCITSRVYPTKAIYGATKLFLFNNAIDATVTASFTVWQMNNAFIHPYSSDDLGVPSST", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAAAAGAGSGPWAAQEKQFPPALLSFFIYNPRFGPREGQEENKILFYHPNEVEKNEKIRNVGLCEAIVQFTRTFSPSKPAKSLHTQKNRQFFNEPEENFWMVMVVRNPIIEKQSKDGKPVIEYQEEELLDKVYSSVLRQCYSMYKLFNGTFLKAMEDGGVKLLKERLEKFFHRYLQTLHLQSCDLLDIFGGISFFPLDKMTYLKIQSFINRMEESLNIVKYTAFLYNDQLIWSGLEQDDMRILYKYLTTSLFPRHIEPELAGRDSPIRAEMPGNLQHYGRFLTGPLNLNDPDAKCRFPKIFVNTDDTYEELHLIVYKAMSAAVCFMIDASVHPTLDFCRRLDSIVGPQLTVLASDICEQFNINKRMSGSEKEPQFKFIYFNHMNLAEKSTVHMRKTPSVSLTSVHPDLMKILGDINSDFTRVDEDEEIIVKAMSDYWVVGKKSDRRELYVILNQKNANLIEVNEEVKKLCATQFNNIFFLD", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAAAAGAGSGPWAAQEKQFPPALLSFFIYNPRFGPREGQEENKILFYHPNEVEKNEKIRNVGLCEAIVQFTRTFSPSKPAKSLHTQKNRQFFNEPEENFWMVMVVRNPIIEKQSKDGKPVIEYQEEELLDKVYSSVLRQCYSMYKLFNGTFLKAMEDGGVKLLKERLEKFFHRYLQTLHLQSCDLLDIFGGISFFPLDKMTYLKIQSFINRMEESLNIVKYTAFLYNDQLIWSGLEQDDMRILYKYLTTSLFPRHIEPELAGRDSPIRAEMPGNLQHYGRFLTGPLNLNDPDAKCRFPKIFVNTDDTYEELHLIVYKAMSAAVCFMIDASVHPTLDFCRRLDSIVGPQLTVLASDICEQFNINKRMSGSEKEPQFKFIYFNHMNLAEKSTVHMRKTPSVSLTSVHPDLMKILGDINSDFTRVDEDEEIIVKAMSDYWVVGKKSDRRELYVILNQKNANLIEVNEEVKKLCATQFNNIFFLD", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVKIEVGSVGDSFSVSSLKAYLSEFIATLLFVFAGVGSAVAFAKLTSDGALDPAGLVAIAIAHAFALFVGVSIAANISGGHLNPAVTLGLAIGGNITLITGFFYWIAQCLGSIVACLLLVFVTNGKSVPTHGVSAGLGAVEGVVMEIVVTFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGDLSQIWIYWVGPLVGGALAGLIYGDVFIGSYEAVETREIRV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAEGEESKKMNLQTSYFDVVGICCSSEVSIVGNVLRQVDGVKEFSVIVPSRTVIVVHDTFLISPLQIVKALNQARLEASVRPYGETSLKSQWPSPFAIVSGVLLVLSFFKYFYSPLEWLAIVAVVAGVFPILAKAVASVTRFRLDINALTLIAVIATLCMQDFTEAATIVFLFSVADWLESSAAHKASIVMSSLMSLAPRKAVIADTGLEVDVDEVGINTVVSVKAGESIPIDGVVVDGSCDVDEKTLTGESFPVSKQRESTVMAATINLNGYIKVKTTALARDCVVAKMTKLVEEAQKSQTKTQRFIDKCSRYYTPAVVVSAACFAVIPVLLKVQDLSHWFHLALVVLVSGCPCGLILSTPVATFCALTKAATSGFLIKTGDCLETLAKIKIVAFDKTGTITKAEFMVSDFRSLSPSINLHKLLNWVSSIECKSSHPMAAALIDYAISVSVEPKPDIVENFQNFPGEGVYGRIDGQDIYIGNKRIAQRAGCLTDNVPDIEATMKRGKTIGYIYMGAKLTGSFNLLDGCRYGVAQALKELKSLGIQTAMLTGDNQDAAMSTQEQLENALDIVHSELLPQDKARIIDDFKIQGPTMMVGDGLNDAPALAKADIGISMGISGSALATETGDIILMSNDIRKIPKGMRLAKRSHKKVIENVVLSVSIKGAIMVLGFVGYPLVWAAVLADAGTCLLVILNSMILLRDEREAVSTCYRSSTSSPVKLEEDEVEDLEVGLLQKSEETSKKSCCSGCCSGPKDNQQK", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRVLPATLLVGAASAAVPPLQQVLGRPEEGMSFSKPLHAFQEQLKTLSEDARKLWDEVANYFPDSMDHSPIFSLPKKHTRRPDSHWDHIVRGSDVQKIWVNNADGEKEREIDGKLEAYDLRIKKADPSALGIDPNVKQYTGYLDDNGNDKHLFYWFFESRNDPKNDPVVLWLNGGPGCSSLTGLFMELGPSSIDENIKPVYNDFSWNSNASVIFLDQPVNVGYSYSGSAVSDTVAAGKDVYALLSLFFKQFPEYAEQDFHIAGESYAGHYIPVFASEILAHKNRNINLKSVLIGNGLTDGLTQYGYYRPMGCGEGGYKAVLDEATCESMDNALPRCRSMIESCYNSESAWVCVPASIYCNNALIGPYQRTGQNVYDVRSKCEDESNLCYKGMGYVSEYLNKAEVREAVGAEVGGYDSCNFDINRNFLFHGDWMKPYHRLVPGLLEQIPVLIYAGDADYICNWLGNKAWTEALEWPGQKEYASAELEDLKIEQNEHTGKKIGQVKSHGNFTFMRLYGGGHMVPMDQPEASLEFFNRWLGGEWF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MYVSNGKDTCQLLGPVSLFVQTLMGMTAVIVLLVKRNYEHPRRKMIVWSYDIGKQIIGSLGIHFLNLGISILKKRRRSLFAITAKGNDDEDQCDWYFLNLLLDTTVGIPILWLCLYIIEKVLKSLHFQNIESGNYFPSKTVGSHPRKPLFSAFVKQLLIFIVGLGVMKFCVFLILNYLEDLAYWFADLILGWSDSWPNFQVFLVMFVFPILLNCFQYFCVDNVIRLHSESLTITNAENFETNTFLNDEIPDLSEVSNEVPNKDNNISSYGSII", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEPSQRNTKPPSFSDSTIPVDSDGRATVFRPFSLSSPHSRAFHLAWLSLFSCFFSTFSIPPLVPVISSDLNLSASTVSAAGIASFAGSIFSRLAMGPLCDLIGPRTSSAILSFLTAPVILSASLVSSPTSFILVRFFVGFSLANFVANQYWMSSMFSGNVIGLANGVSAGWANVGAGISQLLMPLIYSTIAEFLPRAVAWRVSFVFPAIFQVTTAVLVLLYGQDTPHGNRKNSNQNKLTIPEEEEVLVVEEDERSSFVEILIGGLGNYRAWILALLYGYSYGVELTTDNVIAGYFYERFGVNLEAAGTIAASFGISNIASRPAGGMISDALGKRFGMRGRLWGLWIVQSVAGLLCVLLGRVNSLWGSILVMWVFSVFVQAASGLVFGVVPFVSTRSLGVVAGITGSGGTVGAVVTQFLLFSGDDVRKQRSISLMGLMTFVFALSVTSIYFPQWGGMCCGPSSSSEEEDISRGLLVEDEDEEGKVVSGSLRPVC", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPAFYGGKLTTFEDDEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLTVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIARISGDVYIPRGVSVPALDKDCLWEFQPNKFVEGDTITGGDLYATVFENTLMNHLVALPPDAMGKITYIAPAGQYSLKDTVIELEFQGIKKSYTMLQSWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERNGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYEKFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAEGDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYNLANQAVERAAGMDGQKITYTLIKHRLGDLFYRLVSQKFEDPAEGEDTLVEKFKKLYDDLNAGFRALEDETR", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRTVLVALLALVALTQAISPLDLIKEEWHTYKLQHRKNYANEVEERFRMKIFNENRHKIAKHNQLFAQGKVSYKLGLNKYADMLHHEFKETMNGYNHTLRQLMRERTGLVGATYIPPAHVTVPKSVDWREHGAVTGVKDQGHCGSCWAFSSTGALEGQHFRKAGVLVSLSEQNLVDCSTKYGNNGCNGGLMDNAFRYIKDNGGIDTEKSYPYEGIDDSCHFNKATIGATDTGFVDIPEGDEEKMKKAVATMGPVSVAIDASHESFQLYSEGVYNEPECDEQNLDHGVLVVGYGTDESGMDYWLVKNSWGTTWGEQGYIKMARNQNNQCGIATASSYPTV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSTNLLRLILLFITLSITSSLSTPSPADSPPYLWPLPAEFSFGNETLSVDPTVTLIVAGNGGGSLIIRAAFDRYMGIIFKHASGRGSLLSRIRFLKMVEYDITSLKIVVHSDSEELQLGVDESYTLMVSKKNEQSIVGAATIEANTVYGALRGLETFSQLCAFDYITKSVQIYKAPWYIQDKPRFGYRGLLIDTSRHYLPIDVIKQIIESMSFAKLNVLHWHIVDEQSFPLETPTYPNLWKGAYSRWERYTVEDASEIVRFAKMRGINVMAEVDVPGHAESWGTGYPDLWPSLSCREPLDVTKNFTFDVISGILADMRKIFPFELFHLGGDEVNTDCWKNTTHVKEWLQGRNFTTKDAYKYFVLRAQQIAISKNWTPVNWEETFSSFGKDLDPRTVIQNWLVSDICQKAVAKGFRCIFSNQGYWYLDHLDVPWEEVYNTEPLNGIEDPSLQKLVIGGEVCMWGETADTSVVLQTIWPRAAAAAERMWSTREAVSKGNITLTALPRLHYFRCLLNNRGVPAAPVDNFYARRPPLGPGSCYAQ", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEPGPTAAQRRCSLPPWLPLGLLLWSGLALGALPFGSSPHRVFHDLLSEQQLLEVEDLSLSLLQGGGLGPLSLPPDLPDLDPECRELLLDFANSSAELTGCLVRSARPVRLCQTCYPLFQQVVSKMDNISRAAGNTSESQSCARSLLMADRMQIVVILSEFFNTTWQEANCANCLTNNSEELSNSTVYFLNLFNHTLTCFEHNLQGNAHSLLQTKNYSEVCKNCREAYKTLSSLYSEMQKMNELENKAEPGTHLCIDVEDAMNITRKLWSRTFNCSVPCSDTVPVIAVSVFILFLPVVFYLSSFLHSEQKKRKLILPKRLKSSTSFANIQENSN", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSILYALVARGTVVLAELSTTSTNASTIAKQILEKIPGNGDSHVSYSQDRYVFHVKRTDGLTVLCMADEDAGRRIPFSFLEDIHQRFVRTYGRAIHSAQAYAMNDEFSRVLNQQIEYYSNDPNADTISRIKGEMNQVRDVMIENIDNILDRGERLELLVDKTANMQGNTFRFRKQTRRFNNTVWWRNCKLTLLLILVLLVIIYIGVAFACHGPTLPSCV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFRCWGPHWGWVPCAPTPWLLLSLLVCSAPFGLQGEETRQVSMEVISGWPNPQNLLHIRAVGSNSTLHYVWSSLGPPAVVLVATNTTQSVLSVNWSLLLSPDPAGALMVLPKSSIQFSSALVFTRLLEFDSTNASEGAQPPGKPYPPYSLAKFSWNNITNSLDLANLSADFQGRPVDDPTGAFANGSLTFKVQAFSRSGRPAQPPRLLHTADVCQLEVALVGASPRGNHSLFGLEVATLGQGPDCPSVNERNSIDDEYAPAVFQLNQLLWGSSPSGFMQWRPVAFSEEERARESALPCQASTLHSTLASSLPHSPIVQAFFGSQNNFCAFNLTFGAPTGPGYWDQYYLCWSMLLGMGFPPVDIFSPLVLGIMAVALGAPGLMFLGGGLFLLLRHRRYSEYQSIN", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDDKKTWSTVTLRTFNQLVTSSLIGYSKKMDSMNHKMEGNAGHDHSDMHMGDGDDTCSMNMLFSWSYKNTCVVFEWWHIKTLPGLILSCLAIFGLAYLYEYLKYCVHKRQLSQRVLLPNRSLTKINQADKVSNSILYGLQVGFSFMLMLVFMTYNGWLMLAVVCGAIWGNYSWCTSYSPEIDDSSLACH", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSLSSWRQFQLFENIPIRDPNFGGDSLLYSDPTLCAATIVDPQTLIIAVNSNIIKVVKLNQSQVIHEFQSFPHDFQITFLKVINGEFLVALAESIGKPSLIRVYKLEKLPNREQLYHSQVELKNGNNTYPISVVSISNDLSCIVVGFINGKIILIRGDISRDRGSQQRIIYEDPSKEPITALFLNNDATACFAATTSRILLFNTTGRNRGRPSLVLNSKNGLDLNCGSFNPATNEFICCLSNFIEFFSSSGKKHQFAFDLSLRKRIFCVDKDHILIVTEETGVPTTSISVNELSPTIINRIFIIDAKNKIISLNFVVSSAIIDIFSTSQSGKNITYLLTSEGVMHRITPKSLENQINIIIQKELYPFALQLAKQHSLSPLDVQEIHKKYGDYLFKKGLRKEATDQYIQCLDVVETSEIISKFGVKEVPDPESMRNLADYLWSLIKNSISQRDHVTLLLIVLIKLKDVEGIDTFIQHFDRKGIWNEGVVMDDMDDVTFFYSDNDFFDLDLILELMKESDFKRLSYRLAKKYSKDSLIIVDILLNLLHNPVKAIKYIKSLPIDETLRCLVTYSKKLLEESPNETNALLIEVFTGKFKPSTFEVDLDRRDTTGDFSENIRTVFYSYKTFFNYMNSNGTSDAMSESSEASHEHEEPTYHPPKPSIVFSSFVTKPFEFVVFLEACLACYQQYEGFDEDRQVILTTLYDLYLNLAQNDVPERIDDWRSRATGVLRESNKLVYSAASNNTSKRVDNSIMLLISHMDQSSASAKDKTKIDIASFANDNPEMDLLSTFRAMTLNEEPSTCLKFLEKYGTEEPKLLQVALSYFVSNKLIFKEMGGNEVLKEKVLRPIIEGERMPLLDIIKALSRTNVAHFGLIQDIIIDHVKTEDTEIKRNEKLIESYDKELKEKNKKLKNTINSDQPLHVPLKNQTCFMCRLTLDIPVVFFKCGHIYHQHCLNEEEDTLESERKLFKCPKCLVDLETSNKLFEAQHEVVEKNDLLNFALNSEEGSRDRFKVITEFLGRGAISYSDITI", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASRAAPVRQTCCCFNIRVATIALAIYHIVMSVLLFIEHVVEVARGKVSCRFFKMPYLRMADLLSSFLLIGVLFIISISLLFGVVKNREKYLIPFLSLQIMDFLLCLLTLLGSYIELPAYLKLARPRPGPSKVPLMTLQLLDFCLSILTLCSSYMEVPTYLNFKSMNHMNYLPSQEGVPHSQFINMMLIFSVAFITVLILKVYMFKCVYTCYKFLKHMNSAMEDSSSKMFLKVALPSYEEALSLPPKTPEGDPAPPPYSEV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAGKRSGWSRAALLQLLLGVNLVVMPPTRARSLRFVTLLYRHGDRSPVKTYPKDPYQEEEWPQGFGQLTKEGMLQHWELGQALRQRYHGFLNTSYHRQEVYVRSTDFDRTLMSAEANLAGLFPPNGMQRFNPNISWQPIPVHTVPITEDRLLKFPLGPCPRYEQLQNETRQTPEYQNESSRNAQFLDMVANETGLTDLTLETVWNVYDTLFCEQTHGLRLPPWASPQTMQRLSRLKDFSFRFLFGIYQQAEKARLQGGVLLAQIRKNLTLMATTSQLPKLLVYSAHDTTLVALQMALDVYNGEQAPYASCHIFELYQEDSGNFSVEMYFRNESDKAPWPLSLPGCPHRCPLQDFLRLTEPVVPKDWQQECQLASGPADTEVIVALAVCGSILFLLIVLLLTVLFRMQAQPPGYRHVADGEDHA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNLSLSGRKIAMTRVSAETQYITPIGSPTLDELLKDCDSFRKGDSGDGVKSDDPAHHIIDVEALYVKPVPYVLNFNNLQYDVTLRRRFGFSRQNGVKTLLDDVSGEASDGDILAVLGASGAGKSTLIDALAGRVAEGSLRGSVTLNGEKVLQSRLLKVISAYVMQDDLLFPMLTVKETLMFASEFRLPRSLSKSKKMERVEALIDQLGLRNAANTVIGDEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTNAFMVVQVLKRIAQSGSIVIMSIHQPSARIVELLDRLIILSRGKSVFNGSPASLPGFFSDFGRPIPEKENISEFALDLVRELEGSNEGTKALVDFNEKWQQNKISLIQSAPQTNKLDQDRSLSLKEAINASVSRGKLVSGSSRSNPTSMETVSSYANPSLFETFILAKRYMKNWIRMPELVGTRIATVMVTGCLLATVYWKLDHTPRGAQERLTLFAFVVPTMFYCCLDNVPVFIQERYIFLRETTHNAYRTSSYVISHSLVSLPQLLAPSLVFSAITFWTVGLSGGLEGFVFYCLLIYASFWSGSSVVTFISGVVPNIMLCYMVSITYLAYCLLLSGFYVNRDRIPFYWTWFHYISILKYPYEAVLINEFDDPSRCFVRGVQVFDSTLLGGVSDSGKVKLLETLSKSLRTKITESTCLRTGSDLLAQQGITQLSKWDCLWITFASGLFFRILFYFALLFGSRNKRT", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MATQALEDITERLLIRSQEAILQLDLWIQRQQRSSICQTTDQESLDKLSQQYNQYMSQLNSLYVRSESVRDKLSKEQQRRLITEDNEHQRIEDLVREFQDITLRLNELATVPNEAPNDSPQSQSTRSSLGSFQPRPLKIIERQRLCMVTPSKPPKKSVGFNPINEVDCPSKTNSLPCSPKKQPARNRTLRAAKSHDTGLNKSKKPSSSDTYESFFKNRQRLSLTFFDEMDDEDFDSDQDTIILPNISTPPHVGVTAKGAEFEPLRRYNSHESILSNKPAPSKSLNLGSFSASFFRPSNPTFGTSISNVQVNCHPTVAATMAPSRNGPRISSSKALLSSFIARSDTHTVKENNTNLKHASFMDKFNSSLSTISESFQSKRGRKNKGMNEERISNHNVAQEQKNNMDISVSIEELQDALNTELLF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAESGGGGGCCPPMDLMRSETMQLVQLIVPMESAHLTVSYLGDLGLVQFKDLNSEKSPFQRTYAAQIKRCGEMARKIRFFRDQMSKAGVPAKEMQGKENDIDLDDVEVKLGELEAELVEINANNDKLQRSYNELMEYKLVLQKAGEFFSSAHRSAADQQRETESQQAGEDLLESPLLQEEKSIDSTKQVKLGFLTGLVPREKSMVFERILFRATRGNIFIRQTVIEEPVIDPNSGEKAEKNVFVVFYSGERAKSKILKICEAFGANRYPFSEDLGRQAQMITEVSGRLSELKTTIDAGLGQRNILLQTIGDKFELWNLKVRKEKAIYHTLNMLSLDVTKKCLVAEGWSPVFASREIQDALQRAAVDSNSQVGSIFQVLRTKESPPTYFRTNKFTSAIQEIVDAYGVAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICILLATMYLILKEKKLASQKLGDIMEMAFGGRYVILMMSLFSIYTGLIYNEFFSIPFPLFAPSAYDCRDVSCSEATTIGLIKVRDTYPFGLDPVWHGSRSELPFLNSLKMKMSILLGVSQMNLGIIMSYFNARFFKSSVNIWFQFIPQMIFLNSLFGYLSVLIIIKWCTGSQADLYHVMIYMFLSPMDELGENQLFPHQKTLQLVLLFLALVSVPCMLLPKPFILKKQHEARHQGQAYAPLDETDESLHVETNGGGSHGHEEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGYNNPLILIVGVLVFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFTFIFTANEDE", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MCPSFLVTLLLLQLSSLVVVLVVWAEQLPEFNVRRDDFPSNFVFGAGTSALQVEGAIAEDGKTPNIWDVDSHMGHMPDKSTTDIACDSYHRYKEDVKIMSDIGLEAYRFSIAWTRILPYGRGFINPKGVEYYNNLIDTLLEHGIQPHATIYHIDHPQILEDEYGGWLSPRMIEDFTTYADVCFREFGDRVSHWTTINEPNIISLGAYDSGQIPPHRCTPPGAYNCTAGNSSVEPYKAMHHFLLAHASAVQIYRTKYQAKQKGLIGLNVYGFWCAPQTNSRADIEATKRATAFYTGWAADPLVFGDYPIIMKENVGSRLPSFTKNESELVKGSFDFIGLNHYFVFYIQDDPEEITTPISLRNFDSDMRVKASVKPGDSGDPSGLKNLLRYFKDNYGNPPVYVHENGFGSPQNETLDDDMGRIRYISGYIGSMLEAIKNGSDTRGYFVWSFMDAFEILSGYQTRYGIVHVDFDDKSLKRQLKPSAQWYSNFIKKKNTTEDEISYSSQ", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNMSCKFEIVLLVSWWLLLVLVFGVESSMFSEFDRLDFPKHFIFGASSCAYQVEGAAFEDGRTLSTFDIAAHSGHLPGNGDITSDEYHKYKEDVELMVETGLDAYRFSISWSRLIPNGRGPVNPKGLEYYNNLVNALLTKGTQPHVTLLHSDLPQALRDEYGGLFISPKFIDDFVAYADVCFREFGDRVLHWTTFNEANFLAFGDENTPASALYLSAHHLLLAHASATRLYRENYQASQRGFIGINVYAYDFIPETNTEVDVIAAKRARDFFIGWFVQPLMNGEYPLTMRKNGGPRLPKFTPNETELLTGSYDFIGLNYYTAKTVKDDPVMLTVEPRNYYTDQGLISSYLGNIDPYQGHPFFNTPWGLHDVLQQFKQVYGNPPVYIHENGEVGDHDADYDKLINDIPRVEYLQGHIRAVLDAVRNGSNVKGYFVWSFLDMYELMYGTKFTFGLYYIDFNDPKLTRHPKLSQKWYSRFLKGEKASTKASIHTPNEAETHTYFY", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNDGDVSRQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQDYEKKEKQADVRKKIDYSMQLNASRIKVLQAQDDIVNAMKDQAAKDLLNVSRDEYAYKQLLKDLIVQCLLRLKEPSVLLRCREEDLGLVEAVLDDAKEEYAGKAKVHAPEVAVDTKIFLPPPPKSNDPHGLHCSGGVVLASRDGKIVCENTLDARLDVAFRMKLPVIRKSLFGQVTA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAGNLVSWACKLCRSPEGFGPISFYGDFTQCFIDGVILNLSAIFMITFGIRDLVNLCKKKHSGIKYRRNWIIVSRMALVLLEIAFVSLASLNISKEEAENFTIVSQYASTMLSLFVALALHWIEYDRSVVANTVLLFYWLFETFGNFAKLINILIRHTYEGIWYSGQTGFILTLFQVITCASILLLEALPKKPLMPHQHIHQTLTRRKPNPYDSANIFSRITFSWMSGLMKTGYEKYLVEADLYKLPRNFSSEELSQKLEKNWENELKQKSNPSLSWAICRTFGSKMLLAAFFKAIHDVLAFTQPQLLRILIKFVTDYNSERQDDHSSLQGFENNHPQKLPIVRGFLIAFAMFLVGFTQTSVLHQYFLNVFNTGMYIKSALTALIYQKSLVLSNEASGLSSTGDIVNLMSVDVQKLQDLTQWLNLIWSGPFQIIICLYSLYKLLGNSMWVGVIILVIMMPLNSFLMRIQKKLQKSQMKYKDERTRVISEILNNIKSLKLYAWEKPYREKLEEVRNNKELKNLTKLGCYMAVTSFQFNIVPFLVSCCTFAVFVYTEDRALTTDLVFPALTLFNLLSFPLMIIPMVLNSFIEASVSIGRLFTFFTNEELQPDSVQRLPKVKNIGDVAINIGDDATFLWQRKPEYKVALKNINFQAKKGNLTCIVGKVGSGKTALLSCMLGDLFRVKGFATVHGSVAYVSQVPWIMNGTVKENILFGHRYDAEFYEKTIKACALTIDLAILMDGDKTLVGEKGISLSGGQKARLSLARAVYARADTYLLDDPLAAVDEHVARHLIEHVLGPNGLLHTKTKVLATNKVSALSIADSIALLDNGEITQQGTYDEITKDADSPLWKLLNNYGKKNNGKSNEFGDSSESSVRESSIPVEGELEQLQKLNDLDFGNSDAISLRRASDATLGSIDFGDDENIAKREHREQGKVKWNIYLEYAKACNPKSVCVFILFIVISMFLSVMGNVWLKHWSEVNSRYGSNPNAARYLAIYFALGIGSALATLIQTIVLWVFCTIHASKYLHNLMTNSVLRAPMTFFETTPIGRILNRFSNDIYKVDALLGRTFSQFFVNAVKVTFTITVICATTWQFIFIIIPLSVFYIYYQQYYLRTSRELRRLDSITRSPIYSHFQETLGGLATVRGYSQQKRFSHINQCRIDNNMSAFYPSINANRWLAYRLELIGSIIILGAATLSVFRLKQGTLTAGMVGLSLSYALQITQTLNWIVRMTVEVETNIVSVERIKEYADLKSEAPLIVEGHRPPKEWPSQGDIKFNNYSTRYRPELDLVLKHINIHIKPNEKVGIVGRTGAGKSSLTLALFRMIEASEGNIVIDNIAINEIGLYDLRHKLSIIPQDSQVFEGTVRENIDPINQYTDEAIWRALELSHLKEHVLSMSNDGLDAQLTEGGGNLSVGQRQLLCLARAMLVPSKILVLDEATAAVDVETDKVVQETIRTAFKDRTILTIAHRLNTIMDSDRIIVLDNGKVAEFDSPGQLLSDNKSLFYSLCMEAGLVNEN", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEKIPRWLLFSLISSVLCILGALCVPLLSVAFDSKRNSQSKLVNYGLSLSAGSMITTSLYMLLPRIEKSNRFKVFPGLLLGICLSFFLNYLVHAFASESLVHCADSGDHATGSHIHSKSHSHSHSHSHADSHSNFSNDHDLENAPSEHGYATSSSSVSENDPLITKDSDRPQMKKKMSLIDLLTRRKSEGECCDLNKCTPLLQSEQPEYIACVPPVIKSSQSERNVPHGCEGSEDNGQSDDKDHRGLVCVENNIGYDLENLSLYRKNFLSSRHHHSSESPENYGSNQLSHSFSSPLGNDVTENPAALADTQYHPENGSLYPHHHHLETPFSKLLSIGMQTCLVLALHKFPEGFIIFYTNRSDSSKSLGFSIFLSLTIHNFVEGFAMTLPFYTVFESKWVAILITAVLGGGSQPLGALIGYFIFKGSTPRDHEPNMDFLLSVTAGFLLVIGLQMFQTGIGFSDGHHHHQGEGDEEMKQSHSSGTTCLKWCCTGVLLILASALFT", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVAFGKYLQRKQIEEWSGYYINYKLMKKKVKQYAEQIQGGSQHPRHVLKDFSRMLDTQIETTVLFMLEQQGLLSGRLAKLRESHDAILEQPDISRIFELREAYRDVGRDLLQLLKFVELNAIGLRKILKKFDKRFGYRFADYYVKTRANHPYSQLQQVFKHVGVGAVVGAISRNLHELQENEGSFYSIYDQPVLPAQDPVVEAINNAVDKLTFSTNFLNFLAQHALIMQDDLVTPSEDTIDERSYHFNSLLLNLGNTFLYMVNTYIIVPTADDYSMSLGAAATVCGVVIGSMAVAQVFSSVYFSAWSNKSYFKPLVFSSIALFIGNLMYALAYDANSIALLLLGRVCCGLGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQIKFKFYKFTFNQSTLPGWVMAVAWLFYLVWLCISFREPLRDTEDGEKNNRNETTSDRVESSRVEEGLRLPLLITSGIKPEDEEECDESEESPEDSHKPANSFIEAYRLLTPSVKVQLLIYFMLKYSMEILLSESSVITSYYFSWTTSSVAIFLACLGLTVLPINILVGSYISNMFEDRQILLTSEIIVFLGILFSFNLFVPYTVPQYVISGLIMFVAAEVLEGVNLSLLSRVMSSRLSKGTYNGGLLSTEAGTLARVVADATITLGGYLGRGHLLNATLLPSLVICIGSIVATCCTYNSLY", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSLEATDSKAMVLLMGVRRCGKSSICKVVFHNMQPLDTLYLESTSNPSLEHFSTLIDLAVMELPGQLNYFEPSYDSERLFKSVGALVYVIDSQDEYINAITNLAMIIEYAYKVNPSINIEVLIHKVDGLSEDFKVDAQRDIMQRTGEELLELGLDGVQVSFYLTSIFDHSIYEAFSRIVQKLIPELSFLENMLDNLIQHSKIEKAFLFDVNSKIYVSTDSNPVDIQMYEVCSEFIDVTIDLFDLYKAPVLRNSQKSSDKDNVINPRNELQNVSQLANGVIIYLRQMIRGLALVAIIRPNGTDMESCLTVADYNIDIFKKGLEDIWANARASQAKNSIEDDV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVRDTRNVDLERGLELCKPEKVNKQNLFTNIVKPQKDKINIKTDKIKFFLNNLFTEFSKFHDSCYPDGRISTRSKLRWPLLIIWCILIVFAIDKNFEVKDFLSIWINESFINENRFYSEIWGPIAIYICLFILLLLGLIYCSKIVVKAIPLISIVIAAVVVIIAVAMVKILYICHWLIYKILILAFGIKVKPLGDTLPTHNGETGSHSKATVGSDIEQIEFQNMPTPVKK", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDATTPLLTVANSHPARNPKHTAWRAAVYDLQYILKASPLNFLLVFVPLGLIWGHFQLSHTLTFLFNFLAIIPLAAILANATEELADKAGNTIGGLLNATFGNAVELIVSIIALKKGQVRIVQASMLGSLLSNLLLVLGLCFIFGGYNRVQQTFNQTAAQTMSSLLAIACASLLIPAAFRATLPHGKEDHFIDGKILELSRGTSIVILIVYVLFLYFQLGSHHALFEQQEEETDEVMSTISRNPHHSLSVKSSLVILLGTTVIISFCADFLVGTIDNVVESTGLSKTFIGLIVIPIVGNAAEHVTSVLVAMKDKMDLALGVAIGSSLQVALFVTPFMVLVGWMIDVPMTLNFSTFETATLFIAVFLSNYLILDGESNWLEGVMSLAMYILIAMAFFYYPDEKTLDSIGNSL", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTTVHQLSGHGPLSRLNIYSGASPYTQRVRPSYELIEAPTRQATNGTGSVSGSPNSSSNSTPANQGSLPSHTNPQLYSSITRKERPELFRSYSGNPRLSKPYASSKLAASSRTASYQAMSYSVSPTSTNSSVATSLNYQSSRETGISKDHWKPDSDVSVCSFPSCSVRFGLFDRRHHCRRCGDIFCALHCDRNIPLTMDVKFCLAGSLYRSCVSCFYEYLKWKQSIDLASSNDITVIESTIAPQQATTHPPSQPKNAVSVPIPKMDSTDSKGELPSESLVLGTVPDNWVWSTF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "ADTIVAVELDSYPNTDIGDPNYPHIGIDIKSIRSKSTARWNMQTGKVGTVHISYNSVAKRLSAVVSYTGSSSTTVSYDVDLNNVLPEWVRVGLSATTGLYKETNTILSWSFTSKLKTNSIADANSLHFTFNQFSQNPKDLILQGDATTDSDGNLELTKVSSSGDPQGNSVGRALFYAPVHIWEKSAVVASFDATFTFLIKSPDRDPADGITFFIANPDTSIPSGSGGRLLGLFPDAN", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLFGIKLANDVYPPWKDSYIDYERLKKLLKESVIHDGRSSVDSWSERNESDFVEALDKELEKVYTFQISKYNAVLRKLDDLEENTKSAEKIQKINSEQFKNTLEECLDEAQRLDNFDRLNFTGFIKIVKKHDKLHPNYPSVKSLLQVRLKELPFNNSEEYSPLLYRISYLYEFLRSNYDHPNTVSKSLASTSKLSHFSNLEDASFKSYKFWVHDDNIMEVKARILRHLPALVYASVPNENDDFVDNLESDVRVQPEARLNIGSKSNSLSSDGNSNQDVEIGKSKSVIFPQSYDPTITTLYFDNDFFDLYNNRLLKISGAPTLRLRWIGKLLDKPDIFLEKRTFTENTETGNSSFEEIRLQMKAKFINNFIFKNDPSYKNYLINQLRERGTQKEELEKLSRDFDNIQNFIVEEKLQPVLRATYNRTAFQIPGDQSIRVTIDSNIMYIREDSLDKNRPIRNPENWHRDDIDSNIPNPLRFLRAGEYSKFPYSVMEIKVINQDNSQMPNYEWIKDLTNSHLVNEVPKFSLYLQGVASLFGEDDKYVNILPFWLPDLETDIRKNPQEAYEEEKKTLQKQKSIHDKLDNMRRLSKISVPDGKTTERQGQKDQNTRHVIADLEDHESSDEEGTALPKKSAVKKGKKFKTNAAFLKILAGKNISENGNDPYSDDTDSASSFQLPPGVKKPVHLLKNAGPVKVEAKVWLANERTFNRWLSVTTLLSVLTFSIYNSVQKAEFPQLADLLAYVYFFLTLFCGVWAYRTYLKRLTLIKGRSGKHLDAPVGPILVAVVLIVTLVVNFSVAFKEAARRERGLVNVSSQPSLPRTLKPIQDFIFNLVGE", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTALHSVSKTPAIKEEEEDGDERDGRGVPLGPRNHDYRGRKGDEESGADTVTSPITFEKKKIAPRASTHSEQSILSSISLKSMVNQHRQQQLQQESSTGAGTGFVDRKQQIQSPAMVSILRKNSAEENVRSSHSSKLGEGQIDGRKASASKEIGKTLPFTDDQRSNPELDPTNSVVDVSRGKNTKSKTVFNELEDDADDDDEVRQKNLTTQALRKLSSFKMNASSNLRLSKENKAKESSSSSTSSVSSSSTSKVENIVDKLTTTNSSSMSQLRFGNTNVIIDSVNHAAKPPHQQMLRKPSLEFLPQPASSTNLNFNSNKHKSNVRQISNPKKPLYIPAVLRKVSETNITNDDLLNATLSSYYKKASNLEHGFNPSKSQSASVQNANNLRIISSQSSVQSNTSSILESYKNKISSYLFPNSIPNSDRINLIPTISNRNSARVNPPTKDHWIPDSKRNSCRYCHKPFTLWERKHHCRHCGDIFCQDHLRHWLYLDSQANFIMINELNNGGINGGGTLCKICDDCLVEYENLSTTNHNANTNEDNINVEEGEDDDNDNRKKLRNYYKNRQMNALFRPKKGGSSQEHATVDRDTTTPIQVKSNDEEADNENTGGEQEEGNDVLGSVIGSVPANWNWSSF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MARLTSIIALFAVALLVADAYAYRTTITTVEVEENRQGREERCRQMSAREELRSCEQYLRQQSRDVLQMRGIENPWRREGGSFDECCRELKNVDEECRCDMLEEIAREEQRQARGQEGRQMLQKARNLPSMCGIRPQRCDF", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSETDRERPLLASEERAYEETEKVLIVGIDEEEDADYDDDPGNSPKFSWKKLWLFTGPGFLMSIAFLDPGNLESDLQAGAIAGYSLIWLLMWATAIGLLIQLLSARLGVATGRHLAELCREEYPTWARMVLWIMAEIALIGADIQEVIGSAIAIKILSNGLVPLWAGVVITALDCFIFLFLENYGIRKLEAVFAILIATMALAFAWMFGQTKPSGTELLVGALVPKLSSRTIKQAVGIVGCIIMPHNVFLHSALVQSREVDPKKRFRVKEALKYYSIESTGALAVSFIINVFVTTVFAKSFYGTEIADTIGLANAGQYLQDKYGGGFFPILYIWAIGVLAAGQSSTITGTYAGQFIMGGFLNLKMKKWVRALITRSCAIIPTMIVALVFDSSDSMLDELNEWLNVLQSVQIPFAVIPLLCLVSNEQIMGSFKIQPLVQTISWIVAALVIAINGYLMVDFFSGAATNLILLVPVIIFAIAYVVFVLYLISRGLTYTPWQLVASSHKEPQRDDE", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVQCLDGVRQLLAVVFKCCDLELKQPRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFDEFARALSVFHPSAPLDEKIDFSFQLYDLKQQGYIERQEVKQMVVATLAESGMNLSDEIIESIIDKTFEEADTKHDGRIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MESSSPHHSHIVEVNVGKSDEERIIVASKVCGEAPCGFSDSKNASGDAHERSASMRKLCIAVVLCLVFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQTYGFFRIEILGALVSIQLIWLLTGILVYEAIIRIVTETSEVNGFLMFLVAAFGLVVNIIMAVLLGHDHGHSHGHGHGHGHDHHNHSHGVTVTTHHHHHDHEHGHSHGHGEDKHHAHGDVTEQLLDKSKTQVAAKEKRKRNINLQGAYLHVLGDSIQSVGVMIGGAIIWYNPEWKIVDLICTLAFSVIVLGTTINMIRNILEVLMESTPREIDATKLEKGLLEMEEVVAVHELHIWAITVGKVLLACHVNIRPEADADMVLNKVIDYIRREYNISHVTIQIER", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSTETYEPLLTRLHSDSQITERSSPEIEEFLRRRGSTVTPRWWLKLAVWESKLLWTLSGASIVVSVLNYMLSFVTVMFTGHLGSLQLAGASIATVGIQGLAYGIMLGMASAVQTVCGQAYGARQYSSMGIICQRAMVLHLAAAVFLTFLYWYSGPILKTMGQSVAIAHEGQIFARGMIPQIYAFALACPMQRFLQAQNIVNPLAYMSLGVFLLHTLLTWLVTNVLDFGLLGAALILSFSWWLLVAVNGMYILMSPNCKETWTGFSTRAFRGIWPYFKLTVASAVMLCLEIWYNQGLVIISGLLSNPTISLDAISICMYYLNWDMQFMLGLSAAISVRVSNELGAGNPRVAMLSVVVVNITTVLISSVLCVIVLVFRVGLSKAFTSDAEVIAAVSDLFPLLAVSIFLNGIQPILSGVAIGSGWQAVVAYVNLVTYYVIGLPIGCVLGFKTSLGVAGIWWGMIAGVILQTLTLIVLTLKTNWTSEVENAAQRVKTSATENQEMANAGV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MIKKIILFFAVLIAIVIGQQPLNVVPYPQQVSIGTCVIPVAPGSILIESNIESATFSVSMDRYTNLFFPFSNESEPSSNESFLLSVTIYSDDETLQLGIDESYSLSIEQGSYQLKATNIYGAMRGLETFKQLIVYNELENSYSIVCVSISDSPRYPWRGFMVDSARHYIPKNMILHMIDSLGFSKFNTLHWHMVDAVAFPVESTTYPDLTKGAFSPSATFSHDDIQEVVAYAKTYGIRVIPEFDIPGHAAAWGIGYPELVATCPDYAANVNNIPLDISNPATFTFIQNLFTEIAPLFIDNYFHTGGDELVTGCWLEDPAIANWMTKMGFSTTDAFQYFENNLDVTMKSINRTKITWNDPIDYGVQLNPETLVQVWSSGSDLQGIVNSGYKALVSFAWYLDKQNPDNNIHYEWQDTWQDFYAADPTNNISTNAENIIGGEATMWAEQINQVNWDVRVWPRAIGIAERLWSAQSVNSVSLALPRIGHFTCDLSRRGIQSGPLFPDYCPMQDDLVFTMKPNTKLSKSEIKLILNK", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATSGAASAELVIGWCIFGLLLLAILAFCWIYVRKYQSRRESEVVSTITAIFSLAIALITSALLPVDIFLVSYMKNQNGTFKDWANANVSRQIEDTVLYGYYTLYSVILFCVFFWIPFVYFYYEEKDDDDTSKCTQIKTALKYTLGFVVICALLLLVGAFVPLNVPNNKNSTEWEKVKSLFEELGSSHGLAALSFSISSLTLIGMLAAITYTAYGMSALPLNLIKGTRSAAYERLENTEDIEEVEQHIQTIKSKSKDGRPLPARDKRALKQFEERLRTLKKRERHLEFIENSWWTKFCGALRPLKIVWGIFFILVALLFVISLFLSNLDKALHSAGIDSGFIIFGANLSNPLNMLLPLLQTVFPLDYILITIIIMYFIFTSMAGIRNIGIWFFWIRLYKIRRGRTRPQALLFLCMILLLIVLHTSYMIYSLAPQYVMYGSQNYLIETNITSDNHKGNSTLSVPKRCDADAPEDQCTVTRTYLFLHKFWFFSAAYYFGNWAFLGVFLIGLIVSCCKGKKSVIEGVDEDSDISDDEPSVYSA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEFSSPSREECPKPLSRVSIMAGSLTGLLLLQAVSWASGARPCIPKSFGYSSVVCVCNATYCDSFDPPTFPALGTFSRYESTRSGRRMELSMGPIQANHTGTGLLLTLQPEQKFQKVKGFGGAMTDAAALNILALSPPAQNLLLKSYFSEEGIGYNIIRVPMASCDFSIRTYTYADTPDDFQLHNFSLPEEDTKLKIPLIHRALQLAQRPVSLLASPWTSPTWLKTNGAVNGKGSLKGQPGDIYHQTWARYFVKFLDAYAEHKLQFWAVTAENEPSAGLLSGYPFQCLGFTPEHQRDFIARDLGPTLANSTHHNVRLLMLDDQRLLLPHWAKVVLTDPEAAKYVHGIAVHWYLDFLAPAKATLGETHRLFPNTMLFASEACVGSKFWEQSVRLGSWDRGMQYSHSIITNLLYHVVGWTDWNLALNPEGGPNWVRNFVDSPIIVDITKDTFYKQPMFYHLGHFSKFIPEGSQRVGLVASQKNDLDAVALMHPDGSAVVVVLNRSSKDVPLTIKDPAVGFLETISPGYSIHTYLWRRQ", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPGLWRQRLPSAWALLLLPFLPLLMPAAPAAHRGSYKPVIVVHGLFDSSYSFRHLLDYINETHTGTVVTVLDLFDGRESLRPLWEQVQGFREAVVPIMEKAPEGVHLICYSQGGLVCRALLSVMDNHNVDSFISLSSPQMGQYGDTDYLKWLFPTSMRSNLYRVCYSPWGQEFSICNYWHDPHHDDLYLNASSFLALINGERDHPNATAWRKNFLRVGRLVLIGGPDDGVITPWQSSFFGFYDANETVLEMEEQPVYLRDSFGLKTLLARGAIVRCPMAGISHTTWHSNRTLYDTCIEPWLS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSIRFLKRLRAPLYIQNAYCSKNYFYRSFIQYYSPSNGPYLKISMNKAPQSLGLCTARGDSPTNQDRMAYGYLNNLKDTTNRDSPFFYGLFDGHGGTECSEFLSTNLGKIIENQDLNDTEKILKEVHSVGGYMAGLKPPFSLRTVLQSRDEDLLWRARLYYSFLQADMDYLTNYARPSPDSAVPGAVGTVAIITSKNNLSYWESDSYIIHLAHVGDTRALLCDSRTGRAHRLTFQHHPADVEEARRLRRYNMGFSRDSFGQKRFAWVANTRSFGDGYKLKKLGVVAEPQLTSIHSLRDDWSFLTLLSDGITDVVSDDEVVDIIKLSESPQDAANNIIRYAQNVGAVDDITCLVVRLPGWKKRTINDFTKNLRLEKSAYHPRRS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MASLFSSRLGSQSLSLLINIFFIFLIFLHFASQTPPPSGSIQTLNSFAGGDSDSCSGGLASLDDHRSKCSYIRSQSKCGPQGYIDYLKIFFCIFGQSPVLGHLVLSAWLFVLFYLLGDTAASYFCPSLDSLSKVLKLSPTMAGVTLLSLGNGAPDLFSSVVSFTRSNNGDFGLNSILGGAFFVSSFVVGTICVLIGSRDVAIDRNSFIRDVVFLLVALCCLGLIIFIGKVTIWVALCYLSIYLLYVGFLSVSHFFDRKKRMSDQILRSREDLAEMGVSLLGYIAEEKLALPEKTTQEFKIVFEDSPKRHRSCFSVLVSIIGLPLYLPRRLTIPVVCEEKWSKPCAVVSTAIAPVLLTELYCSHYSGSQRNLILYIISGSIGLIVGILAYLTTEKSHPPKKFSLVWLLGGFTMSVTWTYMIAQELVSLLISLGNIFGISPSVLGLTVLAWGNSLGDLIANVTVAFHGGNDGAQIALSGCYAGPLFNTVIGLGVPLVISSLAEYPGVYIIPSDNSLLETLGFLMVGLLWALVIMPKKKMRLDKLVGGGLLAIYLCFLSLRLARVFGVLDTDR", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MCSSINEGPYNSPTFGKSLSLKVDGGFNAVSINPSGRDIVLASRQGLYIIDLDDPFTPPRWLHHITPWQVADVQWSPHPAKPYWIVSTSNQKAIIWNLAKSSSNAIEFVLHGHSRAITDINFNPQHPDVLATCSVDTYVHAWDMRSPHRPFYSTSSWRSAASQVKWNYKDPNVLASSHGNDIFVWDLRKGSTPLCSLKGHVSSVNSIDFNRFKYSEIMSSSNDGTVKFWDYSKSTTESKRTVTTNFPIWRGRYLPFGEGYCIMPMVGGNNAVYLINLCDDDDSEQNKKTKLQPIYAFKGHSDRVIDFLWRSRHTCDGDYDDREFQLVTWSKDCDLKLWPISDSIYGKVNFDRGKRLEEKLPDYDYCSYNKEPENRENVQKNEFRRLRENFVTTSGLKKNKTNHITWLSGIRMNSATSQEDLFNETKIQNLGEEVSAIGHKFPKVVFEKISVSTRELCLTLNGPWSEENPDDYIFLRISINFPLNYPNKGDPPKFTIEENSNLTMSKRQEILSNLATIGQKYTDSNLYCLEPCIRFVLGEKVSLEDIEEGQEPLLNFDIADHIDFEELSSLDSSYSDSQNPENLSSQSDIESYKEALVFPDTSNQGLDFGRNLALDTTPVPNGCGSCWTATGELFCFFANEKKPEKKQNAIIKLSQKEAGVEKHPFKIEPQVLYDKEVDSSVITAADELKARPKRYVDTLGLGGGTNGDSRTYFDDETSSDDSFDSVADDWDDILRNDIIVRTKIPILRGNFKAFSSVHSESGKTVESTKKNKNLVISKNFSSLLSDRKELALEYLFMDATPEGFARNNALVAEKFDLDEISHCWQILSDMLIDQSDYDPYTTIWNNHPMGIKWFIKEAIVYFERQQNLQMLAMLCCVILSARRKKIPARYYGQELENMEGTIVFNDNESQNTSFWKGSDAFSTRSRSSTVTPNFYGNHLRGKNIHGGDNSSIRSDDHHARLRTHNTLNGSSKFTEPAQKQGSRAISSSPFHSRMPDIKVELLHDDIIEAYEQEDLLHLEVSDIPKFQTYIYQYSKLLFRWGLPLERVKILKVSTDFRSSYSSQGIPPNNNKKSPYNGVLTHWIENNEFGEEKFLARNCNYCDLRVTRSSFICGNCQHVLHSSCARIWWEIGDECPSGCGCNCPEMFDA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVKIEIGSVGDSFSVASLKAYLSEFIATLLFVFAGVGSALAFAKLTSDAALDPAGLVAVAVAHAFALFVGVSIAANISGGHLNPAVTLGLAVGGNITVITGFFYWIAQCLGSIVACLLLVFVTNGESVPTHGVAAGLGAIEGVVMEIVVTFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGDFSQIWIYWVGPLVGGALAGLIYGDVFIGSYAPAPTTESYP", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNSLVRRKQVDSVHLIKNDGPHQLAKKLSAVDLVAIGVGTTIGAGVYILVGTVAREHTGPALAVSFFIAGVAAALSACCYAELASRCPSAGSAYHYAYICLGEGIAWLVGWALVLDYTIGGSAIARGITPNLASFFGGLDNLPVFLARQTIPGVGIVVDPCAALLIMIVTILLCFGIKESSTVQAIVTSVNVCTLVFIIVVGGYLACKTGWVGYDLPSGYFPFGLNGILAGSAVVFFSYIGFDTVTSTAEEVKNPQRDLPLGIGIALLICCILYMLLSVVIVGLVPYYSLNPDTPISSAFGDSGMQWAAYILTTGAITALCASLLGSLLAQPRIFMAMARDGLLPAFFSEISPRTQVPVKSTIAIGVLAAALAFFMDVAQLSEMVSVGTLMAFTAVAVCVLVLRYVPPDGVPLSSSSQTLSDTDESRAETENFLVDAIESSDSPLLGNETARDEKYFGKRRKIAAWSIALVCIGVLGLASAASAERLPSFPRFTICGVSAVILLGSLITLGYIDEDEERHNFGHKGGFLCPFVPYLPVLCILINTYLIINIGAGTWIRVLIWLLIGSMIYIFYGRSHSLLNNAVYVPTMTCTRKTTDHLA", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MADSTRPLLSDFNSSESNLPPPRSLEETIERCIGDFGWAQFLQAALVSFAWFFDAQQTFITVFTDSQPMWHCDNSDRVDSVCNTSSSNLCTLPNQTWSWDLNPHVSIISEWGLQCAGSFLKGFPASSFFLGCLIGGLALSTLADSSLGRKNMLLLSCLIMSLSSMLTAFSTSIWVYAFLRFLNGCGRATIGTCALVLSTELVGKKWRGQVGAMGFFCFTLGFLSLPMLGYINEGNSWRNLYVWTSIPTLIYCCLVRSFVRESPRWLIVKGRKEEAVSILQSIASNAITMSFTNLCFEVENDQSKSNPDVYDALKILVRKSWSFRRLLAAMVVGFGIGMVYYGMPLALTNLNFNLYLGVVFNALSEFPAFLITFFFIDKINRRDALIGFTALSGISSALIAVLGQQLGSLQIVLELVSFFSACTAFNMTLIYTIEMFPTCVRNSAISMVRQALVFGGVFSPVMVAAGRENQFWSYGLFGLIIGLCGLFVFGLPETRGSVLCDTMDEEEYKTLAKRQFIG", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKMKRSPYCFCCSFALLLLVSFLKDRHFCSADPTDGFTEVPLTEDNFVIQKPYDKPLNDRYSYKNGIRRLWVYENDKPFKVGSPTRPRTEIRIKGHDYSSGVWQFEGQVHVPEGTSGVTVMQVFGAVNKATALQLRVYNGDLKSYKSNSVATDIYNKWLRVNVIHKVGKGEITVFINGQQKLVVNDDGPAEHYFKCGVYAAPDGSSNYMESRWKNIKLYKSDNKLEGCNNNHGTWLVQ", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSELGEYSKLENKELRTEFELTNFPFPGTTDNDSDDGSQGQNSLNIITPDMDDTLVNDVLRENDKKSSMRMAFMNLANSILGAGIITQPFAIKNAGILGGLLSYVALGFIVDWTLRLIVINLTLAGKRTYQGTVEHVMGKKGKLLILFTNGLFAFGGCIGYCIIIGDTIPHVLRAIFSQNDGNVHFWLRRNVIIVMVTTFISFPLSMKRNIEALSKASFLAVISMIIIVLTVVIRGPMLPYDWKGHSLKLSDFFMKATIFRSLSVISFALVCHHNTSFIFFSMRNRSVAKFTRLTHISIIISVICCALMGYSGFAVFKEKTKGNVLNSFPGTDTAINIARLCFGFNMLTTFPMEIFVLRDVVGNLLHECNLIKNYDEHTQLSGKQHVVITSSLVFITMGISLTTCNLGALFELIGATTASTMAYILPPYTNLLLTSKKKSWKERLPFYLCICFGFMIMIISSTQTIIDAVNGSDGQHCQI", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKTIKSLFLLSLLIVNLLISSTYGSSIRVSIVGGEEAEVIEKPRTFGNKRELKLEYSQIYPKKQLNQENINFMSARDTFVDNLMSKMSITEKIGQMTQLDITTLTSPNTITINETTLAYYAKTYYIGSYLNSPVSGGLAGDIHHINSSVWLDMINTIQTIVIEGSPNKIPMIYGLDSVHGANYVHKATLFPHNTGLAATFNIEHATTAAQITSKDTVAVGIPWVFAPVLGIGVQPLWSRIYETFGEDPYVASMMGAAAVRGFQGGNNSFDGPINAPSAVCTAKHYFGYSDPTSGKDRTAAWIPERMLRRYFLPSFAEAITGAGAGTIMINSGEVNGVPMHTSYKYLTEVLRGELQFEGVAVTDWQDIEKLVYFHHTAGSAEEAILQALDAGIDMSMVPLDLSFPIILAEMVAAGTVPESRLDLSVRRILNLKYALGLFSNPYPNPNAAIVDTIGQVQDREAAAATAEESITLLQNKNNILPLNTNTIKNVLLTGPSADSIRNLNGGWSVHWQGAYEDSEFPFGTSILTGLREITNDTADFNIQYTIGHEIGVPTNQTSIDEAVELAQSSDVVVVVIGELPEAETPGDIYDLSMDPNEVLLLQQLVDTGKPVVLILVEARPRILPPDLVYSCAAVLMAYLPGSEGGKPIANILMGNVNPSGRLPLTYPGTTGDIGVPYYHKYSENGVTTPLFQFGDGLSYTTFNYTNLACSNCKPISGQSGNYTGVLGQSYTFTVTVTNNGNVQGKDSVLLYLSDLWAQVTPEVKMLRGFQKVDLMPAKSQQISFTLNAYEFSFIGVDNKITLESGQFIIMVGNQQLGLYLQ", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPQLENNEPLLINEEEEEETAYDETEKVHIVRNEEEDDLEHGVGCGGAPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGYSLLWLLMWATAMGLLVQLLSARLGVATGRHLAELCRDEYPTWARMVLWVMAELALIGSDIQEVIGSAIAIKILSNGILPLWAGVVITALDCFVFLFLENYGIRKLEAVFAVLIATMGVSFAWMFGQAKPSGSELLIGILVPKLSSRTIQKAVGVVGCIIMPHNVFLHSALVQSREVDKRQKYRVQEALNYYTIESTIALFISFLINLFVTTVFAKGFYNTDLANSIGLVNAGQYLQEKYGGGVFPILYIWAIGLLAAGQSSTITGTYAGQFIMGGFLNFKMKKWLRALITRSCAIIPTIIVALVFDSSEATLDVLNEWLNVLQSIQIPFALIPLLCLVSKEQIMGSFKIGPLYKTIAWLVAALVIMINGYLLLEFFSNEVSGIVYTGFVTLFTASYGAFILYLIARGITFTPWPFKAESSH", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKNNYTSLKSPLDEEDELKTDHEIDLEKGLLPEYNSEEEGALPPYSDYARVSNPPNIHRENHSSGTTDDSSPFLIKLLISFTPIVLLNALAVCYLKYKDAFFKNYGAAEWTLFGFWCLVCTLALIFLTFKVTVNFLAQCVKVTAVGLYNSREKWVVIIWLLWVVICYTLFLRAKFGNLNLYKALICSTCSISAALLLFLLYVRLPFWTLKHMLFGLFQMLGVQSCVVIVQKGLMHSFDKHIDGTGYEIEATSLFVIGNFLFFYEMECPGALKRMPKFIRNGIASFLGGIANAIGGANDNNDIPLEETEAESEV", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEDKRKRRAATLSTALILFVACCVYTLYIFKFDNPRLSPPVSLLPTISTLKKIEHVTDLNKEYVFVGDVHGNYDEFIELIDDKIGGLGENITMILLGDFIHKGPDSDKVVSYILNHKDQVKCVLGNHEILVMMAYLNPDFSKWVRRPKLMTPLTFSTETNFIPQDISKISNAHGRLARELGFSKLSQLAEHCSMAIELDLDITGDILFGAHAGMVPGDFMKPNQIPGVSSLSNMKYVDKKNWSKTSREKENKNYVRWYTLWDKYGDHFSNAKVFYGHDASMGLNLRRQTKGLDTACIKNNLLSSMKVKYDIKKGQYDYELIQVQCS", - "output": "Lysosome/Vacuole" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGLPKSAYKKLLIDCPTRVINKNCAQRVKDVSPLITNFEKWSDKRKKLYFKDEEEMVGQFHLENFNLKNNLYGRLLASPMRAEKISKLKSCRELLIPLKVVPSTGKDQHADKDKLKLVPTLDYSKSYKSSYVLNSASIVQDNLAAATSWFPISVLQTSTPKSLEVDSSTFITEYNANLHAFIKARLSVIPNVGPSSINRVLLICDKRKTPPIEIQVVSHGKGLPITQSVFNLGYLHEPTLEAIVSKDAVTNGIYLDADNDKDLIKHLYSTLLFHSVN", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MALRGSHRLEVIFKRCIASPVLHSHAANRRSSQLAIKEGDPNSNGNSGQYQQNGEQKEKGWRRLVRFFVPFSLGAVVSAAIIQREDLTPTIAASKMTGRRRDFNFIADVVAGCADSVVYIEIKDTRHFDYFSGQPITASNGSGFIIEQNGLILTNAHVVINKPHTMVQVRLSDGRTFPATIEDVDQTSDLATLRIQVNNLSVMRLGKSSTLRSGEWVVALGSPLALSNTVTAGVISSTQRASQELGLRNRDINYLQTDAAITFGNSGGPLVNLDGEAIGVNSMKVTAGISFAIPIDYVKVFLERAAEKRKKGSAYKTGYPVKRYMGITMLTLTPDILFELKSRSQNMPSNLTHGVLVWKVIVGSPAHSGGLQPGDIVTHINKKEIKNSSDVYDALADNSKTLDIVILRGVKQMHVTITPEDP", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MHSLQCRRVIPFGKLSLSLHAPRNALRFVHKPAFTFESYKSLHRDPKYAKLSEQDVQVFKSIIGKDGSLIDGLDKSTDPADLDAFNIDWMNKYRGKTQLALKPKTTQQVSEILKYCNQKKLAVVPQGGNTGLVGGSVPVFDEIVLNLGLMNQIHTFDEISGVITLDSGVILENADNFLAEKGYMFPLDLGAKGSCQVGGCAATAAGGLRLLRYGSLHGSILGMEAVLPDGTILDNLVTLRKDNTGLDIKQLFIGSEGYLGVITKLSVICPKRPSSTNVAFFGVPSYENVLKAFSETRSHLTEILSAFELMDNTSQTLVDKYSGTQRPLEDEHPFYVLVETQGSNKEHDEQKITALVEDLLEKEIISDGVLAQDESQLRVLWERREGITECLAKAGSGVYKYDVSLPLPVLYDLVNDTKKRLIEFNLLDDTPEHPVIDVVGFGHMGDGNLHLNIAVRQFDKRVEKCLEPWVYEWVSRHRGSISAEHGLGLLKKPFVGYSKSKEMIHLMKTLKNVFDPNGIMLPYKYV", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTQTDNPVPNCGLLPEQQYCSADHEEPLLLHEEQLIFPDHSSQLSSADIIEPIKMNSSTESIIGTTLRKKWVPLSSTQITALSGAFAGFLSGVAVCPLDVAKTRLQAQGLQTRFENPYYRGIMGTLSTIVRDEGPRGLYKGLVPIVLGYFPTWMIYFSVYEFSKKFFHGIFPQFDFVAQSCAAITAGAASTTLTNPIWVVKTRLMLQSNLGEHPTHYKGTFDAFRKLFYQEGFKALYAGLVPSLLGLFHVAIHFPIYEDLKVRFHCYSRENNTNSINLQRLIMASSVSKMIASAVTYPHEILRTRMQLKSDIPDSIQRRLFPLIKATYAQEGLKGFYSGFTTNLVRTIPASAITLVSFEYFRNRLENISTMVI", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MATSMLGSVRGPRPFGLANLFHRQPPRDAWERVRRLPGPSAVRRSVAAASGPGIPGSHLYCLELLRKRDYESYLCSLLFPAECQRSASALRAFNVELAQVKDSVSEKTIGLMRMQFWKKAVEDMYCDNPPHQPVAIELWKAVKKHNLTKRWLMRIIDEREKNLDDKAYRSMQELENYAENTQGSLLYLTLEVLGVKDLHADHAASHIGKAQGIVTCLRATPYHSSRRQVFLPMDVCVQHGVSQEDFLRRNQDKNVRDVVYDIASQAHLHLKHARSFHRSVPAEAFPAFLQTVSLEDYLKKIQRVDFDIFHPSLQQKNMLLPLSLYIQSWRKRY", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRAFRSAANFGAASNIYRKSFTPASIASNRFVSARMSSIMTDNARPNTDKVVQDIADYIHDYKIDSSVAMETARLCFLDTLGCGLEGLKYQQCANIVGPVVPGTIVPNGTKVPGTDYQVDPVRGAFNIGTIIRWLDFNDCWLAAEWGHPSDNLGGILAVADWQTRSAKAGLEGKVFKVKDVLEGMIKAHEIQGGLAIENSFNRVGLDHVVLVKIASTAVVSGMLGLSREQTADAISQAFVDGQSLRTYRHAPNTMSRKSWAAGDATSRAVNLALLVKKGEGGMPSILTAKTWGFYDVLFGGKEFKFQRPYGSYVMENVLFKISFPAEFHAQTACESAMLLHEELKKLGKTSDDIASIKIRTQEAAMRIIDKKGPLHNYADRDHCIQYMVAIPLIHGRLTADDYTDEIASDPRIDALREKMECVEDKRFSEEYHAPDKRYIGNAIEITLKDGTVLDEIEVNYPIGHRQRREEGTPVLLEKFARHLRGRFPEGQVEKILAASNQDIVNMDIDEYVDLYVKKD", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSIVYNKTPLLRQFFPGKASAQFFLKYECLQPSGSFKSRGIGNLIMKSAIRIQKDGKRSPQVFASSGGNAGFAAATACQRLSLPCTVVVPTATKKRMVDKIRNTGAQVIVSGAYWKEADTFLKTNVMNKIDSQVIEPIYVHPFDNPDIWEGHSSMIDEIVQDLKSQHISVNKVKGIVCSVGGGGLYNGIIQGLERYGLADRIPIVGVETNGCHVFNTSLKIGQPVQFKKITSIATSLGTAVISNQTFEYARKYNTRSVVIEDKDVIETCLKYTHQFNMVIEPACGAALHLGYNTKILENALGSKLAADDIVIIIACGGSSNTIKDLEEALDSMRKKDTPVIEVADNFIFPEKNIVNLKSA", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLKSTATRSITRLSQVYNVPAATYRACLVSRRFYSPPAAGVKLDDNFSLETHTDIQAAAKAQASARASASGTTPDAVVASGSTAMSHAYQENTGFGTRPIYLDMQATTPTDPRVLDTMLKFYTGLYGNPHSNTHSYGWETNTAVENARAHVAKMINADPKEIIFTSGATESNNMVLKGVPRFYKKTKKHIITTRTEHKCVLEAARAMMKEGFEVTFLNVDDQGLIDLKELEDAIRPDTCLVSVMAVNNEIGVIQPIKEIGAICRKNKIYFHTDAAQAYGKIHIDVNEMNIDLLSISSHKIYGPKGIGAIYVRRRPRVRLEPLLSGGGQERGLRSGTLAPPLVAGFGEAARLMKKEFDNDQAHIKRLSDKLVKGLLSAEHTTLNGSPDHRYPGCVNVSFAYVEGESLLMALRDIALSSGSACTSASLEPSYVLHALGKDDALAHSSIRFGIGRFSTEEEVDYVVKAVSDRVKFLRELSPLWEMVQEGIDLNSIKWSGH", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MILYIVLPFYVRTKPYSILPSYSSLQWITNAWCSLPSIYILCHCSKHQEEITILALKPLIAMNNSEEWPLKHVQPPKLSFRHLLSRSVTDAPSLRVRWFYAVDRPLRKSRTGPTEIKKAKNFLPFSAEDSEHIEKSYLKAVENDGQSEPVNVNEDYLYSVNVVSRELSPIYWDGPVYRILRGTWFFSRGDKLYPCEENLATQVEEGYLNSCPYREFSNEKDSAAAQSKTWALLGRYTGGFVQYTGSRNARLVYDDFYRNVSVKIMNRFSPASFHRSDKLVRGYELDMLESNSKPSTPVPTEELTSTTLLNDSSDPSDNFTPSNTESTIDLPSATDASHLMSRPDREVNHLILCCHGIGQKMGERVETVSFVKDISNFRKTLKKTFNSSPDLQAVYPKLKGGGNGVQCLPLLWRQDIRFGMARDLDSSFADDDDDDDESLNMSRDLALDDLEDDSIPTLDNINIPTVTGLRNIISDVLLDVLLYCQPNYRDKILAAVVKRLNRLYNLYKKNVPSFNGHVSLLGHSLGALILFDIIRYQGNIKYSKLQLDFPVANFFALGSPLGLFQMLNGKKIAGPIPKTNLTRSLSYSEQSFDSGVSILSCQNFYNIFHPTDPISYRVEPLVVKQMARLKPQKISHFRPHQDLSSSGVGHKIAGGALNVLSGLRSGIANTLILKSLSYASVFNEATADSHDESQGAENIRDWHIDERMYRLNKTGRIDFMLQEGALDTSYSYVSAMNAHSEYWKNVDLAHFILTQLL", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MMTKAFFNKLPFEVFRRYVRTGKSIPQRSPRTRKSLLVGGTIASAVVLYNFNDTFHDSVKHTALTTKRIAVVTQATTRCFYHYKRALNKSYENKKEREVALNKCHKMCALITLHALRSNGGIYIKLGQHIGAMTYLLPKEWTDTMIPLQDHCPESTYEEIDELFKEDLGTSIEDMFLEFNKTPIGVASLAQVHVAKLKNSDGKGSSVAVKCQHPSLKEFIPLDVMLTRTVFELLDVFFPDYPLTWLGDELQSSIYVELNFTKEAENAEKTRHYFSKFKKQTALKIPKVIESHKRILIMEYVGGKRLDDLEYIDSHGISRSEVSSCLSHIFNNMIFTPNVGIHCDPHGGNLAIRSVKPAKDNGYHNFEIVLFDHGLYRYPSTRTRRLYAKFWLSLLFDKDQTKMKKYAKGFANITDEQFPLLAAAITGRSIDAALNYDISTSRTQEEMDVMANGILEGTLLSDLMSILSRIPRVVLLILKTNDLTRHLDECLQNPLGPERTFLIMTQYCAKTVYDEKVERINSEYARWSIKWMWENLTNWIVYERRINQLYFYDFVLWWKKFIPKTWLSS", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKLLHLYEASLKRRPKTTNAIMTGALFGIGDVSAQLLFPTSKVNKGYDYKRTARAVIYGSLIFSFIGDKWYKILNNKIYMRNRPQYHWSNMVLRVAVDQLAFAPLGLPFYFTCMSIMEGRSFDVAKLKIKEQWWPTLLTNWAVWPLFQAINFSVVPLQHRLLAVNVVAIFWNTYLSYKNSKVMEKDKVPVHYPPVVE", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSNPCQKEACAIQDCLLSHQYDDAKCAKVIDQLYICCSKFYNDNGKDSRSPCCPLPSLLELKMKQRKLTPGDS", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MHPQLEAERFHSCLDFINALDKCHQKEYYKRIFGLCNNEKDALNKCLKEASLNNKKRAVIESRIKRADVEKRWKKIEEEEYGEDAILKTILDRQYAKKKQESDNDANSK", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MALRRLSKSVSSAIKAQYTLSRPSPLLRSRSLSSSPHYTSIGRPTNSFIGKINNSSITHATTTHGQLFPLSSPRRFCTTTAQVNQNEFTEMAWEGLINAFDAARESKQQIVESEHLMKALLEQKDGMARKIFTKAGIDNSSVLQATDLFISKQPTVSDASGQRLGSSLSVILENAKRHKKDMLDSYVSVEHFLLAYYSDTRFGQEFFRDMKLDIQVLKDAIKDVRGDQRVTDRNPESKYQALEKYGNDLTEMARRGKLDPVIGRDDEIRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLMNRKLISLDMGSLLAGAKFRGDFEERLKAVMKEVSASNGQTILFIDEIHTVVGAGAMDGAMDASNLLKPMLGRGELRCIGATTLTEYRKYIEKDPALERRFQQVLCVQPSVEDTISILRGLRERYELHHGVTISDSALVSAAVLADRYITERFLPDKAIDLVDEAGAKLKMEITSKPTELDGIDRAVIKLEMEKLSLKNDTDKASKERLQKIENDLSTLKQKQKELNVQWEKEKSLMTKIRSFKEEIDRVNLEIESAEREYDLNRAAELKYGTLLSLQRQLEEAEKNLTNFRQFGQSLLREVVTDLDIAEIVSKWTGIPLSNLQQSEREKLVMLEEVLHHRVIGQDMAVKSVADAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAGYLFNTENAIVRVDMSEYMEKHSVSRLVGAPPGYVGYEEGGQLTEVVRRRPYSVVLFDEIEKAHPDVFNILLQLLDDGRITDSQGRTVSFKNCVVIMTSNIGSHHILETLRNNEDSKEAVYEIMKRQVVELARQNFRPEFMNRIDEYIVFQPLDSNEISKIVELQMRRVKNSLEQKKIKLQYTKEAVDLLAQLGFDPNYGARPVKRVIQQMVENEIAVGILKGDFAEEDTVLVDVDHLASDNKLVIKKLESNASAEEMAA", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAAAISHLRRGAPRHARALLYLSTRRFSSSSAAGVAPLAAVAASARRLLSTSVDSGASSTGESYKPPLFDPFRAASLASSAPPLESPPIEELPDDATPPPEEEPGLPAPEKDPVATACQHELEGLKAWVETVRSRKESTEEKEAWSLLGRSVVSYCGTAVGTVAANDPSTANQMLNYDQVFIRDFVPSAIAFLLKGEGDIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSIPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQSLFYSALRCAREMVSVNDGSNSLIRAINYRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIISSLATQRQAEGILNLIEAKWEDIIANMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRRDLAQRAIEVAEKRLSEDKWPEYYDTRTGRFIGKQSRLYQTWTIAGYLSSKMLLDCPELASILICEEDLELLEGCACSVNKSARTKCSRRAARSQVLV", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLHTINYRSWHLAARQLGRSTFRKFTTESSTKSPIADVCFAFDSIDGVLIRGGRGLKEGTKTLKFLQKNNIPFILLTNGGGMHESVRAQRLSKTLSVSLTEDDFCQSHTPFRALADKYKHVLVLGGKDNSVRETAEKYGFKSVINELDVIAKLGTPFWPFTSFNEEDIKDAKDFDVTRPIEAVFTYVDPVRLGLDLQLVMELGQSKNGVLGTVSKTANEGPDIYFSNADLIWPNEYPLPRLGQGAFAICCESVFKELTGKDLRNTKYGKPHKLTYDYAKNILMKKHKTLGITNPPKEIFMVGDNPESDIRGANNYGWTSILVRTGIFQGDNSPKYSAKHVSDNVWEGVRWALSKHVPAAKLNKSMGEVRGFHTSSRVLNTVTKSNNSKPIQRPLRENIFTLPNLLTFSRLLSAPLIAYLYIYDYTKAAACFFLYAGFTDLVDGYIARKFDLGSIAGTVLDPLADKTLMTCLTICLAVRETMPLTLASLIIGRDVLLVSAVSYLRYKSLPAPKTFRRFFDFAIPTTELKPTRISKVCRNGLLSTDLCKQLVEYSFTTAVTRTFDYRAHTSF", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAAVILERLGALWVQNLRGKLALGILPQSHIHTSASLEISRKWEKKNKIVYPPQLPGEPRRPAEIYHCRRQIKYSKDKMWYLAKLIRGMSIDQALAQLEFSDKKGAQIIKEVLLEAQDMAVRDHNVEFRSNLYIAESTSGRGQYLKRIRYHGRGRFGIMEKVFCHYFVKLVEGPPPPPEAPKTAVTHAKEYIQELRNRTIIHAL", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLILKRIFIIRNFIFPFSNCRYASTVPSPRSGISNTNDFFKRIGRDTAEKVNGKFESWDGLFRKSTKTMKKEGIDVRTRKYIASQRNRFKEGFIVKPYPVMVKKNGGERRKRK", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPWSKFTKVALGLGAAIAAVRSTMFTVDGGQRAVMFHRFEGILEEPVGEGTHRKIPWVQKPYIFDIRTKPYKINTDSGTKDLQMVNLTLRVMFRPDVVKAVVAQFNADELLTERPQVSALIRETLIKRAKEFNIVLDDVSITGLSYGKEFSLAVERKQVAQQEAERSKFVVAKADQERRAAVIRAEGESEAARVISKATAGAGMGLIKLRRVEAAREVAITLSNSPNVVYLPSGGNMLFAMNGPSKVVA", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLGRALINKYGFLIHPRRFVHLNDKSLDGTFILPSKKNHMYDVPTNDPSGILNASDIDRINNLPFFDNTSPTKETNTKEGALLSEKLASVKELFGEDPENPSFINYRFPRGLENPYFDIQVNQLKKKRLSVTQLCTTQNWCELRNFYDFYSQNLSNQLLNLKFQVQKGKKIHKSLEDETHPELNQYKSFTHNFLALTKLSMDIDNDMDALLDNWFNSINRLVSLFTKGDGHAREIVCHGFINLEDGKLVEHLLNSDSKTKENVIISGVIDHLTLRNRHNHQVQKGAAHLDTEYQSWGNILTNLLSNLKELKSNNEIVISDIKTRSVPKIPSIESVIESSKLQTMYYKFFFSHLSQDMTQTYHSFLINAQRRGLDVDAPINPTKILTFILTNPLFANDVKNLLYGLPINHSAFDNDAKGSNTFDMTAFNDLLDRGPTSFNVPIEQDEDSSESTKCVSLRDYGHFYTKWKTPLTLKYFAARLSQIYFIVGNLVSNDLMIEYYYHNDNFHNIIFPYDPLKLGTHAHDSAMVWFGGRDMHPIEPTQKNFNTYCKFCDYRHVCSWKNKNELKLIDLGKELKKIILESSMK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLRTRLTNCSLWRPYYTSSLSRVPRAPPDKVLGLSEHFKKVKNVNKIDLTVGIYKDGWGKVTTFPSVAKAQKLIESHLELNKNLSYLPITGSKEFQENVMKFLFKESCPQFGPFYLAHDRISFVQTLSGTGALAVAAKFLALFISRDIWIPDPSWANHKNIFQNNGFENIYRYSYYKDGQIDIDGWIEQLKTFAYNNQQENNKNPPCIILHACCHNPTGLDPTKEQWEKIIDTIYELKMVPIVDMAYQGLESGNLLKDAYLLRLCLNVNKYPNWSNGIFLCQSFAKNMGLYGERVGSLSVITPATANNGKFNPLQQKNSLQQNIDSQLKKIVRGMYSSPPGYGSRVVNVVLSDFKLKQQWFKDVDFMVQRLHHVRQEMFDRLGWPDLVNFAQQHGMFYYTRFSPKQVEILRNNYFVYLTGDGRLSLSGVNDSNVDYLCESLEAVSKMDKLA", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAASLVRSCCSRASRAITTVRFMSNVPEDTVYGGPKPQNSNQRVTLTQLRQKHRKGEPITMVTAYDYPSAVHIDTAGIDVCLVGDSAAMVVHGYDTTLPISLEEMLVHCRAVARGAKRPLLVGDLPFGTYESSTNQAVDTAVRVLKEGGMDAIKLEGGSPSRITAAKSIVEAGIAVMGHVGLTPQAISVLGGFRPQGKNIASAVKVVETAMALQEAGCFSVVLECVPPPVAAAATSALNIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQYAQVGEVINKALLEYKEEVSKHLFPGPSHSPYKISSSDLDGFLSELQKLGLDKAASDAAASAEKMDHSDSPSSQ", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSQMSSASVKFPDSVPGVDYPKQLQLKYLQVIFRHGERAPVKERLGSAGIPKDWKLCNNARRFFAQIKGEKEWSVLGFERKVESPVDTSLAAPTSDNSPSGVCIHGELTDFGRVTTRTLGEYLRERYVKQLKFLPDELNNYADVYMRATPMVRALESLEHVFSGLYPESKRKMGLPVIFTRNWSDENLLPNENNCPRLVQLYEEFAERAAKLYDPLLAGRASEMMSQFMNGQPVRVVSSHPRLSGLLDTINAAIGSHVDFNPNLRDEQWLRDAETAVVEEWFGGYKVSKLMRQLGAGSLLNDLSMRMENFVVAEKNGSPYHRLALYGAHDVTIAAILASLDAFDYRWPPFTSHLEMELFEDTSSKSDSQNQSGDNKTTDLKLFSDESTDASNSAIVAASNSARDMSDWYVRITYNSTPVVMGACRGQGYKGNDTICPLSIFKDTVRALKPVEYHTMCKPVKK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MWPRVLLGEARVAVDGCRALLSRLAVHFSPPWTAVSCSPLRRSLHGTATRAFPLIPIVVEQTGRGERAYDIYSRLLRERIVCVMGPIDDSVASLVIAQLLFLQSESNKKPIHMYINSPGGVVTAGLAIYDTMQYILNPICTWCVGQAASMGSLLLAAGSPGMRHSLPNSRIMIHQPSGGARGQATDIAIQAEEIMKLKKQLYNIYAKHTKQSLQVIESAMERDRYMSPMEAQEFGILDKVLVHPPQDGEDEPELVQKETATAPTDPPAPTST", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLKGMTRLVSRVHKLDPGRFSHLGTQAPQCPVAHLDNQVPTERTRAISRTLENDPAKHGEQHVGQHYNISIQELKTVFPHGLPPRFVMQVKTFNEACLMVRKPALELLHYLKNTNFAHPAVRYVLYGEKGTGKTLSLCHIIHFCAKQDWLILHIPDAHLWVKNCRDLLQSTYNKQRFDQPLEASIWLKNFKTANERFLSQIKVQDKYVWNKRESTEKGSPLAEVVEQGIMRVRNATDAVGIVLKELKRQSSLGVFRLLVAVDGVNALWGRTTLKREDKSPITPEELALIYNLRKMVKNDWQGGAIVLTVSQTGSLFKPRKAYLPQELLGKEGFDTLDPFIPILVSNYNPKEFEGCIQYYLENNWLQHEKAHTEEGKKELLFLSNRNPGLLERLCAYL", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAKISRLFGSTVKAAITAQAGFHGKRIPAVSSLQEHIVKSTPARYNSTQACLENDISGTDNKGFKGHDMLAPFTAGWQSTDVDPLIIEKSEGSHVYDMQGRKYIDTLAGLWCTALGGNEPRLVDAATKQLNTLPFYHSFWNRTTKPSLDLAKELLDMFTAKKMAKAFFTNSGSEANDTQVKLVWYYNNALGRPNKKKFIARAKAYHGSTLISASLTGLPALHQNFDLPAPFVLHTDCPHYWRYHLPGETEEEFSTRLAKNLEDLILKEGPETIAAFIAEPVMGAGGVIPPPATYFDKIQAVVKKYDILFIADEVICAFGRLGTMFGSDMYNIKPDLVTLAKALSSAYMPIGAVLVSPEVSDVIHSQSNKLGSFSHGFTYSGHPVACAVALEAIKIYKERNMVERVNRISPKFQEGLKAFSDSPIIGEIRGLGLILATEFANNKSPNDHFPPEWGVGAYFGAQCQKNGMLVRVAGDTIMMSPPFVVTPEELDELIRIYGKALRETEKRVEELKSQK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSVNDQITHIGKTLSTTASAFLNYQKSNSNTQDVLTNNGPYKNLLSNTVNNASSTSYFYKRTEHGRFVKNASNTFEDIYSKTRRGDVFRNKFTDNKTCFRMLTYISDDLLNEIPTKEGLKSDADGKLLTEGGENENLRKNASKKETSLFQGFKSYLPIAELAIENTERLNYDTNGTSGTVGAKDVMSKTNERDEIHTELPNFQDSFLIPPGVETKKISSSYSPSALKSFSQTLVNSLEFLNIQKNSTLSEIRDIEVEVENLRQKKEKLLGKIANIEQNQLLLEDNLKQIDDRLDFLEEYGLEVIEANSDENAEDDGMSERKALKNDAIRNEGVTTESISSEASNLPPRRRQQLRDDNSLNRLGAFYSKSKKRHRKSFPTFQQLYEPGTKIGSIMSTHDDFLTCLDFDAPFGTLCTAGYLDHTVKIWDLSKQNKIGELAGHLATINCMQINRDYGTLVTGGRDAALKLWNLNLAQQLYQETQNLTSPTNHIDSPCVHTFEAHTDEVTALSLDPSFLVSGSQDRTIRQWDLRSGKCLQTIDLSFANVLTTSTNVDLSKSTLLTQRNERPSIGALQSFDAALATGTKDGVVRLWDLRSGKVIRTLKGHTDAITSLKFDSACLVTGSYDRTVRIWDLRTGLLNKFHAYSAPVLSLDLFQENAAVVVADEPSVQIYDSEKDESWSCVEQGNETSVSTVKYKENYMVEGRENGDVNIWAV", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNALAATNRNFRHASRILGLDSKIERSLMIPFREIKVECTIPKDDGTLVSYIGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCSPRDLSLSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVFATEALLAEYGKSIQGLTFVIQGFGNVGTWAAKLIHEKGGKVVAVSDITGAIRNPEGIDINALIKHKDATGSLNDFNGGDAMNSDELLIHECDVLIPCALGGVLNKENAGDVKAKFIVEAANHPTDPDADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWEEEKVNLELQKYMTRAFHNIKTMCHTHSCNLRMGAFTLGVNRVARATQLRGWEA", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKSRIPVVLLACGSFNPITNMHLRMFEVARDHLHQTGMYQVIQGIISPVNDTYGKKDLAASHHRVAMARLALQTSDWIRVDPWESEQAQWMETVKVLRHHHSKLLRSPPQMEGPDHGKALFSTPAAVPELKLLCGADVLKTFQTPNLWKDAHIQEIVEKFGLVCVGRVGHDPKGYIAESPILRMHQHNIHLAKEPVQNEISATYIRRALGQGQSVKYLIPDAVITYIKDHGLYTKGSTWKGKSTQSTEGKTS", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAASAQKLLGRLGTVGVGLSIAGGIAQTALYNVDGGQRAVIFDRFSGVKNEVVGEGTHFLIPWVQKPIIFDIRSTPRAVTTITGSKDLQNVNITLRILHRPSPDRLPNIYLNIGLDYAERVLPSITNEVLKAVVAQFDAHEMITQREVVSQRASVALRERAAQFGLLLDDIAITHLNFGREFTEAVEMKQVAQQEAEKARYLVEKAEQMKIAAVTTAEGDAQAAKLLAKAFASAGDGLVELRKIEAAEEIAERMAKNKNVTYLPGNQQTLLNLQS", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAGTGLLALRTLPGPSWVRGSGPSVLSRLQDAAVVRPGFLSTAEEETLSRELEPELRRRRYEYDHWDAAIHGFRETEKSRWSEASRAILQRVQAAAFGPGQTLLSSVHVLDLEARGYIKPHVDSIKFCGATIAGLSLLSPSVMRLVHTQEPGEWLELLLEPGSLYILRGSARYDFSHEILRDEESFFGERRIPRGRRISVICRSLPEGMGPGESGQPPPAC", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSLVAEAFVSQIAAAEPWPENATLYQQLKGEQILLSDNAASLAVQAFLQMCNLPIKVVCRANAEYMSPSGKVPFIHVGNQVVSELGPIVQFVKAKGHSLSDGLEEVQKAEMKAYMELVNNMLLTAELYLQWCDEATVGEITHARYGSPYPWPLNHILAYQKQWEVKRKMKAIGWGKKTLDQVLEDVDQCCQALSQRLGTQPYFFNKQPTELDALVFGHLYTILTTQLTNDELSEKVKNYSNLLAFCRRIEQHYFEDRGKGRLS", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MQWLKSFQICKVLQGFSLSPTQLHRRLFSRVGAPRWNDHDSPEEFNFASDVLDYWAQMEEEGKRGPSPAFWWVNGQGDEIKWSFRKLRDLTCRTANVFEQICGLQQGDHLALILPRVPEWWLVTVGCMRTGIIFMPGTTQLKAKDILYRIQISRAKAIVTTASLVPEVESVASECPDLKTKLVVSDHSHEGWLDFCSLIKSASPDHTCIKSKMKDPMAIFFTSGTTGYPKMAKHNQGLAFRSYIPSCRKLLKLKTSDILWCMSDPGWILATVGCLIEPWTSGCTVFIHHLPQFDPKVIVEVLFKYPITQCLAAPGVYRMVLQQKTSNLRFPTLEHCTTGGESLLPEEYEQWKQRTGLSIHEVYGQSETGISSATLREMKIKRGSIGKAILPFDLQIIDEKGNILPPNTEGYIGIRIKPTRPLGLFMEYENSPESTSEVECGDFYNSGDRATIDEEGYIWFLGRGDDVINASGYRIGPVEVENALAEHPAVAESAVVSSPDKDRGEVVKAFIVLNPEFLSHDQEQLIKELQHHVKSVTAPYKYPRKVEFVSELPKTVTGKIKRKELRNKEFGQL", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNASPVRLLILRRQLATHPAILYSSPYIKSPLVHLHSRMSNVHRSAHANALSFVITRRSISHFPKIISKIIRLPIYVGGGMAAAGSYIAYKMEEASSFTKDKLDRIKDLGESMKEKFNKMFSGDKSQDGGHGNDGTVPTATLIAATSLDDDESKRQGDPKDDDDEDDDDEDDENDSVDTTQDEMLNLTKQMIEIRTILNKVDSSSAHLTLPSIVVIGSQSSGKSSVLESIVGREFLPKGSNMVTRRPIELTLVNTPNSNNVTADFPSMRLYNIKDFKEVKRMLMELNMAVPTSEAVSEEPIQLTIKSSRVPDLSLVDLPGYIQVEAADQPIELKTKIRDLCEKYLTAPNIILAISAADVDLANSSALKASKAADPKGLRTIGVITKLDLVDPEKARSILNNKKYPLSMGYVGVITKTPSSINRKHLGLFGEAPSSSLSGIFSKGQHGQSSGEENTNGLKQIVSHQFEKAYFKENKKYFTNCQVSTKKLREKLIKILEISMSNALEPTSTLIQQELDDTSYLFKVEFNDRHLTPKSYLLNNIDVLKLGIKEFQEKFHRNELKSILRAELDQKVLDVLATRYWKDDNLQDLSSSKLESDTDMLYWHKKLELASSGLTKMGIGRLSTMLTTNAILKELDNILESTQLKNHELIKDLVSNTAINVLNSKYYSTADQVENCIKPFKYEIDLEERDWSLARQHSINLIKEELRQCNSRYQAIKNAVGSKKLANVMGYLENESNLQKETLGMSKLLLERGSEAIFLDKRCKVLSFRLKMLKNKCHSTIEKDRCPEVFLSAVSDKLTSTAVLFLNVELLSDFFYNFPIELDRRLTLLGDEQVEMFAKEDPKISRHIELQKRKELLELALEKIDSILVFKKSYKGVSKNL", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MFRGGTHRLRGQPGLSLPHGPRCYGSAPPELQEKTLVLVKPDAVQRRLVGNVIQRFERRGFKLVAMKLLQADQGLLDKHYQQLRQKPFYPALLAYMTSGPLVAMVWEGYNVVRSTRAMVGDTDSAVAAAGTIRGDFSMHVSRNVVHASDSVETAQREIGFWFQRNELVAWESGDRDYTWGP", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKMSFALTFRSAKGRWIANPSQPCSKASIGLFVPASPPLDPEKVKELQRFITLSKRLLVMTGAGISTESGIPDYRSEKVGLYARTDRRPIQHGDFVRSAPIRQRYWARNFVGWPQFSSHQPNPAHWALSTWEKLGKLYWLVTQNVDALHTKAGSRRLTELHGCMDRVLCLDCGEQTPRGVLQERFQVLNPTWSAEAHGLAPDGDVFLSEEQVRSFQVPTCVQCGGHLKPDVVFFGDTVNPDKVDFVHKRVKEADSLLVVGSSLQVYSGYRFILTAWEKKLPIAILNIGPTRSDDLACLKLNSRCGELLPLIDPC", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQSFPPEIWHHIFDHLISFDKFEAKNFGGLLRICRSSYVGGLHAIYYFPKLNPRNYHKFVDTISRKPTRKLVHHISLNNVSYASKASITSRLLRRCATNLETFSGPQSGLGFTALRAFSQCQKLKKIDLSILSEKIDLQYLFGGIQHLKHLEYIILPYLSIPAPMCTECWPSSLTFVGFSGGLTDDFLAESVFPPSLKSINITQCPLLTDAGIFSLLSKIGPNLSSVCVQYPMPELSRSGLDCIFQLCPNATTISIPANYITSTAFESIPESGHNVRSLEITYSGSLLTNISLIKADDLVGALVDGKLPNLHRLQWSIRLGWREESQDVQDLLELIDDQDGEVFITVK", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MALAMMIRNAASKRGMTPISGHFGGLRSMSSWWKSVEPAPKDPILGVTEAFLADPSPEKVNVGVGAYRDDNGKPVVLECVREAEKRLAGSTFMEYLPMGGSAKMVDLTLKLAYGDNSEFIKDKRIAAVQTLSGTGACRLFADFQKRFSPGSQIYIPVPTWSNHHNIWKDAQVPQKTYHYYHPETKGLDFSALMDDVKNAPEGSFFLLHACAHNPTGVDPTEEQWREISQLFKAKKHFAFFDMAYQGFASGDPARDAKSIRIFLEDGHHIGISQSYAKNMGLYGQRVGCLSVLCEDPKQAVAVKSQLQQLARPMYSNPPLHGAQLVSTILEDPELKSLWLKEVKVMADRIIGMRTTLRESLEKLGSPLSWEHVTKQIGMFCYSGLTPEQVDRLTSEYHIYMTRNGRISMAGVTTGNVGYLANAIHEVTKSS", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MALQRQLLKRATSDIYHRRAISLLRTDFSTSPSIADAPPHIPPFVHQPRPYKGPSADEVLQKRKKFLGPSLFHYYQKPLNIVEGKMQYLYDESGRRYLDAFAGIVTVSCGHCHPDILNAITEQSKLLQHATTIYLHHAIGDFAEALAAKMPGNLKVVYFVNSGSEANELAMMMARLYTGSLEMISLRNAYHGGSSNTIGLTALNTWKYPLPQGEIHHVVNPDPYRGVFGSDGSLYAKDVHDHIEYGTSGKVAGFIAETIQGVGGAVELAPGYLKSVYEIVRNAGGVCIADEVQTGFGRTGSHYWGFQTQDVVPDIVTMAKGIGNGLPLGAVVTTPEIASVLASKILFNTFGGNPVCSAGGLAVLNVIDKEKRQEHCAEVGSHLIQRLKDVQKRHDIIGDVRGRGLMVGIELVSDRKDKTPAKAETSVLFEQLRELGILVGKGGLHGNVFRIKPPMCFTKDDADFLVDALDYSISRL", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAPSMKERQACWGARDLYWRCLDDNAEDAARCQKLRSSFEASCPQQWIKYFDKRRDYLKFKEKFEAGGFQSSQSTENS", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRKMLAAVSRVLAGSAQKPASRVLVASRNFANDATFEIKKCDLHRLEEGPPVTTVLTREDGLKYYRMMQTVRRMELKADQLYKQKIIRGFCHLCDGQEACCVGLEAGINPTDHLITAYRAHGFTFTRGLPVRAILAELTGRRGGCAKGKGGSMHMYAKNFYGGNGIVGAQVPLGAGIALACKYNGKDEVCLTLYGDGAANQGQIFEAYNMAALWKLPCIFICENNRYGMGTSVERAAASTDYYKRGDFIPGLRVDGMDILCVREATKFAAAYCRSGKGPILMELQTYRYHGHSMSDPGVSYRTREEIQEVRSKSDPIMLLKDRMVNSNLASVEELKEIDVEVRKEIEDAAQFATADPEPPLEELGYHIYSSDPPFEVRGANQWIKFKSVS", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MIGRGVCCRSFHTAGSAWKQFGFPKTQVTTIYNKTKSASNYKGYLKHRDAPGMYYQPSESIATGSVNSETIPRSFMAASDPRRGLDMPVQSTKAKQCPNVLVGKSTVNGKTYHLGPQEIDEIRKLRLDNPQKYTRKFLAAKYGISPLFVSMVSKPSEQHVQIMESRLQEIQSRWKEKRRIAREDRKRRKLLWYQA", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTVGIARKLSRDKAHRDALLKNLACQLFQHESIVSTHAKCKEASRVAERIITWTKRAITTSNSVAQAELKSQIQSQLFLAGDNRKLMKRLFSEIAPRYLERPGGYTRVLRLEPRANDSAPQSVLELVDSPVMSESHTVNRGNLKMWLLVKSVINDDANQLPHNPLTLQNLHKVAKFKAEAQLHGEIMLIKQVLLKEMSLPYDEALENERTQALLKEVYSSSLPKKTKKPSSYVMVPRP", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MALLSRIRSSTSLFRHLNASPQIRSLSSASTILSPDSKTPLTSKEKSKAALSLLKSEKDPDRILEICRAASLTPDCRIDRIAFSAAVENLAEKKHFSAVSNLLDGFIENRPDLKSERFAAHAIVLYAQANMLDHSLRVFRDLEKFEISRTVKSLNALLFACLVAKDYKEAKRVYIEMPKMYGIEPDLETYNRMIKVFCESGSASSSYSIVAEMERKGIKPNSSSFGLMISGFYAEDKSDEVGKVLAMMKDRGVNIGVSTYNIRIQSLCKRKKSKEAKALLDGMLSAGMKPNTVTYSHLIHGFCNEDDFEEAKKLFKIMVNRGCKPDSECYFTLIYYLCKGGDFETALSLCKESMEKNWVPSFSIMKSLVNGLAKDSKVEEAKELIGQVKEKFTRNVELWNEVEAALPQ", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVMTHFSRLRQLLLLQPKFLSSQPRPLRSPPPTFLRSVMGSSSSFSSSSSKLLFRQLFENESSTFTYLLADVSHPDKPALLIDPVDKTVDRDLKLIDELGLKLIYAMNTHVHADHVTGTGLLKTKLPGVKSVISKASGSKADLFLEPGDKVSIGDIYLEVRATPGHTAGCVTYVTGEGADQPQPRMAFTGDAVLIRGCGRTDFQEGSSDQLYESVHSQIFTLPKDTLIYPAHDYKGFEVSTVGEEMQHNPRLTKDKETFKTIMSNLNLSYPKMIDVAVPANMVCGLQDVPSQAN", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGVKLEVFRMSLYLTFPVVMFWISNQAEWFEDYVVQRKRELWPREKEGQRQELEEFKQKIRKQKEERLLQAAQQSS", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MITRLSGACLRRSGAKRNWPREHLVHRSLLASFSTTQRVLKCSVGPFRTSNVVFKSKEPKDNKPLDNKNDPKKTHNEDESHTNESLPSTDKKKKKDNDDFKQNLKSSSNKTEEKYSATQASKSKNDEFELGGEENEDEMPLNGEFNKNVPAKYSVPDVYPQLLALPIARRPLFPGFYKAIVTKNPSVSEAIKELIKKRQPYIGAFLLKDENTDTDVITNIDQVYPVGVFAQITSIFPAKSGSEPALTAVLYPHRRIRITELIPPKEDADSAASSDAAELETDKSSNLSSNGEVKSDLKQDNGKEEPEKEVESTPSILQNFKVSLVNVENVPNEPFKRQDPVIKAVTSEIMNVFKDIANVSPLFREQIANFSISQTSGNVFDEPAKLADFAAAVSAADHRELQEVLEATNIGDRLQKALYVLKKELLNAQLQHKINKEIEQKITQRHKEYLLTEQLKQIKRELGQELDSKEALVTEFKKRTESLSMPDHVKKVFNDELSKFQHLEPMAAEFNITRNYLDWITQLPWGKRSVENFDLDHAKEVLDRDHYGLKDVKDRVLELVAVGKLRGTMQGKIMCLVGPPGVGKTSVGKSIASALNREFFRFSVGGLTDVAEIKGHRRTYIGAMPGKIVQALKKVQTENPLILIDEIDKVGKSHQGDPASALLELLDSEQNSAFLDYYMDIPLDVSSVLFVCTANTIDTIPPPLLDRMEVIELSGYVSAEKVNIAKGYLIPQAKAACGLKDANVNISDDAIKGLISYYAHESGVRNLKKSIEKIFRKTSFSIVKEIDDELNSKEKSTGKSGKKTSPQSSEDAANKEASSVPLKVPDKVNIEIEEKDLTKYLGPPIYTSQRLYDTTPPGVVMGLGWTPMGGVSMYVETIVKNILSSNSTPSLERTGQLGDVMKESSEISYSFSKSFLSKHFPNNKFFEHARLHMHCPEGSISKDGPSAGITMATSLLSLALDTPVPATTAMTGELTLTGKILRIGGLREKTVAAKLSGMKEILFPKSNLADWEQLPDYVKEGLTGVPVAWYDDVFKRVFSNIDAEKCNNLWPNLIKSSSKQHQISPSH", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFLTALLCRGRIPGRQWIGKHRRPRTVSALAKQNMIRRLEIEAENHYWLSRPFLTAEQERGHAAARRAAAFEALKAAQAAKFPAHRRLEDQLDHLNVTRKWS", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKFGMGSAQACPCQVPRAASTTWVPCQICGPRERHGPRTPGGQLPGARRGPGPRRPAPLPARPPGALGSVLRPLRARPGCRPRRPHPAARCLPLRPHRPTRRHRRPGGFPLAWGSPQPAPRPAPGRSSALALAGGAAPGVARAQRPGGSGGRSHPGGPGSPRGGGTVGPGDRGPAAADGGRPQRTVRAAETRGAAAAPPLTLEGPVQSHHGTPALTQGPQSPRDGAQLGACTRPVDVRDSGGRPLPPPDTLASAGDFLCTM", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAESDSGESTSSVSSFISSSSSSRLSEFVDAKTELQDIYHDLSNYLSNFLTILEETVLLKDRQMLEHLCAFSSRVEAIAKVLSRDRMKVAFFGRTSNGKSAVINALLHEKILPSAMGHTTSCFCQVQANGSNETEHVKVEQEDEHMELSALSQLASAHSPGALKPSTLLQVNMAKNRCSILDYDVVLMDTPGVDVTAQLDDCLDSYCMDADVFILVLNAESTVSRVERQFFKDVASKLSRPNLFILNNRWDKASSLEPEMEQKVKDQHMERCVNLLVDELGVYSTAQEAWERIYHVSALEALHIRNGQITNPSGQTQQRYQEFLRFENDFSNCLAVSALKTKFGPHLLSAQKILNQLKSTLICPFIEKVSRLIDENKERRANLNAEIEDWLILMQEDREALQYCFEELTEMTQRVGRCVLNDQIKTLIPSSVLSFSQPFHPEFPAQIGQYQRSLCAHLDKLLEDRVLQCLSIPLQRKILDIEKEIGLPIAENSCDWQLIYGLDCQSYMSDFQPDLRFRFSLGFTALWHRLEGNLPLHASPFRIQKLQNGHKKCSPLPPLVNGNHWQMLESLVKSKGSLGTVLLSAMAIRSFNWPIVLILGGLVGSFYIYEYAAWTTAAQERSFKSQYARLLQQRLRSDVQQTVSGFELQLRQHLATVRNCWEAQSNETLNDLNVRTAELTKQIQSMEVLQLSLKKFRDKGQLLASRLGDFQETYLTKS", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAATLKSLKLVRYRAFCSPSAFGAVRSVSYWNVSSTQHGGQDPPEHISLCHSAKKVKNICSTFSSRRILTTSSAHPGLEFSKTSSSKASTLQLGSPRATGVDEEDVEVFDSFENMRVFLQLRPEYRVHSYNASETSQLLSVSEGELILHKVRVNQNNLQAQVIVDYLCKLSSLPAEQHPVLLGSTSFALLCQLSVKKIQLFDTQDLINVLKAFVILGIPHSHSMLDVYETKCCHQVWEMNMDQLLLVADLWRYLGRKVPRFLNIFSSYLNLHWKDLSLSQLVHLIYVIGENRQVSQDLMQKLESLILKYIDLINLEEVGTICLGFFKSSTNLSEFVMRKIGDLACANIQHLSSRSLVNIVKMFRFTHVDHINFMKQIGEIAPQRIPSLGVQGVMHLTLYCSALRFLNEGVMNAVAASLPPRVAHCRSKDVAKILWSFGTLNYKPPNAEEFYSSLISEIHRKMPEFNQYPEHLPTCLLGLAFLEYFPVELIDFALSPGFVRLAQERTKFDLLKELYTLDGTVGIECPDYRGNRLSTHLQQEGSELLWYLAEKDMNSKPEFLETVFLLETMLGGPQYVKHHMILPHTRSSDLEVQLDVNLKPLPFNREATPAENVAKLRLEHVGVSLTDDLMNKLLKGKARGHFQGKTESEPGQQPMELENKAAVPLGGFLCNVADKSGAMEMAGLCPAACMQTPRMKLAVQFTNRNQYCYGSRDLLGLHNMKRRQLARLGYRVVELSYWEWLPLLKRTRLEKLAFLHEKVFTSAL", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MILRLKDQTLIKINSTRSYLSSLVFRRDSHSQARTKPDHDRRRRGYERDVRIEEKKEHDGLFLCKSKGQHLLTNTRILDSIVRSSDIRPTDTVLEIGPGTGNLTMKLLEAAQNVVAVELDKRMVEILRKRVSDHGFADKLTIIQKDVLKTDFPHFDLVVANIPYNISSPLVAKLVYGSNTFRSATLLLQKEFSRRLLANPGDSDFNRLAVNVKLVADVKFVMDVSKREFVPPPKVDSSVIRITPKEIIPDVNVQEWLAFTRTCFGKKNKTLGSMFRQKKKVMELQSLSAGRHGSNVEVMNQTGGDSDSDVEEDGKDDLLCLDTDASMFKERVIEILRTNGFEEKRPSKLSHRELLHLLSLFNQAGIFFHDITSLQMDLHE", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MIRYSLNGIRLFGSLNLFNATRILPISLGRASYAHARLFTSKVEKSGTAEESALPSVDEEEDKLNSLLGNIKVRTAQEDGVSVEEVLMHHPELLRNAPHALQQSVKEILASKEVGDAFKKN", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLRTALRGAPRLLSRVQPRAPCLRRLWGRGARPEVAGRRRAWAWGWRRSSSEQGPGPAAALGRVEAAHYQLVYTCKVCGTRSSKRISKLAYHQGVVIVTCPGCQNHHIIADNLGWFSDLNGKRNIEEILTARGEQVHRVAGEGALELVLEAAGAPTSTAAPEAGEDEGPPSPGKTEPS", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MFPLISSHHLWWGGLRRTVCLNLPVLTLQHFQHMHIKVGDRAELRRAFTQTDVATFSELTGDVNPLHLNEDFAKHTKFGNTIVHGVLINGLISALLGTKMPGPGCVFLSQEISFPAPLYIGEVVLASAEVKKLKRFIAIIAVSCSVIESKKTVMEGWVKVMVPEASKS", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSRLLWRKVAGATVGPGPVPAPGRWVSSSVPASDPSDGQRRRQQQQQQQQQQQQQPQQPQVLSSEGGQLRHNPLDIQMLSRGLHEQIFGQGGEMPGEAAVRRSVEHLQKHGLWGQPAVPLPDVELRLPPLYGDNLDQHFRLLAQKQSLPYLEAANLLLQAQLPPKPPAWAWAEGWTRYGPEGEAVPVAIPEERALVFDVEVCLAEGTCPTLAVAISPSAWYSWCSQRLVEERYSWTSQLSPADLIPLEVPTGASSPTQRDWQEQLVVGHNVSFDRAHIREQYLIQGSRMRFLDTMSMHMAISGLSSFQRSLWIAAKQGKHKVQPPTKQGQKSQRKARRGPAISSWDWLDISSVNSLAEVHRLYVGGPPLEKEPRELFVKGTMKDIRENFQDLMQYCAQDVWATHEVFQQQLPLFLERCPHPVTLAGMLEMGVSYLPVNQNWERYLAEAQGTYEELQREMKKSLMDLANDACQLLSGERYKEDPWLWDLEWDLQEFKQKKAKKVKKEPATASKLPIEGAGAPGDPMDQEDLGPCSEEEEFQQDVMARACLQKLKGTTELLPKRPQHLPGHPGWYRKLCPRLDDPAWTPGPSLLSLQMRVTPKLMALTWDGFPLHYSERHGWGYLVPGRRDNLAKLPTGTTLESAGVVCPYRAIESLYRKHCLEQGKQQLMPQEAGLAEEFLLTDNSAIWQTVEELDYLEVEAEAKMENLRAAVPGQPLALTARGGPKDTQPSYHHGNGPYNDVDIPGCWFFKLPHKDGNSCNVGSPFAKDFLPKMEDGTLQAGPGGASGPRALEINKMISFWRNAHKRISSQMVVWLPRSALPRAVIRHPDYDEEGLYGAILPQVVTAGTITRRAVEPTWLTASNARPDRVGSELKAMVQAPPGYTLVGADVDSQELWIAAVLGDAHFAGMHGCTAFGWMTLQGRKSRGTDLHSKTATTVGISREHAKIFNYGRIYGAGQPFAERLLMQFNHRLTQQEAAEKAQQMYAATKGLRWYRLSDEGEWLVRELNLPVDRTEGGWISLQDLRKVQRETARKSQWKKWEVVAERAWKGGTESEMFNKLESIATSDIPRTPVLGCCISRALEPSAVQEEFMTSRVNWVVQSSAVDYLHLMLVAMKWLFEEFAIDGRFCISIHDEVRYLVREEDRYRAALALQITNLLTRCMFAYKLGLNDLPQSVAFFSAVDIDRCLRKEVTMDCKTPSNPTGMERRYGIPQGEALDIYQIIELTKGSLEKRSQPGP", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPRGRCRQQGPRIPIWAAANYANAHPWQQMDKASPGVAYTPLVDPWIERPCCGDTVCVRTTMEQKSTASGTCGGKPAERGPLAGHMPSSRPHRVDFCWVPGSDPGTFDGSPWLLDRFLAQLGDYMSFHFEHYQDNISRVCEILRRLTGRAQAWAAPYLDGDLPLPDDYELFCQDLKEVVQDPNSFAEYHAVVTCPLPLASSQLPVAPQLPVVRQYLARFLEGLALDMGTAPRSLPAAMATPAVSGSNSVSRSALFEQQLTKESTPGPKEPPVLPSSTCSSKPGPVEPASSQPEEAAPTPVPRLSESANPPAQRPDPAHPGGPKPQKTEEEVLETEGDQEVSLGTPQEVVEAPETPGEPPLSPGF", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAFTLGGRARRLVSATSVHQNGCLHKLQQIGSDRFQLGEAKAIRLLPRRTNMVQELGIREEFMDLNRETETSYDFLDEMRHRFLKFKRQKYLPEIEKFKALAIAQSPKVMVIGCADSRVCPSYVLGFQPGEAFTIRNVANLVTPVQNGPTETNSALEFAVTTLQVENIIVMGHSNCGGIAALMSHQNHQGQHSSLVERWVMNGKAAKLRTQLASSHLSFDEQCRNCEKESIKDSVMNLITYSWIRDRVKRGEVKIHGCYYNLSDCSLEKWRLSSDKTNYGFYISDREIWS", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAAAVRAAGFLPALCGASAGRLWSRQLYLNTFPTASIWALKAVPSNGPSSSAGARGRCRSTHLGPALQTQCCTPAPGNVTAQQYRSYSFFTKLTADELWKGALAETGAGARKGRGKRTKRKRRKDLNRGQIIGEGRRGFLWPGLNAPLMKSGAIQTITQRSKEEQEKVEADMVQQREEWDRKRKMKVKRERGWSGNSWGGISLGPPDPGPNGETYDDFDTRILEVRNVFNMTAKEGRKRSVRVLVAVGNGRGAAGFAIGKATERADAFRKAKNRAVHYLHYIERYEDHTIYHDISLTFKRTHIKMKKQPRGYGLRCHRAITTICRLIGIKDMYAKVSGSVNMLSLTRGLFQGLSRQETHQQLADKKSLHVVEFREECGPLPIVVASPQGALRKDPEPEDEVPDIKLDWDDVKAVQGMKRSVWSGLKRAAT", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVVAASTCRTETVFDYSWMNVVVAYWNRYPNPSSTHVLTEDTIQREVRDGKLFSRRLLSKTNPVPKWGARFYNNVPVKIVEDSVLDPVKKTFTTFTRNLGMTKIMKVDEIVVYSEQKDGSTLAVRRAYISSQVFGFSRAIRAFGIERFKANGNKASNGFNYVLRRMFPDSLVGGGHHQHAVTTTSPAGELPATTITVSTTNGSLNNQGALKSAAKVGYEFFKSHASKIAQLFSVKN", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MERARRLAYRGIVKRLVNETKRHRNGESSLLPTTTVTPSRYVSSVSSFLHRRRDVSGSAFTTSGRNQHQTRSISVDALKPSDTFPRRHNSATPDEQAQMANYCGFDNLNTLIDSTVPKSIRLDSMKFSGIFDEGLTESQMIEHMSDLASKNKVFKSFIGMGYYNTHVPPVILRNIMENPAWYTQYTPYQAEISQGRLESLLNYQTVITDLTGLPMSNASLLDEGTAAAEAMAMCNNILKGKKKTFVIASNCHPQTIDVCKTRADGFDLKVVTVDIKDVDYSSGDVCGVLVQYPGTEGEVLDYGEFVKNAHANGVKVVMATDLLALTMLKPPGEFGADIVVGSGQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKQALRMAMQTREQHIRRDKATSNICTAQALLANMTAMYAVYHGPEGLKSIAQRVHGLAGVFALGLKKLGTAQVQDLPFFDTVKVTCSDATAIFDVAAKKEINLRLVDSNTITVAFDETTTLDDVDKLFEVFASGKPVQFTAESLAPEFNNAIPSSLTRESPYLTHPIFNMYHTEHELLRYIHKLQNKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPSFTNMHPFAPVEQAQGYQEMFTNLGELLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHMSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIVAVGTDAKGNINIEELRNAAEANKDNLAALMVTYPSTHGVYEEGIDEICNIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKQHLAPFLPSHPVIPTGGIPEPEQTSPLGTISAAPWGSALILPISYTYIAMMGSGGLTDASKIAILNANYMAKRLESHYPVLFRGVNGTVAHEFIIDLRGFKNTAGIEPEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEISQIEKGNADPNNNVLKGAPHPPSLLMADTWKKPYSREYAAFPAPWLRSSKFWPTTGRVDNVYGDRNLVCTLQPANEEQAAAAVSA", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAWRRIITKVSSHERELSSLRSLLVRAYSSFPRVGVTGAVGGGGASLPRTRFQSSYVGSFARRVRDREEVNEVAHLRELIRRNDPEAVIRMFESQPSLHANASALSEYIKALVKVDRLDQSELVRTLQRGIAGVAREEETFGGLGAFRNVGKPTKDGVLGTASAPIHTISTERTHFKEQLWSTIRTIGVGFLLISGIGALIEDRGIGKGLGLHEEVQPSMDSSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKCSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQMLVELDGFKQNEGIIVVAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKAEDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGSKDVTMSDLEFAKDRIMMGSERKSAVISDESRKLTAFHEGGHALVAIHTEGALPVHKATIVPRGMALGMVSQLPDKDETSISRKQMLARLDVCMGGRVAEELIFGESEVTSGASSDLEQATKLARAMVTKFGMSKEVGLVAHNYDDNGKSMSTETRLLIESEVKQLLEKAYNNAKTILTVYNKELHALANALLQHETLSGKQIKELLTDLNSPLLQKRQEVVTKQSNPVPPSTPSSASSAAAAAAAAAAAAAAAAATAATKGKDMAPVS", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAALRPGSRALRRLLCRSFSGGGGVRLARERPTDHRDAASSRVSRFCPPRQSCHDWIGPPDKCSNLRPVHFHIPENESPLEQRLRELRQETQEWNQQFWAKQNLSFNKEKEEFIYSRLQAKGAGLRTESGQRATLDAEEMADFYKDFLSKNFQKHMRYNRDWYKRNFAITFFMGKVVLERMWSKLRQKKTSS", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVEQTQHPTILQKVSGQLLSSSVSQDIRGYASASKRPATYQKHAAYGNYSNAAFQYPLVAASQIATTTSPVFVQAPGEKGFTNFAIDFMMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKAGRLTEPYKGIRDCFGRTIRDEGIGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDSKSAKKGGGERQFNGLVDVYKKTLKSDGIAGLYRGFNISCAGIIVYRGLYFGLYDSVKPVLLTGDLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSFDAFSQIVKKEGAKSLFKGAGANILRAVAGAGVLAGYDKLQLIVFGKKYGSGGA", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAPRGPRALSAAAPGSGKPKLTHPGKAILAGGLAGGIEICITFPTEYVKTQLQLDERANPPRYRGIGDCVRQTVRSHGVLGLYRGLSSLLYGSIPKAAVRFGMFEFLSNHMRDAQGRLDSRRGLLCGLGAGVAEAVVVVCPMETIKVKFIHDQTSSNPKYRGFFHGVREIIREQGLKGTYQGLTATVLKQGSNQAIRFFVMTSLRNWYQGDNHNKPMNPLITGVFGATAGAASVFGNTPLDVIKTRMQGLEAHKYRNTLDCGLKILKNEGPKAFYKGTVPRLGRVCLDVAIVFIIYDEVVKLLNKVWKTD", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLGLGQSAQAYASDDALNMNQAKDKTYSVPGCGRASDLKYPHRDGHSSSHEQRSGILPTECPGPTLNTGAGSIGIPGCGKVTNRVVSDYNKNARSTLANFDSSKMTEARMNSKNVPIGCQDTSDPHFNGPIDQHVPGAGSPQSQPHHIDAWNSVSSRRADNNNQDMMDPQTASSDRYNEKMMREENSGVSASSYTTKVQGYPASIPSFNQETEEKETYAYGVGDRHNVPRNQIMDETNPSANVLNATDHSISHPENKVLHK", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSTNKFVVRITNALFKSSLASNSPPVYPKRIRHFEILPNEKWVIWGPGKGKFLDVLNNKYICEPPLSLRFGFLKESSNILPRIEQVAFKGVMPTAHLSARYEYFKDDYDQTCKQFIFDKASGSNAVSYKVETNNRQINMELYNALVENLNLSSLQDRWVMGLSNGQMRRARLARSILKEPDLLLIDDPFLGLDPAAIATISQFLAKYDSIEVSGGCPIVIGLRYQDTIPAWCTHICCVDEKNGILFEGPIEKLQSKMDETRSRALKELEQLKKASNSKEDISINDLICIHPMYGKKEHEIIKMPHLIELDGLSVSYKGEAVLENLHWKVQPGSKWHIRGDNGSGKSTLLSLLTAEHPQSWNSRVIDNGVPRRTGKTNYFDLNSKIGMSSPELHAIFLKNAGGRLNIRESVATGYHEASSNNYLPIWKRLDKNSQEIVNMYLKYFGLDKDADSVLFEQLSVSDQKLVLFVRSLIKMPQILILDEAFSGMEVEPMMRCHEFLEEWPGTVLVVAHVAEETPKCAHYLRLISPGEYEIGDMEN", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVMYELFCITRPAANATRTSSPTLAMNIAKNCGRAILDNKGVVVDVESMGLKELAKPIKKLNQSYSFGHWWSMTFYSNPTVQSEIQRILRLEPSVLRYMIVKKADKLSDLM", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAASAWLEAGLARVLFYPTLLYTVFRGRVRGPAHRDWYHRIDHTVLLGALPLKNMTRRLVLDENVRGVITMNEEYETRFLCNTSKEWKKAGVEQLRLSTVDMTGVPTLANLHKGVQFALKYQALGQCVYVHCKAGRSRSATMVAAYLIQVHNWSPEEAIEAIAKIRSHISIRPSQLEVLKEFHKEITARAAKN", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MYRLVSNVASKARIARKCTSQIGSRLNSTRNYAAKDIRFGVEARALMLRGVEDLADAVKVTMGPKGRNVIIEQSWGAPKVTKDGVTVAKSIEFKDRIKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGIKLAVDTVVTNLQSRARMISTSEEIAQVGTISANGDREIGELIAKAMETVGKEGVITIQDGKTLFNELEVVEGMKIDRGYISPYFITNPKTQKCELEDPLILIHEKKISNINAMVKVLELALKKQRPLLIVAEDVESDALATLILNKLRANIKVCAVKAPGFGENRKANLHDLAALTGAQVITEELGMNLDNIDLSMFGNCKKVTVSKDDTVVLDGAGDKQAIGERCEQIRSMVEASTSDYDKEKLQERLAKLSGGVAVLKIGGASETEVSEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELEKLSTANFDQKIGVQIIQNALKTPVYTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMIKAGIIDPLKVIRTALVDAASVSSLLTTTEAVVTEIPTKEVASPGMGGGGMGGMGGMGGMGGMGF", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNSVFRSTARCLRSATWQYKTAHKNGELSVGRARLMCSSAGQKNLEEMVKKDKVVVFMKGTPAQPMCGFSNAVVQILRMHGVDNYASYNVLDDQDVRQGIKTFSNWPTIPQVFFNGEFVGGCDILLQMHQSGDLVEELQKLGIRSALLDQEKESK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLGPKQSLPCIAPLLTTAEETLSAVSARVRGHIPEWLNGYLLRVGPGKFEFGKDRYNHWFDGMALLHQFRMERGTVTYKSKFLQSDTYKANSAGGRIVISEFGTLALPDPCKSIFERFMSRFEPPTMTDNTNVNFVQYKGDYYMSTETNFMNKVDIEMLERTEKVDWSKFIAVNGATAHPHYDPDGTAYNMGNSYGPRGSCYNIIRVPPKKKEPGETIHGAQVLCSIASTEKMKPSYYHSFGMTKNYIIFVEQPVKMKLWKIITSKIRGKPFADGISWEPQYNTRFHVVDKHTGQLLPGMYYSMPFLTYHQINAFEDQGCIVIDLCCQDDGRSLDLYQLQNLRKAGEGLDQVYELKAKSFPRRFVLPLDVSVDAAEGKNLSPLSYSSASAVKQGDGEIWCSPENLHHEDLEEEGGIEFPQINYGRFNGKKYSFFYGCGFRHLVGDSLIKVDVTNKTLRVWREEGFYPSEPVFVPVPGADEEDSGVILSVVITPNQSESNFLLVLDAKSFTELGRAEVPVQMPYGFHGTFVPI", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MARQATKLIANLSKKLSSSNPHTRCSEQTVWIGAAPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVEEAKAETKANASVIYVPPPFAAAAIMEGLEAELDLIVCITEGIPQHDMVRVKAALKKQSRTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFIADPQTEGIVLIGEIGGTAEEDAAALIKESGTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALKEAGVTVCESPAKIGVSMLEVFKQRGLV", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAKLPKITSLLPHSRVVSGIQPTGIPHIGNYLGSLKQWVQLQEEAARTPFSKCFFFVADLHALTVPQDPLKFRQARLDMLAALLAIGINPQKSTLFFQSDVAQHSELAWLLACSTSMGQLNRMTQWKSKLHLHDHDDLSFLDASATSSTRFNLGLFSYPVLQAADILLYGATHIPVGKDQSQHVELTRSIARSFNSSYKEKILTVPDIILNSSSSIMALCQPEKKMSKSDINSKNYILLSDSTGEIRKKISRAQTDNIKGITYGDSNRPGINNLINIFAAISDSTPSDIAQANASCSNAEFKEKVSSAIIRCLQPISTSFNEWRQNRELLRDIAKKGAEEAVAEASSCMHKLKTLTGLSVY", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEASALTSSAVTSVAKVVRVASGSAVVLPLARIATVVIGGVVAVPMVLSAMGFTAAGIASSSIAAKMMSAAAIANGGGVASGSLVATLQSLGATGLSGLTKFILGSIGSAIAAVIARFY", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MATKQLLRRQIKRVINALDYDIIAAESHTISQAVRSLIASANSRRVACYMSMDKGEVTTGEIIKNLFQDGQEVFLPRCTHTSESKHFKLREDHHPHLIFHRMSSLKMVRDLKPQGPYQLKEPEPHIEESDILDVVLVPGVAFDIKTGARMGHGAGYYDDFFQRYKILHEGQKPLLVGLCLMEQVASPIPLEKHDYSMDCIVCGDGSIHWFQ", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASVVLRNPSSKRLVPFSSQIYSRCGASVTSSYSISHSIGGDDLSSSTFGTSSFWRSMATFTRNKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSGPDGPMPQTKEHILLARQVGVPSLVCFLNKVDVVDDPELLELVEMELRELLSFYKFPGDDIPIIRGSALSALQGTNDEIGRQAILKLMDAVDEYIPDPVRVLDKPFLMPIEDVFSIQGRGTVATGRIEQGVIKVGEEVEILGLREGGVPLKSTVTGVEMFKKILDNGQAGDNVGLLLRGLKREDIQRGMVIAKPGSCKTYKKFEAEIYVLTKDEGGRHTAFFSNYRPQFYLRTADITGKVELPENVKMVMPGDNVTAVFELIMPVPLETGQRFALREGGRTVGAGVVSKVMT", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRLSALLALASKVTLPPNYRYGMSRPGSLADKKKNPPGTRRRRVAVEPIPEEDWHLFCGDRVEILEGKDAGKQGKVVQVIRQRNWVVVEGLNTHYRYVGKTVDFRGTMVPSEAPLLHNQVKLVDPMDRKPTEVEWRFTEAGERVRVSTRSGRIIPKPDVPRADGIVPETWIDGPKDTSVEDALEKTYVPRLKTLEEEVMEAMGIQETRRHKKVYWY", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAFRQALQLAACGLAGGSAAVLFSAVAVGKPRAGGDAEPRPAEPPAWAGGARPGPGVWDPNWDRREPLSLINVRKRNVESGEEELASKLDHYKAKATRHIFLIRHSQYHVDGSLEKDRTLTPLGREQAELTGLRLASLGLKFNKIVHSSMTRAIETTDIISRHLPGVCKVSTDLLREGAPIEPDPPVSHWKPEAVQYYEDGARIEAAFRNYIHRADARQEEDSYEIFICHANVIRYIVCRALQFPPEGWLRLSLNNGSITHLVIRPNGRVALRTLGDTGFMPPDKITRS", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFSRNFTTPSIRIYLNSCVSHRSFHNTTVSQSWLSRIRDRFRGTKEDEKKQIADKDMAAMKVVIPPKKRVSKWKDIQLFEKFAEPSLNREDSLKMINEIRKSLQLQTPWSPEARLQAVKLAYQKTGRIVYDAPLQNIHNWDDLYNYYDKIVDYGDTTLHGRLAWKPTPGLMSLPNVVLHVDENGYPLERKKRRLSRSVA", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLKYRTLSRSCHIFHPKSLSNNTLKSETTQELLQTVGFVRRSQVGLFQWLPLGLRSLNKVSNAIRNRMDSDGGAIEVSLSAISSKALWQATDRWNNSELFKLKDSKGKQYCLTATCEEDITDLMKNYIASYKDMPITIYQMTRKYRDEIRPRGGILRGREFLMKDAYSFASNEEDAFASFQKLDDTYNKIFKDLKIPFVSAWADSGDIGGEFSKEFHLIHESGEDTLMSCKHCGDISTLDMSQSYPEKDGQYSGDVDCKYALTKDHSTLICFYYPKDRQLNWNLALNAMDKDIDLTLRNKPNDHVLQVYEKDNEDIMFSKILRVMDCRLNSKSNFPDFPLKKYLKNNFGQISDVSIVDAQENEICGKCEEGRLEPLKSIEVGHIFLLGNKYSKPLNVKFVDKENKNETFVHMGCYGIGVSRLVGAIAELGRDSNGFRWPAIMAPYKVSICTGPNNPENSQRLQDVKSELLNDPTMQNLQNDILDQFNEKLGIGARIKLSHAMGIPLCVIVGSKSWPNVEIEVRGIRWGEKDLWRKQFEKRCSELQWKCTKNEHGIEKHTVPIQHLAEVIGVLLKDM", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNSLIFGKQLAFHKIVPTTAIGWLVPLGNPSLQIPGQKQLGSIHRWLREKLQQDHKDTEDKDFFSNNGILLAVPKKKVSHQKKRQKLYGPGKKQLKMIHHLNKCPSCGHYKRANTLCMYCVGQISHIWKTHTAKEEIKPRQEEELSELDQRVLYPGRRDTKYTKDLKDKDNYLERRVRTLKKD", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSASLVRATVRAVSKRKLQPTRAALTLTPSAVNKIKQLLKDKPEHVGVKVGVRTRGCNGLSYTLEYTKTKGDSDEEVIQDGVRVFIEKKAQLTLLGTEMDYVEDKLSSEFVFNNPNIKGTCGCGESFNI", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAMSRVASTAARAITSPSSLVFTRELQASPGPKKIVGVFYKANEYAEMNPNFLGCAENALGIREWLESKGHQYIVTPDKEGPDCELEKHIPDLHVLISTPFHPAYVTAERIKKAKNLQLLLTAGIGSDHVDLKAAAAAGLTVAEVTGSNTVSVAEDELMRILILVRNFLPGHHQVINGEWNVAAIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLKMDSELENQIGAKFEEDLDKMLSKCDIVVINTPLTEKTKGMFDKERIAKLKKGVLIVNNARGAIMDTQAVVDACNSGHIAGYSGDVWYPQPAPKDHPWRYMPNQAMTPHISGTTIDAQLRYAAGTKDMLDRYFKGEDFPAENYIVKDGELAPQYR", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNSRSCICVSAMKPCCRFLISFRSSSLFGFSPPNSGKFINSSKLHCTKIDSRSIRSGIHCRRIVLDRNAFCDSDSISWGGGGSRVLRARGSSRGRGRGVLVIPHVASDFRNYSTSSLDSHVNDKSFESMFVKPLVFKEVEKTEGIPKRERGNVGGGKDANFGNVGVRKETERCLSQTEVEKEAWKLLRGAVVNYCGFPVGTVAANDPGDTQTLNYDQVFIRDFVPSAYAFMLDGEGEIVRNFLLHTLQLQSWEKTVDCHSPGPGLMPASFKVKSAPLEGNDGSFEEFLDPDFGGSAIGRVSPVDSGLWWIILLRAYGKLTGDYTLQERIDVQTGIKLILKLCLADGFDMFPTLLVTDGSCMVDRRMGIHGHPLEIQALFYSALRCAREMLIVNDGTKSLVTAVNNRLSALSFHIREYYWVDIKKINEIYRYNTEEYSADATNKFNIYPEQIPTWLVDWIPDKGGYFIGNLQPAHMDFRFFTLGNLWAVISSLGNQEQNEGVMTLIEEKWDDLVANMPLKICFPALEKDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGKLELAKKAVAVAEKRLKEDEWPEYYDTKSGRFVGKQSRLYQTWTIAGFLAAKKLIEQPEKASLLFWEEDYQLLETCVCGLSKSSGRKNKCSRFTPPRS", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASLGRKFFAVGVLSRVFPSAFNAQKGLLKNASMFLTPAFRLSPSLLPWNFSRGFKVKASVKKRCSSCYFVRRKGRLYVLCKKHPRHKTRQG", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAFGLIGRVVGTKSSRLSTAARLIPARWTSTGSEAQSKASTGGGGASLKTFQIYRWNPDNPGKPELQDYKIDLKDCGPMVLDALIKIKNEMDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKIESGSKETTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKNPASVPGKEILQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEYTKERLEAIDDEFKLYRCHTILNCARACPKGLNPGKQITHIKQLQKSG", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVLNPSKYQDTRTWKMTPAMIRARKPFFKGNMLGLTLLLGVTGSVYYYTYHFLHKDNDFADVPIPPIDPQELEALKKEYEAKKKA", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAAPSVSCGAAVPYRLFLAGRVSFAREQGLWKAAASGLQTGTRCQILRLKHSPAVTTTKNVAALRRESYTVDFIKKQIEEFNIGKRHLANMMGEDPETFTQEDVDRAITYLFPSGLFEKRARPIMKHPEEIFPKQRAVQWGEDGRPFHFLFYTGKQSYYSLMHEAYGKVLHAEERQDQLRAKGLFSEKSKSKDLIGSRWLIKEELEEMLVEKLSDQDYAQFIRLLERLSALPCDAAEEEFVGRFRRTVTVQSKKHLIEPLQYDEQGMAFSTGQGKRKTANAEAVVYGHGSGKIEINGVDYLLYFPVTQDREQLMFPFHFLDRLGKHDVTCTVSGGGRSSQAGAIRLAMSRALCSFITEDEVEWMRQAGLLTTDPRVRERKKPGQEGARRKFTWKKR", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSSLSEYAFRMSRLSARLFGEVTRPTNSKSMKVVKLFSELPLAKKKETYDWYPNHHTYAELMQTLRFLGLYRDEHQDFMDEQKRLKKLRGKEKPKKGEGKRAAKRK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLNLCHALRGVRQFSCSVIVKVKCASCSIKLQDQDPSKPGYYTKPKSLPDSKLNPDLQDLKYLLFSQDIQLSKQATQNDPDLKTKRDLLLRVICKRCSNALHHNNYNPEEFPESTLNDILNYVPRGSNVMHIVPFVEFPLHLDPNVLKRNDLDTTLVLTKSDQVFKDKNAVSKKVPIFMKQFLKNTLRIDSNKTFAISALKNWNISMFYNYFKNYTYLLGNPNVGKSTLINTLLQKYLGYKVKIDSTGKINSPSEEVMQEAFTNPKNFFKIQAAGVSHIPNLTRSVQAYQVGGKILFDLPGYSTSTSRLRLEELIDERWLQRLRKTDLFNRKHIKQKTYESMKGTSQGGCYTVGGIFYLVPPKGSINQIVKYIPGPSKTFKNIEKGIDVFNSCNSSSGTHPLSRYCGIKSVICEKSQYKRYAIPPFIGSIEIVLKDIGYILLRTTGRYEFKGLHEIWIPRGIQVGIREPLENLIESGYQRYIETNGKESSCPRDRPIISSLYEMAPDEADTLNAVKKSYLEKTEKDLSARRFVDDDPYDLVQHLEKKKNPYWYYQW", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNPTTKRAIKEIVVYALAFGCSWYAAHKLLSTLDPYRQKRQDTVSKSRKRLDEWAGEQVKELETLELNEYEQIVASQLVLPSEIDVSFDDIGGMDEHVNQLLQDVLFPLKYPEVFDTHGGLLSCPKGLLLYGPPGCGKTMLAKALAKQSQATFINVSVGLLTDKWFGESNKLVDALFTLARKLEPTIIFIDEIDTFLRQRQRTDHEAMAQIKAEFMSMWDGLLSGQSRVLVLGATNRPADIDEAIRRRMPKVFSIPLPNAEQRRKILELYLKKVPLEANFDWNGVVNATAGLSGSYIKEVCRSALSVPRRELFDKHGNDLEAIKYDIQSGGLRSLKTEDFYHYESLQNVSGIDVE", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRILGSLPNNIRKCTFKLILQKRYSHTDILKELLYDISDSQKFIPSASPIASNLLRVSSYGKLSSLFIEYSKARSKKEFDQLRTSDFQSILRSVVCPKNGKNIRHRDRDLVSLQIKLILQDADRLGIQFNIVDYTHILRVAMYTGNKHILEYIVARVKEKRIRPSVDYFNAILGVIGGNRWSLSKFQSPAFRGSPVTEPVSGKMLDMIEVDFPNYDLVPNSTTYDYLMVGLSRDGKIREIYDLIDTVWGINENSSSKKVDCGNVTFPTHHTVFSIISALGYLGDVSSAVSLSRKLTSVYKINFPELAWRYIIYWSIASLQFRAPAGHARIKNIELFIRLYSQMYRHCVPIIEIYDTSIKFYMKHGRFGLLEKVCESWTKQFLGSLEKQNNEVKKKHLQLLEKQISKLMRYAETERPHDTKRVSVKWSNVLNQIHSSVGDPAKP", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAALGMLLSTGVRRLHCGSAARAGSQWRLRQGLAANPSGYGPLTELPDWSYADGRPAPPMKGQLRRKAQREKFARRVVLLSQEMDAGLQAWQLRQQEKLQEEKRKQQNALKPKGVLLQNPGPSQ", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTKTLYYRKLGRTSAHRQALLRNLVTSLVKHESIQTTWAKAKEAQRFAEKLITMAKRANPQNNRKGLAEGMVFEKETTLKKVFDVLVPRYNGRRCGYTRLLKLPPRSTDNAPMGVLEFVDGPKDIRFHMTAKTVGICLAQQKALTPITRKNIHKVLLFRKNGKAEFDQLVQKEKESEHARLKEDHEDEKTVKKDWKRGDPIPRPTYI", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MQTKIFARLAGIGRARFVGTMPDKTKFISKSGTYPQGFSLNGIASGVKANGKKDLAILFSSRPCNAAAVFTKNAFQAAPVQVSRQTLNGCGGKDIHCVVFNSGCANAVTGEGGLMDAQLITAEADNLTRPHWTSWTENSEEFPSSLVMSTGVIGQRLKLDKIQSGLEHAVEDLGSTHEYWMRAAEAICTTDTFPKLVSRELSIAGKVYRIAGFAKGAGMINPNLATLLGLFVTDAPISVDAVRSILRHAINNSFNSISIDGDTSTNDTIAFLANGAAGGSEITKSSPAYKEIRDAVTDIAQQLAKLVVRDGEGATKFVTVQVRGARSEKDAALVASTISNSALVKTAFFGEDANWGRILCAVGYSGAAVNPPATTVSFIPADGTEPLKLLVNGEPQNVDETRASEILSQDELTVDVDLGCGPYAKTNWTCDFSYDYVRINADYRS", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDTMLKSNPQTQQRSNHNGQETSLWSSSFGMKMEAITPFLGKYRPFMGRCCQTCTPKSWESLFHRSIMDLGFCNVILVKEENTRFRGWLVRRLCYFLWSLEQHIPTSFDASQKIMENTGVQNLLSGRVPGAAGEGQAPELVKKEVQRILGHIQTTPRPFLLRLFSWALLWFLNRLFLNVQLHKGQMKMVQKAVQEGSPLVFLSTHKSLLDGFLLPFVLFSQGLGVVRVALDSRTCSPALRALLRKLGGLFLPPEVNLSLDNSEGILARAVVRATVEELLTSGQPLLIFLEEPPGSPGPRLSALGQAWLGVVIQAVQAGIISDATLVPVAIAYDLVPDAPCNMNHDLAPLGLWTGALAVFRRLCNCWGCNRRVCVRVHLAQPFSLQEYTINARSCWDSRQTLEHLLQPIVLGECSVVPDTEKEQEWTPPTGLLLALKEEDQLLVRRLSRHVLSASVASSAVMSTAIMATLLLLKHQKGVVLSQLLGEFSWLTEETLLRGFDVGFSGQLRCLAQHTLSLLRAHVVLLRVHQGDLVVVPRPGPGLTHLARLSMELLPTFLSEAVGACAVRGLLAGRVPPEGPWELQGIELLSQNELYRQILLLLHLLPQDLLLPQPCQSSYCYCQEVLDRLIQCGLLVAEETPGSRPACDTGRQHLSAKLLWKPSGDFTDSESDDFEEPGGRCFRLSQQSRCPDFFLFLCRLLSPILKAFAQAATFLHLGQLPDSEVAYSEKLFQFLQACAQEEGIFECADPNLAISAVWTFKDLGVLQEMPSPTGPQLHLSPTFATRDNQDKLEQFIRQFICS", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGSLRRSTSNRSRKKGTAVKMNRMPSSLSPPTPPCSAIVGGTGKSKKRRGGVCLWTRFDRTGFMEVAGCDKSTIIERSSVSAKDLRTAFSHSSKILAREKAIVLNLEVIKAVITSEQVMLLDSLRPEVLTLTDRLKHHFPRKDGPENILQASSHGHQEGGEEGLKSKLPFEFRVLEIAFEVFCSFVDSNVVDLETQAWSILDELTKKVSNENLKDLRSLKTSLTHLLARVQKVRDEIEHFLDDKEDMEDLYLTRKWIQNQQTEAASNSIVSQPNLQRHTSNRISTSMVTEEDDIDDMEMLLEAYFMQLEGMRNKILLMKEHIDSTEAYVKILQNSRRNGLIHLMMLVNIGNYAITAGTVVVNLFGMNIPIGLYSTPDIFGYVVWAVVALCIVLFIVTVGYAKWKKLLD", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MQIGAATEGVEADLSVNAEPDVKPIAKMLSACVGSVITTLTVTPLDVVKTRLQSESISQYSSTQPISSAKILGKGRPAPKPLGGPVSGLYQIARHEGVRSLWRGLVPSLTMLLPANTVQFLGYEQLLPLYSDWGFPAAAAIAGASARTISATIVSPIELFRTRVQAVGGHYPPGHAREIANEVFDGLKLMIHQKGILNLWSGVSVTLWRDVPFSAFYWWSYERIRLFLLGHPSLQAFSSSQSTKDLYINFVSGGISGTLATLLTQPFDVSKTAKQVHGHTLTRGQFMLTLWKRGGPKALWKGTLPRCVKVAPSCAIMISSYHLTKKYFSESVDAY", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPGALSGRRMLPSGLCLGRWQLLRTIRARGRGDPRELPSTPQVLCMKLYGNPKYHQALHYGTVEPQDEITVTYKHGLPLVTLTLPSRKERCQFVVKPMLSTVGSFLQDLQNEDKGIKTAAIITADGSEIPASTLMDTLLMTDFKLIINKLRYDIRCHKKEEPSGEHMTELENTKSLVHRLFTILHLEEIQKRRERHLMAKIDHLQEQLRPLEQVKAAIEARSEANTSGLLWAGLALLSVQGGALAWLTWWVYSWDIMEPVTFFLSFANSIVFFAYFIITRQNYTYSSLRSRQFLQFFHKKSQRRCFDVEQYNKLKEDLAEATESLESVRRSLRLRIQGEEASEKN", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSLSRVLRGTFNTCPIRRFSSAATVVSEPTAVTAAISPPQKSLTSLVNGERNPKRIVEKFKKACESERFRTNIAVYDRTVRRLVAAKRLHYVEEILEEQKKYRDMSKEGFAARIISLYGKAGMFENAQKVFEEMPNRDCKRSVLSFNALLSAYRLSKKFDVVEELFNELPGKLSIKPDIVSYNTLIKALCEKDSLPEAVALLDEIENKGLKPDIVTFNTLLLSSYLKGQFELGEEIWAKMVEKNVAIDIRTYNARLLGLANEAKSKELVNLFGELKASGLKPDVFSFNAMIRGSINEGKMDEAEAWYKEIVKHGYRPDKATFALLLPAMCKAGDFESAIELFKETFSKRYLVGQTTLQQLVDELVKGSKREEAEEIVKIAKTNDFLKLKLNLPSQEE", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSSIPRVYSLGNSAMTYLLALRIAQLPSQPKVPSVVLLLNDQKKLNRFLNNDSKIIVKSSNNNKETYHRQFMASCVPPILSNGEIAPIENLIVSDPSSKFITAQLSKYNKSLRPETNILFLNPSLNLLEHLHRYRWRFDEARPNLFMGFTTPVDVGTIHQEFQLSLKVKGRIQFHIAKIDGFPRMSSTGKSASLSLRGDRQKNEKENNAFYKLFREISRLRSGIGSDLVSFDLHVHGFQDLFFTELEKLILESCTEPLLAVYDCVYKKELLKIPGAQDIIKKLISEQLSIIDRSYPSLNTNPNYSVIFDKERIFSLVMRDLEVNGHKRAKLAQSLNQLNQTNINELNGFFVSLGKYKKCNCKWNDILLTLIKGKQFITKQKALDYHYL", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLKVPLSDVLSQKMLFLKSFRYFHCTKYFSRDNASSTTDIFRNAMKRKRELANLKEQSHGNVARNAAFPKEYIKRPKQVPRNATNRKKILITWSTGTDRAKEAANSVVSEIFKKNHKGNIKVVDPTTHRIEASNIRYFAKGIDLDKVGLSIVNVEQIDNENQIPLVKIVESRVALKKYSDFLAKKKEKELMELGVLNKSYKNLVTDKKEDNLKHIKISWQIESDDLKRQKAHEIVSLLKKGNKVTLYLDDKNNINSNNWLENFEELDRSQKGEPPRLPESVFQKRAAVLETLKEIVSEYANDPVLLGNMNSKMIMKLIPKDVKPQNNDKRALKELRKKERQEKLQKRIQRKKMNEM", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKQSVRPIISNVLRKEVALYSTIIGQDKGKEPTGRTYTSGPKPASHIEVPHHVTVPATDRTPNPDAQFFQSVDGSQATSHVAYALSDTAFIYPITPSSVMGELADVWMAQGRKNAFGQVVDVREMQSEAGAAGALHGALAAGAIATTFTASQGLLLMIPNMYKIAGELMPSVIHVAARELAGHALSIFGGHADVMAVRQTGWAMLCSHTVQQSHDMALISHVATLKSSIPFVHFFDGFRTSHEVNKIKMLPYAELKKLVPPGTMEQHWARSLNPMHPTIRGTNQSADIYFQNMESANQYYTDLAEVVQETMDEVAPYIGRHYKIFEYVGAPDAEEVTVLMGSGATTVNEAVDLLVKRGKKVGAVLVHLYRPWSTKAFEKVLPKTVKRIAALDRCKEVTALGEPLYLDVSATLNLFPERQNVKVIGGRYGLGSKDFIPEHALAIYANLASENPIQRFTVGITDDVTGTSVPFVNERVDTLPEGTRQCVFWGIGSDGTVGANRSAVRIIGDNSDLMVQAYFQFDAFKSGGVTSSHLRFGPKPITAQYLVTNADYIACHFQEYVKRFDMLDAIREGGTFVLNSRWTTEDMEKEIPADFRRNVAQKKVRFYNVDARKICDSFGLGKRINMLMQACFFKLSGVLPLAEAQRLLNESIVHEYGKKGGKVVEMNQAVVNAVFAGDLPQEVQVPAAWANAVDTSTRTPTGIEFVDKIMRPLMDFKGDQLPVSVMTPGGTFPVGTTQYAKRAIAAFIPQWIPANCTQCNYCSYVCPHATIRPFVLTDQEVQLAPESFVTRKAKGDYQGMNFRIQVAPEDCTGCQVCVETCPDDALEMTDAFTATPVQRTNWEFAIKVPNRGTMTDRYSLKGSQFQQPLLEFSGACEGCGETPYVKLLTQLFGERTVIANATGCSSIWGGTAGLAPYTTNAKGQGPAWGNSLFEDNAEFGFGIAVANAQKRSRVRDCILQAVEKKVADEGLTTLLAQWLQDWNTGDKTLKYQDQIIAGLAQQRSKDPLLEQIYGMKDMLPNISQWIIGGDGWANDIGFGGLDHVLASGQNLNVLVLDTEMYSNTGGQASKSTHMASVAKFALGGKRTNKKNLTEMAMSYGNVYVATVSHGNMAQCVKAFVEAESYDGPSLIVGYAPCIEHGLRAGMARMVQESEAAIATGYWPLYRFDPRLATEGKNPFQLDSKRIKGNLQEYLDRQNRYVNLKKNNPKGADLLKSQMADNITARFNRYRRMLEGPNTKAAAPSGNHVTILYGSETGNSEGLAKELATDFERREYSVAVQALDDIDVADLENMGFVVIAVSTCGQGQFPRNSQLFWRELQRDKPEGWLKNLKYTVFGLGDSTYYFYCHTAKQIDARLAALGAQRVVPIGFGDDGDEDMFHTGFNNWIPSVWNELKTKTPEEALFTPSIAVQLTPNATPQDFHFAKSTPVLSITGAERITPADHTRNFVTIRWKTDLSYQVGDSLGVFPENTRSVVEEFLQYYGLNPKDVITIENKGSRELPHCMAVGDLFTKVLDILGKPNNRFYKTLSYFAVDKAEKERLLKIAEMGPEYSNILSEMYHYADIFHMFPSARPTLQYLIEMIPNIKPRYYSISSAPIHTPGEVHSLVLIDTWITLSGKHRTGLTCTMLEHLQAGQVVDGCIHPTAMEFPDHEKPVVMCAMGSGLAPFVAFLRERSTLRKQGKKTGNMALYFGNRYEKTEFLMKEELKGHINDGLLTLRCAFSRDDPKKKVYVQDLIKMDEKMMYDYLVVQKGSMYCCGSRSFIKPVQESLKHCFMKAGGLTAEQAENEVIDMFTTGRYNIEAW", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTEVVGFWESVSDDESEDKDCMEVQNTVSADESPLVQSLVSFVGSCSINLLLPFLNGMMLGFGELFAHELCWRFNWFNHRNKGYKVYPESRKIAALKEISSPGTRGRVASKFL", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MMSTIYRHLSTARPALTKYATNAAVKSTTASSEASTLGALQYALSLDEPSHSWTKSQLKEIYHTPLLELTHAAQLQHRKWHDPTKVQLCTLMNIKSGGCSEDCKYCAQSSRNDTGLKAEKMVKVDEVIKEAEEAKRNGSTRFCLGAAWRDMKGRKSAMKRIQEMVTKVNDMGLETCVTLGMVDQDQAKQLKDAGLTAYNHNIDTSREHYSKVITTRTYDDRLQTIKNVQESGIKACTGGILGLGESEDDHIGFIYTLSNMSPHPESLPINRLVAIKGTPMAEELADPKSKKLQFDEILRTIATARIVMPKAIIRLAAGRYTMKETEQFVCFMAGCNSIFTGKKMLTTMCNGWDEDKAMLAKWGLQPMEAFKYDRS", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTCYRGFLLGSCCRVAGGRAAALRGPGAGGPAARPRLGGDGGGRRHLGQGQPRELAGCGSRADGGFRPSRVVVVAKTTRYEFEQQRYRYAELSEEDLKQLLALKGSSYSGLLERHHIHTKNVEHIIDSLRNEGIEVRLVKRREYDEETVRWADAVIAAGGDGTMLLAASKVLDRLKPVIGVNTDPERSEGHLCLPVRYTHSFPEALQKFYRGEFRWLWRQRIRLYLEGTGINPVPVDLHEQQLSLNQHNRALNIERAHDERSEASGPQLLPVRALNEVFIGESLSSRASYYEISVDDGPWEKQKSSGLNLCTGTGSKAWSFNINRVATQAVEDVLNIAKRQGNLSLPLNRELVEKVTNEYNESLLYSPEEPKILFSIREPIANRVFSSSRQRCFSSKVCVRSRCWDACMVVDGGTSFEFNDGAIASMMINKEDELRTVLLEQ", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSLVAEAFVSQIAATEPWPENATLYQQLRGEQILLSDNAASLAVQAFLQMCNLPVKVVCRANAEYMSPSGKVPFIHVGNQVVSELGPIVQFVKAKGHSLSDGLDEVQKAEMKAYMELVNNMLLTAELYLQWCDEATVGEITIARYGSPYPWPLNHILAYQKQWEVKRKMKAIGWGNKTLDQVLEDVDQCCQALSQRLGTQPYFFNKQPTELDALVFGHLYTILTTQLTSDELSEKVKNYSNLLAFCRRIEQHYFEDWGKGRLS", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MQTMGGEHLLLSQLKGSFFLLLLAYFFRGRSPYYARCYRRLAVTPGAITIAIAIATDSIPALAKSKVLVSVCSHTDPCTASCNLIPFPRPFSNSLTRFLFCLGSARFCISFPCFGLSI", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSETGETSEYYKQALEEYKEVQEDEDPDVWDTRISKTGCYVENLALQLCHAETGDWRQCFNEMALFRKCWEKNGNRERVSTVDVDGTTSKDSEKKK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFCKISRAPARMGSRIFTQSTLRSFSCAPVAANIDAKKVAMSNFEKNKFINYQRIKDNLEIVKKRLNRPLTYSEKILYGHLDDPVNQDIERGVSYLKLRPDRVACQDATAQMAILQFMSAGMPEVAVPVTVHCDHLIEAYEGGPIDLERANVTNKEVYDFLQTACAKYNIGFWRPGSGIIHQIVLENYAFPGGLLIGTDSHTPNAGGLGMVAIGVGGADAVDVMANLPWELKCPKVIGVKLTGQLKGWTSPKDVILKVAGILTVKGGTGAIVEYFGPGVESLSCTGMGTICNMGAEIGATTSIFPFNPRMSEYLRATNRSAIADYAEEFAPIIAADENAHYDQIIEIDLNTLEPHLNGPFTPDLATPISKFKEAVKKNDWPQELKVGLIGSCTNSSYEDMSRAASICQQAIDKGIKTKSLFTITPGSEQVRATLTRDGQLDTMRKAGGIVLANACGPCIGQWKRTDVKKGEKNSIVTSYNRNFTGRNDANPATHAFVTSPDIVTAMVFSGDMNFNPLTDTLKDKDGNDFKFEPPTGAGLPSKGYDPGSNTYVAPSSVNVKDVAIDPHSKRLQRLTPFKKWDGKDMKGLKILIKAKGKCTTDHISAAGPWLKYRGHLQNISNNYMIGAINAENGEANKLKDQLTGEYKTVPNVAIDYRDHGIRWVTLGEQNFGEGSSREHAALEPRYLGGAAVITKSFARIHETNLKKQGLLPLTFADPAAYDKISPFDTVDIDGLTTFAPGKPLTLVVHPADGSAEWSTKLNHTFNKDQIEWFKAGSALNHMANMHKQK", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDGSKHPSVFQKLHGQSYLINRLSPSVQARGYCVSGAYVNGGLQSLLQPTSHGVGSSLIPHGSFPVLAHAPSEKTGTGFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGISDCFARTVKDEGMLALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKEKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGQRQFNGMVDVYKKTIASDGIVGLYRGFNISCVGIVVYRGLYFGLYDSLKPVVLVDGLQDSFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSLQAFSQIVKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQLIVLGKKYGSGGG", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSKLSRATRTLKKPEAGGVIRSIVRAGQAIPGPPLGPILGQRGVSINQFCKEFNEKTKDIKEGIPLPTKIFIKPDRTFELKIGQPTVSYFLKAAAGIEKGARHTGKEVAGLVSLKHVYEIACVKAKDDAFAMQDVPLSSVVRSIIGSARSLGIRVVKDLSAEELEAFQKERAVFLAAQKEADLAAQAEAAKK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MADQDPAGISPLQQMVASGTGAVVTSLFMTPLDVVKVRLQSQRPSMASELMPSSRLWSLSYTKLPSSLQSTGKCLLYCNGVLEPLYLCPNGARCATWFQDPTRFTGTMDAFVKIVRHEGTRTLWSGLPATLVMTVPATAIYFTAYDQLKAFLCGRALTSDLYAPMVAGALARLGTVTVISPLELMRTKLQAQHVSYRELGACVRTAVAQGGWRSLWLGWGPTALRDVPFSALYWFNYELVKSWLNGFRPKDQTSVGMSFVAGGISGTVAAVLTLPFDVVKTQRQVALGAMEAVRVNPLHVDSTWLLLRRIRAESGTKGLFAGFLPRIIKAAPSCAIMISTYEFGKSFFQRLNQDRLLGG", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSMLIFNIRVARHKALLSRIVSTNMFNPMFRSLRPIQKSFSEISILRVFNKPPIKKFHNSNILKDITSKRNATPAKIAWQAMTTREPFLVYQAKADKKISLIYLLTVGMLINVCVITSFASVDIYRAKDEIFANWVDMDYYEKLSYIGSAFITPALYFTLTLILFLPRRNIYSISTLPSQRFEIVTGFLSPFNKLYFSKSLIVPRKDVSIVTYSLQKNPITLKIRDRPFYYLLNANGKYAGNSKDVLFCVIGNRY", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLSRFMSNTWCTPLRQAQRLFSSTTTMQATLNQIKRGSGPPRRKKISTAPQLDQCPQRKGVVLRVMVLKPKKPNSAQRKACRVRLTNGNVVSAYIPGEGHDAQEHSIVYVRGGRCQDLPGVKYHVIRGAGDLSGVVNRISSRSKYGAKKPSKS", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MQPAARLLSRSVWKGPNIVPLPIREAMTKGTPIRTNARAATILPQFVGLKFQIHNGKEYVPIEISEDMVGHKLGEFAPTRKRFSYTQTKNK", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAMLVLVPGRVMRPLGGQLWRFLPRGLEFWGPAEGTARVLLRQFCARQAEAWRASGRPGYCLGTRPLSTARPPPPWSQKGPGDSTRPSKPGPVSWKSLAITFAIGGALLAGMKHVKKEKAEKLEKERQRHIGKPLLGGPFSLTTHTGERKTDKDYLGQWLLIYFGFTHCPDVCPEELEKMIQVVDEIDSITTLPDLTPLFISIDPERDTKEAIANYVKEFSPKLVGLTGTREEVDQVARAYRVYYSPGPKDEDEDYIVDHTIIMYLIGPDGEFLDYFGQNKRKGEIAASIATHMRPYRKKS", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQSCGRWWGRLAARGAPRHLRPAAGGPRRQQQRWGGGEAARCIEQLLPRHDDFCRRHIGPREREKREMLSAVGVQSVEELMDKTIPASIRLRRPLRMDDHVVENEILETLYNIASKNKIWRSYIGMGYYNCSVPQPIARNLLENAGWVTQYTPYQPEVSQGRLESLLNYQTMVCDITGMDVANASLLDEGTAAAEAMQLCHRQNKRRKFYIDARCHPQTIANYTGVITELKLPHEMDFSGKDVSGVLFQYPDTEGKVEDFSELIERAHQNGTLACCATDLLALCILKPPGEFGVDVVLGSSQRFGVPLCYGGPHAAFFAVKENLVRMMPGRMVGVTRDANGKEVYRLALQTREQHIRRDKATSNICTAQALLANMAAMYGVYHGSDGLKDIARRVHNATLILAEGLRRAGHKLHHDLFFDTLTVTCGCSVKEVLDRAALRKINVRIYSDGRLGVSLDETVNEKDLDDILWIFGCESSAELVAEGMGEETKGILSTPFKRTSKFLTHQVFNSYHSETNIVRYMKRLENKDISLVHSMIPLGSCTMKLNSSAELAPISWKEFANIHPFVPLDQAQGYQQLFKDLEKDLCEITGYDKISFQPNSGAQGEYAGLAAIKAYLNAKGERHRSVCLIPRSAHGTNPASAQMAGMKIQPIEVDKNGSIDISHLKAMVDKHKENLAAIMITYPSTNGVFEEEIGDVCDLIHKHGGQVYLDGANMNAQVGLCRPGDYGSDVSHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPYLPTHPVIKIQTDKDACPLGTVSAAPWGSSAILPISWVYIKTMGAKGLKHASEIAILNANYMAKRLEKHYKILFRGVRGYVAHEFILDTRPFKKTANIEAVDLAKRLQDYGFHAPTMSWPVAGTLMIEPTESEDKGELDRFCDAMISIRQEIADIEEGRMDPQVNPLKMSPHTLNCVTSSKWDRPYSREVAAFPLPFVKPESKFWPTIARIDDIYGDQHLVCTCPPMEAYESPFSEQKRASS", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVTNMVKLRNLRRLYCSSRLLRTIQNGRISSVSSISLSKKYTTKSAKEGEENVERKHEEEKKDTLKSSSVPTSRISRLFHYGSLAAGVGMNAAAKGISEVAKGNSPTWKSLILSDSNIDRITNKFSKMRGVALKIGQLLSFQDEKVLPKELYEILSRVQNSANHMPQRQLEKVMAKELGANWKTKFSKFDKIPMAAASIGQVHAAELPSGQRVVVKIQYPGVKESIDSDLNSLLMLLTASSLLPKGLFLDKTIANARTELKWECDYNREARALQKFEALLKDDPAFEVPHVFPEYTTDNIITMTRMEGTEIMKLPKASQETKNFISENIMRLCLEEIATFKYMQTDPNWANFLYNGRTKKIELLDFGASRPFAEDFILKYRKLLTYATLRDRKGAYEMSVQLGYLTGLESQSMKDAHVDSVLTLGEPFRGDVDKSFDFKDQTVSDRIRGNIGLMLNERLCPPPEETYSLHRKFSGIFLLCARMGASVHCAKLFKEIFAYKV", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSTPLIPPAPPKKTLQLYTPQYYGLCTLGGLLACGTTHSAITPLDLIKCRKQVNPNIYPGNIAGFKTILSKEGLRGLYTGGMPTLIGYSLQGCGKYGFYELFKHKYSTLVGAQKAHEYRTSIYLAASASAELLADIMLCPMEAIKVRVQTSNPRFANTTREAWSKIVTNEGFGTLYRGLAPLWFRQIPYTMMKFASFERIVEALYTYIGKPKNMYSKAEKIGISFAGGYMAGVLCAIISHPADVMVSKLNSNKKAGEGAGAAAARIYKEIGFSGLWNGLGVRIVMIGTLTGAQWLIYDSFKIMCGFPATGA", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTEKRERPGPLRWLRHLLDQLLVRILSLSLFRSRCDPPPLQRFPATELPPAVAAKYVPIPRVKGLPVVGTLVDLIAAGGATHLHKYIDARHKQYGPIFRERLGGTQDAVFVSSANLMRGVFQHEGQYPQHPLPDAWTLYNQQHACQRGLFFMEGAEWLHNRRILNRLLLNGNLNWMDVHIESCTRRMVDQWKRRTAEAAAIPLAESGEIRSYELPLLEQQLYRWSIEVLCCIMFGTSVLTCPKIQSSLDYFTQIVHKVFEHSSRLMTFPPRLAQILRLPIWRDFEANVDEVLREGAAIIDHCIRVQEDQRRPHDEALYHRLQAADVPGDMIKRIFVDLVIAAGDTTAFSSQWALFALSKEPRLQQRLAKERATNDSRLMHGLIKESLRLYPVAPFIGRYLPQDAQLGGHFIEKDTMVLLSLYTAGRDPSHFEQPERVLPERWCIGETEQVHKSHGSLPFAIGQRSCIGRRVALKQLHSLLGRCAAQFEMSCLNEMPVDSVLRMVTVPDRTLRLALRPRTE", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTGIIRNKVFFQIKQGNTPLGRVVFELYNDIVPKTAENFRALCTGEKGIGKSGKPLHYKGSSFHRVIKNFMVQGGDFTHGTGIGGESIYGRTFNDENFLVKHKIGCLSMANAGKNTNGSQFFITTAETPHLNGGHTVFGEVVEGFDIVKKVENAETDRSDRPKAACVIEDCGQL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRSRVLWGAARWLWPRRAVGPARRPLSSGSPPLEELFTRGGPLRTFLERQAGSEAHLKVRRPELLAVIKLLNEKERELRETEHLLHDENEDLRKLAENEITLCQKEITQLKHQIILLLVPSEETDENDLILEVTAGVGGQEAMLFTSEIFDMYQQYAAFKRWHFETLEYFPSELGGLRHASASIGGSEAYRHMKFEGGVHRVQRVPKTEKQGRVHTSTMTVAILPQPTEINLVINPKDLRIDTKRASGAGGQHVNTTDSAVRIVHLPTGVVSECQQERSQLKNKELAMTKLRAKLYSMHLEEEINKRQNARKIQIGSKGRSEKIRTYNFPQNRVTDHRINKTLHDLETFMQGDYLLDELVQSLKEYADYESLVEIISQKV", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLQSTARTASKLVQPVAGVLAVRSKHTLPDLPFDYADLEPVISHEIMQLHHQKHHATYVNNLNQIEEKLHEAVSKGNLKEAIALQPALKFNGGGHINHSIFWTNLAKDGGEPSKELMDTIKRDFGSLDNLQKRLSDITIAVQGSGWGWLGYCKKDKILKIATCANQDPLEGMVPLFGIDVWEHAYYLQYKNVRPDYVHAIWKIANWKNISERFANARQ", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAAGGARLLRAASAVLGGPAGRWLHHAGSRAGSSGLLRNRGPGGSAEASRSLSVSARARSSSEDKITVHFINRDGETLTTKGKVGDSLLDVVVENNLDIDGFGACEGTLACSTCHLIFEDHIYEKLDAITDEENDMLDLAYGLTDRSRLGCQICLTKSMDNMTVRVPETVADARQSIDVGKTS", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAVLRQFVKNSYSSIPKRFYALSANQKKLLKAPLAECDPTVYKILESEKSRQKESIALIASENFTSRAVMDALGSIMQNKYSEGYPGARYYGGNEFIDQAERLCQTRALEAFHLDGEKWGVNVQPHSGSPANLQAYQAVMKPHDRLMGLDLPHGGHLSHGFSTPQKAISAVSTYFSTMPYNVNKETGIIDYDSLEKAAIQFRPKVIVAGASAYARLVDYKRMRKITEMCNAYLLCDMAHISGLVAAGVIPSPFEYADIVTTTTHKSLRGPRGAMIFYRKGTRSHDKRGNPILYELEDKINFSVFPGHQGGPHNHTITALAVALGQAKTPEFYQYQKDVLSNAKAMANAFITRGYKLVSGGTDTHLVLVDLTDKGVDGARVERILELVNISANKNTVPGDKSALIPRGLRLGTPACTTRGFDEKDFERVVELIDEVVSLTKKINEAALKEGKSKFRDFKAYVGDGSKFSEIAKLKKEVITWAGKFDFPV", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLVRLTKLSCPAYQWFHALKIKKCLPLCAPRCSSTSAVPQITTHYTIHPREKDKRWEGVNMERFAEEADVVIVGAGPAGLSAAIRLKQLAAEQEKDIRVCLVEKAAQIGAHTLSGACLDPAAFKELFPDWKEKGAPLNTPVTEDRFAILTEKHRIPVPILPGLPMNNHGNYIVRLGHLVSWMGEQAEALGVEVYPGYAAAEVLYHEDGSVKGIATNDVGIQKDGAPKTTFERGLELHAKVTIFAEGCHGHLAKQFYKKFDLRASCDAQTYGIGLKELWVIDEKKWKPGRVDHTVGWPLDRHTYGGSFLYHLNEGEPLVAVGFVVGLDYQNPYLSPFREFQRWKHHPSIRPTLEGGKRIAYGARALNEGGLQSIPKLTFPGGLLIGCSPGFMNVPKIKGTHTAMKSGSLAAEAIFKQLTSENLQSKTAGLHVTEYEDNLKQSWVWKELHAVRNIRPSCHGILGVYGGMIYTGIFYWILRGMEPWTLKHKGSDSEQLKPAKDCTPIEYPKPDGQISFDLLSSVALSGTNHEHDQPAHLTLKDDSIPVNRNLSIYDGPEQRFCPAGVYEFVPLEQGDGFRLQINAQNCVHCKTCDIKDPSQNINWVVPEGGGGPAYNGM", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MWQVLRSWSKRCLSPRGALAWAAQGQPRPPCSCAVASAASGGKDEYTFIVVGAGSAGCVLANRLTEDPNHRVLLLEAGPKDLLMGSKRLQWKIHMPAALVANLCDDKYNWYYHTEAQPGLDGRVLYWPRGRVWGGSSSLNAMVYIRGHAEDYNRWHRQGAEGWDYAHCLPYFRKAQKHELGANMYRGGDGPLHVSRGKTNHPLHQAFLQAARQAGYPFTEDMNGFQQEGFGWMDMTIHQGKRWSTASAYLRPALSRPNLRAEVQTLVSRVLFEGTRAVGVEYIKDGQSHKAYVSREVILSGGAINSPQLLMLSGVGNADDLKKLGIPVVCHLPGVGQNLQDHLEIYIQHACTQPITLHSAQKPLRKVCIGLEWLWRFTGDGATAHLETGGFIRSRPGVPHPDIQFHFLPSQVIDHGRKPTQQEAYQVHVGTMRATSVGWLKLRSTNPQDHPMINPNYLSTETDVEDFRQCVKLTREIFAQEAFAPFRGKELQPGSHVQSDKEIDAFVRAKADSAYHPSCTCKMGQPSDPTAVVDQQTRVIGVENLRVIDASIMPSVVSGNLNAPTIMIAEKAADVIKGCPALGDENVPVYKPQTLDTQR", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSRSSKVVLGLSVLLTAATVAGVHVKQQWDQQRLRDGVIRDIERQIRKKENIRLLGEQIILTEQLEAEREKMLLAKGSQKS", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAAALVLRTWSRAAGQLICVRYFQTCGNVHVLKPKYVCFFGYPPFKYSHPYQWLKTTAALQGQIVQFKLSDIGEGIREVTVKEWYVKEGDTVSQFDSICEVQSDKASVTITSRYDGVIKKLYYNLDDTAYVGKPLVDIETEALKDSEEDVVETPAVSHDEHTHQEIKGQKTLATPAVRRLAMENNIKLSEVIGSGKDGRILKEDILNYLEKQTGAILPPSPKAEIMPPPPKPKDRTIPIPISKPPVFIGKDRTEPVKGFHKAMVKTMSAALKIPHFGYCDEVDLTELVKLREELKPIAFARGIKLSFMPFFLKAASLGLLQFPILNASVDENCQNITYKASHNIGIAMDTEQGLIVPNVKNVQIRSIFEIATELNRLQKLGSAGQLSTNDLIGGTFTLSNIGSIGGTYAKPVILPPEVAIGALGTIKALPRFNEKGEVCKAQIMNVSWSADHRIIDGATVSRFSNLWKSYLENPAFMLLDLK", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTCGLVRIRLARFGRKNSPVYNIVVANSRKARDAKPIEVLGTYVPVPSPVTKRELKRGVVPIKDVKLDFDRTKYWIGVGAQPSETVTKLLRKAGILNDAWATSKNSNVNRKVVFERMETLE", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSLEQEEETQPGRLLGRRDAVPAFIEPNVRFWITERQSFIRRFLQWTELLDPTNVFISVESIENSRQLLCTNEDVSSPASADQRIQEAWKRSLATVHPDSSNLIPKLFRPAAFLPFMAPTVFLSMTPLKGIKSVILPQVFLCAYMAAFNSINGNRSYTCKPLERSLLMAGAVASSTFLGVIPQFVQMKYGLTGPWIKRLLPVIFLVQASGMNVYMSRSLESIKGIAVMDKEGNVLGHSRIAGTKAVRETLASRIVLFGTSALIPEVFTYFFKRTQYFRKNPGSLWILKLSCTVLAMGLMVPFSFSIFPQIGQIQYCSLEEKIQSPTEETEIFYHRGV", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFLSAVTFAKSKSKTILVKMVSQAGTGFSFNTKRSRLWEKLTLLHYDPVVKKKVLFVEQKKIRSL", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLSFRSLTSTFGFVSRFQIRRLGTSLSIQNLEVQDGRWKGKLATEKKTNREHKSVDTNIKTMKMLKNPKNSTRYLRRSFVPNHRKQENGRDILEDSLSKDHLKVKSCITITTGEGYDLKRCMKLLTMQGLQPTNLIPDEIVSFSYQDNGNKGDVMILGQNGSIVSWGFSESSVRNCIVPIVKAASLNPLNGEDFETEDMDYVEIEGEQDFDKLSSLDNKVTPRIACESFLSGDLIIINSLDSDQGMLDKAAFSSGLSRSTNLAVLEEAMEKHISKTRTITENISKGTKLNLRSSDALKSIGRLFLIRGKLNLYSELIETPDLYWSEPQLEEIFKNVSRYLDIGPRINILNSKLDYSTDECRALISLLNERNSTFLEWIIIYLIAFELCFEIYHFYQKYSSYCSEPTNDDLDATK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVAAMVAALRGPSRRFRPRTRALTRGTRGAASAAGGQQSFDLLVIGGGSGGLACAKEAAQLGKKVAVADYVEPSPRGTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEVAQPVQHNWKTMAEAVQNHVKSLNWGHRVQLQDRKVKYFNIKASFVDEHTVRGVDKGGKATLLSAEHIVIATGGRPRYPTQVKGALEYGITSDDIFWLKESPGKTLVVGASYVALECAGFLTGIGLDTTVMMRSIPLRGFDQQMSSLVTEHMESHGTQFLKGCVPSHIKKLPTNQLQVTWEDHASGKEDTGTFDTVLWAIGRVPETRTLNLEKAGISTNPKNQKIIVDAQEATSVPHIYAIGDVAEGRPELTPTAIKAGKLLAQRLFGKSSTLMDYSNVPTTVFTPLEYGCVGLSEEEAVALHGQEHVEVYHAYYKPLEFTVADRDASQCYIKMVCMREPPQLVLGLHFLGPNAGEVTQGFALGIKCGASYAQVMQTVGIHPTCSEEVVKLHISKRSGLEPTVTGCUG", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLVHLFRVGIRGGPFPGRLLPPLRFQTFSAVRNTWRNGKTGQLHKAEGEYSDGYRSSSLLRAVAHLRSQLWAHLPRAPLAPRWSPSAWCWVGGALLGPMVLSKHPHLCLVALCEAEEAPPASSTPHVVGSRFNWKLFWQFLHPHLLVLGVAVVLALGAALVNVQIPLLLGQLVEVVAKYTRDHVGSFMTESQNLSTHLLILYGVQGLLTFGYLVLLSHVGERMAVDMRRALFSSLLRQDITFFDANKTGQLVSRLTTDVQEFKSSFKLVISQGLRSCTQVAGCLVSLSMLSTRLTLLLMVATPALMGVGTLMGSGLRKLSRQCQEQIARAMGVADEALGNVRTVRAFAMEQREEERYGAELEACRCRAEELGRGIALFQGLSNIAFNCMVLGTLFIGGSLVAGQQLTGGDLMSFLVASQTVQRSMANLSVLFGQVVRGLSAGARVFEYMALNPCIPLSGGCCVPKEQLRGSVTFQNVCFSYPCRPGFEVLKDFTLTLPPGKIVALVGQSGGGKTTVASLLERFYDPTAGVVMLDGRDLRTLDPSWLRGQVVGFISQEPVLFGTTIMENIRFGKLEASDEEVYTAAREANAHEFITSFPEGYNTVVGERGTTLSGGQKQRLAIARALIKQPTVLILDEATSALDAESERVVQEALDRASAGRTVLVIAHRLSTVRGAHCIVVMADGRVWEAGTHEELLKKGGLYAELIRRQALDAPRTAAPPPKKPEGPRSHQHKS", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASLSRVLRVAATCPRGRSARNLGLQPLAREARPTTEKSVPYQCTLKEEAQGASVVPQGPSQPLPSTANVVVIGGGSLGCQTLYHLAKLGVGGVVLLERERLTSGTTWHTAGLLWQLRPSDVEVELLAHTRQVVSHDLEEETGLHTGWIQNGGLFIASNQQRLDEYKRLMSLGKAYGIESHVLSPAETKDLYPLMNVDDLYGTLYVPRDGTMDPAGTCTTLTRAAVARGAQVIENCAVTGIRVRTDDFGVRRVTAVETQHGSIQTPCVVNCAGVWASSVGRMAGVKVPLVAMHHAYVVTERIEGIQNMPNVRDHDASVYLRLQGDALSVGGYEANPIFWDEVSDKFAFGLFDLDWDVFTQHIEGAINRVPVLEKTGIKSTVCGPESFTPDHKPLMGEAPELRGFFLGCGFNSAGMMLGGGCGQELAHWIVHGRPEKDMYSYDIRRFHHSLTDHPRWIRERSHESYAKNYSVVFPHDEPLAGRNMRRDPLHEELLGQGCVFQERQGWERPGWFNPQETAQVLDYDYYGAYGHQAHKDYAYSRLLGDEYTFDFPPHHCVIQKECLACRTAAAVFNMSYFGKFYLLGADARKAPDWLFSADVNRPPGSTVYTCMLNQRGGTESDLTVSCLAPGAQASPLAPAFEGDGYYLAVGGAVAQHNWSHINTVLQDQEFRCQLMDCSEDLGMLSIQGPASRDILQDVLDADLSNEAFPFSTHQLVRAAGHLVRAIRLSFVGELGWELHVPQASCLPVYRAVMAAGAKHGLVNAGYRAIDSLSIEKGYRHWHADLRSDDSPLEAGLAFTCKLKTSVPFLGREALEKQRATGLRRRLVCLTVEEEVPMFGLEAIWRNGQVVGHVRRADFGFTVNKTIAYGYIRDPSGGPVSLDFVKNGDYALERMGVTYAAQVHLKSPFDPDNKRVKGIY", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSANEFYSSGQQGQYNQQNNQERTGAPNNGQYGADNGNPNGERGLFSTIVGGSAGAYAGSKVSNNHSKLSGVLGAIGGAFLANKISDERKEHKQQEQYGNSNFGGAPQGGHNNHHRQDNNNNNGGFGGPGGPGGQGFGRQGPQGFGGPGPQEFGGPGGQGFGGPNPQEFGGPGGQGFGGPNPQEFGGQGRQGFNGGSRW", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAASVLNVLLRRLPYFSPFRGAYGVQVPLQTLCTKAPPEDDSLPPIPVSPYEDEPWKYLDSEEYHNRNGSRPVWADYRRNHKGGIPPQRTRKMCIRGNKVAGNPCPICRDQKLHVDFRNVKLLKQFVCAHTGIIFHAPYTGVCMKQHKKLTQAIQKARDHGLLSYHIPQVEPRDLDFSTSHGAVSATPPAPTLVSGDPWYPWYSWKQPPERELSRLRRLYQGHLREESGPPPESMPKVPLTAPNEATSTEQAGPQSAL", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MQAVRNAGSRFLRSWTWPQTAGRVVARTPAGTICTGARQLQDAAAKQKVEQNAAPSHTKFSIYPPIPGEESSLRWAGKKFEEIPIAHIKASHNNTQIQVVSASNEPLAFASCGTEGFRNAKKGTGIAAQTAGIAAAARAKQKGVIHIRVVVKGLGPGRLSAMHGLIMGGLEVISITDNTPIPHNGCRPRKARKL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MQVLRNSGSWLLRSWAWPPTTRVVAGVPAPTIHMSAQQMQDAAAKEEVEKAETPAPAPSRSSFSIYPPIPGQESSLRWAGKKFEEIPIAHIKASYNNTQIHVVSAAHQPLARASCGTEGFRNAKKGTGIAAQTAGIAAAAKATGKGVTHVRVVVKGLGPGRLSAIKGLTMGGLEVISITDNTPIPHNGCRPRKARRL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSVVRSSVHAKWIVGKVIGTAMQKTAKVRVTRLVLDPYLLKYFNKRKTYFAHDALQQCTVGDIVLLKALPVPRTKHVKHELAEIVFKVGQVVDPVTGKRCAGTTYLESPVDLETTPLAKNLEELSLSTTQ", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAVLGLCGGLGKRKFTRFPTAFVCLTNSGTRAVLWRSCSQCKQVTSSEDLPIPMENPYKEPLKKCILCEKRVDYKNVQLLSQFISPFTGCIYGRHITGLCGKKQREITKAIKRAQILGFMPVTYKDPAYLKDPKVCNIRYRE", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLRVAWRTLSLIRTRAVTQVLVPGLPGGGSAKFPFNQWGLQPRSLLLQAARGYVVRKPAQSRLDDDPPPSTLLKDYQNVPGIEKVDDVVKRLLSLEMANKKEMLKIKQEQFMKKIVANPEDTRSLEARIIALSVKIRSYEEHLEKHRKDKAHKRYLLMSIDQRKKMLKNLRNTNYDVFEKICWGLGIEYTFPPLYYRRAHRRFVTKKALCIRVFQETQKLKKRRRALKAAAAAQKQAKRRNPDSPAKAIPKTLKDSQ", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVQLTTVLCKAYRGGHLTIRLALGGCTNRPFYRIVAAHNKCPRDGRFVEQLGSYDPMPNSHGEKLVALNLDRIRHWIGCGAHLSKPVEKLLGLSGFFPLHPMVITNAERLRRKRAQEVLLAAQKTDTEATETKEN", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MIKHIVSPFRTNFVGISKSVLSRMIHHKVTIIGSGPAAHTAAIYLARAEMKPTLYEGMMANGIAAGGQLTTTTDIENFPGFPESLSGSELMERMRKQSAKFGTNIITETVSKVDLSSKPFRLWTEFNEDAEPVTTDAIILATGASAKRMHLPGEETYWQQGISACAVCDGAVPIFRNKPLAVIGGGDSACEEAEFLTKYASKVYILVRKDHFRASVIMQRRIEKNPNIIVLFNTVALEAKGDGKLLNMLRIKNTKSNVENDLEVNGLFYAIGHSPATDIVKGQVDEEETGYIKTVPGSSLTSVPGFFAAGDVQDSRYRQAVTSAGSGCIAALDAERYLSAQE", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNISGTLNTLRLLYNPSLCKPSLVVPTFNDLPIPIHDSIKAVVLDKDNCIAFPHDDKIWPDYLQHWETLRSKYSNKALLIVSNTAGSNSDKDYSQAKLLEDKTGIPVLRHSTKKPGCHNEILDYFYRNKTITNPKEVAVVGDRLFTDILMANLMGSYGVWIRDGVKVSANPLSKFEKKLYNFLGF", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLSARCLKSIYFKRSFSQLGHIKPPKHIRNEPVKPFRNIDLKDWDLLRASLMKFKSSSLEVPLVINGERIYDNNERALFPQTNPANHQQVLANVTQATEKDVMNAVKAAKDAKKDWYNLPFYDRSAIFLKAADLISTKYRYDMLAATMLGQGKNVYQAEIDCITELSDFFRYYVKYASDLYAQQPVESADGTWNKAEYRPLEGFVYAVSPFNFTAIAANLIGAPALMGNTVVWKPSQTAALSNYLLMTVLEEAGLPKGVINFIPGDPVQVTDQVLADKDFGALHFTGSTNVFKSLYGKIQSGVVEGKYRDYPRIIGETGGKNFHLVHPSANISHAVLSTIRGTFEFQGQKCSAASRLYLPESKSEEFLSDMFGILQSQNVVPMNTSASPISGGNLRGFMGPVIHEQSFDKLVKVIEDAKKDPELEILYGGQYDKSQGWFVGPTVIKAKRPDHPYMSTEFFGPILTVYEYPDTEFNEICDIIDNTSQYALTGAIFAKDRKAIEYADEKLKFSAGNFYINDKCTGAVVSQQWFGGARMSGTDDKAGGPNILSRFVSIRNTKENFYELTDFKYPSNYE", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MWVLLRSGYPLRILLPLRGEWMGRRGLPRNLAPGPPRRRYRKETLQALDMPVLPVTATEIRQYLRGHGIPFQDGHSCLRALSPFAESSQLKGQTGVTTSFSLFIDKTTGHFLCMTSLAEGSWEDFQASVEGRGDGAREGFLLSKAPEFEDSEEVRRIWNRAIPLWELPDQEEVQLADTMFGLTKVTDDTLKRFSVRYLRPARSLVFPWFSPGGSGLRGLKLLEAKCQGDGVSYEETTIPRPSAYHNLFGLPLISRRDAEVVLTSRELDSLALNQSTGLPTLTLPRGTTCLPPALLPYLEQFRRIVFWLGDDLRSWEAAKLFARKLNPKRCFLVRPGDQQPRPLEALNGGFNLSRILRTALPAWHKSIVSFRQLREEVLGELSNVEQAAGLRWSRFPDLNRILKGHRKGELTVFTGPTGSGKTTFISEYALDLCSQGVNTLWGSFEISNVRLARVMLTQFAEGRLEDQLDKYDHWADRFEDLPLYFMTFHGQQSIRTVIDTMQHAVYVYDICHVIIDNLQFMMGHEQLSTDRIAAQDYIIGVFRKFATDNNCHVTLVIHPRKEDDDKELQTASIFGSAKASQEADNVLILQDRKLVTGPGKRYLQVSKNRFDGDVGVFPLEFNKNSLTFSIPPKNKARLKKIKDDTGPVAKKPSSGKKGATTQNSEICSGQAPTPDQPDTSKRSK", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPVAVGPYGQSQPSCFDRVKMGFVMGCAVGMAAGALFGTFSCLRIGMRGRELMGGIGKTMMQSGGTFGTFMAIGMGIRC", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLLFPGLKPVLNASTVIVNPVRAVFPGLVLSTKRSFYSINRLNAENKINDIANTSKEASSSVQMFKPPEFSQFKDSYQKDYERIAKYTLIPLTMVPFYASFTGGVINPLLDASLSSIFLIYLQYGFTSCIIDYIPKEKYPRWHKLALYCLYGGSMLSLYGIYELETKNNGFVDLVKKLWNENDDHLYIFGRN", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAEAHQAVAFQFTVTPDGIDLRLSHEALRQIYLSGLHSWKKKFIRFKNGIITGVYPASPSSWLIVVVGVMTTMYAKIDPSLGIIAKINRTLETANCMSSQTKNVVSGVLFGTGLWVALIVTMRYSLKVLLSYHGWMFTEHGKMSRATKIWMGMVKIFSGRKPMLYSFQTSLPRLPVPAVKDTVNRYLQSVRPLMKEEDFKRMTALAQDFAVGLGPRLQWYLKLKSWWATNYVSDWWEEYIYLRGRGPLMVNSNYYAMDLLYILPTHIQAARAGNAIHAILLYRRKLDREEIKPIRLLGSTIPLCSAQWERMFNTSRIPGEETDTIQHMRDSKHIVVYHRGRYFKVWLYHDGRLLKPREMEQQMQRILDNTSEPQPGEARLAALTAGDRVPWARCRQAYFGRGKNKQSLDAVEKAAFFVTLDETEEGYRSEDPDTSMDSYAKSLLHGRCYDRWFDKSFTFVVFKNGKMGLNAEHSWADAPIVAHLWEYVMSIDSLQLGYAEDGHCKGDINPNIPYPTRLQWDIPGECQEVIETSLNTANLLANDVDFHSFPFVAFGKGIIKKCRTSPDAFVQLALQLAHYKDMGKFCLTYEASMTRLFREGRTETVRSCTTESCDFVRAMVDPAQTVEQRLKLFKLASEKHQHMYRLAMTGSGIDRHLFCLYVVSKYLAVESPFLKEVLSEPWRLSTSQTPQQQVELFDLENNPEYVSSGGGFGPVADDGYGVSYILVGENLINFHISSKFSCPETDSHRFGRHLKEAMTDIITLFGLSSNSKK", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASPIPVGVTKEQAFSMAQTEMEYRVELFNKLAQTCFNKCVDKRYKEAELNMGENSCIDRCVSKYWQVNGMVGQLLSAGKPPV", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSVSAAARHLESLLPRLASLRHYLQFHARLLTSGHLGAHPGLRARFLDRLALSPHPAALPHALLLLRSLPTPATNDLNAALRGLAASPHPARSLLLLAGRLLPALLPRPDALSLSFALKASARCSDAHTTVQLHALVLRLGVAADVRLLTTLLDSYAKCGDLASARKVFDEMTVRDVATWNSLLAGLAQGTEPNLALALFHRLANSFQELPSREEPNEVTIVAALSACAQIGLLKDGMYVHEFAKRFGLDRNVRVCNSLIDMYSKCGSLSRALDVFHSIKPEDQTLVSYNAAIQAHSMHGHGGDALRLFDEMPTRIEPDGVTYLAVLCGCNHSGLVDDGLRVFNSMRVAPNMKHYGTIVDLLGRAGRLTEAYDTVISMPFPADIVLWQTLLGAAKMHGVVELAELAANKLAELGSNVDGDYVLLSNVYASKARWMDVGRVRDTMRSNDVRKVPGFSYTEIDGVMHKFINGDKEHPRWQEIYRALEDIVSRISELGYEPETSNVLHDIGEEEKQYALCYHSEKLAIAFGLIATPPGETLRVIKNLRICGDCHVVAKLISKAYGRVIVIRDRARFHRFEDGQCSCRDYW", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MMLKSVTESFAGMIHGLKVNHLTDGIIRRSKRMILDSLGVGFLGTGTEVFHKVTQYSKIYSSNTSSTVWGRPDFRLPPTYAAFVNGVAVHSMDFDDTWHPATHPSGAVLPVLTALSEALPQIPKFSGLDLLLAFNVGIEVQGRLMHFSKEAKDIPKRFHPPSVVGTLGSAAAASKFLGLSLTKCREALAIAVSHAGAPIANAATQTKPLHIGNAAKHGMEATFLAMLGLQGNKQILDLGSGFGAFYANYSPEDLPSLDSHIWLLDQQDVAFKSFPAHLATHWVADAAAAVRKHLVTPERALFPADHIERIVLRIPDVQYVNRPFPDSEHEARHSFQYVACASLLDGSITVPSFHSQQVNRPQVRELLKKVKLEHPPDNPPSFDTLYCEISITLKDGTTFTERSDTFYGHWRKPLSQEDLRNKFRANASKMLCRDTVESLITVVEKLEDLEDCSVLTRLLKGPSVQDEASKLSSMSSFDHTTLPRFTNI", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASSLTRNCSRFSKAISVRFMSNLPENTVYGGPKPQNPNQRVTLTHLRQKHRRGEPITVVTAYDYPSAVHLDTAGIDVCLVGDSASMVVHGHDTTLPISLDEMLVHCRAVARGAKRPLLVGDLPFGTYESSSSQAVDTAVRVLKEGGMDAIKLEGGSASRITAAKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGRNIASAVKVVETAMALQEAGCFSVVLECVPPPVAAAATSALKIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQYANVGEVINKALMEYKEEVSKKVFPGPSHSPYKITASELDGFLTELQKLGFDKAASAAALAAENMEPSK", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAKQGQEAMKKAIQNARGAGVGLGLVAAAGAAVYGVAQSMFTVEAGHRAIMFNRIGGLSTDLYKEGLHFRIPWFQYPIIYDIRARPNQIRSPTGSKDLQMVNIGLRVLSRPNPEHLVHIYRTLGQNWEERVLPSICNEVLKGVVAKFNASQLITQRQQVSMLVRKTLIERALDFNIILDDVSLTELAFSPQYSAAVEAKQVAAQEAQRATFYVERAKQQKQEKIVQAEGEAESAKLLGEAMKNDPGFLKLRKIRAAQKIARIVSESGNKTYLPTGGLMLNIADTDYLNVTDKRR", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGSGDTRGESSLVAKPIEIILNKLPHAILAQQQFQKYITSPIYRYLSKLLLFREVAWPESTKDTQKGQVGIFSFQNNYADSATTFRILAHLDEQRYPLPNGAAEKNLPSLFEGFKATVSIIQQRLLLDNVDGATNSDKEKYVQLPDINTGFVNKTYSRIDLTHLLEDVETNVENLSINKTLEMDELTRLDSMINELESRKLKILERVKHIDSKSTNLENDVTLIKDRINFIEEYNLEADREQSLRKQMEEERSSEASSFTQNEEAISSLCDVESKDTRLKDFYKMPHEKSHDKNRQIISETYSRNTTAFRMTIPHGEHGNSITALDFDTPWGTLCSSSYQDRIVKVWDLNHGIQVGELPGHLATVNCMQIDKKNYNMLITGSKDATLKLWDLNLSREIYLDHSPLKEKTEEIVTPCIHNFELHKDEITALSFDSEALVSGSRDKKIFHWDLTTGKCIQQLDLIFTPTHSDIKMPARSLNNGACLLGTEAPMIGALQCYNSALATGTKDGIVRLWDLRVGKPVRLLEGHTDGITSLKFDSEKLVTGSMDNSVRIWDLRTSSILDVIAYDLPVSSLDFDGKLITVGANEGGVNVFNMERDEHWMTPEPPHSLDGDELSRRIAIVKYKDGFLINGHNDGDINVWTL", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLPLRCSWSFARANYSTKKELVTRYSGDFPEKLLNRKQKVPTHMYIANSEAAARINQYLEPHFQSSGCDTVMELNSGAGYFTRHLLDRESQFRRIILLESMDHFMPKIQELHTLYPERVKVRQGDFVNLWKLVYMDKMDGGSRVADLLSDVPQKAFTDDINMLVFGAVGSYPFFKHLINSLIFQTSLFNLGRCEMILAMPPPIYIHLTCNNEIGYLIYRSTSVLFQILFEHKFIAKVPREDFLPQQMAYSPTKSSKLGKVQSINPEYLYLVKFTPRRNLHELCQSQDLPALWFFIKQNYVSRRNRIIPNLEKWVPGCGPRLIINPKSSESVTPIYPDELPKKLPQYSCQSTTMSTRNYYPGINIYTQFGDLLPSQILTLFSQFRQWPEYGESSFLASLENALLKLETANDEPNLEDGVTLPEEDDAEADEIIEEESPVPATTPVKRRRKASS", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASFDEAPPGNAKAGEKIFRTKCAQCHTVEAGAGHKQGPNLNGLFGRQSGTTAGYSYSAANKNKAVEWEEKALYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKESTAPK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASLLKAFIDPKKNFLARMHMKAISTRLRRYGLRYDDLYDQYYSMDIKEAMNRLPREVVDARNQRLKRAMDLSMKHEYLPKDLQAVQTPFRGYLQDMLALVERESKEREALGALPLYQRTLP", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSTRTKALNAYRHGLRATRIAFRNDAEVLLAARAKMRSGMLCPPDPKLTTEDQIQHLEDVAVFLRRNLVQGKKVDGSSTKEPRYHLNIHKDTELGDNETIADPTARVKTNLKARPFKCSDKKQ", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFRLNSLSALAELAVGSRWYHGGSQPIQIRRRLMMVAFLGASAVTASTGLLWKRAHAESPPCVDNLKSDIGDKGKNKDEGDVCNHEKKTADLAPHPEEKKKKRSGFRDRKVMEYENRIRAYSTPDKIFRYFATLKVISEPGEAEVFMTPEDFVRSITPNEKQPEHLGLDQYIIKRFDGKKISQEREKFADEGSIFYTLGECGLISFSDYIFLTTVLSTPQRNFEIAFKMFDLNGDGEVDMEEFEQVQSIIRSQTSMGMRHRDRPTTGNTLKSGLCSALTTYFFGADLKGKLTIKNFLEFQRKLQHDVLKLEFERHDPVDGRITERQFGGMLLAYSGVQSKKLTAMQRQLKKHFKEGKGLTFQEVENFFTFLKNINDVDTALSFYHMAGASLDKVTMQQVARTVAKVELSDHVCDVVFALFDCDGNGELSNKEFVSIMKQRLMRGLEKPKDMGFTRLMQAMWKCAQETAWDFALPKQ", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSNLSARTGRDHQRYDNNFRLVSGCIPYRLVKDEEEDSTSVDFENKLQVLMISSPNRHDLVFPKGGWEDDETVLEAASREAMEEAGVKGILREDPLGVWEFRSKSSSVEADCCLGGGCKGYMFALEVKEELAIWPEQDDRERRWLNVKEALELCRYEWMQSALEEFLRVMAEEGSTKEDSLAISSISNRGERQIDPRYCFVV", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MILTTARLNCRPVTVPRLFNRSFSQSFIILKKKSSTPTEKVEEDEIDVNELLKKAETQFKKTLEIQKQKMNEIKQGNFNPKVFNSLVFKNNRKFTDIATTSLKGKNALLITVFDPKDVKTVISGVLAANLNLTPERVPNNDLQLKVSLPPPTTESRLKVAKDLKRVFEEYKQSSLKDSLGTIRGSILKEFKSFKKDDAVRKAERDLEKLHKDYVNKLHDQFQKVEKSIVK", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALCVLRNTVRGAAALPRLKASHVVSVYKPRYSSLSNHKYVPRRAVLYVPGNDEKKIRKIPSLKVDCAVLDCEDGVAENKKNEARLRIAKTLEDFDLGTTEKCVRINSVSSGLAEVDLETFLQARVLPSSLMLPKVEGPEEIRWFSDKFSLHLKGRKLEQPMNLIPFVETAMGLLNFKAVCEETLKTGPQVGLCLDAVVFGGEDFRASIGATSNKDTQDILYARQKVVVTAKAFGLQAIDLVYIDFRDEDGLLRQSREAAAMGFTGKQVIHPNQIAVVQEQFTPTPEKIQWAEELIAAFKEHQQLGKGAFTFRGSMIDMPLLKQAQNIVTLATSIKEK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVDQVQHPTIAQKAAGQFMRSSVSKDVQVGYQRPSMYQRHATYGNYSNAAFQFPPTSRMLATTASPVFVQTPGEKGFTNFALDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFGRTIKDEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGGGRQFDGLVDVYRKTLKTDGIAGLYRGFNISCVGIIVYRGLYFGLYDSVKPVLLTGDLQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFKQILKNEGAKSLFKGAGANILRAVAGAGVLSGYDKLQLIVFGKKYGSGGA", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGLAKQKDSQEFAQPVWHYTLAGGISSVICRFMIAPFDVIKIRMQITQSSLRPVFKETVQKEGVRALWRGNVVAELLYLVYGAAEFVAFSKLKHLTENLAMNDHAVNFLCGTSAGIFATLTSYPLDTMRTKFASYAKTPHMLPATKKIYAEAGIKGFFPGLKFSVIQIGPYAGCFFMFYRASEAVLSPLGLALSSTLSGVIAGAGSKAIMFPVDTVVKTLQTFPSNYKSFKDCFLSIYRNSGIKGLYRGLSVSMLKVAPGRAITMLIYEQTLQGLRTLSSPEA", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MATSLARISKRSITSAVSSNLIRRYFAAEAVAVATTETPKPKSQVTPSPDRVKWDYRGQRQIIPLGQWLPKVAVDAYVAPNVVLAGQVTVWDGSSVWNGAVLRGDLNKITVGFCSNVQERCVVHAAWSSPTGLPAQTLIDRYVTVGAYSLLRSCTIEPECIIGQHSILMEGSLVETRSILEAGSVLPPGRRIPSGELWGGNPARFIRTLTNEETLEIPKLAVAINHLSGDYFSEFLPYSTIYLEVEKFKKSLGIAI", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MMFQITKSKLRFPLSTFTKRYSSFQAAKSVIDKAAIDGELRVFIVSGEVSGDNIGSRLMSSLKKLSPLPIRFNGVGGSLMCKKGLNSLFPMEDLAVMGVWELLPHLYKFRVKLKETIDAAVKFKPHVVVTVDSKGFSFRLLKELRARYKQQRLENCSVHFHYVAPSFWAWKGGESRLGGLSEFVDHLFCILPNEERVCREHGVEATFVGHPVLEDASEFDLVRRCKPQELKLEGLSFSEHSIPSDSTVISVLPGSRLQEVERMLPIFSKAMKLLKDPFPKLVTLIHVASNNQVDHYIGESFSEWPVPAILVPSGSTQLKYDAFGASQAALCTSGTVAVELQLAHLPSLVAYRAHFLTELLIRYKAKIPYISLPNILLDSPIIPEALFQACNPSNLASILERLLLDEKMRERQVVGAEKLIQLLHPSESRMGNSIHCTGLESHRYTPSILAASTILSYARR", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MFKVPVGLASRTRELMNSVTLNSLNNGKGFNMYLPGILRAFPKPVPSAITSPAIPKYRGESFQFRKLSCISSNYCSTTHQFLSSLKSSTSRLVGKRAFHSSRRAEIKFIFSSKSPKNGNKPFVKVYKVSPFFIIFATASIFTFILTSTIVVIPLIFHFFFPLLIMFFFFKQFKKWQKNIFYKDVLTSLPKTKLKITLPTMRSLQLQPMVQSWKEISSRMGIPNEFAKGLNVDLVKQEETRKQFLSFLQKRVLESFTKNELGIRSYFLGDSVEKWIKESYDLELDIDNCRSELRKFQTFIFSSVRYKLYLDSMKNLPLNPSKKLEGKKHIADVYVIILDESFPAIMFNGGAYSKADFFKILQESETSNSSKTLNTIIAIKSVNTLLSKHFVITTNGDSGEFFSKYNISKINDKNTEYTLKE", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MALSRVCWARSAVWGSAVTPGHFVTRRLQLGRSGLAWGAPRSSKLHLSPKADVKNLMSYVVTKTKAINGKYHRFLGRHFPRFYVLYTIFMKGLQMLWADAKKARRIKTNMWKHNIKFHQLPYREMEHLRQFRQDVTKCLFLGIISIPPFANYLVFLLMYLFPRQLLIRHFWTPKQQTDFLDIYHAFRKQSHPEIISYLEKVIPLISDAGLRWRLTDLCTKIQRGTHPAIHDILALRECFSNHPLGMNQLQALHVKALSRAMLLTSYLPPPLLRHRLKTHTTVIHQLDKALAKLGIGQLTAQEVKSACYLRGLNSTHIGEDRCRTWLGEWLQISCSLKEAELSLLLHNVVLLSTNYLGTRR", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MYRLLSAVMARAATTGGCAWGCGRRAAHQRAGLPPLGPGWVGGLGLGLGLALGVKLAGGLRGASPAPPAAAPDPEALPQAEPLQAQEQPLAPWSPQTPAPPHTRHFARAIDSSRDLLHRIKDEVGAPGIVVGVSVDGKEVWSEGLGYADVENRVPCKPETVMRIASISKSLTMVAIAKLWEAGKLDLDIPVQHYVPEFPEKEYEGEKVSVTTRLLISHLSGIRHYEKDMKKVKEEKAYKALKMMKEMMESDQEKELKEKGGKSNEKNDFAKAKVEQDNETKGRNSKPCKKKNDFEQGELYLKEKFENSIESLRLFKNDPLFFKPGSQFLYSTFGYTLLAAIVERASGYKYLDYMQKIFHDLDMLTTVQEENEPVIYNRARFYVYNKKKRLVNTPYVDNSYKWAGGGFLSTVGDLLKFGNAMLYGYQVGLFKNANENLLPGYLKPETMLMIWTPVPNTEMSWDKEGKYAMAWGVVEKKQTYGSCRKQRHYASHTGGAVGASSVLLVLPEELDAEALNNKVPPRGIVVSIICNMQSVGLNSTALKIALEFDKDRSDIP", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MYTTKRFQQLLKEFEVALLLKFSKQLLLLGKIESYLNNGSSMKHEECKSQIYDCRMAVKIYVCSITANKSTNLKHFVRLFFLLGDSERVNLCIKRLIYTFIGSINSVIDKKEDIEQELYSSCMHCSIMSPKLQKVMLAQKSLILNKVFDYLFFLYGLSGTSILYTAGDHIRKLDFKDSGFITPFFQLLLLVLLFTLTFKSKHSLNAYSLTASQFSGHTVCNESSLKPNENSCDLNLSRTATIKLESAEDDACQRVLGLIKSYMRPRTLEELKLEFRFEHKSVQDITTCILDEVDGVQMARVLEINPDAPILAF", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSSFLEFAKPKMLDIKRKINFASGEKTDESVQPQQQTEQSSAQQTTPSAKAVSNPFITPLTESTPGMSESWVELAPSRTSLCSSVDINMVIIDEKDKDSRLSPVSIAQSPHVEFESLEQVKYKLVREMLPPGKNTDWIWDWSSRPENTPPKTVRMVQYGSNLTTPPNSPEPELYQYLPCESDSLFNVRVVFGFLVTNIFSFVVGAAVGFAVCRKLIKHHRQ", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPLKRSLKESIERLSSFQSKYNIFTSINPSPYSITNKKGTKETLTGCVASIKDNIVTKDFPTTCASHILENFKSPFDATVVKLLKQAGVHILGKTNLDEFGMGSGGVHSIRGPVINPLYPHEDKKIMGGSSSGAAASVACDLVDFALGTDTGGSVRLPACYGSVLGFKPSYGRLSRFGVIAYSQSLDTVGILSKKINVLRKVFHTLDKYDMKDPTSLSVELRELIEGNKKVRRPLKVGIVKEFSHESMPIGFHRLYLSLLEKLINLGLEIYPVSIPSVKNCLPIYYTLSPAEAASNLSRYDGIRYGYRDSELDIKDGILFAPTRSKFGTEVKNRIILGNYNLCSDAFKNNFIKAEKLRVNLIDEFDGIFRFPNVLTNSKGNPDGLDLLIVPTSSKLPGSIRDFEEEEAKSPANSYINDVFTVPMSLAGLPSLSMPLKEKTPIGLQVVGQYGDDSTVLDFVESIS", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MARKKVRPRLIAELARRVRALREQRNQPRDSQLYALDYETLTRPHSGRRLPVRAWADVRRESRLLQLLARLPLFGLGRLVTRKSWLWQHDEPCYWRLTRVRPDYTAQNLDHGRAWGILTFKGKSEDTAREIEQVMYHDWRLVPKHEEEAFTAFTAKPEDRLNSVPYPPLLRAMILAERQKNGDTSVQEPLLNLERTRMRPWDYPAKQETKGRAKGTPV", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MYRFASNLASKARIAQNARQVSSRMSWSRNYAAKEIKFGVEARALMLKGVEDLADAVKVTMGPKGRNVVIEQSWGAPKVTKDGVTVAKSIEFKDKIKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFAEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKSKARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLFNELEVVEGMKLDRGYTSPYFITNQKTQKCELDDPLILIHEKKISSINSIVKVLELALKRQRPLLIVSEDVESDALATLILNKLRAGIKVCAIKAPGFGENRKANLQDLAALTGGEVITDELGMNLEKVDLSMLGTCKKVTVSKDDTVILDGAGDKKGIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGILPGGGVALLYAARELEKLPTANFDQKIGVQIIQNALKTPVYTIASNAGVEGAVIVGKLLEQDNPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLLTTTEAVVVDLPKDESESGAAGAGMGGMGGMDY", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRRTFSQLATRLLKSKDDELKSTLKYLTKGPVKLLGPLFESSEVNEQGSLLNRSRTKENNLQNHHIENILRILNSNLPEVESKKQKVAVHYDVLFSHLNSIVTQATDNKSSSSKELQGSSSEDLYDRLLLLQYVGKLTNVRQITEILLSKKFNKFDKVWEHRALFDEYQRVVISILLYYRTHDVQIRKDYEPRWLSDYSDLPFPLRRLLWRCLTSNVSEDNIRQNIIHYIKLLGANWRNNDLILIYQSLYEKSHILPDLTVLNHNKDDGFSFTQNQILLVRILRAISKCVEEEPKLVKKWLIDIVKLSIQSKIMLESPKKPSTPIMDQYKFIRSLDISIRSIHRTCQDKLIFEDLQVNLGSVLKMINEEEHELKTHLPLNLI", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPWLLSAPKLVPAVANVRGLSGCMLCSQRRYSLQPVPERRIPNRYLGQPSPFTHPHLLRPGEVTPGLSQVEYALRRHKLMSLIQKEAQGQSGTDQTVVVLSNPTYYMSNDIPYTFHQDNNFLYLCGFQEPDSILVLQSLPGKQLPSHKAILFVPRRDPSRELWDGPRSGTDGAIALTGVDEAYTLEEFQHLLPKMKAETNMVWYDWMRPSHAQLHSDYMQPLTEAKAKSKNKVRGVQQLIQRLRLIKSPAEIERMQIAGKLTSQAFIETMFTSKAPVEEAFLYAKFEFECRARGADILAYPPVVAGGNRSNTLHYVKNNQLIKDGEMVLLDGGCESSCYVSDITRTWPVNGRFTAPQAELYEAVLEIQRDCLALCFPGTSLENIYSMMLTLIGQKLKDLGIMKNIKENNAFKAARKYCPHHVGHYLGMDVHDTPDMPRSLPLQPGMVITIEPGIYIPEDDKDAPEKFRGLGVRIEDDVVVTQDSPLILSADCPKEMNDIEQICSQAS", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASSRISLRLVRRFASAAADGTTTAPSSGKISVSKAKSTLRKEHDPDKALKIYANVSDHSASPVSSRYAQELTVRRLAKCRRFSDIETLIESHKNDPKIKEEPFYSTLIRSYGQASMFNHAMRTFEQMDQYGTPRSAVSFNALLNACLHSKNFDKVPQLFDEIPQRYNKIIPDKISYGILIKSYCDSGTPEKAIEIMRQMQGKGMEVTTIAFTTILSSLYKKGELEVADNLWNEMVKKGCELDNAAYNVRIMSAQKESPERVKELIEEMSSMGLKPDTISYNYLMTAYCERGMLDEAKKVYEGLEGNNCAPNAATFRTLIFHLCYSRLYEQGYAIFKKSVYMHKIPDFNTLKHLVVGLVENKKRDDAKGLIRTVKKKFPPSFLNAWKKLEEELGLYSKTDAFPSSAKEAAAA", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAAAARARVTHLLRHLQSTACQCPTHSHTYSQVPGLSPSGKTTDYAFEMAVSNIRYGAGVTKEVGMDLQNMGAKNVCLMTDKNLSQLPPVQIVMDSLSKNGISFQVYDNVRVEPTDGSFMDAIEFAKKGAFDAYVAVGGGSTMDTCKAANLYACSPHSEFLDYVNAPIGKGKPVTVPLKPLIAVPTTSGTGSETTGVAIFDYEHLKVKTGIASRAIKPTLGLVDPLHTLHMPCQVVANSGFDVLCHALESYTAIPYSMRSPCPSNPIQRPAYQGSNPISDIWAVHALRIVAKYLKRAVRNPDDLEARSSMHLASAFAGIGFGNAGVHLCHGMSYPISGLVKTYKAKEYNVDHPLVPHGLSVVLTSPAVFTFTAQMFPERHLETAEILGANIRTAKIQDAGPVLADALRKFLFDLNVDDGLAALGYSKDDIPSLVKGTLPQERVTKLAPRAQSEEDLSALFEASMKLY", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MASVVLALRTRTAVTSLLSPTPATALAVRYASKKSGGSSKNLGGKSSGRRQGIKKMEGHYVHAGNIIATQRHFRWHPGAHVGVGKNKCLYALEEGIVRYTKEVYVPHPRNTEAVDLITRLPKGAVLYKTFVHVVPAKPEGTFKLVAML", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDTALKRSRSEEPVELPPPAREAEEKEEEEERMEQGLEEEEEVDPRIQGELEKLNQSTDDINRRETELEDARQKFRSVLVEATVKLDELAKKIGKAVEDSKPYWEARRVARQAQLEAQKATQDFQRATEVLRAAKETISLAEQRLLEDDKRQFDSAWQEMLNHATQRVMEAEQTKTRSELVHKETAARYNAAMGRMRQLEKKLKRAINKSKPYFELKAKYYVQLEQLKKTVDDLQAKLALAKGEYKAALKSLERISDEIHERRRSNAMGPRGCGVGAEGSITSVENLPASKPEPDAISVASEAFEDDNCGNLVSEDDSETQSVSSFSSGPTSPSEMPDQFPAVARPGSLDLPSPVSLSEFGMMFPILGPRSECSGASSPECEVERGDRAEGAENKMSDKANNNRVLSSTSAGGGRSRSQSSTSLEGQALETRMKQLSLQCSKGREGIIADIKTVQIG", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKIWTSEHIFNHPWETVTQAAWRKYPNPMTPSIIGTDVVERRVVDGVLHTHRLVQSKWYFPKWTHALIGTAKTCFASERSTVDPERKQMVLKTNNLTFCRNISVDEVLYYEPHPSDASKTLLKQEATVTVFGVPLSHYMEDLLTSTISTNAGKGRQGLEWVIGLINTEVKGIARGTDELLHNTRRSIDEVTESARKSMDEISAQAAKAAKAMHIT", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MIRRVLPHGMGRGLLTRRPGTRRGGFSLDWDGKVSEIKKKIKSILPGRSCDLLQDTSHLPPEHSDVVIVGGGVLGLSVAYWLKKLESRRGAIRVLVVERDHTYSQASTGLSVGGICQQFSLPENIQLSLFSASFLRNINEYLAVVDAPPLDLRFNPSGYLLLASEKDAAAMESNVKVQRQEGAKVSLMSPDQLRNKFPWINTEGVALASYGMEDEGWFDPWCLLQGLRRKVQSLGVLFCQGEVTRFVSSSQRMLTTDDKAVVLKRIHEVHVKMDRSLEYQPVECAIVINAAGAWSAQIAALAGVGEGPPGTLQGTKLPVEPRKRYVYVWHCPQGPGLETPLVADTSGAYFRREGLGSNYLGGRSPTEQEEPDPANLEVDHDFFQDKVWPHLALRVPAFETLKVQSAWAGYYDYNTFDQNGVVGPHPLVVNMYFATGFSGHGLQQAPGIGRAVAEMVLKGRFQTIDLSPFLFTRFYLGEKIQENNII", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAAAAGSCARVAAWGGKLRRGLAVSRQAVRSPGPLAAAVAGAALAGAGAAWHHSRVSVAARDGSFTVSAQKNVEHGIIYIGKPSLRKQRFMQFSSLEHEGEYYMTPRDFLFSVMFEQMERKTSVKKLTKKDIEDTLSGIQTAGCGSTFFRDLGDKGLISYTEYLFLLTILTKPHSGFHVAFKMLDTDGNEMIEKREFFKLQKIISKQDDLMTVKTNETGYQEAIVKEPEINTTLQMRFFGKRGQRKLHYKEFRRFMENLQTEIQEMEFLQFSKGLSFMRKEDFAEWLLFFTNTENKDIYWKNVREKLSAGESISLDEFKSFCHFTTHLEDFAIAMQMFSLAHRPVRLAEFKRAVKVATGQELSNNILDTVFKIFDLDGDECLSHEEFLGVLKNRMHRGLWVPQHQSIQEYWKCVKKESIKGVKEVWKQAGKGLF", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRLPVTVKATKPSFLVIWIRYSSAASSPTVSLNPSGRLQQTLAGSVEVKGKSLHSGKFSTVKLNPEIAGAGRFFEFRSRFIPASIEFAQESPLCTTLLKDELKIRTVEHLLSALEAKGVDNCRIQIESESSDDREVEVPIFDGSAKEWVDAIQGVGINAAQNHDGESVEKMVAHVNKPVYVCKNDTFVAAFPALETRITCGIDFPQVPAIGCQWFSWRPIHESSFAKDIASSRTFCVYEEVERMREAGLIKGGSLDNAIVCSAEHGWMNPPLRFDDEACRHKILDLIGDLSLVSRGGNGGLPVAHIVAYKAGHALHTDLARHLTMD", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAAVAARALPVTFGRLAFACSRSVLRASGPGAASQWSASRRFSSQSASSPQGYVPKTSLSSPPWPEVVLPDPAEEARHHAEVVEKVNELIAGGQYGRLFAVVHFASHQWKVTSEDLILIENKLDIACGERIRMEKVLLVGADDFTLLGRPLLGKDLVRVEATVIEKTESWPRVNMRFQKRKNYKRKRIIVNPQTVLRINTIEIAPRLC", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAHRCLRLWGRGGCWPRGLQQLLVPGGVGPGEQPCLRTLYRFVTTQARASRNSLLTDIIAAYQRFCSRPPKGFEKYFPNGKNGKKASEPKEVMGEKKESKPAATTRSSGGGGGGGGKRGGKKDDSHWWSRFQKGDIPWDDKDFRMFFLWTALFWGGVMFYLLLKRSGREITWKDFVNNYLSKGVVDRLEVVNKRFVRVTFTPGKTPVDGQYVWFNIGSVDTFERNLETLQQELGIEGENRVPVVYIAESDGSFLLSMLPTVLIIAFLLYTIRRGPAGIGRTGRGMGGLFSVGETTAKVLKDEIDVKFKDVAGCEEAKLEIMEFVNFLKNPKQYQDLGAKIPKGAILTGPPGTGKTLLAKATAGEANVPFITVSGSEFLEMFVGVGPARVRDLFALARKNAPCILFIDEIDAVGRKRGRGNFGGQSEQENTLNQLLVEMDGFNTTTNVVILAGTNRPDILDPALLRPGRFDRQIFIGPPDIKGRASIFKVHLRPLKLDSTLEKDKLARKLASLTPGFSGADVANVCNEAALIAARHLSDSINQKHFEQAIERVIGGLEKKTQVLQPEEKKTVAYHEAGHAVAGWYLEHADPLLKVSIIPRGKGLGYAQYLPKEQYLYTKEQLLDRMCMTLGGRVSEEIFFGRITTGAQDDLRKVTQSAYAQIVQFGMNEKVGQISFDLPRQGDMVLEKPYSEATARLIDDEVRILINDAYKRTVALLTEKKADVEKVALLLLEKEVLDKNDMVELLGPRPFAEKSTYEEFVEGTGSLDEDTSLPEGLKDWNKEREKEKEEPPGEKVAN", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLKYRSLPIKRAIHHPAPGITPISPRIMVSRLRVIPSFNLKFNRWNSSVPESSKKELKTTDGNQESASKVSPVKEKEKVPFKVKMQKALRHYWDGSKLLGLEIKISSKLLMKSAAGYPLTRRENLQLKRTTQDIVRLVPFAAFLIIPFAELLLPFALKLFPNLLPSTYESSKKRENKLENLRNTRKLMSEIIKNNKSHFKPNNISEEQKALFNRFYTHVRATGVPESRQQLIEVARLFTDDTVLDNVTRPYLIALAKYMNLQPFGTDVMLRYRIRYKMLELKKDDLSIYYEDAEQLSLSELKTACASRGIRSVDVEPSVLYSNLRLWLNMRLKDKIPSTLLIMATAYNYGNVQSKESLYDALCDVLIGIPDELYHEVKVNVVKEDEASAKQKLKQLREQEEIMKEEEQQEENAIVSVKDELSLDDQDKNIDAAAPDVKPHDTKPIGEAAAIKEK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLLVRTTSLNVSRMPVPCLARGIGILKGKYRLANLMNAQPSVRHVSSEIQQKDQQAGESNTATDTGVIHKSDEETLIYFDNVYARTTSVWNPTLWYNLLLRNQSRDAVREKIRNLASPPNNPIYGLELKSTIPVKRDGGVFATFVVPPKYTKAQVNSLIQQNTARESSKNLLSYFTRASAFPVKGSPWIEDLRRLPSTTIVIKFQGPALTEEEIYSLFRRYGTIIDIFPPTAANNNVAKVRYRSFRGAISAKNCVSGIEIHNTVLHIQYENIRRGHLVSNFFTNHTRIAIPVLFALLSIFAVLVFDPIREFSIEQKITHKYSLSWDNKFWKQLKTLTSSTMTSIKYYWGGPDDNHQRKHLWEERIEKVNDLKMWLEENNNTFVVIRGPRGSGKHDLVMQHTLQNRANVLYLDCDKLIKSRTDPMFLKNAASQLGYFPIFPWIDSVTGVLDLTVQGLTGQKTGLSETKESRFRNMLTTSLMSIRRIALKNYKAFVSTGDGTVNVKEEDYLQQHPEAKPVIVIDRFEGKSEINGFVYKELSDWAAMLVQMNIAHVIFLTETVASNQRLSESLPNQVFKNLILSDASKENSRNYVLSQLEDYLYYNKKSKGENVKEPESEKETAENNDSDSEADTSVKKAEVILNEKELQEIDASLEPLGGRMLDLQAFVRRVKSGEEPSEAVDKMIEQASEQITQMFLSDKIDSNKSAQAWELIELLSANPVIPFHEIVNKPLFKAAPETGIMELENNGLITVSRDRGVLQEIRPAKPLYRAAFTYLINDPELAKVLKTRYLLKVVGFETGRIKKWEEELKPLGKVPDQKLFKTRLDYLSGKINASNAVITKCEEEIKNLSK", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAVAAVKWVMSKRTILKHLFPVQNGALYCVCHKSTYSPLPDDYNCNVELALTSDGRTIVCYHPSVDIPYEHTKPIPRPDPVHNNEETHDQVLKTRLEEKVEHLEEGPMIEQLSKMFFTTKHRWYPHGRYHRCRKNLNPPKDR", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLHCTQVCLSALTKRTHRVKVQVLKDFPRFQLYKGQVANVKPSLMRNYLHNFNGAKYILSEEHDINTELLKQYQTLEAKLEEDHQQLSKRHETEVQKNMELRKESVFGHKKEEKPKEEKKGLLDSGITIEEVKIPGLDI", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNSSGDNAGFEWWRRTMQYKTGIGLTPEEKTRYEDDSKARELKKECLKCYEYRDWMLKYSPTVRFMVQAITKLNKGSDSKFDDSKIICDYCPDWKGGGFHPELGILLCQNRLRDKWHLEDTLSHELIHYFDDLKWQIDWLNLKHHACSEIRASSLSGECRFWEEFKRRGFRTGFHVARGHQDCVRRRAIISVSGNPNCQSKEHAAKIVDEVWDSCFADTRPFDEIYR", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSSNKLPTSKYDLSTYWGRVRHAMDITDPRTLLSTSQDLNSAVKTLEDYGAGKIAQLDETVWHAKKIVDSTLHPDTKEPVFLPFRMSCFVLTNLVVTAGMLQPNLGTAGTVFWQWMNQSVNVAFNSANANKSTQLTLPQMTKSYIYAVSASCGVAIGLNKIVPRMNFLSSSSKAVLGRLTPFAAVASAGVLNVFLMRGEELRQGIDVFDKEGESLGKSKKAAFYAVGETALSRVINASPIMVIPPLVLMRLQKQNWLRTRPKLTIPVNLGLITLTSLIALPLAIGVFPAREKISPFKLEPQFHHLKDKSDQPIVEVEFNRGL", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MATRNALRIVSRRFSSGKVLSEEERAAENVFIKKMEQEKLQKLARQGPGEQAAGSASEAKVAGATASASAESGPKVSEDKNRNYAVVAGVVAIVGSIGWYLKAGGKKQPEVQE", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSKKIVNPPRLQGLSRLRVRPKREKNTIPCGQEMAALLGCWQNHGGQTDTAQCANLVAALENCMKTTHRKTKSENTINYHLARLGKLL", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MATRLLRTNFIRRSYRLPAFSPVGPPTVTASTAVVPEILSFGQQAPEPPLHHPKPTEQSHDGLDLSDQARLFSSIPTSDLLRSTAVLHAAAIGPMVDLGTWVMSSKLMDASVTRGMVLGLVKSTFYDHFCAGEDADAAAERVRSVYEATGLKGMLVYGVEHADDAVSCDDNMQQFIRTIEAAKSLPTSHFSSVVVKITAICPISLLKRVSDLLRWEYKSPNFKLSWKLKSFPVFSESSPLYHTNSEPEPLTAEEERELEAAHGRIQEICRKCQESNVPLLIDAEDTILQPAIDYMAYSSAIMFNADKDRPIVYNTIQAYLRDAGERLHLAVQNAEKENVPMGFKLVRGAYMSSEASLADSLGCKSPVHDTIQDTHSCYNDCMTFLMEKASNGSGFGVVLATHNADSGRLASRKASDLGIDKQNGKIEFAQLYGMSDALSFGLKRAGFNVSKYMPFGPVATAIPYLLRRAYENRGMMATGAHDRQLMRMELKRRLIAGIA", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASALCRTASRLRSVQLFRRIRVSSDLLSASSPSPACISDALRHGDFSLPRSFFSLNCGIEMLKMDQRCLLSTSASDTTSKHDSGKPETKSSEKNEKSGGSESSDGGSDHKNERASGKDVRGGPVSWMSFFLLFATGAGLVYYYDTQKKRHIEDINKNSIAVKEGPSAGKAAIGGPFSLIRDDGKRVTEKNLMGKWTILYFGFTHCPDICPDELIKLAAAIDKIKENSGVDVVPVFISVDPERDTVQQVHEYVKEFHPKLIGLTGSPEEIKSVARSYRVYYMKTEEEDSDYLVDHSIVMYLMSPEMNFVKFYGKNHDVDSLTDGVVKEIRQYRK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPFLKPSKVSLKRILQGNPPKPIGLTEYGHLLRLVGLREADSKEENERTILKLNEGIIQMHAIERLDTSFIKEPFRTLNHAINAESLPSLPDQEPWNVFQNAKKRDGQYFAVYSKLKDDSSNESPNKQ", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLLRSRFLKVIHVRKQLSACSRFAIQTQTRCKSTDASEDEVKHFQELAPTWWDTDGSQRILHKMNLTRLDFVQRTVRNQVKIQNPEIFVPGFNYKEFLPEYVCDNIQREMQESIETNLDKRPEVSVLDVGCGGGILSESLARLKWVKNVQGIDLTRDCIMVAKEHAKKDPMLEGKINYECKALEDVTGQFDIITCMEMLEHVDMPSEILRHCWSRLNPEKGILFLSTINRDLISWFTTIFMGENVLKIVPKGTHHLSKYINSKEILAWFNDNYSGQFRLLDLKGTMYLPYQGWVEHDCSDVGNYFMAIQRLN", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSSSTYRKLPKILAAGLAIGCAGGYYAYKNSNKPPGLNPEIYAPFTVNKITELTSDASLFSLVPQSPSEHLTTLEPIAKVTIRDPSMQVQRPYTPLYLDANELKFFIRKYEEGPVSSYIHSKKEGDTIELRGPFKTTKLDCTKYPRIVAIVAGTGIAPIYQLAQSVKSPVDIVYCSRPGQPPLLKEELEKECPNVRVKSVQNRLVNIHDILDWDNVTVPLKDTLCIVCGSQKFVSTIAGPKADYGARQGEVKGLLSNNPFGKVWKL", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MQKDKFGPCAPSRIPLLSNDLISMLSGGVAATVSRTAVSPLERMKIIFQVQNNKEYTSLTSTLVKIWNREGLIGFFRGNGTNCLRAFPYGAVQFATFNMLKQRALKNRSHQNLENHERLLFGAIAGAASCATTYPLDIARTRLSIETAGLTSRSLAINNVANNSLKVKPLTLWSTLLYIVQHEGGYPALYNGLPATLLNVVPYVSICFFTFEFCKQKFFSNADLTAFQKLFLGGFTGIIGQTLTFPADVLRRRFQVNRIPGIGHNYKNIKSAIFHIYKTEGINGFFRGYSSNMLKIIPVMSITWYTYETVSKMLHDL", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MALLRGVFVVAAKRTPFGAYGGLLKDFTATDLSEFAAKAALSAGKVSPETVDSVIMGNVLQSSSDAIYLARHVGLRVGIPKETPALTINRLCGSGFQSIVNGCQEICVKEAEVVLCGGTESMSQAPYCVRNVRFGTKLGSDIKLEDSLWVSLTDQHVQLPMAMTAENLAVKHKISREECDKYALQSQQRWKAANDAGYFNDEMAPIEVKTKKGKQTMQVDEHARPQTTLEQLQKLPPVFKKDGTVTAGNASGVADGAGAVIIASEDAVKKHNFTPLARIVGYFVSGCDPSIMGIGPVPAISGALKKAGLSLKDMDLVEVNEAFAPQYLAVERSLDLDISKTNVNGGAIALGHPLGGSGSRITAHLVHELRRRGGKYAVGSACIGGGQGIAVIIQSTA", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSAKQQLRILVPVKRVVDFQIKPRVNKTLTGIETSGIKFSINPFDDIAVEEAIRIKEKNKSLVESTHAVSIGSAKAQDILRNCLAKGIDTCSLIDSVGKENIEPLAIAKILKAVVEKKGSNLVLMGKQAIDDDCNNTGQMLAGLLNWPQATNAAKVEFLDNGRVQVTREIDDGEEVIEASLPMVITTDLRLNTPRYVGLPKLMKAKKKPIEKLDIAKDFPEINIEPQLKIVSMEEPKTKSPGVKLNSVDELIEKLKEVKAI", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAVKVQTTKRGDPHELRNIFLQYASTEVDGERYMTPEDFVQRYLGLYNDPNSNPKIVQLLAGVADQTKDGLISYQEFLAFESVLCAPDSMFIVAFQLFDKSGNGEVTFENVKEIFGQTIIHHHIPFNWDCEFIRLHFGHNRKKHLNYTEFTQFLQELQLEHARQAFALKDKSKSGMISGLDFSDIMVTIRSHMLTPFVEENLVSAAGGSISHQVSFSYFNAFNSLLNNMELVRKIYSTLAGTRKDVEVTKEEFAQSAIRYGQVTPLEIDILYQLADLYNASGRLTLADIERIAPLAEGALPYNLAELQRQQSPGLGRPIWLQIAESAYRFTLGSVAGAVGATAVYPIDLVKTRMQNQRGSGSVVGELMYKNSFDCFKKVLRYEGFFGLYRGLIPQLIGVAPEKAIKLTVNDFVRDKFTRRDGSVPLPAEVLAGGCAGGSQVIFTNPLEIVKIRLQVAGEITTGPRVSALNVLRDLGIFGLYKGAKACFLRDIPFSAIYFPVYAHCKLLLADENGHVGGLNLLAAGAMAGVPAASLVTPADVIKTRLQVAARAGQTTYSGVIDCFRKILREEGPSAFWKGTAARVFRSSPQFGVTLVTYELLQRWFYIDFGGLKPAGSEPTPKSRIADLPPANPDHIGGYRLATATFAGIENKFGLYLPKFKSPSVAVVQPKAAVAATQ", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAHRAFIQVIITGTQVFGKAFAEAYRQAASQSVKQGATNASRRGTGKGEYGGITLDESCKILNIEESKGDLNMDKINNRFNYLFEVNDKEKGGSFYLQSKVYRAAERLKWELAQREKNAKAKAGDASTAKPPPNSTNSSGADNSASSNQ", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MITGVFSMRLWTPVGVLTSLAYCLHQRRVALAELQEADGQCPVDRSLLKLKMVQVVFRHGARSPLKPLPLEEQVEWNPQLLEVPPQTQFDYTVTNLAGGPKPYSPYDSQYHETTLKGGMFAGQLTKVGMQQMFALGERLRKNYVEDIPFLSPTFNPQEVFIRSTNIFRNLESTRCLLAGLFQCQKEGPIIIHTDEADSEVLYPNYQSCWSLRQRTRGRRQTASLQPGISEDLKKVKDRMGIDSSDKVDFFILLDNVAAEQAHNLPSCPMLKRFARMIEQRAVDTSLYILPKEDRESLQMAVGPFLHILESNLLKAVDSATAPDKIRKLYLYAAHDVTFIPLLMTLGIFDHKWPPFAVDLTMELYQHLESKEWFVQLYYHGKEQVPRGCPDGLCPLDMFLNAMSVYTLSPEKYHALCSQTQVMEVGNEE", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MWRAGRAALACEVCQSLVKHSSGVQRNVPLQKLHLVSRSIYRSHHPALKLQRPQLRTSFQQFSSLTNLSLHKLKLSPTKYGYQPRRNFWPARLAARLLKLRYIILGSAVGGGYTAKKTFDEWKDMIPDLSDYKWIVPDFIWEIDEYIDLEKIRKALPSSEDLANFAPDLDKIAESLSLLKDFFTAGTPGETAFRATDHGSESDKHYRKVSDKEKIDQLQEELLHTQLKYQRILERLEKENKELRKLVLQKDDKGIHHRKLKKSLIDMYSEVLDVLSDYDASYNTQDHLPRVVVVGDQSAGKTSVLEMIAQARIFPRGSGEMMTRSPVKVTLSEGPHHVALFKDSSREFDLTKEEDLAALRHEIELRMRKNVKEGCTVSPETISLNVKGPGLQRMVLVDLPGVINTVTSGMAPDTKETIFSISKAYMQNPNAIILCIQDGSVDAERSIVTDLVSQMDPHGRRTIFVLTKVDLAEKNVASPSRIQQIIEGKLFPMKALGYFAVVTGKGNSSESIEAIREYEEEFFQNSKLLKTSMLKAHQVTTRNLSLAVSDCFWKMVRESVEQQADSFKATRFNLETEWKNNYPRLRELDRNELFEKAKNEILDEVISLSQVTPKHWEEILQQSLWERVSTHVIENIYLPAAQTMNSGTFNTTVDIKLKQWTDKQLPNKAVEVAWETLQDEFSRFMTEPKGKEHDDIFDKLKEAVKEESIKRHKWNDFAEDSLRVIQHNALEDRSISDKQQWDAAIYFMEEALQGRLKDTENAIENMIGPDWKKRWIYWKNRTQEQCVHNETKNELEKMLKVNDEHPAYLASDEITTVRKNLESRGVEVDPSLIKDTWHQVYRRHFLKTALNHCNLCRRGFYYYQRHFIDSELECNDVVLFWRIQRMLAITANTLRQQLTNTEVRRLEKNVKEVLEDFAEDGEKKVKLLTGKRVQLAEDLKKVREIQEKLDAFIEALHQEK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKILVAVKRVVDYAVKIRVKPDKTGVETQNVKMSMNPFCEIALEEALRIKEAGFAKEVIAVSIGPSQCVDTLRTGLAMGADRGIHVETNSIFLPLTIAKILKSLADVENPGLIFLGKQAIDDDCNQTGQMVAALLGWPQATFASKVVLDKDKNVATVDREVDGGLETLNVDLPAVITTDLRLNQPRYASLPNIMKAKSKPIKKMTVQDLKVDIKSDIEILEVTEPPKRKSGVMVSSVDELIDKLKNEAHVV", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRSSLKPVLSNLRFNSTIASESLRFHVSRTPSKNLPVYLDYKQRGTKILTLIRKIHGDSNALRLRLISTLKMSPKDVYVNKLTNQVVLKGNHIVTVREWLQDQGF", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSFYRGTASHPWHDLHPGNDAPNFVSCVIEIPRGSKVKYELDKDTGLCFVDRILYSSVVYPHNYGFVPKTLCEDGDPLDVLVLMQEPVVPMCFLRAKPIGVMQMLDQGERDDKLIAVHADDPEYKGFTDISQLPPHRLAEIKRFFEDYKKNEHKEVVVDDFLGAEEAKKVVKDSLNMYQEHYVPRKLRNVYE", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSYGLTGTSSKLRGTSSIFSWTQVRHFSRRRIAYPFYPFKKLGRQHPKKHDTNLKTAMRQFLGPKNYKGEYVMNKYFTVPTNHVPNYIKPDLERGQSLEHPVTKKPLQLRYDGTLGPPPVENKRLQNIFKDRLLQPFPSNPHCKTNYVLSPQLKQSIFEEITVEGLSAQQVSQKYGLKIPRVEAIVKLVSVENSWNRRNRVSSDLKTMDETLYRMFPVFDSDASFKRENLSEIPVPQKTLASRFLTIAESEPFGPVDAAHVLELEPAVETLRNLSTVGEHSSGHQQSTNKNTKVIYGELVEGERSQYKFTNAKVGKVGYRYGSGNRDNKKDRRIGFNKLGQMVYI", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "METVQELIPLAKEMMAQKRKGKMVKLYVLGSVLALFGVVLGLMETVCSPFTAARRLRDQEAAVAELQAALERQALQKQALQEKGKQQDTVLGGRALSNRQHAS", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKWLLFPARIVARTRPNLFSLYRRSVSQYLRPRTIQNLQSMAQTPELKTKLFKPLVWIDCEMTGLDHVNDRIIEICCIITDGHLAPVKAADGQGDSHYESVIHYGPEVMNKMNEWCIEHHGNSGLTAKVLASEKTLAQVEDELLEYIQRYIPDKNVGVLAGNSVHMDRLFMVREFPKVIDHLFYRIVDVSSIMEVARRHNPALQARNPKKEAAHTAYSDIKESIAQLQWYMDNYLKPPQETESVESIGSEQPESPSSSTSSLKRQRTDF", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGLSSIFGGGAPSQQKEAATTAKTTPNPIAKELKNQIAQELAVANATELVNKISENCFEKCLTSPYATRNDACIDQCLAKYMRSWNVISKAYISRIQNASASGEI", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MWKIGQRGVPYFQRLIAAPFTTLRSLPTSLVETGQNRVIDASLTLIRERAKLKGELVRLIGGAKATTALLGVPLGHNSSFLEGPALAPPHVREAIWCGSTNSTTEEGKELKDPRVLSDVGDIPVQEIREMGVDDDRLMKVVSESVKLVMEEEPLRPLVIGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDRFEGNYYSHASSFARIMEGGYARRLLQVGIRSINKEGREQGKRFGVEQYEMRTFSKDRQMLENLKLGEGVKGVYISIDVDCLDPGFAHGVSHFEPGGLSFRDVLNILHNLQGDLVGADVVEYNPQRDTADDMTAMVAAKFVRELAAKMSK", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALHLRSSFSSKSTLLNILRHNLGFGSRSHVTRHIRQILPHDPPLRGSQNPISRLCNTMAEPETLSSFVQHEYANNHQVMDFPGGKVAFTPEIQFISESDKERVPCYRVLDDNGQLITNSQFVQVSEEVAVKIYSDMVTLQIMDNIFYEAQRQGRLSFYATAIGEEAINIASAAALTPQDVIFPQYREPGVLLWRGFTLQEFANQCFGNKSDYGKGRQMPVHYGSNKLNYFTVSATIATQLPNAVGAAYSLKMDKKDACAVTYFGDGGTSEGDFHAALNIAAVMEAPVLFICRNNGWAISTPTSDQFRSDGVVVKGRAYGIRSIRVDGNDALAMYSAVHTAREMAIREQRPILIEALTYRVGHHSTSDDSTRYRSAGEIEWWNKARNPLSRFRTWIESNGWWSDKTESDLRSRIKKEMLEALRVAEKTEKPNLQNMFSDVYDVPPSNLREQELLVRQTINSHPQDYPSDVPL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLKIVTRAGHTARISNIAAHLLRTSPSLLTRTTTTTRFLPFSTSSFLNHGHLKKPKPGEELKITFILKDGSQKTYEVCEGETILDIAQGHNLDMEGACGGSCACSTCHVIVDPDYYDALPEPEDDENDMLDLAYGLTETSRLGCQIKMSKDIDGIRVALPQMTRNVNNNDFS", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MYMARCGPKNNVLCFPFQLSFLFSKRLINKRFKYTLQTEDEKNMMGSLSKNKIITPEDVEFKLAQLREFSNTLKERIHNTKSVNSDGHQSNSIAPISEDSRNVNVTKTSSVPNEEKSKNLSDLIHSSFLEKMDHLVPKVIRERVADDDILAKNLFDRSHSNWAPVIDRLYVSEKRFMDIDSREFSVWLNGTVKYLPFHSILHLDEMLLEQINGDVVKFNTHMYECIFNNLGNLKPTNFNQDGTNDKVILKMKELLERYDKALKITEERINKKEGFPSKVPKMTQAILNNCLKYSTKCSSFHDMDYFITKFRDDYGITPNKQNLTTVIQFYSRKEMTKQAWNTFDTMKFLSTKHFPDICTYNTMLRICEKERNFPKALDLFQEIQDHNIKPTTNTYIMMARVLASSSSNAVVSEGKSDSLRLLGWKYLHELEDKNLYRHKKDDLNLFLAMMALAAFDGDIELSRALYYLFIAKKYKTLCANWKGNILVDQDTIWKSTLMPEMLNYLMLAYARFDPRNLPVLSGYEKGIELRRKFLREFDSSMRLDDTDKLVKFKLPFLPISDLNSEAQVLAESNAIWSFNMENGGTRNTLTSSNEAALEDIKKYRQLLDSFAQEAEDFNEFKFKVMYEVTKMQRESINVNVFNKISLHTYLSIPINLKQQKEFLRRLTFFTFQQHEFEAVIKRLYEGYRNIPSSHTRDQNSISTEAISVSKPETTEDLNLIMHDIWYITCLRHKIMMDTTLYELVMKAAIEFQNEDLAKKVWNDRGKFRTTVPFLKMDQRIRIAKDQKFAHLMVEFFTKQGKYSDAIAIILSSKNRFNWTYSMVRNLHKALEEIEDRNSVEILLDVVNKKSHAKALKWEEQELNM", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAPMVRRAIFLARNVLLPQLSLAGKRYLLSAAYEDSRKWEARAKEDCHLADLASLMDKTYERKLPVSSLTISRFVDNISSREEIDHAEYYLYKFRHSPNCWYLRNWTIHTWIRQCLKYGAQDKALYTLVNKVQYGIFPDNYTFNLLMDHFIKKENYKDALSVVFEIMMQEAFEVPSTQLLSLYVLYQCLAKKTDFSWEEERNFGASLLLPGLKQKNSVGLSSQLYGYALLGKVELQQGLRAVYHNMPLLWRPGYLDRALQVMEKVASSPEDGKLCREALGVLDRALKALTAPAQESPEEQPQEGEESPASEELMEQLDVEETEQSKLPRYVERYEALHSKLQALGKVESESLLTLTTQLVKEQLPTCEAEDIATYEQKLQEWHLELVNLIEREKEMREKARLKHEARRAAKAAA", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MACRLFWASRVASHLRISVAQRGFSSVVLKDLKYADSHEWVKIDGNKATFGITDHAQDHLGDVVYVELPDVGHSVSQGKSFGAVESVKATSDINSPVSGKVVEVNEELTESPGLVNSSPYEQGWIIKVELSDAGEAEKLMDSDKYSKFCEEEDAKH", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGLSSNSAVRVEWIAAVTFAAGTAALGYLAYKKFYAKENRTKAMVNLQIQKDNPKVVHAFDMEDLGDKAVYCRCWRSKKFPFCDGAHIKHNEETGDNVGPLIIKKKET", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFRSITQRVIRNNCYKQSTKSITSSTSFINNSLSYTTTSNENDIKDKNEEHDHRAKGKGRELLLSFDKSGLAQFPKQVFKNRKYPITDFEKYLQDITKVRGPMSIDTFIKEVLTNPKYGYYMNKDVFGKGGDFITAPEVSQLFGEMIGIWCVATWEAMGKPKKLQIVEMGPGRGTLMKDILRSTKVFKEFYDSISVHLVEASPANKKTQKQNLLYFKDKAINFDHKTIGETPNGIKVTWVGKLEEVPTDIPTLFLAQEFFDALPIHVFRFSREKNDWCEVLVDEDITEHGEYYLRFVQSKGPTLMTTAVKHLLPEFGLDGYQVELGLAGLAISQQIANRIDKSGGAALIIDYGYDKIVKSSLQAIRDHEFVDILDKPGTADLSVWVDFQTIRKTVKLLKNKSTAIGPVDQGIFLKEMGIEHRLAQIGRKLDSNEKFEELVMGYKKLVDPKEMGTNYKVITICDKNITPIGFSTSKTYDDEDLMI", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLRNILVRSTGSNFKFAGRYMKSSALLGYYRRVNYYSTKIQTRLTSENYPDVHRDPRFKKLTSDDLNYFKSILSEQEILRASESEDLSFYNEDWMRKYKGQSKLVLRPKSVEKVSLILNYCNDEKIAVVPQGGNTGLVGGSVPIFDELILSLANLNKIRDFDPVSGILKCDAGVILENANNYVMEQNYMFPLDLGAKGSCHVGGVVATNAGGLRLLRYGSLHGSVLGLEVVMPNGQIVNSMHSMRKDNTGYDLKQLFIGSEGTIGIITGVSILTVPKPKAFNVSYLSVESFEDVQKVFVRARQELSEILSAFEFMDAKSQVLAKSQLKDAAFPLEDEHPFYILIETSGSNKDHDDSKLETFLENVMEEGIVTDGVVAQDETELQNLWKWREMIPEASQANGGVYKYDVSLPLKDLYSLVEATNARLSEAELVGDSPKPVVGAIGYGHVGDGNLHLNVAVREYNKNIEKTLEPFVYEFVSSKHGSVSAEHGLGFQKKNYIGYSKSPEEVKMMKDLKVHYDPNGILNPYKYI", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MWRNILGRASLRKVKFLSDSSSSGTHYPVNRVRGILSSVNLSGVRNGLSINPVNEMGGLSSFRHGQCYVFEGYATAAQAIDSTDPEDESSGSDEVNELITEMEKETERIRKKARLAAIPPKRVIAGMGAQKFYMLKQRQVKMETEEWERAARECREILADMCEQKLAPNLPYMKSLFLGWFEPVRNAIQDDLDTFKIKKGKIPYAPFMEQLPADKMAVITMHKMMGLLMTNAEGVGIVKLVNAATQIGEAVEQEVRINSFLQKKNKKNATDKTINTEAENVSEEIVAKETEKARKQVTVLMEKNKLRQVKALVRKHDSFKPWGQEAQVKVGARLIQLLMENAYIQPPAEQFDDGPPDIRPAFKQNFRTVTLENTKTSRRYGCIECDPLVLKGLDKSARHMVIPYLPMLIPPQNWTGYDQGAHFFLPSYVMRTHGAKQQRTVMKRTPKEQLEPVYEALDTLGNTKWKINKKVLSLVDRIWANGGRIGGLVDREDVPIPEEPEREDQEKFKNWRWESKKAIKQNNERHSQRCDIELKLEVARKMKDEEGFYYPHNVDFRGRAYPIHPYLNHLGSDLCRGILEFCEGKPLGKSGLRWLKIHIANLYAGGVDKLAYEDRIAFTESHLEDIFDSSDRPLEGKRWWLNAEDPFQCLAACINLSEALRSPFPEAAISHIPIHQDGSCNGLQHYAALGRDKLGADAVNLVTGEKPADVYTEIAARVLKIMQQDAEEDPETFPNATYAKLMLDQVDRKLVKQTVMTSVYGVTYSGARDQIKKRLKERGTFEDDSLTFHASCYAAKITLKALEEMFEAARAIKSWFGDCAKIIASENNAVCWTTPLGLPVVQPYRKPGRHLVKTTLQVLTLSRETDKVMARRQMTAFAPNFIHSLDGSHMMMTAVACNRAGLSFAGVHDSFWTHACDVDVMNTILREKFVELYEKPILENLLESFQKSFPDISFPPLPERGDFDLRKVLESTYFFN", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLKLFLSTPRTLPNSRFLLLRRLQPLGLRYRSDASSLHLFTPTWRDHATNTIIKFVPQQVAYVVERMGRFSRILTPGVAFLAPIIDKIAYIHSLKERALEIPTQSAITLDNVSLGLDGVLYIQVYDPYKASYGVEDADYAISQLAQTTMRSEIGRLTLDHVLRERQSLNIHITDAINKAAESWGIRCLRHEIRDIRPPESVVMAMHQQVSAERQKRAEILESEGKRQAAINVAEGDKQAEILDSEGQKIKTINSALAEAQAIREKASATASGIAVLADSIKKQEHGLEAVSLYIAQQYITNFGKLAKASNSMIVPASTSDVSGMVAQALSIFKQVSKTTAPDKSTPKELHTDEK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAGMVDFQDEEQVKSFLENMEVECNYHCYHEKDPDGCYRLVDYLEGIRKNFDEAAKVLKFNCEENQHSDSCYKLGAYYVTGKGGLTQDLKAAARCFLMACEKPGKKSIAACHNVGLLAHDGQVNEDGQPDLGKARDYYTRACDGGYTSSCFNLSAMFLQGAPGFPKDMDLACKYSMKACDLGHIWACANASRMYKLGDGVDKDEAKAEVLKNRAQQLHKEQQKGVQPLTFG", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKLNYVGIVISRAMPKTAKVRVAKEKFHPVVKKYVTQYQNYMVQDDLNCNVGDAVTIQPCRPRSATKRFEIIKILSTANRMSPASEISKE", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSAIYLLRKISTKTPSRFHRSLFFSTFSKDSPPDLSRTTSIRHLSSSQRFVSSSIYCFPQSKILPNRFSEKTTGISVRQFSTSVETNLSDKSFERIHVQSDAILERIHKNEEEVETVSIGSEKVVREESEAEKEAWRILENAVVRYCGSPVGTVAANDPGDKMPLNYDQVFIRDFVPSALAFLLKGEGDIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVALDENTTEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDFSLQERIDVQTGIKLIMNLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQSLFYSALRCSREMLSVNDSSKDLVRAINNRLSALSFHIREYYWVDIKKINEIYRYKTEEYSTDATNKFNIYPEQIPPWLMDWIPEQGGYLLGNLQPAHMDFRFFTLGNFWSIVSSLATPKQNEAILNLIEAKWDDIIGNMPLKICYPALEYDDWRIITGSDPKNTPWSYHNSGSWPTLLWQFTLACMKMGRPELAEKALAVAEKRLLADRWPEYYDTRSGKFIGKQSRLYQTWTVAGFLTSKLLLANPEMASLLFWEEDYELLDICACGLRKSDRKKCSRVAAKTQILVR", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDPIRFGLSRVPFAHCYNKRVIFRANYLVPLTWLKNNVAYKSTNTLLLPTPNAEYYSTSKLSSQVNVSLNSLSQKASSGSKIYPFKNSFPLPFSRSILPIRSLAFLKLCVRHNSTVPSKDEQAQDISKINTNGTLQTPNKKVNVFRLFTLARGQGWNFFIAGSLLLVSSGVTMSIPYIVGKILDAGSSGDSSVTHIMGIPSGTFYIGLLGLFFLGSACNFGRIITLRLLSERIVSRLRARLFAKCMSLDGAFFDFHKHGDLISRLTTDSSIVGKSLSMYLSDGLRSSVSAIAGIGMMLYVSMRLTGYMSLIVPPIALGAFFYGEYVRKLSRTTQDALGDLTRVSEEKLANVRTTQAFLGERQEVNRYNDYIRNLFVLAKREAFASGIFFGSTGFLGNATVIAILALGGRMVAAGDITVGQLSSFLLYTVYAGGSIVGLSGCFTDIMKGLGAASRLFELLDAKPKIAPTVGIPVPVTVGKAILSFRNVGFAYPTRPSASIFDNLSFDIHPGTNVAIVAPSGGGKSTISQLLLRFYAPSSGKILADGVDISTYNVHQWRSHFGLVGQEPVLFSGTIGENIAYGKSNASQEEIEDAAKRANCSFVLSFPEKWSTQVGTRGLQLSGGQKQRIAIARALLRNPAFLILDEATSALDGEAEVMVDKTIQSLMHNRSMTTITIAHKLATIRRADQIIVVGDGKVLEQGSFERLSRPGTNFYKLMRWQLGKVEP", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDAALKRSRSEEPAEILPPARDEEEEEEEGMEQGLEEEEEVDPRIQGELEKLNQSTDDINRRETELEDARQKFRSVLVEATVKLDELVKKIGKAVEDSKPYWEARRVARQAQLEAQKATQDFQRATEVLRAAKETISLAEQRLLEDDKRQFDSAWQEMLNHATQRVMEAEQTKTRSELVHKETAARYNAAMGRMRQLEKKLKRAINKSKPYFELKAKYYVQLEQLKKTVDDLQAKLTLAKGEYKMALKNLEMISDEIHERRRSSAMGPRGCGVGAEGSSTSVEDLPGSKPEPDAISVASEAFEDDSCSNFVSEDDSETQSVSSFSSGPTSPSEMPDQFPAVVRPGSLDLPSPVSLSEFGMMFPVLGPRSECSGASSPECEVERGDRAEGAENKTSDKANNNRGLSSSSGSGGSSKSQSSTSPEGQALENRMKQLSLQCSKGRDGIIADIKMVQIG", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLIVNRNPKSLVRGYCKKDIVLRNLDGWLFDMITISTIFKLYNQICSLSSTDRKKTSISNKLQQEFCIMDINKVGRNFISLGKIRSSKRRNAILSYQTIISSFPHNHVQKLFQRTQSTNMIYTKLEYFGHSLFFYFTAFTLSFKDRKILMQADVFFRLKLVFKIAFFFTQTTFLNKKENREL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAGHLKLVGVPLKVRRLHTAVCHYRGRTGAEHLWLTRHLKDPFVKAAKVESYRCRSAFKLLEMNEKHQILRPGLRVLDCGAAPGAWSQVAVQRVNATGADSSSPVGFVLGVDLLHIFPLAGATFLCPADVTDPRTFQKILELLPSRRADVILSDMAPNATGIRDLDHDKLISLCLTLVDMAVDILHPGGTLLCKTWAGSKSHLLQKRLTQEFQSTRVVKPEASRKESSEVYLLATQYRGGKGTRRP", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLTTLKPFGSVSVESKMNNKAGSFFWNLRQFSTLVSTSRTMRLCCLGLCKPKIVHSNWNILNNFHNRMQSTDIIRYLFQDAFIFKSDVGFQTKGISTLTALRIERLLYAKRLFFDSKQSLVPVDKSDDELKKVNLNHEVSNEDVLTKETKPNRISSRKLSEECNSLSDVLDAFSKAPTFPSSNYFTAMWTIAKRLSDDQKRFEKRLMFSHPAFNQLCEHMMREAKIMQYKYLLFSLHAIVKLGIPQNTILVQTLLRVTQERINECDEICLSVLSTVLEAMEPCKNVHVLRTGFRILVDQQVWKIEDVFTLQVVMKCIGKDAPIALKRKLEMKALRELDRFSVLNSQHMFEVLAAMNHRSLILLDECSKVVLDNIHGCPLRIMINILQSCKDLQYHNLDLFKGLADYVAATFDIWKFRKVLFILILFENLGFRPVGLMDLFMKRIVEDPESLNMKNILSILHTYSSLNHVYKCQNKEQFVEVMASALTGYLHTISSENLLDAVYSFCLMNYFPLAPFNQLLQKDIISELLTSDDMKNAYKLHTLDTCLKLDDTVYLRDIALSLPQLPRELPSSHTNAKVAEVLSSLLGGEGHFSKDVHLPHNYHIDFEIRMDTNRNQVLPLSDVDTTSATDIQRVAVLCVSRSAYCLGSSHPRGFLAMKMRHLNAMGFHVILVNNWEMDKLEMEDAVTFLKTKIYSVEALPVAAVNVQSTQ", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAQNFGKIPSHKSYVLSLYRTVLRNIPKCCHSYAFQYEIKKTLSIQLFKHKHDKSSWSVYTLLNEFSLLNNCLLEGKLQEIKNLMKPLKKMKKQLKTTKILNSLTSLGDVKTNDPEEVRRFHVLSAYIKRKQDLGLLPAYIPKTYQHKLLLPLALNEHACLKLFHIQQKLKNGPPSAGLSYTKEGRNQIWFVRSPINKGRQQSKKLGILIRKERKDSQKNIDNLNFCEINAAWALHEAIWEEYLESKKIIKVNLPKYLEYAANIPKSTKCNPSSQYQKVKEWVDPVREIMFELHSKSFQRVEYFNKYKEKLLKNGGQLAYFDKKSKEMYAKRLTLFRKMSKETLPYVTLFIEGRDLPSVLAKYGF", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVKHSFIALAEHASKLRRSIPPVKLTYKNMLRDPSVKYRAFAPPKMVKRIWPDKTIQKAPRWLSTDLRDGNQSLPDPMSVAQKKEYFHKLINIGFKEIEVSFPSASQTDFDFTRYAVENAPDDVGIQCLVQSREHLIKRTVEALTGAKRATIHTYLATSDMFREIVFNMSREEAISKAVEATKLVRKLTKDDPSQQATRWSYEFSPECFSDTPGEFAVEICEAVKKAWEPTEENPIIFNLPATVEVASPNVYADQIEYFSTHITEREKVCISTHCHNDRGCGVAATELGMLAGADRVEGCLFGNGERTGNVDLVTVAMNMYTQGVSPNLDFSDLTSISEIVHRCNKIPIPPRAPYGGELVVSAFSGSHQDAIKKGFAIQNKKQAQGETRWRIPYLPLDPKDIGRDYEAVIRVNSQSGKGGAAWVIMRSLGLDVPRPMQVDFSNTLQKNADALGRELKSEEITKLFKETYNYNNNEHIYVTLLNYEVKKLNPERRALVGQVEINDKVVNIEGYGNGPISSLVDALSNLLNVKLSVQNYSEHSLGSGSATQAASFINLSYIKDINNHATSNMWGVGVSEDTGDASIKAVFATVNNIIHSGDVLLAE", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNRSPGEFQRYAKAFQKQLSKVQQTGGRGQVPSPRGAFAGLGGLLLLGGGALFINNALFNVDGGHRAIVYSRIHGVSSRIFNEGTHFIFPWLDTPIIYDVRAKPRNVASLTGTKDLQMVNITCRVLSRPDVVQLPTIYRTLGQDYDERVLPSIVNEVLKAVVAQFNASQLITQREKVSRLIRENLVRRASKFNILLDDVSITYMTFSPEFTNAVEAKQIAQQDAQRAAFVVDKARQEKQGMVVRAQGEAKSAELIGEAIKKSRDYVELKRLDTARDIAKILASSPNRVILDNEALLLNTVVDARIDGRGK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MATGGGVTSREGLRYAEYLPPSAQRSDADIDHTAGRRLLAVGLGVAAVAFAGRYAFQIWKPLEQVITATARKISSPSFSSYYKGGFEQKMSKREASLILGVSPSAGKAKIRTAHKRIMILNHPDKGGSPYLASKINEAKDLLEASSKAN", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLQRLSQSIKGFAARFPKIYNKGNGSVQQTILQASQRLNELTGYSSIEALKNAVVKQETKLRDFRLSAAEARKRYIEAVEKRSSSQREVNELLQRRSSWSTIDLERFTKLYRDDYSNKEEEIKAQEDVDVAERRVEEAQNGLVRSILSRYHEEQVWSDKIRQASTWGTWGLMGINVVLFVVVQLILEPRKRKRLVREAVDHIDKERELEINDELKKISEKLDKKGEAVGQALELTSPKIDNKNLPKNSASLILPPINYDTFHSFLDSLKYFLSRFLSTDYRIQLTYRQLSILATKFTVGGGVIIYTFIHLFGLAFKR", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRSSMFRCVSRAHYSTSVTEDFINSILARAQEATAKASSNALKLDKMKEGRMQNKRRNGNQNRNSMNNKESRGREGNQGERNMRLKNRSSDSVRANKQQWNKGANTSFVKNPTGNTVVMQPQFKKMQNGKNNLKGDARVEDDLLDVFNSSMEQKPVNFNGTPKSKARFQKKSHILTASKRRKAPQQQLQKVIKRPVSSEYVLEEPTPLSLLEYTPQVFPTKESRLVNFTLDSLKKSNYPIYRSPNLGILKVHDFTLNTPNFGKYTPGSSLIFAKEPQLQNLLIEEDPEDFHRQVTGEYQLLKPYVKKDFEKLTKSKDTVSKLVQNSQVVRLSLQSVVMGSEEKKLVYDVCSGMKPISELQQ", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAAAFASRLTRGGRSLLGGLNNGGSMNSSNGMMNESILSQQQQRRTFIQMGTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIVASVKEAMPNGKVKKGAVVYGVVVRAAMQRGRVDGSEVRFDDNAVVLVDSKDKNTKTDRQPIGTRVFGPVPHELRKKKHLKILALAQHVA", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGNFRFPIKTKLPPGFINARILRDNFKRQQFKENEILVKSLKFIARNMNLPTKLRLEAQLKLNALPNYMRSTQIKNRCVDSGHARFVLSDFRLCRYQFRENALKGNLPGVKKGIW", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLRFTGARAIRKYSTRYALEHLKEGAPLKGLFSIEGLQKAWFDRVKYLDAKLNDCTNEAQQKPLETLIHENSKSASKKHIVNYASSLYNLKFSMSSLQGCIRTPPEECPRLGPEALLQTPDFNRTISNEPLTTGNERLQAALISSFGSLMEFRTLLINSNLAISGDGFTWLVARRQLDKRAMRNDMPNRDIEYDKLFILNTYNAGTPFNFSTSGVMNELNNQYTNMEKQRAKEAGNLEDSEMTAKQAKTKFIYETQQKGFSGKEVSYIPLLAIDASPKTWLTDYGVFGKREYLERVWDSIEWKIVESRLPQRTKIQAFNTL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAAAVVLAAGLRAARRAVAATGVRGGQVRGAAGVTDGNEVAKAQQATPGGAAPTIFSRILDKSLPADILYEDQQCLVFRDVAPQAPVHFLVIPKKPIPRISQAEEEDQQLLGHLLLVAKQTAKAEGLGDGYRLVINDGKLGAQSVYHLHIHVLGGRQLQWPPG", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MIIRRLFSISNRSFFLKKPQFDVKKIIEMIPQYQTSIQNRELIEADSIIRSLQLLGERYQNIKEIDKVIADIQIQRKSIEAQIKKDKTKITEYSAALKALKEQYNDQNSKSSELKKKILETCKSLPNTLDPTVPLDAPQIEQWINPLKTHKTSEAQAHVDIMLKKNMLDLQTASNVTGMSWYYLLNDGARLEQALVAYALKKANENGFSSCVPPSITKKELIDACGFNPRDMNNERQIYALQDTNLGLVATAEIPLAGLGANKVLELNSGECSKKLVGVSRCYRAEAGARGKDTKGLYRVHEFTKVELFCWSKPETSAKVLEEIKQFQISVVEELGIPAKVLNMPSNDLGNPAFKKYDIEAWMPGRGKFGEISSASNCTDFQSRRLNTKYRDDNTGKLEYVHTLNGTAMAIPRVIVALVENFYDPSTGKISVPECLREFMNGQRYI", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTEQATKPRNSSHLIGGFFGGLTSAVALQPLDLLKTRIQQDKKATLWKNLKEIDSPLQLWRGTLPSALRTSIGSALYLSCLNLMRSSLAKRRNAVPSLTNDSNIVYNKSSSLPRLTMYENLLTGAFARGLVGYITMPITVIKVRYESTLYNYSSLKEAITHIYTKEGLFGFFRGFGATCLRDAPYAGLYVLLYEKSKQLLPMVLPSRFIHYNPEGGFTTYTSTTVNTTSAVLSASLATTVTAPFDTIKTRMQLEPSKFTNSFNTFTSIVKNENVLKLFSGLSMRLARKAFSAGIAWGIYEELVKRFM", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLSHNALRAFDCSKVIISRRCLTSSTSIYQQSSVHLQETDDGHSGNREKHVSPFERVQNLAADLKNELKAPDSDINEVFNDFKDKIESLKQKLRNPSPMERSHLLANFSSDLLQELSYRSKNMTLDPYQVLNTLCQYKLARSQHFTIVLKYLLYNQSPQDVIALWVKYLETISENPVILLQNSSSRAHMQNIAITTIAYLSLPENTVDINILYKILQIDRKMGQVLPFNMIRRMLSTEFSSLERRDVIIKNLNTLYYQYTVQDSDHFLSQIENAPRWIDLRDLYGQYNKLEGEKNVEIISKFMDKFIDLDKPDQVVTIYNQYSKVFPNSTSLKDCLLRAVSHLRAKSSKEKLDRILAVWNSVIKPGDNIKNTSYATLVNALTDSGNFNHLKEFWEEELPKKFKKDPIVKEAFLLALCQTSPLKYDQVKGELAETVKTKKLFNKVLLLMLDDEKVSEEQFNTFYYNHYPSDGVLPPTLDTLSIKMYANYKFQAEDTRPQFDLLQSVSINPTDYEKVEKITKAFISVCPTVEPIRQLYKQLGTHLNARNYADFISAEFNKPDGTVAEAKNLFSDFLSYQKTRKRNVDNTPLNALLLGFCDKLYKSKHSEYVPYIEKYYNLAKDSSIRVSNLAVSKILFNLATFARNTQQLSDKEVAFINQFMRDLGTNEGFRPNPKDIQILKECDGITVPEKLT", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGVPAGDVEKGKKLFVQRCAQCHTVEAGGKHKVGPNLHGLIGRKTGQAAGFAYTDANKAKGITWNEDTLFEYLENPKKYIPGTKMIFAGLKKPNERGDLIAYLKSATK", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAALMESVVGRALKFSSTANFRSIRRGETPTLCIKSFSTIMSPPSKAIVYEEHGSPDSVTRLVNLPPVEVKENDVCVKMIAAPINPSDINRIEGVYPVRPPVPAVGGYEGVGEVYAVGSNVNGFSPGDWVIPSPPSSGTWQTYVVKEESVWHKIDKECPMEYAATITVNPLTALRMLEDFVNLNSGDSVVQNGATSIVGQCVIQLARLRGISTINLIRDRAGSDEAREQLKALGADEVFSESQLNVKNVKSLLGNLPEPALGFNCVGGNAASLVLKYLREGGTMVTYGGMSKKPITVSTTSFIFKDLALRGFWLQSWLSMGKVKECREMIDYLLGLARDGKLKYETELVPFEEFPVALDKALGKLGRQPKQVITF", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MATPMIAGAAVAAAAVAGRYGILAWQAFKARPRVPRMRRFYEGGFQSSMTRREAALILGVRESVVADKVKEAHRRVMVANHPDAGGSHYLASKINEAKDMMLGKSNNSGSAF", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGKVAVAFAAVAVVAACSVAAVMVGRRMKSRRKWRTVVEILKELEDDCDTPVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTFVDDLPTGREKGTYYALHLGGTYFRILRVLLGDQRSYLDVQDVERHPIPSHLMNSTSEVLFNFLAFSLERFIEKEENGSDSQGVRRELAFTFSFPVKHTSISSGVLIKWTKGFEISEMVGQDIAECLQGALNRRGLDMHVAALVNDTVGALSLGYYHDPDTVVAVVFGTGSNACYLERTDAIIKCQGLLTTSGSMVVNMEWGNFWSSHLPRTSYDIDLDAESSNANDMGFEKMISGMYLGDIVRRVILRMSEDSDIFGPISPVLSEPYVLRTNSVSAIHEDDTPELQEVARILKDIGVSDVPLKVRKLVVKICDVVTRRAGRLAAAGIAGILKKIGRDGSGGITSGRSRSEIQMQKRTVVAVEGGLYMNYTMFREYMEEALVEILGEEVSQYVVVKAMEDGSSIGSALLVASLQS", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLKRAHYVALHVTLNHNGLSYQRVFSCLTQFPMLRHSSTAAKNNVSMISKFQAPEDKFFPSFSLKSMPKQSSHMSASLLNSLNTSMKKSFSRKKYREAVSLFRKNLWKYEESWIRNQDFIDCCIIACSAYEKLCQPLRIKKTFIILSQLCPKLPAELCRVFLSYATGCVNYGHNVALTCFENSPKELIDYNYWLSWLSFSKSSPVVLWLTFTRISSAGLSPNAETFEILLVAFASQKNFWFFEKTYDLFMQSKLTWRPFTYRVLIESFMKFGNFEEAEKLAYSYVKNKIDSLSSDVFFSAILKFYAVGGDFQGFKKLLSFMTDYNVNFSVSTLNQLLRLNLYHAMDEKISTFSSEHITKLIEQQIKPDLESMLIISEYLNEYKPSPKMRELINWLYSNFHLPKTVSLHFLREVQSLVFRYPLLHSKIHLAISTLKDSGCDWNVGLSYLNWLFVNKRITEAINFFYTITINAGTRPPNELFDVFISNLLKFTSAETTSSAIRKVQSKYPSMCGSSPAIKLILFSKSFSVLQSTSEKIEQLLVSFQRNPSAYSKSFTLALAEWLFSRRLFQSALLYSFKVSDVDDSHFSFRSQILICWCYYRLNDFKSLIQHTNNLLQSNNASLLRRLAATLYRIQIRENNGYKRVLLDRLRKKAILRAFPSRTLNRTEKVKLYNEDAKFRSIFSRVLLHQSHLGNVIS", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSVQKMMWVPRKMVGGRIPFFTCSKVFSGFSRRSFHESPLARSTYEEEKVLVDEIKQKLTPDDIGRCNKLRNIGISAHIDSGKTTFTERVLYYTKRIKAIHEVRGRDNVGAKMDSMDLEREKGITIQSAATYCSWDKEGKNYHFNLIDTPGHIDFTIEVERALRVLDGAVLVVCAVSGVQSQTVTVDRQMRRYNVPRVTFINKMDRMGSDPFRAIEQLNSKLKIPAAAVQIPIGSESSLSGVVDLINRVAIYNKGDNGEIIEKGPVPENLKPLMEEKRQLLIETLADVDDEMAEMFLEEKEPTTQQIKDAIRRSTIARSFTPVLMGSALANTGIQPVLDAIVDYLPNPSEVLNTALDVSNNEAKVNLVPAVQQPFVGLAFKLEEGKYGQLTYVRVYQGRLRKGNYITNVKTGKKVKVARLVRMHSSEMEDVDEVGSGEICATFGIDCASGDTFTDGSVQYSMSSMYVPDAVVSLSITPNSKDASNFSKALNRFQKEDPTFRVKFDPESKETIISGMGELHLEIYVERMRREYNVDCVTGKPQVSYRESITIPADFDYTHKKQSGGAGQYGRVIGTLSPVDDITKGNIFETAIVGGRIPDKYLAACGKGFEEVCEKGPLIGHRVLDVKMLINDGAIHAVDSNELSFKTATMSAFRDAFLRAQPVIMEPIMNVSVTSPNEFQGNVIGLLNKLQAVIQDTENGHDEFTLKAECALSTMFGFATSLRASTQGKGEFSLEFSHYAPTAPHVQKELISEFQKKQAKK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAAALTLRTRAAVTALLSPTAPTALAVRHASKKTGGSSKNLGGKSRGKHYGIKKMEGHYVHAGNILGTQRQFRWHPGAHVGLGRNKCLYALEEGIVRYTKDVYVPNPKNTEAVDLVTSLPKGAVLYKTFVHVVPAKPEGTFKLVDML", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLRRGALSTILKGLNGLSLRSPIPIWHVSASPEVGSKYNLPTVPTTSHVSYRQIAKANFFAGYRPLSANQICVPKVESKTQVSQSQDEEDQPQEYVLSLEDGYLYAQSFSASGSVTQTQPARISTQVWEQICDKLISITPLDLTSVKRKRKLKMNKHKFKKRLRRQRALRKRLGK", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MALRCWDTARSLGSRIFGRYAFTVRALSSLPDKKKEFLHNGPDLQDFVSGDLADKSTWDEYKGNLKRQKGERLRLPPWLKTKIPMGKNYNKLKNTLRNLSLHTVCEEARCPNIGECWGGGEYATATATIMLMGDTCTRGCRFCSVKTARNPPPLDANEPDNTAKAIAEWGLDYVVLTSVDRDDVADGGAEHIAKTVSCLKERNPKILVECLTPDFRGDLRAVEKVALSGLDVYAHNVETVPELQRKVRDPRANFDQSLRVLRHAKEVQPDVVSKTSIMLGLGETDEQVYATLKALRAADVDCLTLGQYMQPTKRHLKVEEYVTPEKFKYWEKVGNELGFLYTASGPLVRSSYKAGEFFLKNLVARRKTKASKV", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSFNKVPNIPGAPALSALLKVSVIGGLGVYALTNSLYNVDGGHRAVMFNRLTGIKEKVYPEGTHFMVPWFERPIIYDVRARPYLVESTTGSHDLQMVKIGLRVLTRPMGDRLPQIYRTLGENYSERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFDIALDDVSITTLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDRRSAVIRAQGEAKSAQLIGQAIANNQAFITLRKIEAAREIAQTIAQSANKVYLSSNDLLLNLQEMNLEPKK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQDNQVLANTASMIMPKSSFITTKQLWEIVLQGKVEATEFIPADVSDSTQTVDRSNKISPITSQLTIEPNKSQTIVKKNPDNLIFVAVNQNVQLQLSILKQQQNRVAAMESALQRIHLEITAMSEQLILQKYQKNRFFFMSSINALIRISRNCIKLLFKNSLVLLAACYFMNTTPSRLVINLIRSGYLILKRFANPSYISFY", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAGYLPPKGYAPSPPPPYPVTPGYPEPALHPGPGQAPVPAQVPAPAPGFALFPSPGPVALGSAAPFLPLPGVPSGLEFLVQIDQILIHQKAERVETFLGWETCNRYELRSGAGQPLGQAAEESNCCARLCCGARRPLRVRLADPGDREVLRLLRPLHCGCSCCPCGLQEMEVQAPPGTTIGHVLQTWHPFLPKFSIQDADRQTVLRVVGPCWTCGCGTDTNFEVKTRDESRSVGRISKQWGGLVREALTDADDFGLQFPLDLDVRVKAVLLGATFLIDYMFFEKRGGAGPSAVTS", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQTMLGKPALQYFGKLSRYLYKNGEPINIWVYSRNSSFSVIPKNGLLSPKITQRFYQNSSIQYQKDKNIYEPKENLEEKELSEGFLDESRLEIPEAGHNWEKSFFGLSSQPFSKEICDLLTAPLEVDDIEIKPDGILYLPEIKYRRILNKAFGPGGWGLAPRGNTNVTSKSVSREYALVCHGRLVSVARGEQTYFDPEGIATASEGCKSNALMRCCKDLGVASELWDPRYIRVFKRENCVEVFVENVLTKKRRKLWRRKEDKFSYPYKEV", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSSNLIKSFGLIAIGAISGVTFTHFYYKGYQGSDVPDLTPRYTKFDSAGRALESIYDFNATKFFQYGIPGPVADQRVNHGYMSVFDRRTRNPFYTAETITQESLNQRKGNRRYSEFVPDDNIPEMFQAKLGDYRGSGYDRGHQVPAADCKFSQEAMNETFYLSNMCPQVGDGFNRNYWAYFEDWCRRLTSKYGSVTIMTGPLYLPKKNERGQWEVQYRVIGNPPNVAVPTHFFKVIIAEKSGEPTSSPSVAAFVLPNKPIADNFPLKNFAVPVEVVERASGLEILSNVPKGNRKQLCSEVVCQLNVKEFVESVKQKQKNQGK", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTKETIRVVICGDEGVGKSSLIVSLTKAEFIPTIQDVLPPISIPRDFSSSPTYSPKNTVLIDTSDSDLIALDHELKSADVIWLVYCDHESYDHVSLFWLPHFRSLGLNIPVILCKNKCDSISNVNANAMVVSENSDDDIDTKVEDEEFIPILMEFKEIDTCIKTSAKTQFDLNQAFYLCQRAITHPISPLFDAMVGELKPLAVMALKRIFLLSDLNQDSYLDDNEILGLQKKCFNKSIDVNELNFIKDLLLDISKHDQEYINRKLYVPGKGITKDGFLVLNKIYAERGRHETTWAILRTFHYTDSLCINDKILHPRLVVPDTSSVELSPKGYRFLVDIFLKFDIDNDGGLNNQELHRLFKCTPGLPKLWTSTNFPFSTVVNNKGCITLQGWLAQWSMTTFLNYSTTTAYLVYFGFQEDARLALQVTKPRKMRRRSGKLYRSNINDRKVFNCFVIGKPCCGKSSLLEAFLGRSFSEEYSPTIKPRIAVNSLELKGGKQYYLILQELGEQEYAILENKDKLKECDVICLTYDSSDPESFSYLVSLLDKFTHLQDLPLVFVASKADLDKQQQRCQIQPDELADELFVNHPLHISSRWLSSLNELFIKITEAALDPGKNTPGLPEETAAKDVDYRQTALIFGSTVGFVALCSFTLMKLFKSSKFSK", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIKNYLGRRWLNNPAIQAYVKQNAAVAHSTVFQGNLYEYTVMRELSEKLRMTKLRKTGGAHDGGVDIKGSWPVDDIYWKISSLMPNLEMASNIKRTNSQNGFVLKPLKYRIIDHTFEPLKVLVQCKAFTKSKLSPREFRELVGTFTSLVSHSQRNKTVCIMCSPHMLTKDTLNLINNITLPLIYLRVEMLKEKTDGHFDLINSGKLINYYENSYASTLMQDCKISEWLKLKLYKNSDFNSEK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLRTTRLWTTRMPTVSKLFLRNSSGNALNKNKLPFLYSSQGPQAVRYTSQHEWIAVHQDKTAFVGITKYATDALGDATYVELPEVGTEIAQGESLGSIESVKSASEIYQPADGTVEEINTNLEENPGVVNEDPMGDGWLVKMKLGEGVNVEQVEGLMSLEQYEKTLVHDD", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAALTLRGVRELLKRVDLATVPRRHRYKKKWAATEPKFPAVRLALQNFDMTYSVQFGDLWPSIRVSLLSEQKYGALVNNFAAWDHVSAKLEQLSAKDFVNEAISHWELQSEGGQSAAPSPASWACSPNLRCFTFDRGDISRFPPARPGSLGVMEYYLMDAASLLPVLALGLQPGDIVLDLCAAPGGKTLALLQTGCCRNLAANDLSPSRIARLQKILHSYVPEEIRDGNQVRVTSWDGRKWGELEGDTYDRVLVDVPCTTDRHSLHEEENNIFKRSRKKERQILPVLQVQLLAAGLLATKPGGHVVYSTCSLSHLQNEYVVQGAIELLANQYSIQVQVEDLTHFRRVFMDTFCFFSSCQVGELVIPNLMANFGPMYFCKMRRLT", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLIYKKKNFGRRSVYNLKKCLHKGFQINSCNIKAADNVLHSKASAFEKVNVVDKESIGNLQHHLDTVLPKKKFQFLRDPKTNDYKWDEYLSKILPVDKFNFGLLSKFRPPSEDEQLQKVANSIGAEFVGSTSSLTGLMSQLHFFISKWKFPNFSDLSKGFYISPNERNFTRLCRSASSVHISYQNGLYCIDKDKSLTKEPSVNIILMNVGKSLETFFTVDKDQFLLYKKPPSSNGVLKPLKDVFQYGRCSSLLVRSQLDCYDKKIPESGVFDLKTRAVFGVRMNQTQPELFKSYKLTHYYGNRISFEREYFDLIRSAFMKYSLQARLGYMQGVFVAYHNTSDIFGFQYIPLVAMDRAIHGSSEIGEAEFNLNLQLLEKILQYATSIFPKRSFRVMLSTTEDVPNPPLKVYLEVADDKENRGFDLLDGQKNLEAKTVSPSNFCALEVCALQFVNGVHKSVVKHLDEKETWSIKYHFRKPRDQDNLLRNYIRLRDDIKRRESKISNPPESLLHDYYACSEQYYKRN", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGGLWRPGWRCVPFCGWRWIHPGSPTRAAERVEPFLRPEWSGTGGAERGLRWLGTWKRCSLRARHPALQPPRRPKSSNPFTRAQEEERRRQNKTTLTYVAAVAVGMLGASYAAVPLYRLYCQTTGLGGSAVAGHASDKIENMVPVKDRIIKISFNADVHASLQWNFRPQQTEIYVVPGETALAFYRAKNPTDKPVIGISTYNIVPFEAGQYFNKIQCFCFEEQRLNPQEEVDMPVFFYIDPEFAEDPRMIKVDLITLSYTFFEAKEGHKLPVPGYN", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAFSVGSVGCLLGPVSRSAGLLGGRWLQGSRAWLGLPDTRRLPVIQQTRGRTRGNEYQPSNIKRKNKHGWIRRLSTPNGVQVILRRMHKGRKSLSH", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGGCFSKPKPVELKIEVVLPEKERGKEELSASGKGSPRAYQGNGTARHFHTEERLSTPHPYPSPQDCVEAAVCHVKDLENGQMREVELGWGKVLLVKDNGEFHALGHKCPHYGAPLVKGVLSRGRVRCPWHGACFNISTGDLEDFPGLDSLHKFQVKIEKEKVYVRASKQALQLQRRTKVMAKCISPSAGYSSSTNVLIVGAGAAGLVCAETLRQEGFSDRIVLCTLDRHLPYDRPKLSKSLDTQPEQLALRPKEFFRAYGIEVLTEAQVVTVDVRTKKVVFKDGFKLEYSKLLLAPGSSPKTLSCKGKEVENVFTIRTPEDANRVVRLARGRNVVVVGAGFLGMEVAAYLTEKAHSVSVVELEETPFRRFLGERVGRALMKMFENNRVKFYMQTEVSELRGQEGKLKEVVLKSSKVVRADVCVVGIGAVPATGFLRQSGIGLDSRGFIPVNKMMQTNVPGVFAAGDAVTFPLAWRNNRKVNIPHWQMAHAQGRVAAQNMLAQEAEMSTVPYLWTAMFGKSLRYAGYGEGFDDVIIQGDLEELKFVAFYTKGDEVIAVASMNYDPIVSKVAEVLASGRAIRKREVELFVLHSKTGDMSWLTGKGS", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAHLVKRCTCLLREAARQAPAMAPVGRLRLAWVAHKTLTSSATSPISHLPGSLMEPVEKERASTPYIEKQVDHLIKKATRPEELLELLGGSHDLDSNQAAMVLIRLSHLLSEKPEDKGLLIQDAHFHQLLCLLNSQIASVWHGTLSKLLGSLYALGIPKASKELQSVEQEVRWRMRKLKYKHLAFLAESCATLSQEQHSQELLAELLTHLERRWTEIEDSHTLVTVMMKVGHLSEPLMNRLEDKCLELVEHFGPNELRKVLVMLAAQSRRSVPLLRAISYHLVQKPFSLTKDVLLDVAYAYGKLSFHQTQVSQRLATDLLSLMPSLTSGEVAHCAKSFALLKWLSLPLFEAFAQHVLNRAQDITLPHLCSVLLAFARLNFHPDQEDQFFSLVHEKLGSELPGLEPALQVDLVWALCVLQQAREAELQAVLHPEFHIQFLGGKSQKDQNTFQKLLHINATALLEYPEYSGPLLPASAVAPGPSALDRKVTPLQKELQETLKGLLGSADKGSLEVATQYGWVLDAEVLLDSDGEFLPVRDFVAPHLAQPTGSQSPPPGSKRLAFLRWEFPNFNSRSKDLLGRFVLARRHIVAAGFLIVDVPFYEWLELKSEWQKGAYLKDKMRKAVAEELAK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLAARLSRPLSQLPGKALSVCDRENGTRHTLLFYPASFSPDTRRTYTSQADAASGKAVLVTGCDSGFGFSLAKHLHSKGFLVFAGCLLKDKGDAGVRELDSLKSDRLRTIQLNVCNSEEVEKAVETVRSGLKDPEKGMWGLVNNAGISTFGEVEFTSMETYKEVAEVNLWGTVRTTKSFLPLLRRAKGRVVNISSMLGRMANPARSPYCITKFGVEAFSDCLRYEMHPLGVKVSVVEPGNFIAATSLYSPERIQAIAKKMWDELPEVVRKDYGKKYFDEKIAKMETYCNSGSTDTSSVINAVTHALTAATPYTRYHPMDYYWWLRMQVMTHFPGAISDKIYIH", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRWGHHLPRASWGSGFRRALQRPDDRIPFLIHWSWPLQGERPFGPPRAFIRHHGSSVDSAPPPGRHGRLFPSASATEAIQRHRRNLAEWFSRLPREERQFGPTFALDTVHVDPVIRESTPDELLRPPAELALEHQPPQAGLPPLALSQLFNPDACGRRVQTVVLYGTVGTGKSTLVRKMVLDWCYGRLPAFELLIPFSCEDLSSLGPAPASLCQLVAQRYTPLKEVLPLMAAAGSHLLFVLHGLEHLNLDFRLAGTGLCSDPEEPQEPAAIIVNLLRKYMLPQASILVTTRPSAIGRIPSKYVGRYGEICGFSDTNLQKLYFQLRLNQPYCGYAVGGSGVSATPAQRDHLVQMLSRNLEGHHQIAAACFLPSYCWLVCATLHFLHAPTPAGQTLTSIYTSFLRLNFSGETLDSTDPSNLSLMAYAARTMGKLAYEGVSSRKTYFSEEDVCGCLEAGIRTEEEFQLLHIFRRDALRFFLAPCVEPGRAGTFVFTVPAMQEYLAALYIVLGLRKTTLQKVGKEVAELVGRVGEDVSLVLGIMAKLLPLRALPLLFNLIKVVPRVFGRMVGKSREAVAQAMVLEMFREEDYYNDDVLDQMGASILGVEGPRRHPDEPPEDEVFELFPMFMGGLLSAHNRAVLAQLGCPIKNLDALENAQAIKKKLGKLGRQVLPPSELLDHLFFHYEFQNQRFSAEVLSSLRQLNLAGVRMTPVKCTVVAAVLGSGRHALDEVNLASCQLDPAGLRTLLPVFLRARKLGLQLNSLGPEACKDLRDLLLHDQCQITTLRLSNNPLTAAGVAVLMEGLAGNTSVTHLSLLHTGLGDEGLELLAAQLDRNRQLQELNVAYNGAGDTAALALARAAREHPSLELLHLYFNELSSEGRQVLRDLGGAAEGGARVVVSLTEGTAVSEYWSVILSEVQRNLNSWDRARVQRHLELLLRDLEDSRGATLNPWRKAQLLRVEGEVRALLEQLGSSGS", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDGLTAAESRELDQRLQKRQVKEFMSVFGNLVDNCFTACVDDFTSKALSGRESGCISRCVLKSMSTQTRLGERFGELNAAMTAEMQRR", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNPTQKPEPVYDMVILGASGFTGKYVVREALKFLQTPSSSPLKSLALAGRNPTRLTQSLEWAARPNPPPSSVAILTADTSDPDSLRRLCTQTKLILNCVGPFRIHGDPVVSACADSGCDYLDISGEPEFMERMEANYHDRAEETGSLIVSACGFDSIPAELGLLFNAKQWVSPSVPNQIEAYLSLESDKKIAGNFGTYESAVLGVANAEKLKELRRSRPRRPRPTICGPPAKGPTLENQKTIGLWALKLPSADAVVVRRTLTTLTEKPHGLPGINESPEQIQKREAFWSSIKPAHFGVKITSKSLFGIFRYVTLGVSLGLLSKFSFGRWLLLKFPSVFSLGWFQKKGPSEEEVESATFKMWFIGRGYSEESLASQGETKPDLEIITRISGPEIGYITTPITLVQCGLIVLGQRESLVKGGVYTPGIVFGSTDIQQRLEDNGISFELISKIKTQG", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVKYFLGQSVLRSSWDQVFAAFWQRYPNPYSKHVLTEDIVHREVTPDQKLLSRRLLTKTNRMPRWAERLFPANVAHSVYVLEDSIVDPQNQTMTTFTWNINHARLMVVEERCVYCVNSDNSGWTEIRREAWVSSSLFGVSRAVQEFGLARFKSNVTKTMKGFEYILAKLQGEAPSKTLVETAKEAKEKAKETALAATEKAKDLASKAATKKQQQQQQFV", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSKVAQQLKFLNKISATTRLPQILVDPKKYSGLRLTFQTKNHNGHMGARVFWHNYLPTLQFYNPRMKFDVIRIKNEDKQKSVPCKLEILSHEGSVVETIDMRNKMHEDIMKDLLDKIEHVPLPENEIIRVGPQESII", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNRHLCVWLFRHPSLNGYLQCHIQLHSHQFRQIHLDTRLQVFRQNRNCILHLLSKNWSRRYCHQDTKMLWKHKALQKYMENLSKEYQTLEQCLQHIPVNEENRRSLNRRHAELAPLAAIYQEIQETEQAIEELESMCKSLNKQDEKQLQELALEERQTIDQKINMLYNELFQSLVPKEKYDKNDVILEVTAGRTTGGDICQQFTREIFDMYQNYSCYKHWQFELLNYTPADYGGLHHAAARISGDGVYKHLKYEGGIHRVQRIPEVGLSSRMQRIHTGTMSVIVLPQPDEVDVKLDPKDLRIDTFRAKGAGGQHVNKTDSAVRLVHIPTGLVVECQQERSQIKNKEIAFRVLRARLYQQIIEKDKRQQQSARKLQVGTRAQSERIRTYNFTQDRVSDHRIAYEVRDIKEFLCGGKGLDQLIQRLLQSADEEAIAELLDEHLKSAK", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPRLTVGTKNMLYPLQKTLAVGSCKPEQVPIRSLASVVESSSKILDKSGSDREVDINVSEKIYKWTKAGIEQGKEHFKVGGNKVYFPKARIILLRPNAKHTPYQAKFIVPKSFNKLDLRDYLYHIYGLRAMNITTQLLHGKFNRMNLQTTRFREPQIKKMTIEMEEPFIWPEEPRPDENSFWDSTTPDNMEKYREERLNCLGSDANKPGTAFDGVVGPYERVAQPFIPRFLKREIDNKRERHAAELQRADKLIALNRYIEDLH", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLWKRTCTRLIKPIAQPRGRLVRRSCYRYASTGTGSTDSSSQWLKYSVIASSATLFGYLFAKNLYSRETKEDLIEKLEMVKKIDPVNSTLKLSSLDSPDYLHDPVKIDKVVEDLKQVLGNKPENYSDAKSDLDAHSDTYFNTHHPSPEQRPRIILFPHTTEEVSKILKICHDNNMPVVPFSGGTSLEGHFLPTRIGDTITVDLSKFMNNVVKFDKLDLDITVQAGLPWEDLNDYLSDHGLMFGCDPGPGAQIGGCIANSCSGTNAYRYGTMKENIINMTIVLPDGTIVKTKKRPRKSSAGYNLNGLFVGSEGTLGIVTEATVKCHVKPKAETVAVVSFDTIKDAAACASNLTQSGIHLNAMELLDENMMKLINASESTDRCDWVEKPTMFFKIGGRSPNIVNALVDEVKAVAQLNHCNSFQFAKDDDEKLELWEARKVALWSVLDADKSKDKSAKIWTTDVAVPVSQFDKVIHETKKDMQASKLINAIVGHAGDGNFHAFIVYRTPEEHETCSQLVDRMVKRALNAEGTCTGEHGVGIGKREYLLEELGEAPVDLMRKIKLAIDPKRIMNPDKIFKTDPNEPANDYR", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSWLFGDKTPTDDANAAVGGQDTTKPKELSLKQSLGFEPNINNIISGPGGMHVDTARLHPLAGLDKGVEYLDLEEEQLSSLEGSQGLIPSRGWTDDLCYGTGAVYLLGLGIGGFSGMMQGLQNIPPNSPGKLQLNTVLNHITKRGPFLGNNAGILALSYNIINSTIDALRGKHDTAGSIGAGALTGALFKSSKGLKPMGYSSAMVAAACAVWCSVKKRLLEK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLRFQRFASSYAQAQAVRKYPVGGIFHGYEVRRILPVPELRLTAVDLVHSQTGAEHLHIDRDDKNNVFSIAFKTNPPDSTGVPHILEHTTLCGSVKYPVRDPFFKMLNKSLANFMNAMTGPDYTFFPFSTTNPQDFANLRGVYLDSTLNPLLKQEDFDQEGWRLEHKNITDPESNIVFKGVVYNEMKGQISNANYYFWSKFQQSIYPSLNNSGGDPMKITDLRYGDLLDFHHKNYHPSNAKTFTYGNLPLVDTLKQLNEQFSGYGKRARKDKLLMPIDLKKDIDVKLLGQIDTMLPPEKQTKASMTWICGAPQDTYDTFLLKVLGNLLMDGHSSVMYQKLIESGIGLEFSVNSGVEPTTAVNLLTVGIQGVSDIEIFKDTVNNIFQNLLETEHPFDRKRIDAIIEQLELSKKDQKADFGLQLLYSILPGWTNKIDPFESLLFEDVLQRFRGDLETKGDTLFQDLIRKYIVHKPCFTFSIQGSEEFSKSLDDEEQTRLREKITALDEQDKKNIFKRGILLQEKQNEKEDLSCLPTLQIKDIPRAGDKYSIEQKNNTMSRITDTNGITYVRGKRLLNDIIPFELFPYLPLFAESLTNLGTTTESFSEIEDQIKLHTGGISTHVEVTSDPNTTEPRLIFGFDGWSLNSKTDHIFEFWSKILLETDFHKNSDKLKVLIRLLASSNTSSVADAGHAFARGYSAAHYRSSGAINETLNGIEQLQFINRLHSLLDNEETFQREVVDKLTELQKYIVDTNNMNFFITSDSDVQAKTVESQISKFMERLPHGSCLPNGPKTSDYPLIGSKCKHTLIKFPFQVHYTSQALLGVPYTHKDGSALQVMSNMLTFKHLHREVREKGGAYGGGASYSALAGIFSFYSYRDPQPLKSLETFKNSGRYILNDAKWGVTDLDEAKLTIFQQVDAPKSPKGEGVTYFMSGVTDDMKQARREQLLDVSLLDVHRVAEKYLLNKEGVSTVIGPGIEGKTVSPNWEVKEL", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAFFTGLWGPFTCVSRVLSHHCFSTTGSLSAIQKMTRVRVVDNSALGNSPYHRAPRCIHVYKKNGVGKVGDQILLAIKGQKKKALIVGHCMPGPRMTPRFDSNNVVLIEDNGNPVGTRIKTPIPTSLRKREGEYSKVLAIAQNFV", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAFWGWRAAAALRLWGRVVERVEAGGGVGPFQACGCRLVLGGRDDVSAGLRGSHGARGEPLDPARPLQRPPRPEVPRAFRRQPRAAAPSFFFSSIKGGRRSISFSVGASSVVGSGGSSDKGKLSLQDVAELIRARACQRVVVMVGAGISTPSGIPDFRSPGSGLYSNLQQYDLPYPEAIFELPFFFHNPKPFFTLAKELYPGNYKPNVTHYFLRLLHDKGLLLRLYTQNIDGLERVSGIPASKLVEAHGTFASATCTVCQRPFPGEDIRADVMADRVPRCPVCTGVVKPDIVFFGEPLPQRFLLHVVDFPMADLLLILGTSLEVEPFASLTEAVRSSVPRLLINRDLVGPLAWHPRSRDVAQLGDVVHGVESLVELLGWTEEMRDLVQRETGKLDGPDK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MISAIRPAVRSSVRVAPMANTAFRAYSTQDGLKERFAELIPENVEKIKKLRKEKGNTVIGEVILDQAYGGMRGIKGLVWEGSVLDPEEGIRFRGLTIPDLQKQLPHAPGGKEPLPEGLFWLLLTGEIPTDAQVKGLSADWASRAEIPKHVEELIDRCPPTLHPMAQLGIAVNALESESQFTKAYEKGVNKKEYWQYTYEDSMNLIAKLPVIASRIYRNLFKDGKIVGSIDNSLDYSANFASLLGFGDNKEFIELLRLYLTIHADHEGGNVSAHTTKLVGSALSSPFLSLSAGLNGLAGPLHGRANQEVLEWILEMKSKIGSDVTKEDIEKYLWDTLKAGRVVPGYGHAVLRKTDPRYTAQREFALEHMPDYDLFHLVSTIYEVAPKVLTEHGKTKNPWPNVDSHSGVLLQYYGLTEQSYYTVLFGVSRAIGVLPQLIMDRAYGAPIERPKSFSTEKYAELVGLKL", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLKRIVGLPARRCFHRTSFLLGSDFETVHIPNTNHFKDLLIENGKFQEDQATTIVEIMTDAIRGGVNHVSQDLAKREKLTQLSYQQRVDFAKLRDQLLSADRSEFHNIQNEYESVKNDLEKLRNKLREEITKTNAGFKLDLSLEKGRIREESSHHDLQIKEIDTKIEQEVTNMKMQIDSVKTQVMQWLIGVCTGTFALVLAYMRLLT", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAATAAVSGVLGRLGWRLLQLRCLPVARCRPALVPRAFHTAVGFRSSEEQKQQPPHSSSQQHSETQGPEFSRPPPRYTDQSGEEEEDYESEEQLQHRILTAALEFVPAHGWTAEAIAEGAQSLGLSSAAASMFGSDGSELILHFVTQCNARLNQVLEEEQKLVQLGQAEKRKTDQFLRDAVETRLRMLIPYIEHWPRALSILLLPHNIPPSLNLLTSMVDDMWHYAGDQSTDFNWYTRRAVLAGIYNTTELVMMQDSSPDFEDTWRFLENRINDAMNMGHTAKQVKSTGEALVQGLMGAAVTLKNLTGLNQRR", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLRNTLKCAQLSSKYGFKTTTRTFMTTQPQLNVTDAPPVLFTVQDTARVITLNRPKKLNALNAEMSESMFKTLNEYAKSDTTNLVILKSSNRPRSFCAGGDVATVAIFNFNKEFAKSIKFFTDEYSLNFQIATYLKPIVTFMDGITMGGGVGLSIHTPFRIATENTKWAMPEMDIGFFPDVGSTFALPRIVTLANSNSQMALYLCLTGEVVTGADAYMLGLASHYVSSENLDALQKRLGEISPPFNNDPQSAYFFGMVNESIDEFVSPLPKDYVFKYSNEKLNVIEACFNLSKNGTIEDIMNNLRQYEGSAEGKAFAQEIKTKLLTKSPSSLQIALRLVQENSRDHIESAIKRDLYTAANMCMNQDSLVEFSEATKHKLIDKQRVPYPWTKKEQLFVSQLTSITSPKPSLPMSLLRNTSNVTWTQYPYHSKYQLPTEQEIAAYIEKRTNDDTGAKVTEREVLNHFANVIPSRRGKLGIQSLCKIVCERKCEEVNDGLRWK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRATQSLPFKSFWSRSLDELSRLTNIVVKSENIRGATGPREIHNFQTPESVADCKLLSDADVGGSSTAHLDWVPPPNAIPTVTAGDGSDRKPYTPIPGSYARFHGTISLELPTDRREISRTGYAGFRTLDRPPTIFGRGLWDIDPYAYLAMRVKTDARSYFVNVRTESVVPLDLHQHRLFVKKPGQWETVLIKWNDFVRTNHGKVIEPQTGMLRQKVLSIGFSTTDRKAGPYELCVERLWATNDFDEAGVVETDVAGAQLKNKHGEKVKVTWGALEQE", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MMLRAVFRRASIRGSSSASGLGKSLQSSRVAVSAQFHSVSATETLVPRGNHAHSFHHRSCPGCPDCSRTIINGYQGTALQRWVRPFSSDSGDVVEAVVPHMGESITDGTLAAFLKKPGDRVEADEAIAQIETDKVTIDIASPASGVIQEFLVKEGDTVEPGNKVARISTSADAVSHVAPSEKAPEKPAPKPSPPAEKPKVESTKVAEKPKAPSPPPPPPSKQSAKEPQLPPKDRERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSQYKDAFLEKHGVKLGLMSGFIKAAVSALQHQPVVNAVIDGDDIIYRDYVDISIAVGTSKGLVVPVIRDADKMNFADIEKTINGLAKKATEGTISIDEMAGGSFTVSNGGVYGSLISTPIINPPQSAILGMHSIVQRPMVVGGSVVPRPMMYVALTYDHRLIDGREAVYFLRRIKDVVEDPQRLLLDI", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MESTISLKVNKGKGKGSKGASSSDDKSKFDVVKEWTNWSLKKAKVVTHYGFIPLVIFVGMNSDPKPHLFQLLSPV", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSVTGFYIPPISFFGEGALEETADYIKNKDYKKALIVTDPGIAAIGLSGRVQKMLEERDLNVAIYDKTQPNPNIANVTAGLKVLKEQNSEIVVSIGGGSAHDNAKAIALLATNGGEIGDYEGVNQSKKAALPLFAINTTAGTASEMTRFTIISNEEKKIKMAIIDNNVTPAVAVNDPSTMFGLPPALTAATGLDALTHCIEAYVSTASNPITDACALKGIDLINESLVAAYKDGKDKKARTDMCYAEYLAGMAFNNASLGYVHALAHQLGGFYHLPHGVCNAVLLPHVQEANMQCPKAKKRLGEIALHFGASQEDPEETIKALHVLNRTMNIPRNLKELGVKTEDFEILAEHAMHDACHLTNPVQFTKEQVVAIIKKAYEY", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPTGKQLADIGYKTFSTSMMLLTVYGGYLCSVRVYHYFQWRRAQRQAAEEQKTSGIM", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLRKGICRLIHQVSESSKKPYFLTTPIFYVNAAPHLGHLYSLVLTDAIARFQNLKPDVSVISSTGTDEHGLKVQTVAQTEGVSPLQLCDRNSKRFADLAVAANTKFTHFIRTTNPKHQASVQEFWKTIQKAGMISFERHEGWYCVSDETFYPESAIQKVVDPATKQEKRVSMETGKEVQWSSEMNYHFLLSKFQSRLIEHYNKNPNFVQPSIFHTQVLEELKTGISDLSISRPKQRLSWGIPVPGNSQQTIYVWLDALINYISVIGYPWLNEKSSLSAGWPANMHVIGKDIIRFHCIYWPAFLMAAGLPLPEKILVHSHWTMNKVKMSKSLGNVVDPFWLIEKYGVDTIRYYLLKRGRLTSDSNFDIEELEKDEEHDLRRSLGVLLSRLQSKKLFISNEIQKQWHKKDDFTEYEDIVHELIELPVVCAQSIDGGCVYEVINLVQSVLRRVTKLFQLKEPWKLSDDSQEKIDTLMLVAHSLRISGILLQPIMPTKSTELLDQLGIPKNQRSLQNATNVFEPTEFTFHSGNNSHLFDKRTQ", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAAVAGMLRGGLLPQAGRLPTHQFIRYGSKAVTRHRRVMHFERQKLMAVTEYIAPKPVVNPRCLPPPPSPPQEETGLIRLLRREIAAVFRDNRMIAVCQNVAMSAEDKLLMRHQLRKHKILMKVFPNQILKPFLEDSKYQNLLPLFVGHNLLLVSEEPKVKEMVRILKSVPFLPLLGGCIDDTILSRQGFINYSKLPSLALAQGELVGGLTLLTARTHSLLQHHPLQLTALLDQYARQQHEGDPVVPASAQPDPPNPVQDS", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLRRLLQPAYNVALSGTSASTLPRKSASVGLVRTALMPVDYNAGALFCAMRFTSGTEKERKREPKRGSKRRSKATTTLSTPTDAQTSVTGAREGVALPQENMPDAIQPPATLEVRAAEVKEEPLAATPPQIQPQEETMGPIDGEPQLPLHSTLVYNALTGRMTSETSPSFLCLKSIGFGVNNKRQLYVEKPDVLRDLAQRMRKGTATLPSNWPVTIIRALGVILRNRRVEDPAEAIQQMMQVKINNLTHSRYAAVVNAVGDTDLQNILDGGLADEFVAVDLNEEQEKVINLALKGHLMYIGGSAGTGKTVLLRALCRRMQAEGLRVAMTATTGVAGCHIGGSTFHHAMGVSAQGDFVRKNHLLSYDAIIIDEVSMLPKKMFEEFDRVLREEAGAPDVPFGGVQIILCGDFLQLGVINEPPIIHSTTFREKFVKIRLETQVRQAKSSLFADALQQMRVGLVPESLTASVEQLPPGTMVPAAVNLLPTNKEVNTANEEELKRLPGDAVTLTPETGITALRCDTTATLLMRTTKDFKVEEFTKHLRGLLQATVDIPRASMVSAYRIYEDGHAVRVYLPQSESVAWRDAIRERFLEVAGLINDLDIGATVTEIIPSGDGLHTPEHEECLQRLMAKHPIAQPLTLKKGCRVLLRTNLTSRLVNGSIGTVVDFVECSMENIPVALRCERVNRCVDRYRIYCTMECGMPVPLLPVVKFHSGETIVVPPWEFLVGGNPITQYYSLSSVSLPLSLAYAFTVHKVQGLTLVGRVHLELSRMWPCEHLLYVAMSRVRNPEQLSMSSFDPKMVLANEACVKFDRELNTVDNLPSLAEYPVSSWKRCNDMVYHLRRQGTSLDRYLQNGAAKEGGSVPVQQLGLSGPVKGSLEHSMVVSRRLRKLIKQTERTIRMHERRQKKMAVEGAKQTDTTKASSGESLE", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSSKTVVWSSLGRFMRTSHHRLLDEKLFPASHNRHVQSRLLSTPLSLIAVPSWMSKCRTSAVRLSRHPPQVSGQEPCAVTASSSVGTWHGSSPAGLRRCQHVRSLNGWTTPGDVPIRHGSRSIATIATGCGGVGAGGINSSVDVAEVSGVSTSGVAAAPTVALPPGPPVEKPPLICVVRRATRGGAAADSVGTGTPGKCDKPPDSSNTLVGTIARVLSSSGECGRHSNANQEGYSLGSRPARKILVKLEADTVAAPLADTKEKQIYVQQQPVVVAQSPLARSSSTVTWEEGDTLVYNIFTQRVVKSSSASIRALSVLGVGVRSSEKELSVVDPHALVEFRDKLREKEVSWPSAWRSSLFNQLQHVLLKDQPMEEMISRVHELLQLHYQRVKRSCVANVTKGEPDTKTEEANPAGDEVAINGEMTYHERLLGYPDLNEEQRRVVDFVLRGYNTYIGGGAGTGKSLLLRVIRQELVSRGLTVATTATTGIAARRLNGATLHHCFGVNVYGEFTRRAELKEFDVIIIDEVSMLSKELFESLEFQLRRANGVDLPFGGVQVILSGDFLQLGAICSVSLVHSSVFRRNFAMLKLQRVVRQEGSSIFAQQLQELRRGTVPHDLQDTVQFLSPPETAKWLEGEGKGAVKLLPTNKEVDEVNQAELDKLPSDLVVYPAQMQAPSLVGRWTATYILEAVVKDTKMIDTHKLTRALEQYVLDFLQKTPYASDYTLPVVGQRYIVLYKLFVDAFAFRVRIPQDMSEKDMRDLALHLRGLETWLPACGLGVFLREILDSPDGLHTDADDYTLTRYAELHPMASPLRLKKGAKVMLRTNLAPGLVNGSLGVVVGFKELSAKHLPRFVNTPGRIAAVENYAEYLRYEHGFTTAFAPEVDFGGGRVIVVPPVLFSVGGLSNTNHYHVGIVSLPLSLAYAFTVHKVQGLTLAGRVHLELSRMWPCDHLLYVAMSRVRNPEQLTVSSFHNSLVRCASECLLFDDSLPPVEQVRVLPHFFQASWQRTPSRRKAALQRKREQAKQSKQKKAAKLKEAMIKQAKEATP", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MIINRRILKSFEEISHSLEESLREVAFDSQQQLIQDVREENEELSRLQDQLQLIRSIVEKICISIKTDNIDSYCSVPFDLLYNICKDIADPSSFEDGDLQYLVSQAIFEYIILLCYYSVTNECVQGLPAVYEAEQYYKTVSDSILKSFLYCLQNSVSTIRLLSQTVLKDVNKKKLSHQKWSLKALSVDLLEKIRPRINKFMVIRNFRFVGLPKKPIEIASLVSDIPRGIVHERLDMVTQSSKYYTIKLGQLITEFDQQPEENGMFTEVHLPNYERRLKSLQDFFGLAMSDSNLLDVIRCSAKFHKDHPLRRFTKPSILTRYWPSILLCLLYGPSSVMSLWNSRYFIQDFIKTNVVDFAKGLILNWLWAPLKQVWSTVKHDEGSAISVTSQETLNSDMDSLTRMIVSFVVDNSDSTSNSPIDPILLSTKVEHGDLTEFMEIYETQLHHPIKNIATGGLVRSLLIQLQKTKVDGSMALNGIDKMLKSQQLVFGVVALSPALVILYSSIVALKRFVKLGNVWSNEKRYREQISISLNNVERVLNYSKQGADADEEHLNQGLLVIEVSNLYKLGSFLIPRSRKKEWFRDVEELVDTNLDSGAHINVVNRIYHVYGRFLIH", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEDSKKKGLIEGAILDIINGSIAGACGKVIEFPFDTVKVRLQTQASNVFPTTWSCIKFTYQNEGIARGFFQGIASPLVGACLENATLFVSYNQCSKFLEKHTNVSPLGQILISGGVAGSCASLVLTPVELVKCKLQVANLQVASAKTKHTKVLPTIKAIITERGLAGLWQGQSGTFIRESFGGVAWFATYEIVKKSLKDRHSLDDPKRDESKIWELLISGGSAGLAFNASIFPADTVKSVMQTEHISLTNAVKKIFGKFGLKGFYRGLGITLFRAVPANAAVFYIFETLSAL", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAALRALLPRACNSLLSPVRCPEFRRFASGANFQYIITEKKGKNSSVGLIQLNRPKALNALCNGLIEELNQALETFEEDPAVGAIVLTGGEKAFAAGADIKEMQNRTFQDCYSGKFLSHWDHITRIKKPVIAAVNGYALGGGCELAMMCDIIYAGEKAQFGQPEILLGTIPGAGGTQRLTRAVGKSLAMEMVLTGDRISAQDAKQAGLVSKIFPVETLVEEAIQCAEKIANNSKIIVAMAKESVNAAFEMTLTEGNKLEKKLFYSTFATDDRREGMSAFVEKRKANFKDH", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAALWVAGVGRKSLTVVASGAPRREFWSRLRKEKQPVVAETVEEVKKEPILVCPPLRSQAYIPPKDLQSRLESHVKEVFGSSVPTSWQEISLEDVHMKFSFLARLADDLGHAVPNSRLHQMCRVRDVLDFYTVPVQDRSKFDELIASNLPPNLKITWGY", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSKLSRATRALRKPEAEGVIRTILRAGQAMPGPPLGPILGQRGVSINQFCKEFNEKTKDIKEGIPLPTKIFVKPDRTFEIKIGQPTVSYFLKAAAGIEKGARNTGKEVAGLVTLKHVYEIARVKAQDDAFALQDVPLSSVVRSIIGSARSLGIRVVKDLSSEELAAFQKERALFLAAQKEADLAAQAEAAKK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRPRYSLILSAMRLIRPSNRRLSSIASSDSEFISYMKNKAKSINKALDNSIPLCNNFVPLWEPVLEVHKAMRYTLLPGGKRVRPMLCLVACELVGGQESTAMPAACAVEMIHAASLILDDLPCMDDDSLRRGKPTNHKVFGEKTSILASNALRSLAVKQTLASTSLGVTSERVLRAVQEMARAVGTEGLVAGQAADLAGERMSFKNEDDELRYLELMHVHKTAVLVEAAAVVGAIMGGGSDEEIERLKSYARCVGLMFQVMDDVLDETKSSEELGKTAGKDLITGKLTYPKVMGVDNAREYAKRLNREAQEHLQGFDSDKVVPLLSLADYIVKRQN", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSNILAVFNPPPQRELEKEETMDCVPCQVMSTMFSVGFGSYLASGKPFKYGKKEAKRGISLTEFEKRNPQWWKVTLRSFGGLLIAFGFVRGTEGWLWHKNKEYKNYKKLSNDGETQAN", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLRALSTLGARPLGRPPAQFLLLARGRKTRHDPPAKSKIGRVATPPAVDPAEFFVLTERYRQYRQTVRALRQEFVTEVRRKVHEARAGVLAERKALQDAAEHRELMAWNQAENQRLHELRMARLRQEAREQEQWQAEEAAREAREAEAWARLKEQEVLQLQEDAKNFITRENLEARVEEALDSPKSYNWAVTREGQVVTPQHKGS", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MARKKVRPRLIAELARRVRALREQLNRPRDSQLYAVDYETLTRPFSGRRLPVRAWADVRRESRLLQLLGRLPLFGLGRLVTRKSWLWQHDEPCYWRLTRVRPDYTAQNLDHGKAWGILTFKGKTESEAREIEHVMYHDWRLVPKHEEEAFTAFTPAPEDSLASVPYPPLLRAMIIAERQKNGDTSTEEPMLNVQRIRMEPWDYPAKQEDKGRAKGTPV", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPRYELALILKAMQRPETAAALKRTLEALMDRGAVVRSLENLGERTLPYKMSAHSQRHTRGGYFLVDFYAPTTTVASIMEHLSRDIDVIRPNVVKHPLTQEVKECEGIVPVPLEEKLYSTKKRK", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNTLRCLTQALSKSGREAPKLYQKVIFPGLFREGIPIANVKKVDEKIIDSPTSTSVNGEAKKIVRHGVKYEREQVKEYLSSLPTLTLSRKQIRDDYDEERAKRMYMFSKQTNSSNKFQKLLTAKSQEFTRELLTLLIDCTSNEKNSGPERFTRKFLKFSNDEIPPLPDFSKNPQLFENYIGILSHTKFNFRSSSKLNGIVRKMLRHLLHPTNKTTLPLRSAQVYNDSIYFFSEHFDFASCREIFAQMKAEGTKPNTITFNLLLRNVVKNSHIRKTKHPDDEVLFYLRSMRNHGVFADVITWTTCYNFLRDEVSRQLYIVQMGEHLGNFNVNFVYTVLRNGDYRAEDCLKVLAANSLPISRKTFYLCIERLLNEEQLETASKLLDYGFQHLKSNFKLDSEAMNHFMRVFANKGRSDLAFLCYNTCRKIYKIKPDSQTFEMLFKALVRNGNTKNFGAVLQYIKDLKVSEGFGLRTSYWRTKADSIFKFGSPNTLSEKSIEKARKLLGNLIASEGEFSWKIWKESDSSQKKILRFLGCIPTTLRCTNTAQDHQKPTNLPSNISQKKREYRNRVKAIATKAALEKRMAYIKDNDVAFKKELVKRRIVGEV", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLRQATKAPIQKYLQRTQLLRRSTPRIYTIVQCKRSICSFNARPRVANKLLSDIKTNALNEVAISTCALKSSYGLPNFKRTYVQMRMDPNQQPEKPALEQFGTNLTKLARDGKLDPVIGRDEEIARAIQILSRRTKNNPCLIGRAGVGKTALIDGLAQRIVAGEVPDSLKDKDLVALDLGSLIAGAKYRGEFEERLKKVLEEIDKANGKVIVFIDEVHMLLGLGKTDGSMDASNILKPKLARGLRCISATTLDEFKIIEKDPALSRRFQPILLNEPSVSDTISILRGLKERYEVHHGVRITDTALVSAAVLSNRYITDRFLPDKAIDLVDEACAVLRLQHESKPDEIQKLDRAIMKIQIELESLKKETDPVSVERREALEKDLEMKNDELNRLTKIWDAERAEIESIKNAKANLEQARIELEKCQREGDYTKASELRYSRIPDLEKKVALSEKSKDGDKVNLLHDSVTSDDISKVVAKMTGIPTETVMKGDKDRLLYMENSLKERVVGQDEAIAAISDAVRLQRAGLTSEKRPIASFMFLGPTGTGKTELTKALAEFLFDDESNVIRFDMSEFQEKHTVSRLIGAPPGYVLSESGGQLTEAVRRKPYAVVLFDEFEKAHPDVSKLLLQVLDEGKLTDSLGHHVDFRNTIIVMTSNIGQDILLNDTKLGDDGKIDTATKNKVIEAMKRSYPPEFINRIDDILVFNRLSKKVLRSIVDIRIAEIQDRLAEKRMKIDLTDEAKDWLTDKGYDQLYGARPLNRLIHRQILNSMATFLLKGQIRNGETVRVVVKDTKLVVLPNHEEGEVVEEEAEK", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDPNLQYWQNNGQSFLSRLGLWSKILDPTLLLSQAEIEEARTLIQNEENTPGKNDKVSNAWLLSLSSVHSDTGAVISPAYRPQVFLPISAPLVVGSLIAHKGIKSAMFWQFVLHTYCAGFNHANRNATATKDNKTTMKQSLLILGAVSYSTVTGALPQIILQRLRLISSLTQTICRSFLPVPLAAGLAAFNILVVRSEEAENGISLFDANGNAVGVSKEAGFKAVKETAISRATLFGTTAALPTFLMALLERAKFVQRNPRLIAPIGSMCTVITFGLMIPVSFSLFPQLGKIKKENLEKEFQSLDGNEELFYHRGL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MARLLRALRGLPLLQAPGRLARGCAGSGSKDTGSLTKSKRSLSEADWQKKLTPEQFYVTREKGTEAPFSGMYLNNKETGMYHCVCCDSPLFSSEKKYCSGTGWPSFSEAYGSKGSDESHTGILRRLDTSLGCPRMEVVCKQCEAHLGHVFPDGPKPTGQRFCINSVALKFKPSKP", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MELWGRMLWALLSGPGRRGSTRGWAFSSWQPQPPLAGLSSAIELVSHWTGVFEKRGIPEARESSEYIVAHVLGAKTFQSLRPALWTQPLTSQQLQCIRELSSRRLQRMPVQYILGEWDFQGLSLRMVPPVFIPRPETEELVEWVLEEVAQRSHAVGSPGSPLILEVGCGSGAISLSLLSQLPQSRVIAVDKREAAISLTHENAQRLRLQDRIWIIHLDMTSERSWTHLPWGPMDLIVSNPPYVFHQDMEQLAPEIRSYEDPAALDGGEEGMDIITHILALAPRLLKDSGSIFLEVDPRHPELVSSWLQSRPDLYLNLVAVRRDFCGRPRFLHIRRSGP", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKSFITRNKTAILATVAATGTAIGAYYYYNQLQQQQQRGKKNTINKDEKKDTKDSQKETEGAKKSTAPSNPPIYPVSSNGEPDFSNKANFTAEEKDKYALALKDKGNQFFRNKKYDDAIKYYNWALELKEDPVFYSNLSACYVSVGDLKKVVEMSTKALELKPDYSKVLLRRASANEGLGKFADAMFDLSVLSLNGDFNDASIEPMLERNLNKQAMSKLKEKFGDIDTATATPTELSTQPAKERKDKQENLPSVTSMASFFGIFKPELTFANYDESNEADKELMNGLSNLYKRSPESYDKADESFTKAARLFEEQLDKNNEDEKLKEKLAISLEHTGIFKFLKNDPLGAHEDIKKAIELFPRVNSYIYMALIMADRNDSTEYYNYFDKALKLDSNNSSVYYHRGQMNFILQNYDQAGKDFDKAKELDPENIFPYIQLACLAYRENKFDDCETLFSEAKRKFPEAPEVPNFFAEILTDKNDFDKALKQYDLAIELENKLDGIYVGIAPLVGKATLLTRNPTVENFIEATNLLEKASKLDPRSEQAKIGLAQMKLQQEDIDEAITLFEESADLARTMEEKLQAITFAEAAKVQQRIRSDPVLAKKIQETLAKLREQGLM", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGIANKLRLSSSSLSRILHRRILYSSAVRSFTTSEGHRPTIVHKQGLDILHDPWFNKGTAFTMTERNRLDLRGLLPPNVMDSEQQIFRFMTDLKRLEEQARDGPSDPNALAKWRILNRLHDRNETMYYKVLINNIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPAEQVDMIVVTDGSRILGLGDLGVHGIGIAVGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLRNDPMYLGLQQRRLEDDDYIDVIDEFMEAVYTRWPHVIVQFEDFQSKWAFKLLQRYRCTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKMKIVVAGAGSAGIGVLNAARKTMARMLGNTETAFDSAQSQFWVVDAQGLITEGRENIDPEAQPFARKTKEMERQGLKEGATLVEVVREVKPDVLLGLSAVGGLFSKEVLEAMKGSTSTRPAIFAMSNPTKNAECTPQDAFSILGENMIFASGSPFKNVEFGNGHVGHCNQGNNMYLFPGIGLGTLLSGAPIVSDGMLQAASECLAAYMSEEEVLEGIIYPPISRIRDITKRIAAAVIKEAIEEDLVEGYREMDAREIQKLDEEGLMEYVENNMWNPEYPTLVYKDD", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDSSSSSSAAGLGAVDPQLQHFIEVETQKQRFQQLVHQMTELCWEKCMDKPGPKLDSRAEACFVNCVERFIDTSQFILNRLEQTQKSKPVFSESLSD", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MMIILNAPRFMTNTRLASTRRLASSLLSQASLRSRQLNPLFTSSYSTRSSSLKDRLAELIPEKQAEIKKFRAEHGQDVIGEVTINQMYGGARGVRSLIWEGSVLDPNEGIRFRGYTIPECQKLLPSSPNGKQPLPESLFWLLVTGEIPTLSQVQALSADWAARSQLPKFVEELIDRCPPTLHPMAQFSLAVTALEHDSAFAKAYERGMNKHDYWKYEYEDCMDLIAKTVPIAGRIYRNLYRDGVVAPIQMDKDHSYNFANVLGFANNEEFVELMRLYLTIHADHEGGNVSAHTGHLVGSALSSPFLSMAASLNGLAGPLHGLANQEVLNFLITMKKEIGDDLSEETIKSYLWKLLNSGRVVPGYGHAVLRKTDPRYTAQREFALEHLPKDPMFQLVSRLYEIVPGVLTEHGKTKNPYPNVDSHSGVLLQYYGLKEQSFYTVLFGVSRTLGVASQLIWDRALGLPIERPKSFSTEALKKMVETK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTRCRLVGASSGGKYISTTNAKTRPVMGCLRFASRLSALGSTQQPKFFALPLLATTRGFGHLVREVDMRGFRGDFGQLRHKNLASTQRYVTDALGAKKNVIFCGAYHTGKLTILKAIGEACEARGKKVAYVSCNPQRASRFGGFLLYHFVGLRYLFRNEIPSRDQLDGALERHARLCESTYAGCVPSLKSVDVLIFDAVDQLEPTILASMDAVCRRLRGKPNDAFGGLRVFAAADFWRLPVHPSSDTGGYIFQLDNWGELFPKQHLLKKIYGQTKALTTLVNKAYYGQLSAEDIEELEARSSAGRTESGAACGGSKKEASETSGSFSVSDDGSRQVEVEEEGAGSGLLPPQRLISNAEAIIKFTSRFPKQPSIRVLPPRFRTLKRTEIGNYIVNMMVQSSTLHSFGLVDSLNLDIGASVHLLFDGTADFGVTAGTVGEVLQVKEHALVVHFPSVHRTVDVPRMRISVYHPFYPEVRYEVQQFPLYPRHCISPINMLTYHHAYQVHIDCHQLADTNDLGNILARMRTFDDFTIERVHDFAHLDGMVHEPTRIYYQRIDNQPLSSAAEQWCRNCKSYVSTSDFYTHWDKCVRQVRWCTVCNTRIPLERLGPHQEKHQIVLCLDCGRAVEWRRWEGHRLTCSAMMREVSPENEFLPLRTRQLALEMGLDKRDLHTMKGFNRGMLPKSRKQCNGGEA", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKKEHASAFRQLWRAGMAAAISTRFPGHRFVIRDLLRNGFRQNNCSFYSKEKVENTVIFLEMAASRKSVEHLILKNRLHIQMFRRKAGQRLAHYSTAKERPYFLSAYDTYEWCLQRVGTIYNLYL", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLRSSVVRSRATLRPLLRRAYSSHKELKFGVEGRASLLKGVETLAEAVAATLGPKGRNVLIEQPFGPPKITKDGVTVAKSIVLKDKFENMGAKLLQEVASKTNEAAGDGTTSATVLGRAIFTESVKNVAAGCNPMDLRRGSQVAVEKVIEFLSANKKEITTSEEIAQVATISANGDSHVGKLLASAMEKVGKEGVITIREGRTLEDELEVTEGMRFDRGFISPYFITDPKSSKVEFEKPLLLLSEKKISSIQDILPALEISNQSRRPLLIIAEDVDGEALAACILNKLRGQVKVCAVKAPGFGDNRKNTIGDIAVLTGGTVFTEELDLKPEQCTIENLGSCDSITVTKEDTVILNGSGPKEAIQERIEQIKGSIDITTTNSYEKEKLQERLAKLSGGVAVIRVGGASEVEVGEKKDRYDDALNATRAAVEEGILPGGGTALVKASRVLDEVVVDNFDQKLGVDIIRKAITRPAKQIIENAGEEGSVIIGKLIDEYGDDFAKGYDASKSEYTDMLATGIIDPFKVVRSGLVDASGVASLLATTEVAIVDAPEPPAAAGAGGMPGGMPGMPGMM", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLPARLPFRLLSLFLRGSAPTAARHGLREPLLERRCAAASSFQHSSSLGRELPYDPVDTEGFGEGGDMQERFLFPEYILDPEPQPTREKQLQELQQQQEEEERQRQQRREERRQQNLRARSREHPVVGHPDPALPPSGVNCSGCGAELHCQDAGVPGYLPREKFLRTAEADGGLARTVCQRCWLLSHHRRALRLQVSREQYLELVSAALRRPGPSLVLYMVDLLDLPDALLPDLPALVGPKQLIVLGNKVDLLPQDAPGYRQRLRERLWEDCARAGLLLAPGHQGPQRPVKDEPQDGENPNPPNWSRTVVRDVRLISAKTGYGVEELISALQRSWRYRGDVYLVGATNAGKSTLFNTLLESDYCTAKGSEAIDRATISPWPGTTLNLLKFPICNPTPYRMFKRHQRLKKDSTQAEEDLSEQEQNQLNVLKKHGYVVGRVGRTFLYSEEQKDNIPFEFDADSLAFDMENDPVMGTHKSTKQVELTAQDVKDAHWFYDTPGITKENCILNLLTEKEVNIVLPTQSIVPRTFVLKPGMVLFLGAIGRIDFLQGNQSAWFTVVASNILPVHITSLDRADALYQKHAGHTLLQIPMGGKERMAGFPPLVAEDIMLKEGLGASEAVADIKFSSAGWVSVTPNFKDRLHLRGYTPEGTVLTVRPPLLPYIVNIKGQRIKKSVAYKTKKPPSLMYNVRKKKGKINV", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLSAAFITLLRSGGNQVKKRVLLSSILLQDHRQATPACYFSTSEARCSRFDPDGSGQPATWDNFGIWDNRIDEPILLPPSIKYGKPIPKISLENVGCASLIGKRKENEDRFGFAQLTEEVLYFAVYDGHGGPAAADFCHTHMEKCVMDLLPREKDLETVLTLAFLEIDKAFASYAHLSADASLLTSGTTATVALLRDGVELVVASVGDSRALLCRKGKPMKLTTDHTPERKDEKERIKKFGGFVAWNSLGQPHVNGRLAMTRSIGDLDLKASGVIAEPETTRIKLYHADDSFLVLTTDGINFMVNSQEICDFVNQCHDPKEAAHSVTEQAIQYGTEDNSTAVVVPFGAWGKYKNSEITFSFSRSFASSGRWA", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MASSTVPVSAAGSANETPEIPDNVGDWLRGVYRFATDRNDFRRNLILNLGLFAAGVWLARNLSDIDLMAPQPGV", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLKCICRVYSQPLAQMVTSPLFKHMGSAGTYTILPITNLRHLSTKNCPLKIKSNRSEPLQFGDFERQVPCSRKSGSSKNVQKRLYELRQLKTVLSETFGVTEYASFFESLRNALHINNCSENEKKKLLYDIILHQHELYPEVARKIGFYLPGEVHRWFWYHIPKSESFNHYLFLLKSDVLLFTSNYCTRFTNRLIKGTEMERQLATFQIFLHDETNIKFIMEKVLKLHTFDSLIALVNGLVKAKNFRFIKVFIQALLQKLEQHCYSGKDGAKQKNLRYVKFNNTLLYYLLKSGNVELFIKTFQEELKFIVSSGLLNHIDGNEHILNFPIHHYLNLLRISNRQEELFNVISCLQSSPLMKYKLFKEFLMGELIASFQAFRDPKLVCKYLLSSYSSKASANILNALGIWGWLYHSKSTTLTAPTLARELKNKNNILPNTMRIGSPVTVPILTELYRSLLSSSSVSLESGQFKNCLLDLYYKYKSFLSEEAHKYRYWRNDTGILNVFLNYIRFQAREPRLAYNVLLDFYSQPFAKKVVLTTTLCPFSIVAYKNHTLTQAELSELLQVMHKNGVPLTFKFCSAMVMHYVKMRDEKGARSWYNKILFGGFEIRHMALIQIIKDQGWPFPKNFDETLLTELVENNNIKEPTDSTLFTDEDMFEEDGKPRFNDDDVNKCTNIIRETLKSLN", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSGSLGRAAAALLRWGRGAGGGGLWGPGVRAAGSGAGGGGSAEQLDALVKKDKVVVFLKGTPEQPQCGFSNAVVQILRLHGVRDYAAYNVLDDPELRQGIKDYSNWPTIPQVYLNGEFVGGCDILLQMHQNGDLVEELKKLGIHSALLDEKKDQDSK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNKFCLLPFHGKRIGVANIPFTILFKKGPYFLHSHITAVYYSTKGKNDSHEQSRVSKKSTFTPLETPWYLRIVDNEKELMEGKKNNHHTMNKELEIPKTSPNSLRKIADLLTGKLGLDDFLVFDLRKKSPNSVSAVNKLGDFMVICTARSTKHCHKSFLELNKFLKHEFCSSAYVEGNFNERQESRRKRRLARKSNLSKLLGRSSECSAKDLNSEAWYMIDCRVDGIFVNILTQRRRNELNLEELYAPENEKSKFQNIDSGNVPTISGVNEISSNNNILLGLRRLAQQRRRYSTINPNGLSNLRYFLQKEDFKGANKIIQSSSGTETHNIRTLEHVKNTLKDLVGQERKVDVVQWKSLFDEHSTFLTINQSAAYWPLRLEYAILLNKADPQFYSDRVFLKDYLLLKKSLGQELIREDLIALLEMVLKTQHSSHSYFNLVKQNRVIIRALNLFKGLQTEDDGSVVYDEVVISLLLNSMVADERVKLRSLYETIDHIFQTFGDKLTSGMIVSILQNLAKIKDWNKLLQVWEAITPTEGEGQDKRPWNEFINVINQSGDSHVISKIVNNGHLLWIRRLNVNVTPELCNSIKALLKTAGMENSTLEEFLVRGTNNQ", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MHINVRGTRKIISNVSSFTPRYEFPKYSMPLTDFKGHQVKALKTFEKLLPQMNMIIELRDIRAPLSTRNVVFDRIARKEHDVMKLVVYTRKDLMPGNKPYIGKLKNWHEELGEKFILLDCRNKTDVRNLLKILEWQNYELETNGGYLPMGYRALITGMPNVGKSTLINSLRTIFHNQVNMGRKFKKVAKTGAEAGVTRATSEVIRVTSRNTESRNEIYLIDTPGIGVPGRVSDHNRMLGLALCGSVKNNLVDPIFQADYLLYLMNLQNLNDGRTELYPGSTNSPTNDIYDVLRRLQVNKSQNEKSTAIEWTNKWRLHGKGIIFDPEVLLNNDEFSYKNYVNDQLEKLGDLSYEGLSNKLKGNPNQVF", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAMITRNTATRLPLLLQSQRAVAAASVSHLHTSLPALSPSTSPTSYTRPGPPSTSPPPPGLSKAAEFVISKVDDLMNWARTGSIWPMTFGLACCAVEMMHTGAARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGLLQLQKKINRRKDFLHWWNK", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAILGDTIMVAKGLVKLTQAAVETHLQHLGIGGELIMAARALQSTAVEQIGMFLGKVQGQDKHEEYFAENFGGPEGEFHFSVPHAAGASTDFSSASAPDQSAPPSLGHAHSEGPAPAYVASGPFREAGFPGQASSPLGRANGRLFANPRDSFSAMGFQRRFFHQDQSPVGGLTAEDIEKARQAKARPENKQHKQTLSEHARERKVPVTRIGRLANFGGLAVGLGFGALAEVAKKSLRSEDPSGKKAVLGSSPFLSEANAERIVRTLCKVRGAALKLGQMLSIQDDAFINPHLAKIFERVRQSADFMPLKQMMKTLNNDLGPNWRDKLEYFEERPFAAASIGQVHLARMKGGREVAMKIQYPGVAQSINSDVNNLMAVLNMSNMLPEGLFPEHLIDVLRRELALECDYQREAACARKFRDLLKGHPFFYVPEIVDELCSPHVLTTELVSGFPLDQAEGLSQEIRNEICYNILVLCLRELFEFHFMQTDPNWSNFFYDPQQHKVALLDFGATREYDRSFTDLYIQIIRAAADRDRETVRAKSIEMKFLTGYEVKVMEDAHLDAILILGEAFASDEPFDFGTQSTTEKIHNLIPVMLRHRLVPPPEETYSLHRKMGGSFLICSKLKARFPCKAMFEEAYSNYCKRQAQQ", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLSSRAQAARTAADKALQRFLRTGAAVRYKVMKNWGVIGGIAAALAAGIYVIWGPITERKKRRKGLVPGLVNLGNTCFMNSLLQGLSACPAFVKWLEEFTTQYSRDQQGPHTHQCLSLTLLNLLKALSCQEVTEDEVLDASCLLDVLRMYRWQISSFEEQDAHELFHVITSSLEDERDRQPRVTHLFDVHSLEQQSEMAPRQVTCHTRGSPHPTTNHWKSQHPFHGRLTSNMVCKHCEHQSPVRFDTFDSLSLSIPAATWGHPLTLDHCLHHFISSESVRDVVCDNCTKIEARGTLTGEKVEHQRSTFVKQLKLGKLPQCLCIHLQRLSWSSHGTPLKRHEHVQFNEFLMMDFYKYRLLGHKPSQHGPKATENPGSAPEVQDAQAAPKPGLSQPGAPKTQIFLNGACSPSLLPALPSPVAFPLPVVPDYSSSTYLFRLMAVVVHHGDMHSGHFVTYRRSPPSAKNPLSTSNQWLWISDDTVRKASLQEVLSSSAYLLFYERVLSRVQQQGREYRSEE", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDRPGFVAALVAGGVAGVSVDLILFPLDTIKTRLQSPQGFNKAGGFHGIYAGVPSAAIGSFPNAAAFFITYEYVKWFLHADSSSYLTPMKHMLAASAGEVVACLIRVPSEVVKQRAQVSASTRTFQIFSNILYEEGIQGLYRGYKSTVLREIPFSLVQFPLWESLKALWSWRQDHVVDSWQSAVCGAFAGGFAAAVTTPLDVAKTRITLAKAGSSTADGNVLSVLHGVWRSQGLAGLFAGVFPRMAAISLGGFIFLGAYDRTHSLLLEVGRKSP", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MITKYFSKVIVRFNPFGKEAKVARLVLAAIPPTQRNMGTQIQSEIISDYNKVKPLVKVTYKDKKEMEVDPSNMNFQELANHFDRHSKQLDLKHMLEMH", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MIATPIRLAKSAYEPMIKFVGTRHPLVKHATEVVVHPCATNGMLPGSKECIPVSKFMENYKPFRVVPIKHSANAGLSSSKTSVFVNRPLQKDELASIFELPARFRYKPINEHELESINSGGAW", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTVFFKTLRNHWKKTTAGLCLLTWGGHWLYGKHCDNLLRRAACQEAQVFGNQLIPPNAQVKKATVFLNPAACKGKARTLFEKNAAPILHLSGMDVTIVKTDYEGQAKKLLELMENTDVIIVAGGDGTLQEVVTGVLRRTDEATFSKIPIGFIPLGETSSLSHTLFAESGNKVQHITDATLAIVKGETVPLDVLQIKGEKEQPVFAMTGLRWGSFRDAGVKVSKYWYLGPLKIKAAHFFSTLKEWPQTHQASISYTGPTERPPNEPEETPVQRPSLYRRILRRLASYWAQPQDALSQEVSPEVWKDVQLSTIELSITTRNNQLDPTSKEDFLNICIEPDTISKGDFITIGSRKVRNPKLHVEGTECLQASQCTLLIPEGAGGSFSIDSEEYEAMPVEVKLLPRKLQFFCDPRKREQMLTSPTQ", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MHLKKGKRSISTVWRLLWKRFYSVNSKTNMHFSRSRKKPVTNFTRTNGLLLSCNGDTFPYLRTLWRYFNAPGNLMFVTTNIVAFMGIVTYNTLVTISSERAFEEQMMAAQVSLAKQREELETTALSLPRDIELRGEEDDIKWEQPDVAHVREDPLVEEQNAKLDTPIKQYTLGDLILNKRENVTDYDSQRAKASIFHMLYAYMLYRDVIQPTTMTQNNNSEEWRREVELLTKGKEVQGTHRRIDVFYDLWNKNFDKIVTSPEKVQNFQLPNWSKYPTILKFICTELHDNSLKTLGEFKQFYGKVRSNEVKKLLGLWLYDHSFLFPHNIYDNRTEEDFYDILINDSMQDNRIFQKYSSIVMNPYNERTQLFFPNVNSPSVNKPVPSISLETYTRLLKGYINLQETGCKYDYNDNIFKLISILKLNCFLQRNKKKHAGPTVRILLPRDEDRSQILGTISQAEKRTCYQILSKNRDVVALLKRISDIQADSS", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAAPMELFCWSGGWGLPSVDLDSLAVLTYTRFTGAPLKIHKTSNPWQSPSGTLPALRTSDGKVITVPDKIITHLRKEKYNADYDLSARQGADTLAFMSLLEEKLLPVLIHTFWIDAKNYVEVTRKWYAEAMPFPLNFFLPGRMQRQYMERLQLLCGEHKSENEEELEKELYQEARECLTLLSQRLGSQKFFFGDAPASLDAFVFSHLALLLQAKLPSGKLQAHLRGLHNLCAYCTHILNLYFPRDGDEVPLPRQTPAAPETEEEPYRRRTQILSVLAGLAAMVGYALLSGIVSIQRTSPARAPGTRALGLAEEDEED", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAAAGRSLLLLLCSRGGGGGAGGCGALTAGCFPGLGVSRHRPHQQHRTAHQRPASWQSVGAAYCSTVVPSDDVTVVYQNGLPVISVRLPSRRERCQFTLKPISDSVGVFLRQLQEEDRGIDRVAIYSPDGVRVAASTGIDLLLLDDFKLVINDLTYHVRPPKRDLLSHEDAATLNDVKTLVQQLYTTLCIEQHQLNKERELVERLEDLKQQLAPLEKVRIEISRKAEKRTTLVLWGGLAYMATQFGILARLTWWEYSWDIMEPVTYFITYGSAMAMYAYFVMTRQEYVYPEARDRQYLLFFHKGAKKSRFDLEKYNQLKDAIAQAEMDLKRLRDPLQVHLPLRQIGEKE", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAMAVFRREGRRLLPSIAARPIAAIRSPLSSDQEEGLLGVRSISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAVQGRAENSRGLWQPFTALLGDNPSIDVKKSVVVTLSSDKGLCGGINSTVVKVSRALYKLNAGPEKEVQFVIVGEKAKAIMFRDSKNDIVLSVTELNKNPLNYAQVSVLADDILKNVEFDALRIVYNKFHSVVAFLPTVSTVLSPEIIEKESEIGGKLGELDSYEIEGGETKGEILQNLAEFQFSCVMFNAVLENACSEMGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEAAK", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAAAGRSLLLLLSSRGGGGGGAGGCGALTAGCFPGLGVSRHRQQQHHRTVHQRIASWQNLGAVYCSTVVPSDDVTVVYQNGLPVISVRLPSRRERCQFTLKPISDSVGVFLRQLQEEDRGIDRVAIYSPDGVRVAASTGIDLLLLDDFKLVINDLTYHVRPPKRDLLSHENAATLNDVKTLVQQLYTTLCIEQHQLNKERELIERLEDLKEQLAPLEKVRIEISRKAEKRTTLVLWGGLAYMATQFGILARLTWWEYSWDIMEPVTYFITYGSAMAMYAYFVMTRQEYVYPEARDRQYLLFFHKGAKKSRFDLEKYNQLKDAIAQAEMDLKRLRDPLQVHLPLRQIGEKD", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MYLKRNIINMQRSFSRQFHISVRNSIQSSKPLSNSTPLKGCSVGTILIRSPILTRQPSEFEKSIYKYNAELWNELSDPLPAEFYFKKGSVGEKDWQERQKTLKGKESPFETIFGKERKEMESNKLLDSATHLQSRVTEADTKNDERSTLRSLDKSLYLLVKKSKSSGWQFPNTPVTSSEKALHLLCQDLLKNILDENSLTWLVARHPLALLKTEQEKTFLLRARLLNGLDVPNLQNVYDWVWCTYDELKNKLSPSSWDSVKNILSDRL", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSRMPSSFDVTERDLDDMTFGERIIYHCKKQPLVPIGCLLTTGAVILAAQNVRLGNKWKAQYYFRWRVGLQAATLVALVAGSFIYGTSGKELKAKEEQLKEKAKMREKLWIQELERREEETEARRKRAELARMKTLENEEEIKNLEKELSDLENKLGKK", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLSSANRFYIKRHLATHANMFPSVSKNFQTKVPPYAKLLTNLDKIKQITNNAPLTLAEKILYSHLCDPEESITSSDLSTIRGNKYLKLNPDRVAMQDASAQMALLQFMTTGLNQTSVPASIHCDHLIVGKDGETKDLPSSIATNQEVFDFLESCAKRYGIQFWGPGSGIIHQIVLENFSAPGLMMLGTDSHTPNAGGLGAIAIGVGGADAVDALTGTPWELKAPKILGVKLTGKLNGWSTPKDVITKLAGLLTVRGGTGYIVEYFGEGVSTLSCTGMATICNMGAEIGATTSTFPYQEAHKRYLQATNRAEVAEAADVALNKFNFLRADKDAQYDKVIEIDLSAIEPHVNGPFTPDLSTPISQYAEKSLKENWPQKVSAGLIGSCTNSSYQDMSRVVDLVKQASKAGLKPRIPFFVTPGSEQIRATLERDGIIDIFQENGAKVLANACGPCIGQWNREDVSKTSKETNTIFTSFNRNFRARNDGNRNTMNFLTSPEIVTAMSYSGDAQFNPLTDSIKLPNGKDFKFQPPKGDELPKRGFEHGRDKFYPEMDPKPDSNVEIKVDPNSDRLQLLEPFKPWNGKELKTNVLLKVEGKCTTDHISAAGVWLKYKGHLENISYNTLIGAQNKETGEVNKAYDLDGTEYDIPGLMMKWKSDGRPWTVIAEHNYGEGSAREHAALSPRFLGGEILLVKSFARIHETNLKKQGVLPLTFANESDYDKISSGDVLETLNLVDMIAKDGNNGGEIDVKITKPNGESFTIKAKHTMSKDQIDFFKAGSAINYIGNIRRNE", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTGRGTSSRFLTSVLHNGLGRYVQQLQRLSLSLSRDAPSSRGAREFVEREVTDFARRNPGVVVYVNPRPCAMPRIVAEYLNGAVREENVNSKSVEEIKSLVQKLADQSGLDVIRIRKPFHTDNPSIQGQWTPSPTNGLPSTGCGPENSGILLQLRCKHSKEPGALDRERDRIGSSFGFQAQAE", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAGGAREVLTLQLGHFAGFVGAHWWNQQDAALGRATDSKEPPGELCPDVLYRTGRTLHGQETYTPRLILMDLKGSLSSLKEEGGLYRDKQLDAAIAWQGKLTTHKEELYPKNPYLQDFLSAEGVLSSDGVWRVKSIPNGKGSSPLPTATTPKPLIPTEASIRVWSDFLRVHLHPRSICMIQKYNHDGEAGRLEAFGQGESVLKEPKYQEELEDRLHFYVEECDYLQGFQILCDLHDGFSGVGAKAAELLQDEYSGRGIITWGLLPGPYHRGEAQRNIYRLLNTAFGLVHLTAHSSLVCPLSLGGSLGLRPEPPVSFPYLHYDATLPFHCSAILATALDTVTVPYRLCSSPVSMVHLADMLSFCGKKVVTAGAIIPFPLAPGQSLPDSLMQFGGATPWTPLSACGEPSGTRCFAQSVVLRGIDRACHTSQLTPGTPPPSALHACTTGEEILAQYLQQQQPGVMSSSHLLLTPCRVAPPYPHLFSSCSPPGMVLDGSPKGAAVESIPVFGALCSSSSLHQTLEALARDLTKLDLRRWASFMDAGVEHDDVAELLQELQSLAQCYQGGDSLVD", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MISFFPNKPMYHVQPHISFITPERTMKTIPAFSRWAFAAVAGVFVFAMQVPKVKTTILQPIAFIGDHFKDKTPEEDKWL", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MMGRNGIRLALKRSFSTYQPPVVEITNITKLWPTLRPEVRDEIKEYLRWRMQEDWRHIPLEETKAAYFLSYGPCGGRSKGNEWNVGYTGMRIVFNLVLFGGAATAFYNWKQDKKLEEQLRDLV", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDCGSVGGQRTQRLPGRQRLLFLPVGLSGRPGGSETSARRCLSALSDGLGALRPRAPAARGGVSRASPLLLLLLVPSPRLAAAAPRRQLGDWERSRLGYAAPPAGRSSAWRCSPGVAAAAGALPQYHGPAPALVSCRRELSLSAGSLQLERKRRDFTSSGSRKLYFDTHALVCLLEDNGFATQQAEIIVSALVKILEANMDIVYKDMVTKMQQEITFQQVMSQIANVKKDMIILEKSEFSALRAENEKIKLELHQLKQQVMDEVIKVRTDTKLDFNLEKSRVKELYSLNEKKLLELRTEIVALHAQQDRALTQTDRKIETEVAGLKTMLESHKLDNIKYLAGSIFTCLTVALGFYRLWI", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MATSSAALPRILGAGARAPSRWLGFLGKATPRPARPSRRTLGSATALMIRESEDSTDFNDKILNEPLKHSDFFNVKELFSVRSLFDARVHLGHKAGCRHRFMEPYIFGSRLDHDIIDLEQTATHLQLALNFTAHMAYRKGIILFISRNRQFSYLIENMARDCGEYAHTRYFRGGMLTNARLLFGPTVRLPDLIIFLHTLNNIFEPHVAVRDAAKMNIPTVGIVDTNCNPCLITYPVPGNDDSPLAVHLYCRLFQTAITRAKEKRQQVEALYRLQGQKEPGDQGPAHPPGADMSHSL", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTAKMLHLVRYKFPSLEPASLFLIPGSFLQERFRRDILHRAVIYEADNDRQGTASSKRRNEITCSGRKLYRQKGTGHARVGDASSPIRRGGAKSHGPKPRDFSTKLQTQVYNLAMRIALSTRFINNELTILENPINLSQPKTRILLEVLKQHKLGHEYGKALFVLNDNYFEDESLKNNNLLLASRQLGYHCSFIPVGEFQVRDALKFGKLFIDSEAMSLIVEKFAQRNTLSDMDQPASLTAYVDANSSSFTKSKPEPSLSL", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MALSRLSSRSNIITRPFSAAFSRLISTDTTPITIETSLPFTAHLCDPPSRSVESSSQELLDFFRTMALMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAIGMEAAITKKDAIITAYRDHCIFLGRGGSLHEVFSELMGRQAGCSKGKGGSMHFYKKESSFYGGHGIVGAQVPLGCGIAFAQKYNKEEAVTFALYGDGAANQGQLFEALNISALWDLPAILVCENNHYGMGTAEWRAAKSPSYYKRGDYVPGLKVDGMDAFAVKQACKFAKQHALEKGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIKKLVLSHDLATEKELKDMEKEIRKEVDDAIAKAKDCPMPEPSELFTNVYVKGFGTESFGPDRKEVKASLP", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MWRGLWTLAAQAARGPRRLCTRRSSGAPAPGSGATIFALSSGQGRCGIAVIRTSGPASGHALRILTAPRDLPLARHASLRLLSDPRSGEPLDRALVLWFPGPQSFTGEDCVEFHVHGGPAVVSGVLQALGSVPGLRPAEAGEFTRRAFANGKLNLTEVEGLADLIHAETEAQRRQALRQLDGELGHLCRGWAETLTKALAHVEAYIDFGEDDNLEEGVLEQADIEVRALQVALGAHLRDARRGQRLRSGVHVVVTGPPNAGKSSLVNLLSRKPVSIVSPEPGTTRDVLETPVDLAGFPVLLSDTAGLREGVGPVEQEGVRRARERLEQADLILAMLDASDLASPSSCNFLATVVASVGAQSPSDSSQRLLLVLNKSDLLSPEGPGPGPDLPPHLLLSCLTGEGLDGLLEALRKELAAVCGDPSTDPPLLTRARHQHHLQGCLDALGHYKQSKDLALAAEALRVARGHLTRLTGGGGTEEILDIIFQDFCVGK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAVVSAVRWLGLRSRLGQPLTGRRAGLCEQARSCRFYSGSATLSKVEGTDVTGIEEVVIPKKKTWDKVAVLQALASTVNRDTTAVPYVFQDDPYLMPASSLESRSFLLAKKSGENVAKFIINSYPKYFQKDIAEPHIPCLMPEYFEPQIKDISEAALKERIELRKVKASVDMFDQLLQAGTTVSLETTNSLLDLLCYYGDQEPSTDYHFQQTGQSEALEEENDETSRRKAGHQFGVTWRAKNNAERIFSLMPEKNEHSYCTMIRGMVKHRAYEQALNLYTELLNNRLHADVYTFNALIEATVCAINEKFEEKWSKILELLRHMVAQKVKPNLQTFNTILKCLRRFHVFARSPALQVLREMKAIGIEPSLATYHHIIRLFDQPGDPLKRSSFIIYDIMNELMGKRFSPKDPDDDKFFQSAMSICSSLRDLELAYQVHGLLKTGDNWKFIGPDQHRNFYYSKFFDLICLMEQIDVTLKWYEDLIPSAYFPHSQTMIHLLQALDVANRLEVIPKIWKDSKEYGHTFRSDLREEILMLMARDKHPPELQVAFADCAADIKSAYESQPIRQTAQDWPATSLNCIAILFLRAGRTQEAWKMLGLFRKHNKIPRSELLNELMDSAKVSNSPSQAIEVVELASAFSLPICEGLTQRVMSDFAINQEQKEALSNLTALTSDSDTDSSSDSDSDTSEGK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSTVAKTNPKSSNKPGPVKSIIAGGVAGAIEISITYPAEFAKTRLQLYRNVEGTKAKLPPFGLEWYRGCSTVIVGNSLKAAVRFFAFDSIKKSLSDEHGHLTGPRTVLAGLGAGVAESVLVLTPFESIKTAIIDDRKRPNPRLKGFLQASRIIVHENGIRGLYRGLAATVARQAANSGVRFTAYNSIKQSLQSRLPPDEKLSTVTTFLVGSVAGIITVYCTQPIDTVKSRMQSLSASKEYKNSIHCAYKILTQDGLLRFWSGATPRLARLILSGGIVFTVYEKVMEILKPF", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MFSKLAHLQRFAVLSRGVHSSVASATSVATKKTVQGPPTSDDIFEREYKYGAHNYHPLPVALERGKGIYLWDVEGRKYFDFLSSYSAVNQGHCHPKIVNALKSQVDKLTLTSRAFYNNVLGEYEEYITKLFNYHKVLPMNTGVEAGETACKLARKWGYTVKGIQKYKAKIVFAAGNFWGRTLSAISSSTDPTSYDGFGPFMPGFDIIPYNDLPALERALQDPNVAAFMVEPIQGEAGVVVPDPGYLMGVRELCTRHQVLFIADEIQTGLARTGRWLAVDYENVRPDIVLLGKALSGGLYPVSAVLCDDDIMLTIKPGEHGSTYGGNPLGCRVAIAALEVLEEENLAENADKLGIILRNELMKLPSDVVTAVRGKGLLNAIVIKETKDWDAWKVCLRLRDNGLLAKPTHGDIIRFAPPLVIKEDELRESIEIINKTILSF", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPLHKVPVGLWKRLRLREGIYSRLPAHYLRSLEEARTPTPVHFRPHGAKFKINPKNGQRERVEDVPIPVHYPPESQLGLWGGEGWLKGHRYVNNDKFSKRVKKVWKPQLFQRELYSEILDTRFTVTVTMRTLDLIDEAYGFDFYILKTPKEDLCSKFGMDLKRGMLLRLARQDPQLHPDDPERRAAIYDKYKAFVIPEAEAEWVGLTLDEAVEKQRLLEEKDPVPLFKVYVEELVEQLQQQALSEPAVVQKRANRT", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLSLKKSALSKLTLLRNTRTFTSSALVRQTQGSVNGSASRSADGKYHIIDHEYDCVVIGAGGAGLRAAFGLAEAGYKTACISKLFPTRSHTVAAQGGINAALGNMHKDNWKWHMYDTVKGSDWLGDQDSIHYMTREAPKSIIELEHYGVPFSRTENGKIYQRAFGGQTKEYGKGAQAYRTCAVADRTGHALLHTLYGQALRHDTHFFIEYFALDLLTHNGEVVGVIAYNQEDGTIHRFRAHKTIIATGGYGRAYFSCTSAHTCTGDGNAMVSRAGFPLQDLEFVQFHPSGIYGSGCLITEGARGEGGFLVNSEGERFMERYAPTAKDLACRDVVSRAITMEIREGRGVGKKKDHMYLQLSHLPPEVLKERLPGISETAAIFAGVDVTKEPIPIIPTVHYNMGGIPTKWNGEALTIDEETGEDKVIPGLMACGEAACVSVHGANRLGANSLLDLVVFGRAVAHTVADTLQPGLPHKPLPSDLGKESIANLDKLRNANGSRSTAEIRMNMKQTMQKDVSVFRTQSSLDEGVRNITAVEKTFDDVKTTDRSMIWNSDLVETLELQNLLTCASQTAVSAANRKESRGAHAREDYPNRDDEHWMKHTLSWQKDVAAPVTLKYRRVIDHTLDEKECPSVPPTVRAY", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAFLFRIRTSEFILQKATQFRLKSSSSSIFTLKSLTSKQKKSRDTLSLLKSENNPDRILEICRSTSLSPDYHVDRIIFSVAVVTLAREKHFVAVSQLLDGFIQNQPDPKSESFAVRAIILYGRANMLDRSIQTFRNLEQYEIPRTVKSLNALLFACLMAKDYKEANRVYLEMPKMYGIEPDLETYNRMIRVLCESGSTSSSYSIVAEMERKWIKPTAASFGLMIDGFYKEEKFDEVRKVMRMMDEFGVHVGVATYNIMIQCLCKRKKSAEAKALIDGVMSCRMRPNSVTYSLLIHGFCSEENLDEAMNLFEVMVCNGYKPDSECYFTLIHCLCKGGDFETALILCRESMEKNWVPSFSVMKWLVNGLASRSKVDEAKELIAVVKEKFTRNVDLWNEVEAALPLPQ", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MALLQSRLLLSAPRRAAATARASSWWSHVEMGPPDPILGVTEAFKRDTNSKKMNLGVGAYRDDNGKSYVLNCVRKAEAMIAAKKMDKEYLPIAGLADFTRASAELALGENSEAFKSGRYVTVQGISGTGSLRVGANFLQRFFKFSRDVYLPKPSWGNHTPIFRDAGLQLQAYRYYDPKTCSLDFTGAMEDISKIPEKSIILLHACAHNPTGVDPRQEQWKELASVVKKRNLLAYFDMAYQGFASGDINRDAWALRHFIEQGIDVVLSQSYAKNMGLYGERAGAFTVICRDAEEAKRVESQLKILIRPMYSNPPMNGARIASLILNTPELRKEWLVEVKGMADRIISMRTQLVSNLKKEGSSHNWQHITDQIGMFCFTGLKPEQVERLTKEFSIYMTKDGRISVAGVASSNVGYLAHAIHQVTK", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKVPLGLWKVSRGNLWSTQKRVLTMSRCLNSDAGNEAKTVREGPAFSADLYMHPEKWKGLPPQRILELYWERMARLGSEYKPNKDELNALLTTSEYSNVPVNDIKKLYHRGEQGAIDIKGGNVNRDNSLRPFMFDELPSQAQELVAQHREQRFYNRLAAYELPLLAQYRQEYKRPSPESHPVTYRYTSYVGEEHPNSRKVVLSVKTKELGLEEKSLHKFRILARSRYDHTTDIFKMSSDKFEHASQNARYLHDILQRLLAESKDLTEDDFSDVPLDTRHTIAKSLRKKKRDYEFPEHWKRPEDAPKKKFDIVDQLLSTL", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLLNSTRTLLLTYGRLLFAPGREGRQSRLAKGVSAWTVAAEGEKGGQLSPAPESTSCCGAAEVNEIKERDTKTCRQASSAGHNDLGLQEKEKSSGDESAFSSLGLNEEKRRALTLVLDGAPLFIGGGAGVGKSYMIQSIVTALRAKDLDVVVTASTGIAALNIGGSTFHSTFGVRVTSVGNSETNESCAVSILRYSKSLLAKVDVIVVDEVSLLHARHLEGLDIAARGAPGRIPHLPFGGIQVILCGDFMQLMHSTENCTSQDGGGDAGNKIGYRGDETTENTAGQNRSDVSSTAAVTDQGHIMSAVKNICVGERQRTSSGLIFESPLFLTCLLHLQLCEVKRHGDTAFLNDLNKLRQGVLTRRMMRSALVNPEDPNAIQLYPTRRSVAAFNESKMLELDGEEHLFRSIVESAGLSGPKGHASPNSRGANNVDGCNDVVVLHFLEKMRSSRRWQREVKKFVGQICTRCGISGIATSVVAPPYSSRQPYLKVYVHFCVSKQYDCLYPVAKMKAEWERSYYGTTPESKSARRFFGRVLFEVKHKNSLSTFLRASLKQAYSKVIESDNVLQSKRLKVGCRVILLRNLSNEYVNGSTGTVIGFQPVNKSRHLFPKGIRTQLSRKVYASLSRRPVVSSDSSAGSSENSYGGNGKEQALDVVNYDDVIVPIVRMDADGKDVAIPWLSLPLPDLQDRVFCTARVVTMPLVPAYAFTVHKTQGLTLDHSILLDCKGFFPCNHIIYVAASRVKKFSQLRMINVSPRMVTVHPGALHFSSSLPNVAEAETKWKKWKELQRMVNNGKALSASNPSVLELALYCATWKHHK", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGKTLTIVMLVFVSMAGWMFGADTGSIGGITNMRDFQSRFADRYNPVTDTYSYSSARQGLITGMVNVGSMTGCILSSPLMDRIGKRVSIMFWTIVYLIGIILQVTAVPSWVQIMVAKIWTGLAIGALSVLAPGFQSEVAPATLRGTIVTTYQLAVTGGIFIAACINMGTHKLHKTAQWRVSMGINLLWGIIMFIGISFLPESPRYLIAIGKDEEALDIMCKNNVLPREHEIIQTEYHVIKTDCEAEMAGGPATWGDILGADIRYRTFLGLGVMSLQQLTGDNYYFYYGFEVFEGTGMNSPYLSALILDAVNFGCTFGGLFVLEFFGRRMPLIIGGVWQSITFFIYAAVGNRALTRKNGTSNHRAGAVMIVFSCLFIFSFAQTWGPAAYVIVGESYPIRYRSKCAAVATTGNWLWGFLITFFTPFISDSIGFKYGYIFAACNLCAACIIFLFAHETKGLTLEEINELYLSGAKPWMPRPENLGQAAKQQQEVLEKSRGVQGESAAHLENVDNEGMEDTSSNDITSSTSSSEGRAKPESNYVDEQDRYA", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAATLWRLYSKSICNSLQGIILNKPFIQKQLLLSSRTRSLSFSSDSQFGSATAEVCSNTGLKTGGGIIVKEGFLRWENGGGTCHSSAQIYSSALVEFGAVVHEKAVLGAEVHVGSGTVIGPSVDIGPSTRIGYNVSISNCSIGDSCVIHNGVCIGQDGFGFYVDEHGNMVKKPQTLNVKIGNRVEIGANTCIDRGSWRETVIEDDTKIDNLVQIGHNVIIGKCCLLCGQVGIAGSVTIGDYVALGGRAAVRDHVSIVSKVRLAANSCVTRNITEPGDFGGFPAVPIHEWRKQIVRAQIANKREI", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKFLMQSISGRNRSLVRALVSRRYFASSPEEIAKRNYANDLSEYNTAVNSVTAQRRHYLLRDVYDDMKLDGVQPTADIFHSFVVGTMKGARLSDAFFFREEMKAMGIAPDVNLYNFLISTCGKCKNGKEAIRVYDEMKRYDVKPNGQTFVCLLNACAVSGQLDLVYAIVRDMTAAGVGLNQFCYAGLITAHLNKQPRPDNLSTKILEFVEQSKGWSAIDSSRKSAEDVMFSISEEELYNIPTADYSHRTRFLQRNLTVYHVAFSALADLKDVKATEALLEMLKKDGKDTDTYCMLQIMRCYLHSQDFENGLKLFQDYMSADKIPAMELYTTLIEGAMTGYTDNGMKIAQDTLIQMNERNFFLDPRTGSNLLLKAAGEKTGGYTVANMIWDLMLARNILPTLAAVEAYYKGLKEREIPEDDPRLMLVTRTYNNLRLREGTLPNRR", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLGIRSSVKTCFKPMSLTSKRLISQSLLASKSTYRTPNFDDVLKENNDADKGRSYAYFMVGAMGLLSSAGAKSTVETFISSMTATADVLAMAKVEVNLAAIPLGKNVVVKWQGKPVFIRHRTPHEIQEANSVDMSALKDPQTDADRVKDPQWLIMLGICTHLGCVPIGEAGDFGGWFCPCHGSHYDISGRIRKGPAPLNLEIPAYEFDGDKVIVG", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTSSSGVDNEISLDSPMPIFNESSTLKPIRVAGVVTTGTDHIDPSVLQAYLDDTIMKSITLGQLVKNADVLNKRLCQHHIALNAKQSFHFQGNTYISDEKETHDVVPLMEVVSQLDILPPKTFTAKTGTNFGNDNDAEAYLQFEKLIDKKYLKLPTRVNLEILRGTKIHSSFLFNSYSSLSPQSILNLKVFSQFYNWNTNKGLDIGQRGARLSLRYEPLFLHKLLHNPHSNESPTLFHEWFLETCWRSTKICSQGTSAPYMYSGTMLSQAGDQLRTILGHTFVLDKRDHIMCPTKGSMLKWSNELSPGKHLKTQLELNSVKSWMNDDFITFSTTIKTGYLKNLSSQQSLPVHICDKFQSGGPSDIRGFQTFGLGPRDLYDAVGGDAFVSYGLSVFSRLPWKKVEKSNFRLHWFFNGGKLVNHDNTSLGNCIGQLSKEHSTSTGIGLVLRHPMARFELNFTLPITAHENDLIRKGFQFGLGLAFL", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLYELIGLVRITNSNAPKLEAKELSSTIGKLIIQNRGVVRDIVPMGIRYLPKIMKKDQEKHFRAYHFLMLFDSSAAVQSEILRTLKKDPRVIRSSIVKVDLDKQLDRASSLHRSLGKKSILELVNEDYQSI", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MYRRATSGVRSASARLSSSLSRIASSETASVSAPSASSLRNQTNRSKSFSSALRSFRVCSASTRWSHGGSWGSPASLRAQARNSTPVMEKFERKYATMASEHSYKDILTSLPKPGGGEYGKYYSLPALNDPRIDKLPFSVRILLESAIRNCDNYQVTKDDVEKILDWENTSTKQVEIAFKPARVILQDFTGVPVLVDLASMRDAVKNLGSDPSKINPLVPVDLVVDHSIQVDFARSEDAAQKNLELEFKRNKERFTFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNSKGFLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLDGKLKEGVTATDLVLTVTQILRKHGVVGKFVEFYGEGMSELSLADRATIANMSPEYGATMGFFPVDHVTLEYLKLTGRSDETVSMIESYLRANNMFVDYNEPQQERAYTSYLQLDLGHVEPCISGPKRPHDRVPLKDMKADWHACLDNPVGFKGFAVPKEKQEEVVKFSYNGQPAEIKHGSVVIAAITSCTNTSNPSVMIGAALVAKKASDLGLKVKPWVKTSLAPGSRVVEKYLDRSGLRESLTKQGFEIVGYGCTTCIGNSGNLDPEVASAIEGTDIIPAAVLSGNRNFEGRVHPQTRANYLASPPLVVAYALAGTVDIDFEKEPIGTRSDGKSVYLRDVWPSNEEVAQVVQYSVLPSMFKSSYETITEGNPLWNELSAPSSTLYSWDPNSTYIHEPPYFKNMTANPPGPREVKDAYCLLNFGDSVTTDHISPAGNIQKTSPAAKFLMDRGVISEDFNSYGSRRGNDEVMARGTFANIRIVNKLLKGEVGPNTVHIPTGEKLSVFDAASKYKTAEQDTIILAGAEYGSGSSRDWAAKGPLLLGVKAVIAKSFERIHRSNLAGMGIIPLCFKAGEDAETLGLTGHERYTVHLPTKVSDIRPGQDVTVTTDSGKSFVCTLRFDTEVELAYYDHGGILPYVIRSLSAK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNSASFLQSRLISRSFLVRRSLKRYSGLAKPYTFQQPTIYALSTPANQTSAIAIIRISGTHAKYIYNRLVDSSTVPPIRKAILRNIYSPSSCSVKPHDQKESKILLDTSLLLYFQAPYSFTGEDVLELHVHGGKAVVNSILKAIGSLHDRSSGKDIRFALPGDFSRRAFQNGKFDLTQLEGIKDLIDSETESQRRSALSSFNGDNKILFENWRETIIENMAQLTAIIDFADDNSQEIQNTDEIFHNVEKNIICLRDQIVTFMQKVEKSTILQNGIKLVLLGAPNVGKSSLVNSLTNDDISIVSDIPGTTRDSIDAMINVNGYKVIICDTAGIREKSSDKIEMLGIDRAKKKSVQSDLCLFIVDPTDLSKLLPEDILAHLSSKTFGNKRIIIVVNKSDLVSDDEMTKVLNKLQTRLGSKYPILSVSCKTKEGIESLISTLTSNFESLSQSSADASPVIVSKRVSEILKNDVLYGLEEFFKSKDFHNDIVLATENLRYASDGIAKITGQAIGIEEILDSVFSKFCIGK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPSTTNTAAANVIEKKPVSFSNILLGACLNLSEVTTLGQPLEVVKTTMAANRNFTFLESVKHVWSRGGILGYYQGLIPWAWIEASTKGAVLLFVSAEAEYRFKSLGLNNFASGILGGVTGGVTQAYLTMGFCTCMKTVEITRHKSASAGGVPQSSWSVFKNIYKKEGIRGINKGVNAVAIRQMTNWGSRFGLSRLVEDGIRKITGKTNKDDKLNPFEKIGASALGGGLSAWNQPIEVIRVEMQSKKEDPNRPKNLTVGKTFKYIYQSNGLKGLYRGVTPRIGLGIWQTVFMVGFGDMAKEFVARMTGETPVAKH", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPTYRFNYLRFNKLCVSFFRSKFDKRPFASQKFPENLVPDNSSNDANSQPEEVSSKKPWYVDEKHNLFPKKAHFDAVALPPIPKGAPNFLADVLNLLKKKYYATDLSFVNSPADSFWCDSDLILLASCNCGSEVVSATNGLLRLLKQKNVGPVNVDGLTSASRRKILERRMRKRSNLSNRQLNTSENNWTCLSIENFGISIHVITKNFREYYKLDNIEHVKDETLYSDLEHGKQSRVSLTSKSTPDNSLPPNFINNHSNVFRRSFHTCNFSLKSAASLYCDTQDILLNVNSQNLTSTLEKYKKMHLQNPNNFSLDFTLSIFERLRKDSSLQLTTKDINTLFSTIALSPTKLSMASKHSKNLVSERMLYLSLMYKSLVDLKTIDSFSLKLLFLKFMICSCMVKGESNFFLDNRIFLLERIMNRYGIPMTIDTFLLMQFILAKSNRWSEVWRRWDNLRKAGVVFNERLYNHVYLLAFESKNERVINYVLTNIFEDMVSQSPPIPASKLMATSLKKCVQSLPEKYAHSFPSVRNYIAKMENSMTH", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNIIFLKVPSIFKKLNVGNIKILNVNQNSPSIIVNSNNTKSIKNHNYSSFTNNNNNNNKNQVNLVQNKSILSSSSSYKGNNNNNNKLSYTTSSNNNNNNKIEEIVKSTTVSPFTPLNILHPKLVGEKLYSNDNEANNNQKEFKAEISTLPNGIRVVSKQTHEGVCAIGLYINAGTKYESPQDRGVFNLLEKMTFKETKNNSTSEIIKELEEISMNAMASSSREMINVSLEVLRKDLEFVLSILSDQIKSPTYSEEELREQIEVCIRNYEMITNSSSDQLMTEILMGVAFGDAGLGNLVIATPEQYQNITREKLFDALRKYYVGKNIVISVTGAEHSQVIELVDKYFGDIPFTQKDTPSEDSIDSTITYKGGTDACVAGLIHKNHLKSQLQFLIEKQQKLKQQQQQQQPQPQNSNIDDNDNEEELLNLEIEQTKISIEQLELQQVKESSWIIAFPHSGLSTVAENKDIINGLVLQSLLGGGSSYSTGGPGKGMQSRLNLNVVYSSHRVKNCHAFLFVFNKVSLFGISLTTQSGFLQDGIELVLQELLMLRSSMTQQELERAKRSQKSQILQNLEMRSVQCDDMARHILSFGSYKSPEQICKLIDSVTLDDIKKLISKLAQSNPSVVSIVANENEPILTAEQYNQIVKQNSSTLFK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAALLLLLPLLLLLPLLLKLDVWPQLRWLPADLAFTVRALRCKRALRARALAAAAADPESSESGCSLAWRLAYLAREQPTHTFLIHGAQRFSYAEAERESNRIARAFLRARGWTGGRRGSGRGSTEEGARVAPPAGDAAARGTTAPPLAPGATVALLLPAGPDFLWIWFGLAKAGLRTAFVPTALRRGPLLHCLRSCGASALVLATEFLESLEPDLPALRAMGLHLWATGPETNVAGISNLLSEAADQVDEPVPGYLSAPQNIMDTCLYIFTSGTTGLPKAARISHLKVLQCQGFYHLCGVHQEDVIYLALPLYHMSGSLLGIVGCLGIGATVVLKPKFSASQFWDDCQKHRVTVFQYIGELCRYLVNQPPSKAECDHKVRLAVGSGLRPDTWERFLRRFGPLQILETYGMTEGNVATFNYTGRQGAVGRASWLYKHIFPFSLIRYDVMTGEPIRNAQGHCMTTSPGEPGLLVAPVSQQSPFLGYAGAPELAKDKLLKDVFWSGDVFFNTGDLLVCDEQGFLHFHDRTGDTIRWKGENVATTEVAEVLETLDFLQEVNIYGVTVPGHEGRAGMAALALRPPQALNLVQLYSHVSENLPPYARPRFLRLQESLATTETFKQQKVRMANEGFDPSVLSDPLYVLDQDIGAYLPLTPARYSALLSGDLRI", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAAWGSSLTAATQRAVTPWPRGRLLTASLGPQARREASSSSPEAGEGQIRLTDSCVQRLLEITEGSEFLRLQVEGGGCSGFQYKFSLDTVINPDDRVFEQGGARVVVDSDSLAFVKGAQVDFSQELIRSSFQVLNNPQAQQGCSCGSSFSIKL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MFHFQRSFSSRKAHGLLSFKNRNYIHIEAPFDIAAIQDGWNIIRKHIHYPKPKSIEAPMFPILLPPPNITGKLHIGHALTITIQDALARFYAMHGYKVSFRPGTDHAGIATQSVVEKYLQKKGVYRNQLSKDELLSSIHSWQVKYQKSIINQLKSFEAIFDWDNIFYTMDQNRSEAVNEAFISLFNAGLIYRANRFVNWCPKLESAVSDIEVESQQINKPVTKYVDNTPVEFGWLYEISYQLEGSDNEQLNVSTTRPETIFGDRAIAVSPHDERYKKYVGRFVKHPLIDDLLIPVICDNAVDRHFGTGVLKITPMHSIVDYEIAKRHNIDCVSIMDKSGNLINCSKEVNGMNRLKARSKIVRLLQQRNRLVEQVPHSLILSVCSRTGDVIEPVMVPQWYLSVDSLKKEVLKSSNKLKLVPSLARKEWDSWFKKMGDWCISRQIWWGHQIPVWKILEEDKWIAAPNYEKALQLSVGKSVSQDSDVLDTWFSSALLPLSAFGWPKSKDIQPLPFIESGQDILFFWIARMALLCKYFSNELPFKEIILHPLVRDSEGRKMSKSLGNVIDPMDIINGVTLENMKKALLEGNLPISEVHKSSKQMEKAFPNGIPAQGIDIFRYGLFLCLHHEQRILLDMNSFSDAHRFVSKLWNLARYFNQYKDKENPLKLTDSQRQRISLLKMATYSKLHHAVEGVKESFEQRKFFNAADIMKNFLLNDLSSVYVELTRFDVKDSKSSAYEVYRVFSDILHIFLKLIHPIVPCISGVMLHSKIIPERKNSEFLSFPTSQQECLLVHDNQAEVVVQNAYDVLIQLRKLESPLNKSPSREHTVYISTSLEPLKYFYDAIEQSTNLKLKSISQEDTIDLMRNQTFILSRISSDTILLVPKKLYPSKRKKLRKNLDDLQKKLDKIQHTTSSSGYEKAPSYIKLKNCELQKDILVKIQDIKQALLNTEI", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSLITRLLTGNNTLRLRALKSLGKAGYSSHAKFSEHKPIERIRNIGISAHIDSGKTTLTERILFYTGRIAEMHEVRGKDNVGATMDSMELERQRGITIQSAATYTLWKDTNINIIDTPGHVDFTVEVERALRVLDGAVLVLCAVGGVQSQTLTVNRQMKRYNVPCLAFINKLDRLGSNPYRVLSQMRSKMNHNAAFIQLPIGVESNCKGIVDLVREKAIYFEGEHGMDIRLDEIPQDMRVESLERRQELIEHLSNADETLGELFLEEKPFTEDDIKAALRRTCINRTFTPVLVGTALKNKGVQPLLDAVLDYLPNPGEVENLGFIEKEGQDPEKVVLNPARDGKDPFVGLAFKLEAGRFGQLTYLRCYQGVLRKGDNIFNARTNKKVRIARLVRLHSNQMEDVNEVYAGDIFALFGVDCASGDTFTTNPKNNLSMESIFVPEPVVSMAIKPNNTKDRDNFSKAIARFTKEDPTFHFFFDNDVKETLVSGMGELHLEIYAQRMEREYGCPVTLGKPKVAFRETLVGPCEFDYLHKKQSGGSGQYARIIGVMEPLPPNQNTLLEFVDETVGTNVPKQFVPGVEKGYREMAEKGMLSGHKLSGIRFRLQDGGHHIVDSSELAFMLAAHGAIKEVFQNGSWQILEPIMLVEVTAPEEFQGAVMGHLSKRHGIITGTEGTEGWFTVYAEVPLNDMFGYAGELRSSTQGKGEFTMEYSRYSPCLPDVQDQIVRQYQESQGLAQPDKKKKKN", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRSMKALQKALSRAGSHCGRGGWGHPSRSPLLGGGVRHHLSEAAAQGRETPHSHQPQHQDHDSSESGMLSRLGDLLFYTIAEGQERIPIHKFTTALKATGLQTSDPRLRDCMSEMHRVVQESSSGGLLDRDLFRKCVSSNIVLLTQAFRKKFVIPDFEEFTGHVDRIFEDVKELTGGKVAAYIPQLAKSNPDLWGVSLCTVDGQRHSVGHTKIPFCLQSCVKPLTYAISISTLGTDYVHKFVGKEPSGLRYNKLSLNEEGIPHNPMVNAGAIVVSSLIKMDCNKAEKFDFVLQYLNKMAGNEYMGFSNATFQSEKETGDRNYAIGYYLKEKKCFPKGVDMMAALDLYFQLCSVEVTCESGSVMAATLANGGICPITGESVLSAEAVRNTLSLMHSCGMYDFSGQFAFHVGLPAKSAVSGAILLVVPNVMGMMCLSPPLDKLGNSHRGTSFCQKLVSLFNFHNYDNLRHCARKLDPRREGAEIRNKTVVNLLFAAYSGDVSALRRFALSAMDMEQKDYDSRTALHVAAAEGHIEVVKFLIEACKVNPFAKDRWGNIPLDDAVQFNHLEVVKLLQDYQDSYTLSETQAEAAAEALSKENLESMV", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MQRLLFPPLRALKGRQYLPLLAPRAAPRAQCDCIRRPLRPGQYSTISEVALQSGRGTVSLPSKAAERVVGRWLLVCSGTVAGAVILGGVTRLTESGLSMVDWHLIKEMKPPTSQEEWEAEFQRYQQFPEFKILNHDMTLTEFKFIWYMEYSHRMWGRLVGLVYILPAAYFWRKGWLSRGMKGRVLALCGLVCFQGLLGWYMVKSGLEEKSDSHDIPRVSQYRLAAHLGSALVLYCASLWTSLSLLLPPHKLPETHQLLQLRRFAHGTAGLVFLTALSGAFVAGLDAGLVYNSFPKMGESWIPEDLFTFSPILRNVFENPTMVQFDHRILGITSVTAITVLYFLSRRIPLPRRTKMAAVTLLALAYTQVGLGISTLLMYVPTPLAATHQSGSLALLTGALWLMNELRRVPK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MATALASKLSKGRSLLGGLCNAFSGLMNSSSNGMMNGSILSQQQHRTFIQMGTILKCVDNSCAKEVMCIQSLRGKKGARLGDIIVGSVKEANPIVQKKVKKDAIPKGKVKKGMVVYGVVVRAAMPKGRADGSQVKFDDNAIVVVGIKEKKGQNNSHGSKRKMEYNQPTGTRVFGPVPHEMRLRKQLKILSLAQHIV", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MALLRSLSAQRTAISLVYGRNSSKSSNSVAVAACRSFHQRGSGSTSIAGEGAASESTRGVNGARFLHSGDRPLQASTLVQPEVVSSETVKRSMKQESSQEKNPSPAGSPQRDPLDVSFNDPIAAFKSKTTGELIRAYLVYMICSSEKLVEHNMTLMKLARNLLGQKLFVLLMKSSFYGHFVAGENRHTIVPALERLRSFGVKPILDYSVEEDITQEEAEKREVESSVSSAGDKKEEGSMPQYHVDKSFADRRYKVSSARTYFYLNEATCERNMEIFIKCLEAVSDDDRKAPRAVATGATFGTGITAIKLTALGRPQLLLQLSEVIMRTRKYMEDMVGGQGNVLTHHKTIKDLEKYYATLGDNKDVKEFLNNVTSDKEGILHLFPWSGIVDEDSQLSDTFRVPDPQTGQMRRLISQIPPKEEEMFRNMIRRLNTIVKAAADLDVRIMVDAEQTYFQPAISRITLEMMRKYNKDKAIVFNTYQCYLRETFREVNTDLEQAKRQNFYFGAKLVRGAYMDQERDRAKSLGYPDPVNPTFEATTDMYHRTLSECLRRIKLMKDCDDDARKIGIMVASHNEDTVRFAIQQMKEIGISPEDKVICFGQLLGMCDYITFPLGQAGYSAYKYIPYGPVEEVLPYLSRRAQENKGVLKKIKKEKRLLLSEIRRRLMRGQLFYKPKGNYVPI", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSAILSTTSKSFLSRGSTRQCQNMQKALFALLNARHYSSASEQTLKERFAEIIPAKAEEIKKFKKEHGKTVIGEVLLEQAYGGMRGIKGLVWEGSVLDPEEGIRFRGRTIPEIQRELPKAEGSTEPLPEALFWLLLTGEIPTDAQVKALSADLAARSEIPEHVIQLLDSLPKDLHPMAQFSIAVTALESESKFAKAYAQGVSKKEYWSYTFEDSLDLLGKLPVIASKIYRNVFKDGKITSTDPNADYGKNLAQLLGYENKDFIDLMRLYLTIHSDHEGGNVSAHTTHLVGSALSSPYLSLAAGLNGLAGPLHGRANQEVLEWLFKLREEVKGDYSKETIEKYLWDTLNAGRVVPGYGHAVLRKTDPRYTAQREFALKHFPDYELFKLVSTIYEVAPGVLTKHGKTKNPWPNVDSHSGVLLQYYGLTEASFYTVLFGVARAIGVLPQLIIDRAVGAPIERPKSFSTEKYKELVKKIESKN", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MWRYISKHAYSRKFRNSHDSALLGFSQYSSSFGKTRPLQCLCEESTTHPNLGLSQNSIFSRISRKVRHLEGICEESSKNPHLGLSQNSTFSSVKGDFRICGKRGSGSLGRLRSYGSAAEAIVSTSEEDIDEIQELIEEMDKENEALKANLQPKQPKTIGGMGVGKYNFLRRRQIKVETEAWEEAAKEYQELLMDMCEQKLAPNLPYMKSLFLGWFEPLRDAIAAEQKLCDEGKNRGAYAPFFDQLPAEMMAVITMHKLMGLLMTGGGTGSARVVQAASYIGEAIEHEARIHRFLEKTKKSNALSGDLEETPGDMMKERERLRKKVKILMKKQKLRQVRKIVKQQDDEKPWGQDNLVKVGCRLIQILMETAYIQPPNDQLDDGPPDIRPAFVHTLKTVETMKGSRRYGVIQCDPLVRKGLDKTARHMVIPYMPMLVPPQSWLGYDKGGYLFLPSYIMRTHGAKQQREAVKRVPKKQLEPVFQALDTLGNTKWRVNRKVLGIVDRIWASGGRLADLVDREDVPLPEAPDTEDEAEIRKWKWKVKGVKKENCERHSQRCDIELKLAVARKMKDEDGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGTSGLRWLKIHLANVYGGGVDKLSYEGRVAFSENHLEDIFDSAERPLEGKRWWLGAEDPFQCLATCINIAEALRSPSPETAISYMPIHQDGSCNGLQHYAALGRDKLGAAAVNLVAGDKPADVYSGIAARVLDIMKRDAAKDPANDPNVMRARLLINQVDRKLVKQTVMTSVYGVTYIGARDQIKKRLKERGVIEDDNELFAAACYAAKTTLTALGEMFEAARSIMSWLGDCAKIIAMENHPVRWTTPLGLPVVQPYRKLGRHLIKTSLQILTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAIACKESGLSFAGVHDSYWTHACDVDQMNKILREKFVELYDAPILENLLESFQQSFPDLQFPPLPERGDFDLREVLESPYFFN", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSGVRAVSRLLRARRLALTWAQPAASPIGARSFHFTVDGNKRSSAKVSDAISTQYPVVDHEFDAVVVGAGGAGLRAAFGLSEAGFNTACVTKLFPTRSHTVAAQGGINAALGNMEEDNWRWHFYDTVKGSDWLGDQDAIHYMTEQAPASVVELENYGMPFSRTEDGKIYQRAFGGQSLKFGKGGQAHRCCCVADRTGHSLLHTLYGRSLRYDTSYFVEYFALDLLMENGECRGVIALCIEDGSIHRIRARNTVVATGGYGRTYFSCTSAHTSTGDGTAMVTRAGLPCQDLEFVQFHPTGIYGAGCLITEGCRGEGGILINSQGERFMERYAPVAKDLASRDVVSRSMTLEIREGRGCGPEKDHVYLQLHHLPPEQLAVRLPGISETAMIFAGVDVTKEPIPVLPTVHYNMGGIPTNYKGQVLRHVNGQDQVVPGLYACGEAACASVHGANRLGANSLLDLVVFGRACALSIAESCRPGDKVPSIKPNAGEESVMNLDKLRFANGTIRTSELRLSMQKSMQSHAAVFRVGSVLQEGCEKILRLYGDLQHLKTFDRGMVWNTDLVETLELQNLMLCALQTIYGAEARKESRGAHAREDFKERVDEYDYSKPIQGQQKKPFQEHWRKHTLSYVDVKTGKVSLEYRPVIDKTLNEADCATVPPAIRSY", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRRPNGMIAILTGAGISAESGISTFRDQNGLWENHRVEDVCTPAAFLKQPTVVQRFYNERRRALLSPEVKPNASHQALARLQREYKDGQVVIITQNIDDLHERAGSRQVLHMHGELLKVRCTATGRVFESREDVIHGESKCECCGVVETLRPHIVWFNEMPLYMDVIDEVVQNAGLFVAVGTSGNVYPAAGLVMIAKAHGAETLELNLEPSGNCRDFDRSVYGPASVIVPAWADEVLHGKGPAA", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAASMARGGVSARVLLQAARGTWWNRPGGTSGSGEGVALGTTRKFQATGSRPAGEEDAGGPERPGDVVNVVFVDRSGQRIPVSGRVGDNVLHLAQRHGVDLEGACEASLACSTCHVYVSEDHLDLLPPPEEREDDMLDMAPLLQENSRLGCQIVLTPELEGAEFTLPKITRNFYVDGHVPKPH", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MWDGPLRSRQNLRTVAKLRSSGCPLTQSAIHPTTTSPSEGETASEILQGQASAGYIEEQQLPATSSQLEMSGDSNAASDNNVVIMTKRQRDDLSQASQAEVLSRLRTEDAQGPAENNGAQSDLALHSCDMEERVDSSKLNLNHVTVNKHSPPASKSAGISQQNDDGGCGASENVDNTTTAASKQRGKLLLDSSSSNCTPKQQAQQAVTQVPEWELSHEQERIFDIVVNHRRSVFLTGGAGTGKSHLLRAIIAALPLSTTFVTATTGLAALNLGGTTLHSFSGCGFVDQHTSTHQMVYRNVLGRKKARANWRKCRVLVVDEVSMLDAWFFDMLEYVARHIRGCRKPFGGIQLVLSGDFLQLPPVNKHSPKQETRLCFEAKSWPRVNPLVCTLSHQFRQKDKEFFSLLNEVRVGALTAPSLGLLSSLSVITTVSFVDEEKLKLKREVGAEAVDIITDSKGRTRRQRQDGFTILRARRSEVDAINTEKFGELDTEIYSYKGAHRGEGHFPSDLPSTVSVRAGCRVMLLANLDLSAGLANGSIGTVESFVSSKLHQTANPSTKDDLQHLADHMMLPVVRFDHKGKQGPGDGGGAAAGRLVVIEPHRWTMRQGDSDVSCSIQIPLQLAYAITIHKSQGMSLSHVNVDFAGIFEEGQAYVALSRCTDVANLVIENFDAQRVNPNIKALAYYRALEFVGTEHREAEKKLIDNGNKMNPWGPYDVEDFEASDDDNGGAVKKEVVENLTYDAENISCMVEQFRQRYMPQYIMFSTLRRRVLSNTEDAARVKGALLVMDTTSLLALTNMTGPTSLYQTIFTERGNMMRVPRVVKEELLFLASTDVKEVSSVTTPTLHSFCSTCSSTPCSTGFSYDFVEVVSCALSIMENAKCDFLLDEQREGEANSLPPVIQEWRSLSPLLMLNSSPDTGEKDAPSVIGFGERSREQHHSTLMFASFLVSRYSGNGAVYVCTETVELAARALAIGLRVCSIAYLCNRARRVN", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MISTLRRAVFLRRFPAVVSPIKRAFSSRIDDEFDPQIMNINELNQEMQSIFGQEPSPDGPGTMDFSELKSSKIEPLRSKNIDFRQQIEYHKSTHSSKNDSQAIEQYAKVASDMSKLTHVGIAGEAQMVDVSSKDNSKRTALACCKVILGKRVFDLVLANQMGKGDVLGVAKIAGINGAKQTSSLIPLCHNIALTHVRVDLRLNPEDFSVDIEGEASCTGKTGVEMEAMTAVSVAGLTVYDMCKAASKDISITDVRLERKTGGKSGSWSRL", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAALRSWVTRSVCSLFRYRQRFPVLANSKKRCFSELIKPWHKTVLTGFGMTLCAVPIAQKSEPQSLSNEALMRRAVSLVTDSTSTFLSQTTYALIEAITEYTKAVYTLVSLYRQYTSLLGKMNSQEEDEVWQVIIGARVEMTSKQQEYLKLETTWMTAVGLSEMAAEAAYQTGADQASITARNHIQLVKSQVQEVRQLSQKAETKLAEAQTKELHQKAQEVSDEGADQEEEAYLRED", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRISSTLLQRSKQLIDKYALYVPKTGSFPKGFEVGYTASGVKKNGSLDLGVILNTNKSRPSTAAAVFTTNKFKAAPVLTSKKVLETARGKNINAIVVNSGCANSVTGDLGMKDAQVMIDLVNDKIGQKNSTLVMSTGVIGQRLQMDKISTGINKIFGEEKFGSDFNSWLNVAKSICTTDTFPKLVTSRFKLPSGTEYTLTGMAKGAGMICPNMATLLGFIVTDLPIESKALQKMLTFATTRSFNCISVDGDMSTNDTICMLANGAIDTKEINEDSKDFEQVKLQVTEFAQRLAQLVVRDGEGSTKFVTVNVKNALHFEDAKIIAESISNSMLVKTALYGQDANWGRILCAIGYAKLNDLKSLDVNKINVSFIATDNSEPRELKLVANGVPQLEIDETRASEILALNDLEVSVDLGTGDQAAQFWTCDLSHEYVTINGDYRS", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSRVLHPIFLFGKTSFLYSGCSKFGGRLFNNSIVHGWLRTRSYALASGLHPLRKQKLAHFEDLANANMSDPYMQAKLYKELADNFPEAIISRYETQGVARNSACDRYYQEALRKKSWSRSLSNNISLSQSSSSPATSSFSDPKAFSAGVPKFTSDTSSTVSSTPSLNHSLQNSMPPSTPTPPPVWAPTIVSSALGTSSKTPVYVVVDEPRFTKFFRIFKFIAGLSVASYFVLLGMSIFAETSGLNNIMTNTTEQEPMEERAINVRFSDVQGVDEAKEELEEIVDFLRDPTHFTRLGGKLPRGVLLTGPPGTGKTMLARAVAGEANVPFFFMSGSQFDEMYVGVGAKRVRELFAAARKQAPSIIFIDELDAIGQKRNARDAAHMRQTLNQLLVDLDGFSKNEDLAHPVVFIGATNFPESLDPALTRPGRFDRHIHVPLPDVRGRLAILLQHTRHVPLGKDVDLSIIARGTSGFAGADLANLINQAAVYASKNLSTAVSMRDLEWSKDRILMGAERKSAFITPENKLMTAYHEGGHALVALFTKNAMRPYKATIMPRGSSLGMTISLPDMDKDSWTREEYLAMLDVTMGGRAAEELLYGKDKITSGAHNDIDKATQVARRMVTEFGMSDRIGPVSLEAEMDNLSPATRALVESEIKSLLEASYERSLSLLKSHKKELDALATALVDYEFLTAEEMNRVVKGDRDLLRNKLS", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLPSLRKGCFIVNSIRLKLPRFYSLNAQPLGTDNTIENNTPTETNRLSKTSQKFWEKVSLNRDVEKGKIALQLDGRTIKTPLGNGIIVDNAKSLLAYLLKLEWSSLSSLSIKTHSLPLTSLVARCIDLQMTNEPGCDPQLVAKIGGNSDVIKNQLLRYLDTDTLLVFSPMNEFEGRLRNAQNELYIPIIKGMEEFLRNFSSESNIRLQILDADIHGLRGNQQSDIVKNAAKKYMSSLSPWDLAILEKTVLTTKSFICGVLLLENKKDTANLIPALKTDMDNIVRAATLETIFQVEKWGEVEDTHDVDKRDIRRKIHTAAIAAFKQ", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MATGAVLPRLLGAGVRAAPRGRAAQRGRTLGSAAAAAAREPERDSDRSARILSEPLKHSDFFNVKELFSVRSLFNARVHLGHKAGCRHRFMEPYIFGSRLGQDIIDLEQTATHLQLALNFTAHVAFRGGIILFVSRARQFSHLIESTARSCGEYAHTRYFKGGLLTNAPLLLGARVRLPDLIIFLHTLNNVFEPHVAVRDAAKMSIPTVGVVDTNCNPCLITYPVPGNDDSPPAVQLFCQLFQTAVTRAKEKRRQLEALYRLQGAPGPHPANPAAPGAPSPGAQAQLGMGHSP", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLARYLNLIGRRSASPYRPQRLPAKFDNVIVAMSSGVDSSVAAALFAGEFPNTRGVYMQNWSESQSLDDPGKEPCYERDWRDVNRVAKHLNIRVDKVNFEQDYWIDVFEPMLRGYSEGSTPNPDIGCNKFVKFGKLREWLDEKYGTGNYWLVTGHYARVMQEMNGKGLFHLLRSIYRPKDQSYYLSQINSTVLSSLLLPIGHLTKPEVRDLAKYAGLPTAEKPDSQGICFVNNSQHGKFKNFLKHYLPSSPGDIITVDPQSGAKTTWGRHDGLWSYTIGQKVGISMPQADPNYQGTWFVSEKLRDTNEILIVRGRDNPALYSDTMRIENFSSLGPREDTINAFQNTGALTLQFRSLQVPVQIKSCKLNRSADNLDITIHLASKQRAITPGQSCCLYIDDRVLGSGPISHVNNNDTHA", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MALAAVKWAISSRTMLKHLFPVENGALYCVGHKSTYSSLPDDYNCKVELALTSDARTIVCYHPSVDIPYEHTKPIPRPDPVHNNEETHDLVLKTRLEEKSEHLEQGPMIEQLSKMFFTTKHRWYPRGQYHRRRRKLNPPKDR", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFPRVSAVLPFRPLSRLPLCSAGPEASAATVVPLASPHGTVRTKCNIQRYFGTNSVIYSKKDDKSVPACEISKETENQGSTKENKKKDLVNIIKGMKVELSTVNVQTTKPPNRGQLKSLEAAIRRLQKSPEDAPQKSKSLSPELVAAATAVADSLPFDKQTTKSELLRQLRQHEEDSKAQKDGEKPKISFSNIISDMKVARSSTARASTRPVHQIQFDEGADDFVDREETADLRKRFRKNIFKGKRLNIFELKPVTEEAPETEAAPSLWDVEFAKQLAAVTEQPFQNGFEEMIQWTKEGKLWEFPINNEAGFDDDGSEFHEHIFLDKYLEGFPKQGPIRHFMELVTCGLSKNPYLSVKQKVEHIEWFRNYFNEKQDILKESGINFS", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAATRCWRFVLRSPGLSLHTAAEATVTAPEVTGSDVKAAPVARYPPIVASLTADSKAARQRRVERWQATVHAAKSVDEKLRILTKMQFMKYVVYPQTFALNADRWYQSFTKTVFLSGLPPPQAQPDREPAQVVDLAALRAAVCDCLLQEHFFLRRKKRAPIYQERYAVASPFLDQLVPSLTGLLSAYNPVLAAAALDCNRPVHFYWLRGEEIIPGGHRKGRVDAVRYQINDKPHNQIRISRQLPEFVPLDYSVPVEVPVKNCKPDKLPLFKRQYENAIFIGTKTADPLCYGHTQFHLLPDKLKRERLLKQNCADQIEVIFRANAIASLFAWTGAQAMYQGFWSEADVTRPFVSQGVITDGKYFSFFCYQLNTLALTAQADQNNPRKNICWGTQSMPLYETIEDNDVKGFNDDVLLQLVHFLLNRPEEDKAQLLVN", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MARRKVRPRLIAELARRVRALREQRERPRDSVRYALDYETLIRPHSGRKLPLRAWVDVRRESRLLQLLGRLPFFGLGRLVTRKSWLWQHDEPCYWRLTRVRPDYTAQNLDHGKAWGILTFKGKTESEAREIEQVMHHDWRLVPKHEEAAFTSFTPAPEETPRPVPYPPLLRAMILAERQKNGDPSTEEPMLSLERIRTDPWDYPENQEAKKKTKGTAV", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAALCRTRAVTAESHFLRVFLFSRSCRGAGTESGSGSESSNSTEPRPRPGGFASALERHSELQRKAELARTRGSPKNVESFASMLRHSPLTQMGPAKDKIVIGRIFHIVENDLYIDFGGKFHCVCKRPEVDGEKYQKGTRVRLRLLDLELTSRFLGATTDTTILEAEAVLLGLQESKDSKSKEERRENK", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MADTATTASAASAAASASNASSDAPPFQLGKPRFQQTSFYGRFRHFLDIIDPRTLFVTEKRLREAVQLLEDYKHGTLRPGVTNEQLWSAQKIKQAILHPDTNEKIFMPFRMSGYIPFGTPIVVGLLLPNQTLASTVFWQWLNQSHNACVNYANRNATKPSPASKFIQGYLGAVISAVSIAVGLNVLVQKANKFTPATRLLVQRFVPFPAVASANICNVVLMRYGELEEGIDVLDADGNLVGSSKIAARHALLETALTRVVLPMPILVLPPIVMSMLEKTALLQARPRLLLPVHSLVCLAAFGLALPLAISLFPQMSEIETSQLEPEIARATSSRTVVYNKGL", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLRKLANQSLSVAGKWQQQQLRRLNIHEYQGAELMSKYGINVPKGVAVASLDEVKKAIQDVFPNQSEVVVKSQVLAGGRGLGTFKNGFQGGVHIVKADQAEDIASKMLGQILVTKQTGAQGKVVSKVYLCEKMSLVNEMYFSIILDRATAGPLIIACRKGGTSIEDLAEKFPDMIIKVPIDVFKGISDADAAKVVDGLAPKVADRNDSIEQVKKLYKLFCETDCTMLEINPLAETSDNKLVAADAKLNFDDNAAYRQKEIFSLRDSSQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNATEGQVVEAFKILTADEKVKAILVNIFGGIMKCDVIASGIVNAAKQVQLKVPVIVRLEGTNVEQGKRILKESGMKLITAEDLDDAAEKAVKALA", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAENSLLRFITKNKVAILATVSAGTAAVGAYVYYQQIKQQQQQQLKGTKDNRRQSEAFAGQNEDEADLKDDGSVVSGSNKRKKKKNKRKRNNKAKSGEGFDYPSLPNGEPDIAQLKGLSPSQRQAYAVQLKNRGNHFFTAKNFNEAIKYYQYAIELDPNEPVFYSNISACYISTGDLEKVIEFTTKALEIKPDHSKALLRRASANESLGNFTDAMFDLSVLSLNGDFDGASIEPMLERNLNKQAMKVLNENLSKDEGRGSQVLPSNTSLASFFGIFDSHLEVSSVNTSSNYDTAYALLSDALQRLYSATDEGYLVANDLLTKSTDMYHSLLSANTVDDPLRENAALALCYTGIFHFLKNNLLDAQVLLQESINLHPTPNSYIFLALTLADKENSQEFFKFFQKAVDLNPEYPPTYYHRGQMYFILQDYKNAKEDFQKAQSLNPENVYPYIQLACLLYKQGKFTESEAFFNETKLKFPTLPEVPTFFAEILTDRGDFDTAIKQYDIAKRLEEVQEKIHVGIGPLIGKATILARQSSQDPTQLDEEKFNAAIKLLTKACELDPRSEQAKIGLAQLKLQMEKIDEAIELFEDSAILARTMDEKLQATTFAEAAKIQKRLRADPIISAKMELTLARYRAKGML", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVRLFLTLSPAISRFNLYPGISILARNNNSLRLQKHHKLKTKTPTFSLISPSSSPNFQRTRFYSTETRISSLPYSENPNFDDNLVVLGIETSCDDTAAAVVRGNGEILSQVISSQAELLVQYGGVAPKQAEEAHSRVIDKVVQDALDKANLTEKDLSAVAVTIGPGLSLCLRVGVRKARRVAGNFSLPIVGVHHMEAHALVARLVEQELSFPFMALLISGGHNLLVLAHKLGQYTQLGTTVDDAIGEAFDKTAKWLGLDMHRSGGPAVEELALEGDAKSVKFNVPMKYHKDCNFSYAGLKTQVRLAIEAKEIDAKCPVSSATNEDRRNRADIAASFQRVAVLHLEEKCERAIDWALELEPSIKHMVISGGVASNKYVRLRLNNIVENKNLKLVCPPPSLCTDNGVMVAWTGLEHFRVGRYDPPPPATEPEDYVYDLRPRWPLGEEYAKGRSEARSMRTARIHPSLTSIIRADSLQQQTQT", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGKVLVMLTAAAAVVACSVATVMVRRRMKGRRKWRRVVGLLKDLEEACETPLGRLRQMVDAIAVEMQAGLVSEGGSKLKMLLTFVDDLPNGSETGTYYALHLGGSYFRIIKVHLGGQRSSLEVQDVERHSIPTSLMNSTSEVLFDFLASSLQRFIEKEGNDFSLSQPLKRELAFTFSFPVKQTSISSGVLIKWTKGFAISEMAGEDIAECLQGALNKRGLDIRVAALVNDTVGALSFGHFHDPDTIAAVVFGTGSNACYLERTDAIIKCQNPRTTSGSMVVNMEWGNFWSSRLPRTSYDLELDAESMNSNDMGFEKMIGGMYLGDIVRRVILRMSQESDIFGPISSILSTPFVLRTNSVSAMHEDDTSELQEVARILKDLGVSEVPMKVRKLVVKICDVVTRRAARLAAAGIAGILKKVGRDGSGGGRRSDKQIMRRTVVAVEGGLYLNYRMFREYMDEALRDILGEDVAQHVVVKAMEDGSSIGSALLLASSQSVQTIPSV", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MERARRLAYRGIVKRLVNDTKRHRNAETPHLVPHAPARYVSSLSPFISTPRSVNHTAAFGRHQQTRSISVDAVKPSDTFPRRHNSATPDEQTHMAKFCGFDHIDSLIDATVPKSIRLDSMKFSKFDAGLTESQMIQHMVDLASKNKVFKSFIGMGYYNTHVPTVILRNIMENPAWYTQYTPYQAEISQGRLESLLNFQTVITDLTGLPMSNASLLDEGTAAAEAMAMCNNILKGKKKTFVIASNCHPQTIDVCKTRADGFDLKVVTSDLKDIDYSSGDVCGVLVQYPGTEGEVLDYAEFVKNAHANGVKVVMATDLLALTVLKPPGEFGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGISVDSSGKQALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPAGLKSIAQRVHGLAGIFSLGLNKLGVAEVQELPFFDTVKIKCSDAHAIADAASKSEINLRVVDSTTITASFDETTTLDDVDKLFKVFASGKPVPFTAESLAPEVQNSIPSSLTRESPYLTHPIFNMYHTEHELLRYIHKLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPSFTDIHPFAPVEQAQGYQEMFENLGDLLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHMSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIITVGTDAKGNINIEEVRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICNIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKNHLAPFLPSHPVIPTGGIPQPEKTAPLGAISAAPWGSALILPISYTYIAMMGSGGLTDASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKNTAGIEPEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAQIEKGNADVQNNVLKGAPHPPSLLMADTWKKPYSREYAAFPAPWLRSSKFWPTTGRVDNVYGDRKLVCTLLPEEEQVAAAVSA", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAASAVSVLLVAAERNRWHRLPSLLLPPRTWVWRQRTMKYTTATGRNITKVLIANRGEIACRVMRTAKKLGVQTVAVYSEADRNSMHVDMADEAYSIGPAPSQQSYLSMEKIIQVAKTSAAQAIHPGCGFLSENMEFAELCKQEGIIFIGPPPSAIRDMGIKSTSKSIMAAAGVPVVEGYHGEDQSDQCLKEHARRIGYPVMIKAVRGGGGKGMRIVRSEQEFQEQLESARREAKKSFNDDAMLIEKFVDTPRHVEVQVFGDHHGNAVYLFERDCSVQRRHQKIIEEAPAPGIKSEVRKKLGEAAVRAAKAVNYVGAGTVEFIMDSKHNFCFMEMNTRLQVEHPVTEMITGTDLVEWQLRIAAGEKIPLSQEEITLQGHAFEARIYAEDPSNNFMPVAGPLVHLSTPRADPSTRIETGVRQGDEVSVHYDPMIAKLVVWAADRQAALTKLRYSLRQYNIVGLHTNIDFLLNLSGHPEFEAGNVHTDFIPQHHKQLLLSRKAAAKESLCQAALGLILKEKAMTDTFTLQAHDQFSPFSSSSGRRLNISYTRNMTLKDGKNNVAIAVTYNHDGSYSMQIEDKTFQVLGNLYSEGDCTYLKCSVNGVASKAKLIILENTIYLFSKEGSIEIDIPVPKYLSSVSSQETQGGPLAPMTGTIEKVFVKAGDKVKAGDSLMVMIAMKMEHTIKSPKDGTVKKVFYREGAQANRHTPLVEFEEEESDKRESE", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MIETAIYGKTVDDQSRCVHWHLPKDVIAIRFKCCDKYYACFECHQELSSHPLEKYDLLDDANKHLIICGVCRHEMTFAEYYDYNSNLICPNCRSPFNPGCKLHYHLYFQNPPPAMC", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLRISIDSIKQFGSFVPGYNNTSYHAAGRAIRTSSLYSTMISANPRRCLHSSKLLNKEGQEEGYNEQLISKMSSQNGSNSRQNESEGKKEGKASSVKSLLQHTHSHSHTHMHDNPLLSLNVQQIKKNPGVRITWIGLASNVGMAVGKFVGGITFHSQALLADSVHALSDLVSDFLTLFSVQYASRKPTSEYPYGYGKVETVGSLAVSTILAMAGISIGWSSLCAIVGPVIPHAILESMAGLIGETHSHSQSLTQQATNVNAVWIAAGSILVKEWVFQATKKVAIQTNSNVLMANAWHHRVDSLTSLVALVAITSSYFFNIQSLDNLGGLVVSGLIIKTGGQGILSSLKELVDQSIPPTDPRYLEIESVIKDSIGSLKTDLDLKQSLHVRDLTILASGPNLRATTTLEVPVLHSGQEVGIRFLENAISTIREDLRMKVPNVGKVDVEFVDVTSDSKGDLEHSHDTKSTNHTHTHSDSADTHTHKH", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNRWVEKWLRVYLKCYINLILFYRNVYPPQSFDYTTYQSFNLPQFVPINRHPALIDYIEELILDVLSKLTHVYRFSICIINKKNDLCIEKYVLDFSELQHVDKDDQIITETEVFDEFRSSLNSLIMHLEKLPKVNDDTITFEAVINAIELELGHKLDRNRRVDSLEEKAEIERDSNWVKCQEDENLPDNNGFQPPKIKLTSLVGSDVGPLIIHQFSEKLISGDDKILNGVYSQYEEGESIFGSLF", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRGRSLTLSRVKLELARRSMSATSVPSMADFLTKKPYSPPSWASHLRPLPSHTFSLAHLPTPIHRWNLPGLPNGTELWIKRDDFTGMELSGNKVRKLEFLMAEAVDQHADTVITIGGIQSNHCRATATASNYLNLNSHLILRTSKLLADEDPGLVGNLLVERLVGANVHLISKEEYSSIGSEALTNALKEKLEKEGKKPYVIPVGGSNSLGTWGYIEAAREIEEQLNYRPDDLKFDDIVVACGSGGTIAGISLGSWLGALKAKVHAFSVCDDPDYFYDFVQGLLDGLHAGVNSRDIVNIHNAKGKGYAMNTSEELEFVKKVASSTGVILDPVYSGKAAYGLINEITKDPKCWEGRKILFIHTGGLLGLYDKVDQMASLMGNWSRMDVSESVPRKDGVGKMF", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGLKITKGQLRTKDLNQSSSKSSQSSRIGVDTCIFTRMLPRINTAINLTEHLLRRSFHSLTNLQKTQVKERLHELERHGFILNKTSKQLERINSKKRRQLKKLQKTAYPKDQAFHILRKFHKINNEALADTKLGPTSQSDLKFLSLTKDKRLFYTILGVNGEQLRDSKLIANDVQKFLKRGQLEKAVFLARLAKKKGVVGMNLIMKYYIEVVQSQQSAVDIFNWRKKWGVPIDQHSITILFNGLSKQENLVSKKYGELVLKTIDSLCDKNELTEIEYNTALAALINCTDETLVFKLLNKKCPGLKKDSITYTLMIRSCTRIADEKRFMVVLNDLMNKIPDYCVDSKLLFEYCEVICSQKSPKIEKQGMGLWALCEYFQFDKTIFKKYLTQSDFPTLVPLSHWNINKPFPLNKHVVGLFMNYCLKNKEYDLAMEIFKTLEAQNNQMLDQSIYHKYMETVITTRPITCGDECLDIYERVASSAQISITRRTLILVYNAFQRQSLKAVINKDASNAEATLHKIRGFIDSVEATYSSKLNGKVYRFNSWKFLFPIVKNLNMNDKVSTVELKSILDEYLKSLLNGEFGKEFKASIEDKRFVTLEGIRLVKVLTERIKLPSLDSEEIASLKGTERKKFLARRHLLRLKQILLEDLADIEGNSRRKGDSENTSTSEERIMEDLAELILETSYDKF", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAARRLFGATGSWARWRAWELPDPAGSVRLHVRDYAKRPVFKGGKGAKGAAVGETLKDPEVCTDPVQLTTHPMGVNIYKEGQDVVLKPDSEYPEWLFQMNVGPPKKLEELDPETREYWRLLRKHNIWRHNRLSKNQKF", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGKVAVATTVVCSVAVCAAAALIVRRRMKSAGKWARVIEILKAFEEDCATPIAKLRQVADAMTVEMHAGLASEGGSKLKMLISYVDNLPSGDETGFFYALDLGGTNFRVMRVLLGGKHDRVVKREFKEESIPPHLMTGKSHELFDFIVDVLAKFVATEGEDFHLPPGRQRELGFTFSFPVKQLSLSSGTLINWTKGFSIDDTVDKDVVGELVKAMERVGLDMLVAALVNDTIGTLAGGRYTNPDVVVAVILGTGTNAAYVERAHAIPKWHGLLPKSGEMVINMEWGNFRSSHLPLTEYDHSLDVDSLNPGEQILEKIISGMYLGEILRRVLLKMAEEAAFFGDIVPPKLKIPFIIRTPNMSAMHSDTSPDLKVVGSKLKDILEVQTSSLKMRKVVISLCNIIASRGARLSAAGIYGILKKIGRDATKDGEAQKSVIAMDGGLFEHYTQFSESMKSSLKELLGDEVSESVEVILSNDGSGVGAALLAASHSQYLELEDDSETS", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MQGSAKMAMAVAVAVARVWRPSRGLGRTGLPLLRLLGARGLARFHPHRWQQQQHFSSLDDKPQFPGASAEFIDKLEFIQPNVISGIPIYRVMDRQGQIINPSEDPHLPQEKVLKFYKSMTLLNTMDRILYESQRQGRISFYMTNYGEEGTHVGSAAALDDTDLVFGQYREAGVLMYRDYPLELFMAQCYGNVSDLGKGRQMPVHYGCRERHFVTISSPLATQIPQAVGAAYAAKRANANRVVICYFGEGAASEGDAHAGFNFAATLECPIIFFCRNNGYAISTPTSEQYRGDGIAARGPGYGILSIRVDGNDVFAVYNATKEARRRAVAENQPFLIEAMTYRIGHHSTSDDSSAYRSVDEVNYWDKQDHPISRLRHHLQSRGWWDDEQEKAWRKQSRKKVMEAFEQAERKLKPNPSLIFSDVYQEMPAQLRKQQESLARHLQTYGEHYPLDHFEK", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKNRIPVVLLACGSFNPITNMHLRLFEVARDHLHQTGRYQVIEGIISPVNDSYGKKDLVASHHRVAMARLALQTSDWIRVDPWESEQAQWMETVKVLRHHHRELLRSSAQMDGPDPSKTPSASAALPELKLLCGADVLKTFQTPNLWKDTHIQEIVEKFGLVCVSRSGHDPERYISDSPILQQFQHNIHLAREPVLNEISATYVRKALGQGQSVKYLLPEAVITYIRDQGLYINDGSWKGKGKTG", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRNMVSEISDLSLAKEKSEAQFIARNPKSNDFHCKACDSLPGGNTRTVLHGAPFPIFIEAGYGSKLRDVDGHEYTDFLNELTAGIYGHSNPVIKKALMQGFDEIGISLGGTTTCELNYAEALKSRFLSIEKIRFCNSGTEANITAIIAARKFTGKRAVIAMHGGYHGGPLSFAHGISPYNMDSQDFILCEYNNSTQFKELVNSSQDIAAVIVEAMQGAGGAIPADKEFMQTIQLECEKNDIVFILDEVMTSRLSPGGLQQIYCLKPDLTTLGKYLGGGLPFGAFGGRADIMSCFDPRLPGSLSHSGTFNNDTLTLTAGYVGLTELYTPEAVKRLNALGDGLRKDIESYCHNTKMSITGLGSIMNIHFTESGRVNSYNDTAGEVIELKDLLWMDLLKEGFWIARRGMITLSLVLTESELEAFKLTIKAWIHRRMSLIRI", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLSLRTSISRIQPCLFIRASSYQTEATQPKFQDKIRIRIQGGDGGQGCSSFIKEKFRPYGPPDGGNGGDGGSVYVAVKPGSFNNLSHLSQIHKASNGTNGKGGNRHGSCGKSVILYVPPGTVIREISAVRSEQSLEWVQMPGKTKPPKLKKGQISFVSEATRHGKELLYYRASSMISGAAEYSLEECDTTPQILCYGGVGGLGNVHFLSENNRSPKFATKGLTGEQKLIELELKTICEIGLVGLPNAGKSTLLNCLTASKSKVGEYEFTTIYPKIGTIKTTMPDDHSSFQYRLADIPGIIKGASDGKGLGYDFLRHVERAKMLCLVIDINPKAKIPADQAFQLLWDELNKYEKNLINKVALVIANKADTAAEQDLLLLKAIVERTTKGVAVLPVSAKKQEGLEGLVRGMTQLLQQRLLV", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAEREREAMSASLSRLLRTLSSASMSRRHCPLALLQPIPLHQSEIALGSASSGGSAERCCSSCSDDSNNNERCKSAERGTAADEVGEEGREEVELESKEDAVEGEQDELELKRDSSTVNVNVVRANFKCNDMECSLVLGDYVNGFLGSFLSKGLKTNQLVVNTDEKTLRPVARLKEPRDLFALPKDKDNDCSQQAPRWPVECQVIEERIIHIPYVPAVPEPLNAPTGNELKPRPVGEENGIVVFSYSPISAVNYEKPKAKKEDDESSDESDYSSDSRQDSTPPSRSTPMRLAGGGECAPGKLNSVSKMINNVDNRSTSASLDDNDDYYDDEYDTSGGYCGGSGEAKEKAIIKDLIEAKKKRYQEEAEVTPVGGGTARNSRAASRSEASKDASDIDDIWKNNTSEYKPASPRYVLSQFGKNVTKAVIDRIVDHEDLVPPSGSQKVSNQFAVGPVKLPKTNIARLEVAFERSACQDRSKSRLKKKMSSSRRRRESTSDEDSSSSSLGNEEDEEVDDSDSDAENTGSGIGLRRILGSYSARLAGGAEKCPCPGSGSVSDTETLVGDESRSRLAGSNGLHQQDVVCSRNRQAQSRSPLRSVPHTHAATVAAAAAALARGRNRDKDGCLGGKDEKNMGMGVGTTGTSSMGTRTSSPVRNNVFRLSKDQHILLNSMTSQETTGTLISSTSTNQTTTTTNSSQSFLCSDLPQAQFSRSAVGGARFMTNCHPMNPEEYDGLEFESRFESGNLAKAVQITPTYYELYLRPDLYTSRSKQWFYFRVRRTRRKMLYRFSIVNLVKSDSLYNDGMQPVMYSTLGAKEKSEGWRRCGDNICYYRNDDESASSSANEDDEDNSTYTLTFTIEFEHDDDTVFFAHSYPYTYSDLQDYLMEIQRHPVKSKFCKLRLLCRTLAGNNVYYLTVTAPSSNEENMRRKKSIVVSARVHPSETPASWMMKGLMDFITGDTTVAKRLRHKFIFKLVPMLNPDGVIVGNTRNSLTGKDLNRQYRTVIRETYPSIWYTKAMIRRLIEECGVAMYCDMHAHSRKHNIFIYGCENKRNPEKKLTEQVFPLMLHKNSADRFSFESCKFKIQRSKEGTGRIVVWMLGITNSYTIEASFGGSSLGSRKGTHFNTQDYEHMGRAFCETLLDYCDENPNKVKRHAKLFKQIKKIRKREKREQKALKLQKMADQGCIMNDKLKVKRSVEKSVS", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAVKGLGKPDQVYDGSKIRVGIIHARWNRVIIDALVKGAIERMASLGVEENNIIIETVPGSYELPWGTKRFVDRQAKLGKPLDVVIPIGVLIKGSTMHFEYISDSTTHALMNLQEKVDMPVIFGLLTCMTEEQALARAGIDEAHSMHNHGEDWGAAAVEMAVKFGKNAF", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDPLRAQQLAAELEVEMMADMYNRMTSACHRKCVPPHYKEAELSKGESVCLDRCVSKYLDIHERMGKKLTELSMQDEELMKRVQQSSGPA", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGSNTSKVGAGAEKQQVYTPLTQIDFSQSLVSQLDSSKESDYVTKQNAEKFIEKKVSQRLSNLEVETLKKFEDTLNNSLLSDDDKDAVDGISSSSLNNQIESLNKKLTLFDQLELQKLEKYGGAKGKSDKKTDNGSISIKAKLTECLLANKGKPLNCYEEMEEFKKLVMG", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MARTLEPLAKKIFKGVLVAELVGVFGAYFLFSKMHTSQDFRQTMSKKYPFILEVYYKSTEKSGMYGIRELDQKTWLNSKN", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MWILKSGFNRCRNFSFMNRGLLGLRNLSVTHNNLVSYLEHLSVQKPSTSNTVAQGTLFEYLVQYVLKQHSFQLERCGGKGDGGVDLVGQFSIKNVLFEPTKVVVSCKSNKGSIGPRFVRELEGSLSSYPTDTLGILACLGSFTSSSLKTLSISNRPLALCRIYVDGFHSYMFQFVWNHQALAIFPDLSVRQIYKLPSPTANIVPLSQEFSQVNYVSLFELLQPLSRTEERPVPLVLVYKNQKISLNC", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MESKMGELPLDINIQEPRWDQSTFLGRARHFFTVTDPRNLLLSGAQLEASRNIVQNYRAGVVTPGITEDQLWRAKYVYDSAFHPDTGEKVVLIGRMSAQVPMNMTITGCMLTFYRKTPTVVFWQWVNQSFNAIVNYSNRSGDTPITVRQLGTAYVSATTGAVATALGLKSLTKHLPPLVGRFVPFAAVAAANCINIPLMRQRELQVGIPVADEAGQRLGYSVTAAKQGIFQVVISRICMAIPAMAIPPLIMDTLEKKDFLKRRPWLGAPLQVGLVGFCLVFATPLCCALFPQKSSIHISNLEPELRAQIHEQNPSVEVVYYNKGL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDTEFLRTLDRQILLGVFVAFVAVGAGAAYFLTSSKKRRVCLDPENFKEFKLVKRHQLSHNVAKFVFELPTSTSVLGLPIGQHISCRGKDGQGEDVIKPYTPTTLDSDVGRFELVIKMYPQGRMSHHFREMRVGDHLAVKGPKGRFKYQPGQFRAFGMLAGGSGITPMFQVARAILENPTDKTKVHLIYANVTYDDILLKEELEGLTTNYPEQFKIFYVLNQPPEVWDGGVGFVSKEMIQTHCPAPASDIQILRCGPPPMNKAMAANLEALGYSPEMQFQF", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSDCCSAPGISWEAGVGRPAVPGLELQIRRGAMSEETVSESQFSLKTAALRVFDLPLTWYYSLSQIKFSPVAKKLFVVTAVSAISVIFLAHHFKRKRGKKKGKILPWEPEHLILEYTKRAASDKGSSCSSSRQNLTLSLSSTKDKGSQVCNYANGGLFSKYSGSAQSLASVQSVNSCHSCACGNSNSWDKADEDDIKLVNIPVTTPENLYLMGMELFEEALRRWEQALTFRNRQAEDEACGSIKLGAGDAIAEENVDDIISTEFIHKLEALLQRAYRLQEEFEATLGASDPNSLADDIDKDTDITMKGNVEDFGLRDTLSIASTDSFASAAELAEHREVRHTYSLESLCHCPFYEEAMHLVEEGKIYSRVLRTEMLECLGDSDFLAKLHCIRQAFQVILSESANRIFLAESGRKILSALIVKARKNPKKFEDVFDEMIYFLEQTDHWGSTEMELAARGVKNLNFYDVVLDFILMDSFEDLENPPTSIQNVVNNRWLNSSFKETAVASSCWSVLKQKRQQMKIPDGFFAHFYAICEHISPVLAWGFLGPRNSLYDLCCFFKNQVLLFLKDIFDFEKVRYSSTETLAEDLMQLLIRRTELLMAYLEADALRHTSSCLSSHGHVMSTGLLEAKVQ", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MFGVKDAIFKIKRSIAGTDSSDSTAYTTASESSPQLKDSHNPFRNKTTSERTIVEEGSLPPVRLNGYLPSTKNKLLTPEMCDEIRTLMPTRIQLYTEWNLLYSLEQHGSSLHSLYSNVAPDSKEFRRVGYVLVIKDRKNGIFGAYSNEAFHPNEHRQYTGNGECFLWKLDKVPDVNISEKEESEQEGKEGKEEGDKEERWRFSGYPYTGVNEFAIYCTSEFLSMGAGDGHYGLLCDDGLLHGVSNPCQTYGNEVLSKEGKKFSIVALEVWRVG", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSALRHVVCALSGGVDSAVAALLLRRRGYQVTGVFMKNWDSLDEQGVCAADKDCEDAYKVCQILDIPFHQVSYVKEYWNDVFSDFLNEYEKGRTPNPDINCNKHIKFSCFYHYAVDNLGADAVATGHYARTSLEDEEVFEQKHTKKPDGLFRNRFEVRNPVKLLQAADSFKDQTFFLSQVSQDALRRTIFPLGELTKDFVKKIAAENSLHHVLQKRESMGICFIGKRNLEHFLLQYLQPRPGKFVSIEDNTVLGTHKGWFLYTLGQRAKISGLREPWYVVEKDGTKGDVLVAPRVDHPALYRDLLRTNRVHWIAEEPPAALVRDKMMECHFRFRHQMALVPCVLTLNQDGTVWVTAVKAVRGLALGQFAVFYKGEECLGSGKILRLGPSAYTLQKGKNRTRVAPEASSDSPGLHPTS", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVEIVGHRAFKARYPENTLLAFEKAYAAGADVIETDLQMTSDGMVVVNHDSDTGRMWDKNLVIGESTWEEVKRLRCKEDGSLAMMTLKEILTWAVCHPGAKLMLDIKFTNEKIIMIKTFVIMLEVKNDLKFWQERITWGLWLLDWYDFGIETGVLKDFKVIVISLSLDIASQFVKRSLTLNDPHYKLFGISVHFVSSWTSQFRLRLLPVLMKNDIKVYLWTVNKPIDFKYLCELPIHGAITDDPIKARKLCDGHTVAKKPTAEKKFVAPSLASVDGLRFHAFIKVYNILCTLLYSKWVHIKLCGWSIAYVIFLFLRTIHFL", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MQQLVRAGARAWLRPRGCRGLSALTEEAVQSAEKPEPLANAGPQAPVLRRCELPVPLHRRPVQAWVESLRGYEQERVGLTELHPDVFSTAPRLDILHQVAIWQKNFKRISYAKTKTRAEVRGGGRKPWQQKGSGRARHGSIRSPIWRGGGVAHGPRGPTSYYYMLPMKVRVQGLKVALTVKLAQDDLHIVDSLELPTTDPQYLMELARYRRWGDSVLFVDLEHEDMPQNVVAATSGLKTFNLIPAIGLNVHSMLKHQTLVLTLPTVAFLEEKLLWHDSRYTPLYPFRLPYRDFP", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSRRVVITGLGCVTPLGRSLSESWGNLLSSKNGLTPITSLPNYNEDYKLREKSIPSTITVGKIPENFQNENSAINKLLFTSQDERRTSSFIKLALRTTYEALHNAGLLNPNDITINTSLCNLDHFGCLIGSGIGSIQDIYQTSLQFHNDNKRINPYFVPKILTNMAAGNVSIKFNLRGLSHSVSTACATGNNSIGDAFNFIRLGMQDICVAGASETSLHPLSLAGFIRAKSITTNGISRPFDTQRSGFVLGEGCGMIVMESLEHAQKRNANIISELVGYGLSSDACHITSPPADGNGAKRAIEMALKMARLEPTDVDYVNAHATSTLLGDKAECLAVASALLPGRSKSKPLYISSNKGAIGHLLGAAGAVESIFTICSLKDDKMPHTLNLDNVLTLENNEADKLHFIRDKPIVGANPKYALCNSFGFGGVNTSLLFKKWEGS", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFIKGTYNSPKGSRFVGGTQSVSMNAPRTGGSFAVWGGLFSTFDCTMVYLRQKEDPWNSIIAGAATGGFLSMRQGAGAASRSAIFGGVLLALIEGAGIMLNKVLAQPQNMMMEDPGMQGMPGMQGMQGMPGMPGMQGMPGMQGMQMGQMQSQAQIRSESQNQNTASSSSSSSWFGGLFDKKKEEVQPGSESKTEVLESFDAPPVPSFEFK", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGPGGPLLSPSRGFLLCKTGWHSNRLLGDCGPHTPVSTALSFIAVGMAAPSMKERQVCWGARDEYWKCLDENLEDASQCKKLRSSFESSCPQQWIKYFDKRRDYLKFKEKFEAGQFEPSETTAKS", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDPSMANNPELLQFLAQEKERAMVNEMVSKMTSVCWDKCITSAPGSKFSSSESSCLTHCAQRYMDMSMIIMKRFNSQ", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MCISSSSLLCGINSLKYASNRVGILIPPFQTASSLNIFRPYVIFSRSHRSLSSVDVSSPSLPLREHLPLKNVYSQVPLLPVWSPIPKGPRRFLLPPKFHYRLSPPPGEFKSSPRVAIMVAVIVCLVNGVVFWHWDLARDEAIRLHDFKRFRFMMTHAQASLFNLYEGRWWTLVVSIFSHQNLAHLLVNCVAIYSFLSIVVYKFGVWKALSVYLGAGVFGNYVALQRMMNEENPFATLPNGPTKVWDLLFPKGPYPPISRPALYLGSNPEYGPIIRTATFVPQSWATGLLGASGAVYATAAIFACLFPYTEFFLFFVYPVKAGIFMPLDFIAEYVLCLLNYEKKFHVAFDAHVSGTFFGVVSSLFLLPAMWKRRSLYCVGIVKKRIWSNKAKA", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MIRWFKCFMRMIFEQVGLNMESVLWSSKPYGSSRSIVRKIGTNLSLIQCPRVQFQLTSQAAEGNHPHQFREDAVASFADVGWVAQEEGEVSTRLRSEVWSKTAQPLPGELHQPGHSLGRQDSVPNLLHEEPAPRSTVIANEEAMQKISALENELATLRAQIAKIVILQEQQNLTAAGLSPVASAAVPCVPPPPPPPPPPPLPPPALQQSMSAIELIRERKNRKTNSGPIPTENGPKKPEIPNMLEILKDMNSVKLRSVKKSSGDTKPKVADPTDPAALIAEALKKKFAYRYRRDSQSESDKVIPKSETNTKTEVVLFGPHMLKSTGKMKTLIEKS", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MATFARMKLCLSGSSQAIPSKGISLVAARFQSTASRASYVTPPYEKLMGKLQQVRKFLPGQKLTLAEKVLYSHLVNPEESFSGVSPSDIRGSLYLKLNPDRVAMQDASAQMALLQFMTCGLEKTMIPASIHCDHLIVGHRGANSDIPDSIANNKEIFDFLQSAAKKYGIQFWGPGSGIIHQIVLENYAAPGGMMLGTDSHTPNAGGLGMIAIGVGGADAVDAMTNTPWELKAPKIIGVNLTGAMSGWTTPKDLILHLAGKLTVRGGTGHIIEYFGPGVASLSCTGMATVCNMGAEVGATTSIFPYTDSMRRYLIATHRAEVADAASEVHSEYNYLAADTGAKYDQIIDINLSELTPSLNGPFTPDLSTPVSKFGEAIEKNKWPKKLSAGLIGSCTNSSYQDMTCVVDVVEQAISAGLKPKVPFLVTPGSEQIRATIERDGITERLEEAGATVLANACGPCIGMWKRTDDIASGEPNAILTSFNRNFRSRNDGNPSTMNFLTSPVIVAAKIFSSDLAFDPTHDTLQTPDGKAFKFRPPQGVELPSAGFIAGDSSYIPEPNPQPVPETEVTIDPKSDRLEALEPFEPYQGGEMENLKVAVKVKGKCTTDHISAAGKWLKYKGHLSNICNNTLIGAMNAATGEVNRAYDNGKGMTIPELMWKWKKDGQPWLVVAEHNYGEGSAREHAALQPRAMNGRIILTKSFARIHETNLKKQGVLPLTFVNEADYEKIDAEDKVSTRGIEQLLEGVLDQPITLVVTKKDGSVVEIPCKHTMSKDQIEFFKAGSALNLIREKAHSGVVNQKVIDSIKQQPDHYADAYIFNRHFVIAKGDQLGLPFHLKGVQVGDTIRLDKIASFGSRDFTLFGNPYVDPSLFTIEAVVLSFPKSALSVRVKHKRRHRHDRVMKHKQTYTILRVTELKLN", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPGKKARKNAQPSPARAPAELEVECATQLRRFGDKLNFRQKLLNLISKLFCSGT", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAAAGRSAWLAAWGGRLRRGLAAGRRAVPTRGPLAAAVAGVALAGAGAAWHHGRVKAAAREGSRTVSAQKNYLGPIEKLSLRKQRFMQFSSLEHDGEYYMTPRDFLFSVMFEQVERKTLVKKLAKKDIEDVLSGIQTARCGSTFFRDLGDKGVISYTEYLFLLTILTKPHSGFHVAFKMLDVDGNEMIERKEFVKLQKIISKQDGFKTVKTNETEYQDPTVKEPGVNTTLQVRFFGKRGEKKLHYKEFRRFMENLQTEVQEMEFLQFSKGLNFMRKEDFAEWLLFFTNTENKDIYWRNVREKLSVGESISLDEFKSFCHFTTHLEDFAIAMQMFSLAHRPVRLAEFKRAVKVATGQELSDNLLDTVFKIFDLDGDECLSHGEFLGVLKNRMHRGLWVSQQQSVQEYWKCVKKESIKGVKEAWRQQAGKGPF", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLPSWKAFKAHNILRILTRFQSTKIPDAVIGIDLGTTNSAVAIMEGKVPRIIENAEGSRTTPSVVAFTKDGERLVGEPAKRQSVINSENTLFATKRLIGRRFEDAEVQRDINQVPFKIVKHSNGDAWVEARNRTYSPAQIGGFILNKMKETAEAYLAKSVKNAVVTVPAYFNDAQRQATKDAGQIIGLNVLRVVNEPTAAALAYGLDKSEPKVIAVFDLGGGTFDISILDIDNGIFEVKSTNGDTHLGGEDFDIYLLQEIISHFKKETGIDLSNDRMAVQRIREAAEKAKIELSSTLSTEINLPFITADAAGPKHIRMPFSRVQLENITAPLIDRTVDPVKKALKDARITASDISDVLLVGGMSRMPKVADTVKKLFGKDASKAVNPDEAVALGAAIQAAVLSGEVTDVLLLDVTPLSLGIETLGGVFTKLIPRNSTIPNKKSQIFSTAASGQTSVEVKVFQGERELVKDNKLIGNFTLAGIPPAPKGTPQIEVTFDIDANGIINVSAKDLASHKDSSITVAGASGLSDTEIDRMVNEAERYKNQDRARRNAIETANKADQLANDTENSIKEFEGKLDKTDSQRLKDQISSLRELVSRSQAGDEVNDDDVGTKIDNLRTSSMKLFEQLYKNSDNPETKNGRENK", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGSTESSEGRRVSFGVDEEERVRVLQGVRLSENVVNRMKEPSSPPPAPTSSTFGLQDGNLRAPHKESTLPRSGSSGGQQPSGMKEGVKRYEQEHAAIQDKLFQVAKREREAATKHSKASLPTGEGSISHEEQKSVRLARELESREAELRRRDTFYKEQLERIERKNAEMYKLSSEQFHEAASKMESTIKPRRVEPVCSGLQAQILHCYRDRPHEVLLCSDLVKAYQRCVSAAHKG", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVLPLFRRAAIARTSSLLRARLFAPASEFHSRFSNGLYHLDDKISSSNGVRSASIDLITRMDDSSPKPILRFGVQNFSSTGPISQTVLAMPALSPTMSHGNVVKWMKKEGDKVEVGDVLCEIETDKATVEFESQEEGFLAKILVTEGSKDIPVNEPIAIMVEEEDDIKNVPATIEGGRDGKEETSAHQVMKPDESTQQKSSIQPDASDLPPHVVLEMPALSPTMNQGNIAKWWKKEGDKIEVGDVIGEIETDKATLEFESLEEGYLAKILIPEGSKDVAVGKPIALIVEDAESIEAIKSSSAGSSEVDTVKEVPDSVVDKPTERKAGFTKISPAAKLLILEHGLEASSIEASGPYGTLLKSDVVAAIASGKASKSSASTKKKQPSKETPSKSSSTSKPSVTQSDNNYEDFPNSQIRKIIAKRLLESKQKIPHLYLQSDVVLDPLLAFRKELQENHGVKVSVNDIVIKAVAVALRNVRQANAFWDAEKGDIVMCDSVDISIAVATEKGLMTPIIKNADQKSISAISLEVKELAQKARSGKLAPHEFQGGTFSISNLGMYPVDNFCAIINPPQAGILAVGRGNKVVEPVIGLDGIEKPSVVTKMNVTLSADHRIFDGQVGASFMSELRSNFEDVRRLLL", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNIPKILNNNLVLKRIFCRNYSVKVLGIETSCDDTAVAIVNEKREILSSERYTERAIQRQQGGINPSVCALQHRENLPRLIEKCLNDAGTSPKDLDAVAVTVTPGLVIALKEGISAAIGFAKKHRLPLIPVHHMRAHALSILLVDDSVRFPFSAVLLSGGHALISVAEDVEKFKLYGQSVSGSPGECIDKVARQLGDLGSEFDGIHVGAAVEILASRASADGHLRYPIFLPNVPKANMNFDQIKGSYLNLLERLRKNSETSIDIPDFCASLQNTVARHISSKLHIFFESLSEQEKLPKQLVIGGGVAANQYIFGAISKLSAAHNVTTIKVLLSLCTDNAEMIAYSGLLMLVNRSEAIWWRPNDIPDTIYAHARSDIGTDASSEIIDTPRRKLVTSTIHGTERIRFRNLDDFKKPKSPKTTE", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAASTGYVRLWAAARCWVLRRPLLAVTGGRVPSASGSWLRRGCRACDMSAPWGGRVLPGGVQWRGLWDSGNRGGSDETSEGGAEDGATASTGEGPVVTALAPMTVPDVFPHLPLIAITRNPVFPRFIKIVEVKNKKLVELLRRKVRLAQPYVGVFLKRDDNNESDVVESLDEIYHTGTFAQIHEMQDLGDKLRMIVTGHRRIHISRQLEVEPEGLEPEAEKQKSRRKLKRGKKEVEDELGPKPQLEMVTEAATDTSKEVLMVEVENVAHEDFQVTEEVKALTAEIVKTIRDIIALNPLYRESVLQMMQAGQRVVDNPIYLSDMGAALTGAESHELQDVLEETNILKRLYKALSLLKKEFELSKLQQRLGREVEEKIKQTHRKYLLQEQLKIIKKELGLEKDDKDAIEEKFRERLRELVVPKHVMDVVDEELSKLALLDNHSSEFNVTRNYLDWLTSIPWGRQSDENLDLARAQAVLEEDHYGMEDVKKRVLEFIAVSQLRGSTQGKILCFHGPPGVGKTSIARSIARALGREYFRFSVGGMTDVAEIKGHRRTYVGAMPGKIIQCLKKTKTENPLVLIDEVDKIGRGYQGDPSSALLELLDPEQNANFLDHYLDVPVDLSKVLFICTANVIDTIPEPLRDRMEMINVSGYVAQEKLAIAERYLVPQARTLCGLDESKAQLSAAVLTLLIKQYCRESGVRNLQKQVEKVLRKAAYKIVSGEAQTVQVTPENLQDFVGKPVFTVERMYEVTPPGVVMGLAWTAMGGSTLFVETSLRRPQPSGSKEDKDGSLEVTGQLGDVMKESARIAYTYARAFLMEQDPENDFLVTSHIHLHVPEGATPKDGPSAGCTIVTALLSLALGQPVLQNLAMTGEVSLTGKVLPVGGIKEKTIAAKRAGVTCIILPAENRKDYSDLAPFITEGLEVHFVEHYRDIFPIAFPRREHREALAVER", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAFCNKLGGLLRQNISSNGNVPVTSMLGSLRLMSTKLFIGGLSWGTDDASLRDAFAHFGDVVDAKVIVDRETGRSRGFGFVNFNDEGAATAAISEMDGKELNGRHIRVNPANDRPSAPRAYGGGGGYSGGGGGYGGGGGGYGGGGGGYGGGGDGGGGF", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MISPRVNTRVWQRSISLLSPQAAKTESNVVTKERTYIENLSKDIATSRFRLVDENGKIASITVQPDIPICIKKDCLVSIHNLNHLSLSYKWLNFWSNLIKFRSFKSSLFHRIIGSSVLEILAAPNFQTSRRPFDSSRSLSVLNLTGTKDWNVFGKDSIIAFEQNSSLEIKSPIFPSARSLVSNSSKSQLPRKFQILNGRGNVLVCGGGLVYSIELIDESDKILVNSRNILAINGQSQLDIANSVERQELHVEGAYVGDSSNDTVAPKFIKNQTLKSAYGHTVQFFKRMRSWIRNQYEKRYIYGVDSYFMKIKGPRTILIQTHEMTTSKDNILTKLTSKGHVKKSNVNDNGVNLEKQVANDVNSKIIELANRPSLFIATVSQDGRVDFQSTSKFT", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDALNSKEQQEFQKVVEQKQMKDFMRLYSNLVERCFTDCVNDFTTSKLTNKEQTCIMKCSEKFLKHSERVGQRFQEQNAALGQGLGR", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASNAAVPFWRAAGMTYISYSNICANIVRNCLKEPHKAEALTREKVHFSLSKWADGKPQKPVLRSDTPEV", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPLSDFILALKDNPYFGAGFGLVGVGTALALARKGVQLGLVAFRRHYMITLEVPARDRSYAWLLSWLTRHSTRTQHLSVETSYLQHESGRISTKFEFVPSPGNHFIWYRGKWIRVERSREMQMIDLQTGTPWESVTFTALGTDRKVFFNILEEARELALQQEEGKTVMYTAVGSEWRPFGYPRRRRPLNSVVLQQGLADRIVRDVQEFIDNPKWYTDRGIPYRRGYLLYGPPGCGKSSFITALAGELEHSICLLSLTDSSLSDDRLNHLLSVAPQQSLVLLEDVDAAFLSRDLAVENPVKYQGLGRLTFSGLLNALDGVASTEARIVFMTTNHVDRLDPALIRPGRVDLKEYVGYCSHWQLTQMFQRFYPGQAPSLAENFAEHVLRATNQISPAQVQGYFMLYKNDPVGAIHNAESLRR", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MWRCGGRQGLCVLRRLSGGHAHHRAWRWNSNRACERALQYKLGDKIHGFTVNQVTSVPELFLTAVKLTHDDTGARYLHLAREDTNNLFSVQFRTTPMDSTGVPHILEHTVLCGSQKYPCRDPFFKMLNRSLSTFMNAFTASDYTLYPFSTQNPKDFQNLLSVYLDATFFPCLRELDFWQEGWRLEHENPSDPQTPLVFKGVVFNEMKGAFTDNERIFSQHLQNRLLPDHTYSVVSGGDPLCIPELTWEQLKQFHATHYHPSNARFFTYGNFPLEQHLKQIHEEALSKFQKIEPSTVVPAQTPWDKPREFQITCGPDSFATDPSKQTTISVSFLLPDITDTFEAFTLSLLSSLLTSGPNSPFYKALIESGLGTDFSPDVGYNGYTREAYFSVGLQGIAEKDIETVRSLIDRTIDEVVEKGFEDDRIEALLHKIEIQMKHQSTSFGLMLTSYIASCWNHDGDPVELLKLGNQLAKFRQCLQENPKFLQEKVKQYFKNNQHKLTLSMRPDDKYHEKQAQVEATKLKQKVEALSPGDRQQIYEKGLELRSQQSKPQDASCLPALKVSDIEPTIPVTELDVVLTAGDIPVQYCAQPTNGMVYFRAFSSLNTLPEELRPYVPLFCSVLTKLGCGLLDYREQAQQIELKTGGMSASPHVLPDDSHMDTYEQGVLFSSLCLDRNLPDMMQLWSEIFNNPCFEEEEHFKVLVKMTAQELANGIPDSGHLYASIRAGRTLTPAGDLQETFSGMDQVRLMKRIAEMTDIKPILRKLPRIKKHLLNGDNMRCSVNATPQQMPQTEKAVEDFLRSIGRSKKERRPVRPHTVEKPVPSSSGGDAHVPHGSQVIRKLVMEPTFKPWQMKTHFLMPFPVNYVGECIRTVPYTDPDHASLKILARLMTAKFLHTEIREKGGAYGGGAKLSHNGIFTLYSYRDPNTIETLQSFGKAVDWAKSGKFTQQDIDEAKLSVFSTVDAPVAPSDKGMDHFLYGLSDEMKQAHREQLFAVSHDKLLAVSDRYLGTGKSTHGLAILGPENPKIAKDPSWIIQ", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAVDSFYLLYREIARSCNCYMEALALVGAWYTARKSITVICDFYSLIRLHFIPRLGSRADLIKQYGRWAVVSGATDGIGKAYAEELASRGLNIILISRNEEKLQVVAKDIADTYKVETDIIVADFSSGREIYLPIREALKDKDVGILVNNVGVFYPYPQYFTQLSEDKLWDIINVNIAAASLMVHVVLPGMVERKKGAIVTISSGSCCKPTPQLAAFSASKAYLDHFSRALQYEYASKGIFVQSLIPFYVATSMTAPSNFLHRCSWLVPSPKVYAHHAVSTLGISKRTTGYWSHSIQFLFAQYMPEWLWVWGANILNRSLRKEALSCTA", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVGGGVIRQLLRRKLHSQSVATPVLSWLSSKKANEDAGSAGLRAFALMGAGITGLLSFSTVASADEAEHGLECPNYPWPHEGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEEAKAMAAEIEVVDGPNDEGEMFTRPGKLSDRLPEPYSNESAARFANGGAYPPDLSLVTKARHNGQNYVFALLTGYRDPPAGISIREGLHYNPYFPGGAIAMPKMLNDEAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFLLSLALLQAAYYRRLKWSVLKSRKLVLDVVN", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MWKWNVRRWAGARVNISKNRLSVINVGSRYLSTARSPLSKVRNIGIIAHIDAGKTTTTERMLYYAGISKHIGDVDTGDTITDFLEQERSRGITIQSAAISFPWRNTFAINLIDTPGHIDFTFEVIRALKVIDSCVVILDAVAGVEAQTEKVWKQSKSKPKICFINKMDRMGASFNHTVNDLINKFMRGTTTKPVLVNIPYYRKQPTSNDYVFQGVIDVVNGKRLTWNPENPDEIIVDELDGTSLEQCNRCRESMIETLTEYDEDLVQHFLEEAEGDYSKVSAQFLNASIRKLTMKNMIVPVLCGASFKNIGVQPLLDAIVNYLPSPIEAELPELNDKTVPMKYDPKVGCLVNNNKNLCIALAFKVITDPIRGKQIFIRIYSGTLNSGNTVYNSTTGEKFKLGKLLIPHAGTSQPVNILTAGQIGLLTGSTVENNISTGDTLITHSSKKDGLKSLDKKKELTLKINSIFIPPPVFGVSIEPRTLSNKKSMEEALNTLITEDPSLSISQNDETGQTVLNGMGELHLEIAKDRLVNDLKADVEFGQLMVSYKETINSETNIETYESDDGYRFSLSLLPNSDALPNCLAYPLGVNENFLIMEKNGNWDKEWKYQVSFESILNSIIASCIVGLQRGGKIANFPLYACSIKINSDWSVPPDIETPQEILKITRNLIFKALNDLKPEKYNLLEPIMNLDLTIPQSDVGTVLQDLTGARKAQILSIEDESSVSNSGASTCNSPENSNRIYIPSDAVTTLHATKDKKNTQETSSNVKKIIKAKVPLREITTYTNKLRSLSQGRGEFNIEYSDMEKVTNDRLQSILHDL", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKLNFSGLRALVTGAGKGIGRDTVKALHASGAKVVAVTRTNSDLVSLAKECPGIEPVCVDLGDWDATEKALGGIGPVDLLVNNAALVIMQPFLEVTKEAFDRSFSVNLRSVFQVSQMVARDMINRGVPGSIVNVSSMVAHVTFPNLITYSSTKGAMTMLTKAMAMELGPHKIRVNSVNPTVVLTDMGKKVSADPEFARKLKERHPLRKFAEVEDVVNSILFLLSDRSASTSGGGILVDAGYLAS", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDSYSSPPMGGSGSSVSPEVMMESVKTQLAQAYAEELIETLRTKCFDKCVTKPGSSLGGSESSCISRCVERYMEATAIISRSLFTQR", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPQKDPCQKQACEIQKCLQANNYLESKCQAVIQELRKCCARYPKGRSLVCSGFEKEEEEKLAMKSGSK", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSKYQINCIRYRHFLRTSNISQIPDFTKYCIGPVNEELAPYIMETMKAYPSNSEYINPQHYYHNRTVLVENYLKRSPNPVSLTQLAQYYDDSTKLTRTKIINSGKFVKEELVIRIAHKLNQLQQLPFNVVNNFHFVQVYESYYNIFESFRKYPTIRTLEDASQFADFIKNMLEGFNTLNLPHLIMGALECTILDLYPREKMDQLLSDLLRARISRRLIVEEHVSITANYTSGKEENTLVLGDIFQECSAKKYLLEASEESQKFIQDMYFKDIPMPEFIIEGDTQLSFYFLPTHLKYLLGEILRNTYEATMKHYIRKGLEKPEPIIVTVVSNDESYLFRISDKAGGVLHDDENLWSFGKSKERAQESLNNFHKLPGLQTVSIYDEVHSHTKYNSKLKSLQSITLKPYMHTSLEPMSYPSIINGHIKYETPLIELLKRSFRYKLGIGLAMCKVYAEYWNGDLSLHSMPGYGTDVVLKLGNLMKHTKKLQLDKV", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVLSNVKIFRLKSHRAFRIGPMIKAVAGNLLVKRFYQPKLERIPPASLLLKQKIRLAQNGSTTSTENPISFSQTMSEIFSVLQPSAPDLDEDETSGLKRDHLLTERLNNGELGVIMNKFFNPSSTHNNQLIDTNILLQNFPKLSGNDLDLLDFAINEKMRGNWNDLKQDFIQLWYYKSFGFLGPRTQFVLTNSSPSVRSQFLKLPFIEYNWFLLQNNKNANILPADVQNVVKVFHLDDKRFTWKSIDPFSKAIISFVVFVSIYVWLDESAKQKTKELPAQKSTVISE", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNFHTARISQVGVISRALLSSVSRRWIHVTPISLNNSGGSLFGSITENKPKEGKNRGDEDAGSFSNRLAIASDSSGEAPEVNRDSITIENDKLLQQHIISLQQPEQLASQSLLSPLKREIYEANCKINGGFYKKDTIVKLPNSSERYKLKLTKREIEVLEPSVYAQSYRIKSSMKKATLLLRLLGGLDVMKAISQCHFSNKKIAREVAELLQKGVKDGQKLGLKPEDLYISQIWTGSDGFWRKRVEFKARTRIGIISHPYIHVRCILRTKSVTKRRLAYEAHLKEQKRAPWVQLGDKPIRGVTGGVYKW", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSYNYLKAARKIICIGRNYAAHIKELNNSTPKQPFFFLKPTSSIVTPLSSSLVKTTRPANSTFNGLNEDGTNPGPIFIPRGVKVHHEIELALIVSKHLSNVTKMKPEEVYDSISGVALALDLTARNVQDEAKKKGLPWTISKGFDTFMPISAIVSREKFSSYKSNLQDIFRVKCSVNGQLRQDGGTNLMLHPLHKILQHISTMISLEPGDIILTGTPAGVGELKPGDRVHCELLQNNDNIVDMNFECENRPGPYEFRET", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MALRVVTRALGSLSLTPRIAAVPGPSLLPAAQVTNNVLLQLPSASMLLPSRPLLTSVALSAKFVSWKSRTKYTTMPVKMRKSGGRNHTGRIQVHGIGGGHKQRYRMIDFLRFRPEQESKPGPFEEKVIVVRYDPCRSADIALVAGGNRKRWIIATENMKAGDTILNSDHIGRMAVAAREGDAHPLGALPVGTLINNVESEPGRGAQYIRAAGTCGVLLRKVNGTAIIQLPSKRQMQVLETCTATVGRVSNVDHNKRVIGKAGRNRWLGKRPNSGRWHRKGGWAGRKIRPLPPMKSYVKLPSAAAQN", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAGYLKLVCVSFQRQGFHTVGSRCKNRTGAEHLWLTRHLRDPFVKAAKVESYRCRSAFKLLEVNERHQILRPGLRVLDCGAAPGAWSQVAVQKVNAAGTDPSSPVGFVLGVDLLHIFPLEGATFLCPADVTDPRTSQRILEVLPGRRADVILSDMAPNATGFRDLDHDRLISLCLTLLSVTPDILQPGGTFLCKTWAGSQSRRLQRRLTEEFQNVRIIKPEASRKESSEVYFLATQYHGRKGTVKQ", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSKLGRAARGLRKPEVGGVIRAIVRAGLAMPGPPLGPVLGQRGVSINQFCKEFNERTKDIKEGIPLPTKILVKPDRTFEIKIGQPTVSYFLKAAAGIEKGARQTGKEVAGLVTLKHVYEIARIKAQDEAFALQDVPLSSVVRSIIGSARSLGIRVVKDLSSEELAAFQKERAIFLAAQKEADLAAQEEAAKK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MIFSKLGSSLARSSRSKGFVYGGGVRSAVFNQGRLRAPQNLEAAVNQVDGGLGFLRRHFASFAARKGLEAGDLSRAFANPRLRRFFSSQTPKKKNYENYYPKDSKKAPKNEQKSESRDGSKKNENENAGDAFSNEYQNMLIPLMAIALILSTFSLGSREQQQISFQEFKNKLLEAGLVDHIDVSNKEVAKVYVRSSPKSQTTEEVVQGPGNGVPAKGRGGQYKYYFNIGSVESFEEKLEEAQEAIGVNSHDFVPVTYVSETIWYQELLRFAPTLLLVATLIFGARRMQGGLGGLGGPGGKAGRGIFNIGKAQITRADKNSKNKIYFKDVAGCEEAKQEIMEFVHFLQNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESAVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFQIYLKKIKLDHEPSYYSQRLAALTPGFAGADIANVCNEAALIAARHEGATVTMAHFDSAIDRVIGGLEKKNRVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSDKIGLLSFPQREDEFSKPYSNRTGAMIDEEVREWVGKAYKRTVELIEEHKEQVAQIAELLLEKEVLHQDDLTKVLGERPFKSGETTNYDRFKSGFEESEKESQKESVPVKPVEDDGIPPLEPQVVPT", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGTLGRAIHTVGNRIRGTAQAQARVGSLLQGSHHIEKHLSRHRTLITVAPNASVIGDVQINKGSFISYASVSRDLQYPRAMGMGQVRRFSEDVSHMPEMEDSDVLNAFKDLMAADWAELPSAVVKDAKTAISKNTDDKAGQEALKNVFRAAEAVEEFGGILTSIKMEIDDSIGMSGEGVKPLPNDITDALRTAYQRYAEYLDSFEPEEVYLKKKVEMELGTKMIHLKMRCSGLGSEWGKVTVLGTSGLSGSYVEQRA", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSILRSPFRLIRSPARFFPSLFHSSCNQSFTNGLKHQSTSSKAMPVSAFYIPSFNLFGKGCLAEAAKQIKMSGFKNTLIVTDPGIIKVGLYDKVKALLEEQSITVHLYDGVQPNPTVGNVNQGLEIVKKENCDSMVSIGGGSAHDCAKGIALLATNGGKIADYEGVDKSSKPQLPLIAINTTAGTASEMTRFAIITEETRHIKMAIIDKHTMPILSVNDPETMYGLPPSLTAATGMDALTHAVEAYVSTAANPITDACAVKCIELVNKYLKRAVDNGKDEEARDNMAYAEFLGGMAFNNASLGYVHAMAHQLGGFYGIPHGVCNAVLLAHVQKFNSRDPRANARLGDIAFHLGCEEHTAEAALDRISQLVLEVKIRPHLVDLGVKEKDFDVLVDHAMKDACGATNPIQPTHDEVKAIFKSAM", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKYPRTHIQFPSMLRNRLFKTPHQTGFQWRLGAPATGITIRNQPIRSYSGLRGNFLIDKRLSPVKFNKYSPSDIVFYNIGSSRLYSTETPTPSKVKEAPKQVAAEETKPTTVVKKPSIWQRVKGGVLHFWDGTKLLGVEIKISSKLVYKMAVGYELTRRESRQLTRTLKDIGRLVPFSVFVVVPFAELLLPIAVKLFPNLLPSTFEDAKDKEAKKAQLRKTRNEVSNMLRSTLKSGKFTFSNETRESKEFRDFFQKVRTSGQSPSREELIEVCKYFKDDITLDNLSRAQLVAMCRYMNLNAFGTDPLLRYNIRHRMRQIRRDDRAIYIEGINSLSIPELFNACNSRGIRTQGLSPAKLKEELSVWLDMRIKHGIPSVILMLSNAFSYGYNEGTYDSRWDALQDTLASIPDELYHETVVDMPTKQVSNKERLEILREQEELIEEEAEHVAEHPDLAKKQTEENKATSKPAVSAKSPESNIPKNERK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAATTRRLLYYVSKRFSTAGVRRSYGGLPQSNSKSPPSSSQRLMELESEFSAHNYHPVPVVFSRANGSTIWDPEGKRYIDFLAAYSAVNQGHCHPKIMKALQEQVEKLTLSSRAFYNDKFPVFAERLTNMFGYDMVLPMNTGAEGVETALKLARKWGHEKKNIPKDEAIIVSCCGCFHGRTLAIVSMSCDNDATRGFGPLLPGNLKVDFGDADSLEKIFKEKGDRIAGFLFEPIQGEAGVIIPPDGYLKAVRELCTKYNVLMIADEVQSGLARSGKMLACDWEEIRPDMVILGKALGGGVIPVSAVLADKDVMLHIKPGQHGSTFGGNPLASAVAMASLDVIVEEKLVERSASLGEELRIQLNEIKKQFPKYIKEVRGRGLFNAIEFNSESLSPVSAYDICLSLKERGVLAKPTHNTIVRLTPPLSISSDELRDGSEALHDVLELDLPNLLKINSGKTPVSHITECDRCGRNLYA", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAASLSSRLIKGIANLKAVRSSRLTSASVYQNGMMRFSSTVPSDSDTHDDFKPTQKVPPDSTDSLKDIVENDVKDNPVMIYMKGVPESPQCGFSSLAVRVLQQYNVPISSRNILEDQELKNAVKSFSHWPTFPQIFIKGEFIGGSDIILNMHKEGELEQKLKDVSGNQD", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTRTVLLRALTKNKFVASNAPRSISISITSLSRCISTLILAEHESGTIKPQTVSTVVAANSLGESSSISLLLAGSGSSLQEAASQAASCHPSVSEVLVADSDKFEYSLAEPWAKLVDFVRQQGDYSHILASSSSFGKNILPRVAALLDVSPITDVVKILGSDQFIRPIYAGNALCTVRYTGAGPCMLTIRSTSFPVTPITANSESKKATVSQIDLSNFEDDSVSKSRYVGRSTQDTERPDLGSARVVITGGRALKSVENFKMIEKLAEKLGGAVGATRAAVDAGYVPNDLQVGQTGKIVAPELYMAFGVSGAIQHLAGIKDSKVIVAVNKDADAPIFQVADYGLVGDLFEVIPELLEKLPEKK", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLKRRSNALITLSRTKLFPITTVAYYHRRLLNQQRRAVSTSPKKEIKSLEDLANLDSLDGVDTELIRDLINEHTTKLNIKKELDMLKKFSQEEESGHEIPVKRFIRPLWMFILMGSSVYLLLHFSWWKLEHEERESQLKKEVEILEHQLNELIVQDKTHNTSRGKGSNESTHMKPWYRRWFW", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAAATLLRATPRFSGLCASPTPFLQGRLRPLKAPASPFLCRGLAVEAKKTYVRDKPHVNVGTIGHVDHGKTTLTAAITKILAEGGGAKFKKYEEIDNAPEERARGITINAAHVEYSTAARHYAHTDCPGHADYVKNMITGTAPLDGCILVVAANDGPMPQTREHLLLAKQIGVEHVVVYVNKADAVQDSEMVELVELEIRELLTEFGYKGEETPVIVGSALCALEQRDPELGVKSVQKLLDAVDTYIPVPTRDLEKPFLLPVESVYSIPGRGTVVTGTLERGILKKGDECELLGHNKNIRTVVTGIEMFHKSLERAEAGDNLGALVRGLKREDLRRGLVMVKPGSIQPHQKVEAQVYILSKEEGGRHKPFVSHFMPVMFSLTWDMACRVILPPGKELAMPGEDLKLSLILRQPMILEKGQRFTLRDGNKTIGTGLVTDVPAMTEEDKNIKWS", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLGRALRPGWLGITRTVVKKPSCGSYFNRTFQTAINTTMPPMQEGMLSTMMMMTATATRITGTVSEPLNGSNIVMQLDSVMRKRKKKMKKHKLRKRRKREKAERRKLSQGR", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTLAELLGRSRIAQVANNHKPLTYTGKKFHPTHQIIETKPSTLYRQEWGLKSAIPSKIKSRYLVYNDLDTLERITTFEPRGGTQWNRLRFQEMGVPIVSNIGRQNPFFKYISRPEDESHAKLSLFKEMKGDTDISPAAMKKRLKKITALIRSFQDEFKEWLVENHPDELKLNSNKLEDYVVKFLNKKLETKTNKKFNTEIIGTGGLSYSLPGKLKNSPNGVIQRTVVPGRILNVVKENNDNKWLAAIGGFVADVVFFQSPPSSFNSMGDFIRMKTFLFEILEASMEKNGSVSMHARLLEPQNDKTREFFNKRPIYKPLTSRRARRPSVGNIQEANNLLNIIKGN", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDFVAGAIGGVCGVAVGYPLDTVKVRIQTEPKYTGIWHCVRDTYHRERVWGFYRGLSLPVCTVSLVSSVSFGTYRHCLAHICRLRYGNPDAKPTKADITLSGCASGLVRVFLTSPTEVAKVRLQTQTQAQKQQRRLSASGPLAVPPMCPVPPACPEPKYRGPLHCLATVAREEGLCGLYKGSSALVLRDGHSFATYFLSYAVLCEWLSPAGHSRPDVPGVLVAGGCAGVLAWAVATPMDVIKSRLQADGQGQRRYRGLLHCMVTSVREEGPRVLFKGLVLNCCRAFPVNMVVFVAYEAVLRLARGLLT", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPGWRLLAWAGARVLDRGTGGLGTALGSGNRTDICVLVRSLHGKSGTWWDEHLSEENVSFVKQLVSDENKAQLASKLCPLKDEPWPIHPWEPGSSRVGLVALKLGMMPLWTKDGKKHVVTLLQVQDCHVLKYTPKENHNGKMAALTVGGKTVSRFHKSTSILEFYQELGLPPKQKIKMFNVTDNAVIKPGTPLYAAHFRPGQYVDVTAKTIGKGFQGVMKRWGFKGQPATHGQTKTHRRPGAISTGDVARVWPGTKMPGQLGNVDRTAFGLKVWRINTKHNIIYVNGSVPGHRNCLVKIKDSVLPAYKDFCKNLPFPTYFPDEDEKELPEDLYDEEVCQPGAPSITFV", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MENHKSNNKENITIVDISRKINQLPEAERNLLENGSVYVGLNAALCGLIANSLFRRILNVTKARIAAGLPMAGIPFLTTDLTYRCFVSFPLNTGDLDCETCTITRSGLTGLVIGGLYPVFLAIPVNGGLAARYQSALLPHKGNILSYWIRTSKPVFRKMLFPILLQTMFSAYLGSEQYKLLIKALQLSEPGKEIH", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVVRRKVHVLLIARSFHSYTPCFRVTTRGKRQRSKSKQQAKVELDHTRELDNDQATETVVDRSVGPEKDIESINKDFLQRTKGLEPDIELKQLPQIKQEFNQRYKDRYVKPSEDWYVNSWRSLTKPKIPLYKLINSDFQLITKLKAPNPMEFQPVQLMESPLNVGDFVLLKMRPNELAMCVSLPSSTMDPRYTFVTIDGTMCFATKNRVLLRIPHKLPAGIHSLIQPESHHKHLPIGTVKNFSNQTNILPIVARQLITSRYPAQISKLAWKDLPITTKKLQLLHRSLQNYMGPWQIPFFTLVGLVQKLDLNKALDDKNGINYLTSLVNNYHTVNDIPINSPTFVSTYWAIMQQQESNLWGEIHLNTALLSPISVTIIPLKSQHLYYAQVIEKLEANSYREVNKFVKLVNERKYRDISALYPSVIQLLKDFAAGNFHNNGIIVALISKIFRKIERYKDCDITRDICQDLINEITPNSIPNPLLLNMDLALPASSKLVKWQQKLYDLTNIEELQWKKSGTDDDRYDFGDLRVFCIDSETAHEIDDGVSVKNYGRDGLYTLYIHIADPTSMFPESTNVDIEGISTDILNVALKRSFTTYLPDTVVPMLPQSICHLSDLGKQGQRTKTISFSVDVKITSKCSGKSIEIMYDSFKIRKGIVSNFPKATYEDVDRILGTPNSEASPVKKDLESLSMISKLLREQRIKNSNAVIFGEGFNKGLVMLNADSEGELTEVTFSDQEETLSTILVSEMMILANTLTGRYFAENKIGGVFRCYKQLPLGEVAQQQYDSMITSTKKGIFPKLKDIVKLSSLLNSSFYTGRPFRHEMIGAKQYLTVTSPLRRFPDLINHLQIHRHLQKKPLCFNQTQIDSLIWPIQSRADILKRASRNSSTYWTLNYLKKLTKLEPERTFDVMVTSVPQNGFTGCVFPDLSFARGTLKLHPSSMHYPMIGDIVKNCKISKIDCLEGMLELEKL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLILLRLSEVCVNFVIIIGIPLLIEASILCIQNILELLLKGIGILKFNRYLHTIILRLFFLSFYMLHFPITLSILAFQLPLNLLTLSQASFHLPRSHMILYQQQECY", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSRASKITFAASCLITAATVVGVHYVQEMERETLHQGPIKDAKRVEEKRLRKTNGVASLDPTKERKRYFNMSEHEEQKELRKKYETMQPLSGEVVTKDGEVVKESKK", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLSILRNSVRLNSRALRVVPSAANTLTSVQASRRLLTSYSSFLQKETKDDKPKSILTDDMLFKAGVDVDEKGQGKNEETSGEGGEDKNEPSSKSEKSRRKRQTSTDIKREKYANWFYIFSLSALTGTAIYMARDWEPQESEELKKDIDNGYTLSLMYKRFKARFNSMFTYFQEPPFPDLLPPPPPPPYQRPLTLVITLEDFLVHSEWSQKHGWRTAKRPGADYFLGYLSQYYEIVLFSSNYMMYSDKIAEKLDPIHAFVSYNLFKEHCVYKDGVHIKDLSKLNRDLSKVIIIDTDPNSYKLQPENAIPMEPWNGEADDKLVRLIPFLEYLATQQTKDVRPILNSFEDKKNLAEEFDHRVKKLKDKFYGDHKSGGNWAMTALGLGNSLGGSTKFPLDLIHEEGQKNYLMFMKMIEEEKEKIRIQQEQMGGQTFTLKDYVEGNLPSPEEQMKIQLEKQKEVDALFEEEKKKKKIAESK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MYRYLAKALLPSRAGPAALGSAANHSAALLGRGRGQPAAASQPGLALAARRHYSELVADREDDPNFFKMVEGFFDRGASIVEDKLVKDLRTQESEEQKRNRVRGILRIIKPCNHVLSLSFPIRRDDGSWEVIEGYRAQHSQHRTPCKGGIRYSTDVSVDEVKALASLMTYKCAVVDVPFGGAKAGVKINPKNYTENELEKITRRFTMELAKKGFIGPGVDVPAPDMNTGEREMSWIADTYASTIGHYDINAHACVTGKPISQGGIHGRISATGRGVFHGIENFINEASYMSILGMTPGFRDKTFVVQGFGNVGLHSMRYLHRFGAKCIAVGESDGSIWNPDGIDPKELEDFKLQHGSILGFPKAKPYEGSILEVDCDILIPAATEKQLTKSNAPRVKAKIIAEGANGPTTPEADKIFLERNILVIPDLYLNAGGVTVSYFEWLKNLNHVSYGRLTFKYERDSNYHLLLSVQESLERKFGKHGGTIPIVPTAEFQDSISGASEKDIVHSALAYTMERSARQIMHTAMKYNLGLDLRTAAYVNAIEKVFKVYSEAGVTFT", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSTAALITLVRSGGNQVRRRVLLSSRLLQDDRRVTPTCHSSTSEPRCSRFDPDGSGSPATWDNFGIWDNRIDEPILLPPSIKYGKPIPKISLENVGCASQIGKRKENEDRFDFAQLTDEVLYFAVYDGHGGPAAADFCHTHMEKCIMDLLPKEKNLETLLTLAFLEIDKAFSSHARLSADATLLTSGTTATVALLRDGIELVVASVGDSRAILCRKGKPMKLTIDHTPERKDEKERIKKCGGFVAWNSLGQPHVNGRLAMTRSIGDLDLKTSGVIAEPETKRIKLHHADDSFLVLTTDGINFMVNSQEICDFVNQCHDPNEAAHAVTEQAIQYGTEDNSTAVVVPFGAWGKYKNSEINFSFSRSFASSGRWA", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAARGVIAPVGESLRYAEYLQPSAKRPDADVDQQRLVRSLIAVGLGVAALAFAGRYAFRIWKPLEQVITETAKKISTPSFSSYYKGGFEQKMSRREAGLILGVSPSAGKAKIRTAHRRVMILNHPDKGGSPYVAAKINEAKDLLETTTKH", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNISRSSGLMRQFLLQPLRKGCDISCLGRSSWRMSRSFSGSSVLNEINLSRTKNLFLNDCKFNKNSFEKFFARRLSNSVAPTPGGILQETEKIPSKKVAFWLLGSSALVLAIVVVGGITRLTESGLSITEWKPITGVIPPLTDEQWNQEFELYKKSPEFEKLNSHMTVDEFKNIFFWEWFHRVLGRGIGLTILLPSIYMIVTKRASPWLSKRLIGLTGLVGLQGVIGWWMVKSGLSEELFSDGSHPRVSHYRLATHLAAAVALYIGLVWTGHGILQRHAFLKSMKSGSTSQLTSMVSSVQKMKGFRTSVNSFVGLVLITLLSGAFVAGLDAGMIYCTFPEMGEGRLAPSKSELFDQRFCRKDDKSDLIWRNMIDNPSLVQLEHRILAITTFVAACGLFIFSRAKRNILPKKIKTSINVVTGVVTAQATLGIMTLIYVVPVPLAALHQAGSLVTLTAALSLAQRLHPEYALKNIRSWTKLISSPPKSSISSSILTQQRQFHTFRPSFHSEIKKPLPGTGIKVFFVTPEGREIMIEGNEEGACEGSVACSTCHVIVDPEHYELLDPPEEDEEDMLDLAFGLEETSRLGCQVLLRKDLDGIRVRIPAQTRNIRLERPKA", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEQNKDPQMISKHSSRLPIWVLSPREEQQARKNLKTETYKKCANFVQAMADCAKANGMKVFPTCDKQRDEMKSCLLFYQTDEKYLDGERDKIVLEKINKLEKLCQKQSSTK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLCLVYNSILCKQRRISLKVLQQFRCWNISKTFLSYRTLTALAIETSCDDTSVSVVRTSDSSSHCQNEIICLNTHRTISKYEAYGGIHPTIVIHEHQKNLAKVIQRTISDAARSGITDFDLIAVTRGPGMIGPLAVGLNTAKGLAVGLQKPLLAVHHMQAHALAVQLEKSIDFPYLNILVSGGHTMLVYSNSLLNHEIIVTTSDIAVGDYLDKCAKYLGIPWDNEMPAAALEQFASPEINSTSYSLKPPIPLNTREKVHSASFSFSGLESYACRIIRKTPLNLSEKKFFAYQLQYAAFQHICQKTLLALKRLDLSKVKYLVCSGGVARNELLKKMLNDTLMVLQFEHQPTDIKLVYPSPDICSDNAAMIGYTAIQMFKAGYTSSFDVEPIRKWPINQILTVEGWLTKKNKKV", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAPVLRCVRKLLKLVDFTPVPRRYRYKKKWATTEPQFTASRLALQNFDMTYSVQFGDLWPSIRVSLLSEQKYGALVNNFAAWDSVSAKLEQLSAKDFVSEAISHQKLEPESGLSPTPSLDCSPNLRCFTFSRGDVSRFPPARLGSLGLMDYYLMDAASLLPVLALGLQHGDTVLDLCAAPGGKTLALLQTGCCRNLAANDLSTSRTGRLQKVLHSYVPQDIREGNQVRVTSWDGRKWGELEGDTYDRVLVDVPCTTDRHSLHEEENNIFQRSRKKERQMLPMLQVQLLAAGLLATKPGGHVVYSTCSLSHLQNEYVVQGAIELLANQYNIKVQVEDLSHFRKLFMDTFCFFPSCQVGELVIPNLMVNFGPMYFCKLHRLP", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTSLTNAVFKRYLAVTPSAHQALKTRIKKKSSSFDKFFPQQSNSRKKQWETLNEDKASWFKRKYAHVHAREQDRAADPYGKKKAHVEKLKEIKNQAKLNQKSHKSKFQNKDIALKLMNDNPIFEYVYGTNSVYAALLNPSRNCHSRLLYHGTIPSKFLQIVDELKVTTELVDKHRLNLLTNYGVHNNIALETKPLQPVEIAYLGDMDTSSAALSIHELGFNNENIPHELPYGTKTDAKKFPLGLYLDEITDPHNIGAIIRSAYFLGVDFIVMSRRNCSPLTPVVSKTSSGALELLPIFYVDKPLEFFTKSQEMGGWTFITSHLANATSEKYTVGKTISMHDLNGLCNELPVVLVVGNESQGVRTNLKMRSDFFVEIPFGGIEKGNRAPEPIVDSLNVSVATALLIDNILTCK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLRRSPTLLRVSPFSLYMKDLAKNGTLQNDRNPAKTASRLYRKLSEPEKMALQKRAARVSYPALDAYNRFQKEYAHRFLHLSNKKRQREVSKLWAELKKNGTVKVPKAPKAAKSASSKVKTAAKTAKKTTAARK", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAVSMSVALRQALWGRRVATVAAVSVSKVSTRSLSTSTWRLAQDQTRDTQLITVDEKLDITTITGVPEEHIKTRKARIFVPARNNMQSGVNNTKKWKMEFDTRERWENPLMGWASTADPLSNLVLTFSTKEDAVAFAEKNGWSYDVEERKVPKPKSKSYGANFSWNKRTRVSTK", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNKFQLPKTLKSLWHHPHNGELISINGWVRSIRKLKNVCFAMVSDGTCQQALQVVTSPEQSKKLSYGASVNIEGQLAVSKNAKLGLQQYELLAEKIKIYGQINDDNYPIQKKHLTTEMLRQIPHLRLRTAKQGEIFRLRSDSLKALRQFFSSKDFTETNPPIITSSDCEGAGEVFTLTPQETHKNKSFERDDQKHFFDRPAFLTVSTQLHLEALALGLSRVYTISPAFRAEQSHTSRHLAEFWMLEAEVAFMTSLSQLTSLMEDMIKYTLNSLMEQNYHRDHWDQLLKPWKCMTYSEAIEELSAVKKTWKYPPKWGNDLSSEHEKYLCEILHKTPVFVTDYPQKIKPFYMKSSGPDTVAAVDLLVPQVGELAGGSLRKDHLDEYKTYPPELQWYLDLMKYSNAPHGGFGLGIERLIAFLEGENTNVKETIPFPRSVGSIFA", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MWQVLRGWRKGWQSPRGALAWAVQGQPCPPCSRAVASVGKDEYTFVVVGAGSAGCVLASRLTEDPNHRVLLLEAGPKDLLMGSKRLQWKIHMPAALVSNLCDDKYNWYYHTEPQPGMDSRVLYWPRGRVWGGSSSLNAMVYIRGHAEDYNRWHREGAEGWDYAHCLPYFRKAQRHELGANMYRGGDGPLHVSRGKTNHPLHQAFLQAARQAGYPFTEDMNGFQQEGFGWMDMTVHQGKRWSTACAYLHPVLSRPNLRAEVQTLVSRVLFEGTRAVGVEYIKDGQRHKAYVSREVILSGGAINSPQLLMLSGVGNADDLRKLDIPVVCHLPGVGQNLQDHLEVYVQQACTQPITLHSAQKPLRKVCIGLEWLWSYTGDGATAHLETGGFIRSRPGVPHPDIQFHFLPSQVIDHGRKPTQQEAYQVHVGTMRATSVGWLKLRSANPRDHPVIHPNYLSTETDVEDFRQCVRLSREIFAQEALAPFRGKELQPGSHVQSDKEIDAFVRAKADSAYHPSCTCKMGRSSDPTAVVDAQTKVIGVENLRVVDASIMPSVVSGNLNAPTVMIAEKAADIIKGHPALEDKNVPVYKPQTLDTQR", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRGFRLIAPIQRSIAIISRLQPITANFHSSPALRSHENPLGIPKSPASAPRIPRKTTRRPEPIAGVKKTIVVSSAKGGVGKSTVSVNTALSLAKRGLRVGLLDVDIFGPSIPTMFGLSGEPRMTHEGKLIPMSKFGIQVMSMGFLVDPNKAVAWRGLLVQKALEQLLQDVDWGTLDVLVMDLPPGTGDVQLTIAQTVKIDGAIIVSTPQDVALVDVVRGLDLFEKTYTKVLGLVQNMSVFVCPNCNHETHIFGVDGAVSKAKSRGLGVLGNVPLDPQICSQSDKGVPVAVSGGVQAKYYDKIAEGVAEQLGV", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MATRARGLSLLRGRLGRGPARAPGVAERAWRGFGSSSRRHEAVIISGTEMAKQIRRELQQGVESWLALGNRRPHLSIILVGDNPASHTYVRNKIRAASAVGICSELIVKPKNVSQEELLDITDQLNMDPRVSGILVQLPLPDHVDERTICNGIAPEKDVDGFHIINIGRLCLDQHSLIPATASAVWEIIKRAGIETFGKNVVVAGRSKNVGMPIAMLLHTDGEHERPGGDATVTIAHRHTPREQLKAHTQLAEIIIVAAGIPGLITADMVREGATVIDVGINYVQDPVTGKTKLVGDVDFEAVKKKASFITPVPGGVGPMTVAMLLKNTLLAAKNITY", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MELEGRSAGGVAGGPAAGPGRSPGESALLDGWLQRGVGRGAGGGEAGAYQPPVRLDPESGPEYEALPAGATVTTHMVAGAVAGILEHCVMYPIDCVKTRMQSLQPDPAARYRNVLEALWRIMRTEGLWRPMRGLNVTATGAGPAHALYFACYEKLKKTLSDVIHPGGNSHIANGAAGCVATLLHDAAMNPAEVVKQRMQMYNSPYHRVTDCVRAVWQNEGAGAFYRSYTTQLTMNVPFQAIHFMTYEFLQEHFNPQRRYNPSSHVLCGACAGAVAAAATTPLDVCKTLLNTQESLALNSNITGHITGMASAFRTVYQVGGVTAYFRGVQARVIYQIPSTAIAWSVYEFFKYLITKRQEEWRAGK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKIVVLGGSGFLGHNICKLAIAKGYEVVSVSRRGAGGLHNKEPWMDDVEWETLDAQKDPNSLLPVLRDASAVVNSVGILMENNYKKILQNPRGPVSHLINSLSSNMFKTGQNPLAPKPEEAKQSKNKVTFEAINRDLAIETAKIAAKANVPVYCYVSAHAAAPGLDPRYIKTKREAEREISKISNLRSIFLRPGFMYNFNDRPFTGALASLFTVSSSINRATSGALNFLGTASAEPLPSEEVALAALEAISDPSVKGPVEISELKSMAHKFKQKSL", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFSILKQGVISKSLLAATSLKATASRFSFSTSVASRVDGTKNGWSFTASNVADQTTDIGINSESAMSCNDQEQPLSFYVQTVRDDLNSPNWGAEQRKGAFDWEVSA", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MFSLLRKAIDVNRSRITLHGIKVHSINTFRLIPYSLSLTKQIRFYASEGTDAGEMEKGLSVVNANHENRPFRDLEGYHFNTFTFLKTLMDKGYTEKEAEGLLEVTNMFVTDMLRHSHLNYLSEADFENCSYLFRTALSELRSEKINMRKDQISSLRSGLFSNQREVESLEQLVHEQLNKLNTESKMEFENRKNDTKNEVQQLSARIVELHNLLAVSLGKLRAENERQKWDQIRKAAGVVMAFTGFLVLVIPFGLGVRSRKKEKQDELDNLGSFNLDNKRDDYTDTNLSHM", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDLMPLEKARAIEIAFDNVFHNTKIPDNLQQFDAILKRLERRRFIPTENQKPRVYETELLVLRFREFGVKDNHNHPINLHSLRSKSLIRAQGKKLDLHNRVFLRRNVRAVKM", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MFSRICSAQLKRTAWTLPKQAHLQSQTIKTFATAPILCKQFKQSDQPRLRINSDAPNFDADTTVGKINFYDYLGDSWGVLFSHPADFTPVCTTEVSAFAKLKPEFDKRNVKLIGLSVEDVESHEKWIQDIKEIAKVKNVGFPIIGDTFRNVAFLYDMVDAEGFKNINDGSLKTVRSVFVIDPKKKIRLIFTYPSTVGRNTSEVLRVIDALQLTDKEGVVTPINWQPADDVIIPPSVSNDEAKAKFGQFNEIKPYLRFTKSK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MIRSVRRVFIYVSIFVLIIVLKRTLSGTDQTSMKQPVVVIGSGLAGLTTSNRLISKYRIPVVLLDKAASIGGNSIKASSGINGAHTDTQQNLKVMDTPELFLKDTLHSAKGRGVPSLMDKLTKESKSAIRWLQTEFDLKLDLLAQLGGHSVPRTHRSSGKLPPGFEIVQALSKKLKDISSKDSNLVQIMLNSEVVDIELDNQGHVTGVVYMDENGNRKIMKSHHVVFCSGGFGYSKEMLKEYSPNLIHLPTTNGKQTTGDGQKILSKLGAELIDMDQVQVHPTGFIDPNDRENNWKFLAAEALRGLGGILLHPTTGRRFTNELSTRDTVTMEIQSKCPKNDNRALLVMSDKVYENYTNNINFYMSKNLIKKVSINDLIRQYDLQTTASELVTELKSYSDVNTKDTFDRPLIINAFDKDISTESTVYVGEVTPVVHFTMGGVKINEKSQVIKKNSESVLSNGIFAAGEVSGGVHGANRLGGSSLLECVVFGKTAADNIAKLY", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MIKFTNENLIRGIRMTISAKSRHLALGTDMTRKFSLSCRFLNKANLTEEEKELLNEPRARDYVDVCIVGGGPAGLATAIKLKQLDNSSGTGQLRVVVLEKSSVLGGQTVSGAILEPGVWKELFPDEKSDIGIPLPKELATLVTKEHLKFLKGKWAISVPEPSQMINKGRNYIVSLNQVVGYLGEKAEEVGVEVYPGIAVSDLIYDENNAVKGVITKDAGISKSGKPKETFERGMEFWARQTVLAEGCHGSLTKQALAKYDLRKGRQHQTYGLGIKEVWEVKPENFNKGFAAHTMGYPLTNDVYGGGFQYHFGDGLVTVGLVVGLDYKNPYVSPYKEFQKMKHHPYYSKVLEGGKCIAYAARALNEGGLQSVPKLNFPGGVLVGASAGFMNVPKIKGTHTAMKSGLLAAESIFESIKGLPVLEEVEDEDAKMAMFDKEATINLESYESAFKESSIYKELYEVRNIRPSFSGKLGGYGGMIYSGIDSLILKGKVPWTLKFDEKNDGEILEPASKYKPIEYPKPDGVISFDILTSVSRTGTYHDDDEPCHLRVPGQDMVKYAERSFPVWKGVESRFCPAGVYEFVKDEKSPVGTRLQINSQNCIHCKTCDIKAPRQDITWKVPEGGDGPKYTLT", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MICKYIYLAIFVFGTARIPKPSACLPGDFWKTKQEIEVQKKIDEFNQYRISALWSMVSKMEDPTLSGLDGRYIVVDQNQLYLSPISKKSEKLIFRFNLNHRGYLEVKSGFRAFIENKYSPLVFHATSWTNGFSIDVQKTNPISSYTPFVMQYLNSPWFSACRVESGNWQVFVGRMNMNEHEHCYPMSLIMKREDTWLRYYHKNNRNPIDWKLVQDCAMWPDGYPGTGRESEKGSNLEAITR", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSRQVTRLLGSLRHSGGGCSGSSKVCSLTSLVQSRSFGTTPPPPAAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVAGVTPKKGGTEHLGLPVFNTVAEAKAETKANASVIYVPAPFAAAAIMEGLAAELDLIVCITEGIPQHDMVRVKAALNSQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGKIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFFVDPQTEGIVLIGEIGGTAEEDAAALIKENGTDKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKSLRDAGVKVVESPAKIGAAMFELFQERGLLK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSINKILSSTYKITQRSNNNNILFNGLKINSFSLCNTKTNLFTNKTNINLYNNYSKSSKSGKKSKKDEDDEDGEIETSKTSKKSASSSSMENVLKELEKSFGKGTLMKLGSQFSTQKVEVIPSGSMGLDIALGVGGLPKGRVTEIFGPESSGKTTLALHVIAQAQKAGGNCTFIDAEHALNPQWAARLGVNLDELFVSQPDNGEQALEIVDSLLRSKTMSVIVVDSVAALVPRVEIEGEMGDSHLGVQARLMSQALRKLSPTLKDSNCVLIFINQIRMKIGVMFGNPEVTSGGNALKFFSSIRIDIRKVGTVKKGDDIIASQVKAKVVKNKLAPPFKEAIFDIDFQSGINKTGEIIDLAVAEGIIDKMGSWYSYNDIKLDQGREKTKYLLEKTQPNLLVEIENKLRDKLIKSKPLINQQQEEEGNDQTSDEFDIENDDEIIEEDIDDETIKK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAFRRAEGTSMIQALAMTVAEIPVFLYTTFGQSAFSQLRLTPGLRKVLFATALGTVALALAAHQLKRRRRRKKQVGPEMGGEQLGTVPLPILLARKVPSVKKGYSSRRVQSPSSKSNDTLSGISSIEPSKHSGSSHSVASMMAVNSSSPTAACSGLWDARGMEESLTTSDGNAESLYMQGMELFEEALQKWEQALSVGQRGDSGSTPMPRDGLRNPETASEPLSEPESQRKEFAEKLESLLHRAYHLQEEFGSTFPADSMLLDLERTLMLPLTEGSLRLRADDEDSLTSEDSFFSATELFESLQTGDYPIPLSRPAAAYEEALQLVKEGRVPCRTLRTELLGCYSDQDFLAKLHCVRQAFEGLLEDKSNQLFFGKVGRQMVTGLMTKAEKSPKGFLESYEEMLSYALRPETWATTRLELEGRGVVCMSFFDIVLDFILMDAFEDLENPPASVLAVLRNRWLSDSFKETALATACWSVLKAKRRLLMVPDGFISHFYSVSEHVSPVLAFGFLGPKPQLAEVCAFFKHQIVQYLRDMFDLDNVRYTSLPALADDILQLSRRRSEILLGYLGVPAASSAGVNGALPRENGPLGELQ", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MYSSVVHLARANPFNAPHLQLVHDGLAGPRSDPAGPPGPPRRSRNLAAAAVEEQYSCDYGSGRFFILCGLGGIISCGTTHTALVPLDLVKCRMQVDPQKYKSIFNGFSVTLKEDGFRGLAKGWAPTFIGYSLQGLCKFGFYEVFKVLYSNMLGEENAYLWRTSLYLAASASAEFFADIALAPMEAAKVRIQTQPGYANTLRDAAPKMYKEEGLKAFYKGVAPLWMRQIPYTMMKFACFERTVEALYKFVVPKPRSECSKPEQLVVTFVAGYIAGVFCAIVSHPADSVVSVLNKEKGSSASEVLKRLGFRGVWKGLFARIIMIGTLTALQWFIYDSVKVYFRLPRPPPPEMPESLKKKLGYTQ", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAFASKFARSKTILSFLRPCRQLHSTPKSTGDVTVLSPVKGRRRLPTCWSSSLFPLAIAASATSFAYLNLSNPSISESSSALDSRDITVGGKDSTEAVVKGEYKQVPKELISQLKTILEDNLTTDYDERYFHGKPQNSFHKAVNIPDVVVFPRSEEEVSKILKSCNEYKVPIVPYGGATSIEGHTLAPKGGVCIDMSLMKRVKALHVEDMDVIVEPGIGWLELNEYLEEYGLFFPLDPGPGASIGGMCATRCSGSLAVRYGTMRDNVISLKVVLPNGDVVKTASRARKSAAGYDLTRLIIGSEGTLGVITEITLRLQKIPQHSVVAVCNFPTVKDAADVAIATMMSGIQVSRVELLDEVQIRAINMANGKNLTEAPTLMFEFIGTEAYTREQTQIVQQIASKHNGSDFMFAEEPEAKKELWKIRKEALWACYAMAPGHEAMITDVCVPLSHLAELISRSKKELDASSLLCTVIAHAGDGNFHTCIMFDPSSEEQRREAERLNHFMVHSALSMDGTCTGEHGVGTGKMKYLEKELGIEALQTMKRIKKTLDPNDIMNPGKLIPPHVCF", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAMSILKLRNLSALRSAANSARIGVSSRGFSKLAEGTDITSAAPGVSLQKARSWDEGVSSKFSTTPLSDIFKGKKVVIFGLPGAYTGVCSQQHVPSYKSHIDKFKAKGIDSVICVSVNDPFAINGWAEKLGAKDAIEFYGDFDGKFHKSLGLDKDLSAALLGPRSERWSAYVEDGKVKAVNVEEAPSDFKVTGAEVILGQI", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MFVRVKLNKPVKWYRFYSTLDSHSLKLQSGSKFVKIKPVNNLRSSSSADFVSPPNSKLQSLIWQNPLQNVYITKKPWTPSTREAMVEFITHLHESYPEVNVIVQPDVAEEISQDFKSPLENDPNRPHILYTGPEQDIVNRTDLLVTLGGDGTILHGVSMFGNTQVPPVLAFALGTLGFLSPFDFKEHKKVFQEVISSRAKCLHRTRLECHLKKKDSNSSIVTHAMNDIFLHRGNSPHLTNLDIFIDGEFLTRTTADGVALATPTGSTAYSLSAGGSIVSPLVPAILMTPICPRSLSFRPLILPHSSHIRIKIGSKLNQKPVNSVVKLSVDGIPQQDLDVGDEIYVINEVGTIYIDGTQLPTTRKTENDFNNSKKPKRSGIYCVAKTENDWIRGINELLGFNSSFRLTKRQTDND", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MIGHRISRLGSTIVKQLAREGYLATYGTKNLHRSYGHYLQSLPVVPRQARTSQEAWFLKSHKFCTSSTTSSENGDEETEKITIIFVDKDGEEIPVKVPIGMSVLEAAHENDIDLEGACEASLACSTCHVIVMDTEYYNKLEEPTDEENDMLDLAFGLTETSRLGCQVIARPELDGVRLAIPSATRNFAVDGFVPKPH", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MMRVSQLQLCRTSLSYRLRSGYHHHHHLHHSFFKLIKRNSILESPPTNASHQSPSPITPMVNARVMFFSTSTSAPHPEKINRTSSENILRMISSYLWMKDNPKLCFRVISAFACLVGAKFLNVQVPFLFKVAIDWLSSSSFVDSNPYLVAAFATPSSVLIGYGIARSGSSAFNELRTSVFSKVALRTIRTISRKVLSRLHDLDLRYHLNRDTGALNRIIDRGSRAINTILSAMVFNIMPTILEISMVSCILAYKFGAVYALITCLSVGSYIAFTLAMTQWRIKIRKAMNEAENDASTRAIDSLINYETVKYFNNEDYEARKYDQLHENYEDAALQSRKSFALLNFGQSFIFSTALSTAMVLCSQGIMNGQMTVGDLVMVNGLLFQLSLPLYFLGVVYSDTVQGLVDMKSMFKFLEERSDIGDKDIDRKLPPLVLKGGSISFENVHFSYLPERKILDGISFEVPAGKSVAIVGSSGSGKSTILRMIFRFFDVDSGNVKIDGQDIKEVRLESLRSSIGVVPQDTVLFNDTIFHNIHYGNLSATEEEVYNAARRAAIHDTIMKFPDKYSTAVGERGLMLSGGEKQRVALARAFLKSPAILLCDEATSALDSKTEAEIMKTLRSLASNRTCIFIAHRLTTAMQCDEILVMEKGKVVEKGTHEVLLGKSGRYAKLWTQQNSKLEV", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSLSRFLLRGNFSFSTHTNRRFFSAVTAAAATPSPPKPSLITLVNDERDPKFITEKFKKACQAEWFRKNIAVYERTVRRLAAAKKFEWVEEILEEQNKYPNMSKEGFVARIINLYGRVGMFENAQKVFDEMPERNCKRTALSFNALLNACVNSKKFDLVEGIFKELPGKLSIEPDVASYNTLIKGLCGKGSFTEAVALIDEIENKGLKPDHITFNILLHESYTKGKFEEGEQIWARMVEKNVKRDIRSYNARLLGLAMENKSEEMVSLFDKLKGNELKPDVFTFTAMIKGFVSEGKLDEAITWYKEIEKNGCRPLKFVFNSLLPAICKAGDLESAYELCKEIFAKRLLVDEAVLQEVVDALVKGSKQDEAEEIVELAKTNDYLQCKLRLFPKE", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDASMMAGLDGLPEEDKAKMASMIDQLQLRDSLRMYNSLVERCFVDCVDSFTRKSLQKQEETCVMRCAEKFLKHTMRVGMRFAELNQNAPTQD", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLSRLSLLSNSRAFQQARWRIYRLKVSPTVHASQYHILSGRKLAQSIREKANDEIQAIKLKHPNFKPTLKIIQVGARPDSSTYVRMKLKASKDSNVDCIIEKLPAEITEVELLKKISDINDDDSIHGLLIQLPLPRHLDETTITNAVDFKKDVDGFHRYNAGELAKKGGKPYFIPCTPYGCMKLLEEAHVKLDGKNAVVLGRSSIVGNPIASLLKNANATVTVCHSHTRNIAEVVSQADIVIAACGIPQYVKSDWIKEGAVVIDVGINYVPDISKKSGQKLVGDVDFDSVKEKTSYITPVPGGVGPMTVAMLVSNVLLAAKRQFVESEKLPVIKPLPLHLESPVPSDIDISRAQSPKHIKQVAEELGIHSHELELYGHYKAKISPNIFKRLESRENGKYVLVAGITPTPLGEGKSTTTMGLVQALSAHLGKPSIANVRQPSLGPTLGVKGGAAGGGYAQVIPMDEFNLHLTGDIHAISAANNLLAAAIDTRMFHEATQKNDSTFYKRLVPRKKGIRKFTPSMQRRLKRLDIEKEDPDALTPEEVKRFARLNINPDTITIRRVVDINDRMLRQITIGEAATEKGFTRTTGFDITVASELMAILALSKSLHEMKERIGRMVIGADYDNKPVTVEDIGCTGALTALLRDAIKPNLMQTLEGTPVMVHAGPFANISIGASSVIADLMALKLVGSEKNPLNDKNIHEPGYVVTEAGFDFAMGGERFFDIKCRSSGLVPDAVVLVATVRALKSHGGAPNVKPGQSLPKEYTEENIDFVAKGVSNLVKQIENIKTFGIPVVVAINRFETDSQAEIEVIKKAALNAGASHAVTSNHWMEGGKGAVELAHAVVDATKEPKNFNFLYDVNSSIEDKLTSIVQKMYGGAKIEVSPEAQKKIDTYKKQGFGNLPICIAKTQYSLSHDPSLKGVPRGFTFPIRDVRASIGAGYLYALAAEIQTIPGLSTYAGYMAVEVDDDGEIEGLF", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSSESGKPIAKPIRKPGYTNPALKALGIPALRLPSRNWMIFWSVLTVSIGGIAYDKYKQRQILSHATDLVKPLAEESMEVDKVPRKITVFIAPPPNDYLESSLKVWRRYVKPVLYYAGLDYELVQEDRQGIIRTNVANRIRELRKEILASTDGQPVKEPNQTVAKPSGSSTSKISSLLPFNKIIQDPAEEDDSFDPEIGKKFKENFDWRNVIGIFYTMPKPKHIISEDALTKDPILSGGVICLGRGAYKEYIAGIHEGLLGPIEKTEKTGSTEPKMTGVVEANQIESKVSESGATELVDAEKETALEEAKVQDDLKVDEENSSEDSQKFLKPFISSDQYPDLQIASELQTPNGEFIRNPNTNIPLLINQPLLVIPIPNLIGFTTIPRRIHRFYQKRFYVEDVCSSVVNCVRQTRIRPFDIAKDIDLAKDEEKDWPQNWVKQGKEKNSEWTQELVCDPRITKHMFVYEKPPKEEPESDI", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MFLETLIHGVTFCVPFFSKSARIHTLSKDVFQQRKFPGNTLWAAALNRFTAYLFASKELSSKQAYLAQDFVNVCKDASVFQNVYYYELKKNILTDLGFSDLKNSDKSLALSKSSSTFDLQKIKKIHDCLLSEYRKYVRYQERIEETRPDLQKQLTDLKNPIEWYPGARKLRRHIIMHVGPTNSGKTHRALERLKTCKKGIFAGPLRLLAHEIYNRLQANGIACNLYTGEEIRNDYPFPQVVSCTVEMCNLSTTFDVAVIDEIQMMADPSRGYAWTQCLLGLQAKEIHLCGEESVVKLVRSIAKMTQDDFTVYRYERLNPLHVAEKSLNGKLSELKDGDCVVAFSRKNIFTLKSKIDQALGKKSAVIYGSLPPEVRNQQASLFNSKSSDENILLASDAIGMGLNLGVKRIVFSDLKKFSGVSTIDIPVPQIKQIAGRAGRHNPNGSKQSAGIVTTLYQKDFAKLNRAMNLPTKNLFNACIGAKDDLFFRYLSLFSDDIPQKLIFDRYFKLAKTTTPFVVSEGALSTFIIEYLDHIKGLTIKDKIKLLGCPVLKHSKYAPLFIREIGCVIAQGKRLQIYDLKSVPLEILERGIPTTETELQQLEQLHKLIVAYMWASIRYPAILQNGAAEKTKAIAEAFLIKGISKLQK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLRTIILKAGSNASIPSPSRQNKLLRFFATAGAVSRTSPGSIKKIFDDNSYWRNINGQDANNSKISQYLFKKNKTGLFKNPYLTSPDGLRKFSQVSLQQAQELLDKMRNDFSESGKLTYIMNLDRLSDTLCRVIDLCEFIRSTHPDDAFVRAAQDCHEQMFEFMNVLNTDVSLCNILKSVLNNPEVSSKLSAEELKVGKILLDDFEKSGIYMNPDVREKFIQLSQEISLVGQEFINHTDYPGSNSVKIPCKDLDNSKVSTFLLKQLNKDVKGQNYKVPTFGYAAYALLKSCENEMVRKKLWTALHSCSDKQVKRLSHLIKLRAILANLMHKTSYAEYQLEGKMAKNPKDVQDFILTLMNNTIEKTANELKFIAELKAKDLKKPLTTNTDEILKLVRPWDRDYYTGKYFQLNPSNSPNAKEISYYFTLGNVIQGLSDLFQQIYGIRLEPAITDEGETWSPDVRRLNVISEEEGIIGIIYCDLFERNGKTSNPAHFTVCCSRQIYPSETDFSTIQVGENPDGTYFQLPVISLVCNFSPILIASKKSLCFLQLSEVETLFHEMGHAMHSMLGRTHMQNISGTRCATDFVELPSILMEHFAKDIRILTKIGKHYGTGETIQADMLQRFMKSTNFLQNCETYSQAKMAMLDQSFHDEKIISDIDNFDVVENYQALERRLKVLVDDQSNWCGRFGHLFGYGATYYSYLFDRTIASKIWYALFEDDPYSRKNGDKFKKHLLKWGGLKDPWKCIADVLECPMLEKGGSDAMEFIAQSHKS", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSLLFSRCNSIVTVKKNKRHMAEVNASPLKHFVTAKKKINGIFEQLGAYIQESATFLEDTYRNAELDPVTTEEQVLDVKGYLSKVRGISEVLARRHMKVAFFGRTSNGKSTVINAMLWDKVLPSGIGHTTNCFLRVEGTDGHEAFLLTEGSEEKRSAKTVNQLAHALHQDKQLHAGSLVSVMWPNSKCPLLKDDLVLMDSPGIDVTTELDSWIDKFCLDADVFVLVANSESTLMQTEKHFFHKVSERLSRPNIFILNNRWDASASEPEYMEEVRRQHMERCTSFLVDELGVVDRSQAGDRIFFVSAKEVLNARIQKAQGMPEGGGALAEGFQVRMFEFQNFERRFEECISQSAVKTKFEQHTVRAKQIAEAVRLIMDSLHMAAREQQVYCEEMREERQDRLKFIDKQLELLAQDYKLRIKQITEEVERQVSTAMAEEIRRLSVLVDDYQMDFHPSPVVLKVYKNELHRHIEEGLGRNMSDRCSTAITNSLQTMQQDMIDGLKPLLPVSVRSQIDMLVPRQCFSLNYDLNCDKLCADFQEDIEFHFSLGWTMLVNRFLGPKNSRRALMGYNDQVQRPIPLTPANPSMPPLPQGSLTQEEFMVSMVTGLASLTSRTSMGILVVGGVVWKAVGWRLIALSFGLYGLLYVYERLTWTTKAKERAFKRQFVEHASEKLQLVISYTGSNCSHQVQQELSGTFAHLCQQVDVTRENLEQEIAAMNKKIEVLDSLQSKAKLLRNKAGWLDSELNMFTHQYLQPSR", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MIFLKSVIKVIDNSGAQLAECIKVIRKGSPKSPAMVGDRIVCVIQKAKPLTQNITGTANTNRVKKGDICHAIVVRSKQRNMCRKDGSTVAFGDTACVLINKNTGEPLGTRIMANDGCVDRTLKDKGYNKICSLASRVI", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAMAVALRGLGGRFRWRTQAVAGGVRGAARGAAAGQRDYDLLVVGGGSGGLACAKEAAQLGRKVAVVDYVEPSPQGTRWGLGGTCVNVGCIPKKLMHQAALLGGLIQDAPNYGWEVAQPVPHDWRKMAEAVQNHVKSLNWGHRVQLQDRKVKYFNIKASFVDEHTVCGVAKGGKEILLSADHIIIATGGRPRYPTHIEGALEYGITSDDIFWLKESPGKTLVVGASYVALECAGFLTGIGLDTTIMMRSIPLRGFDQQMSSMVIEHMASHGTRFLRGCAPSRVRRLPDGQLQVTWEDSTTGKEDTGTFDTVLWAIGRVPDTRSLNLEKAGVDTSPDTQKILVDSREATSVPHIYAIGDVVEGRPELTPIAIMAGRLLVQRLFGGSSDLMDYDNVPTTVFTPLEYGCVGLSEEEAVARHGQEHVEVYHAHYKPLEFTVAGRDASQCYVKMVCLREPPQLVLGLHFLGPNAGEVTQGFALGIKCGASYAQVMRTVGIHPTCSEEVVKLRISKRSGLDPTVTGCUG", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAFCNKLSGILRQGVSQSSNGPVTSMLGSLRYMSSKLFVGGLSWGTDDSSLKQAFTSFGEVTEATVIADRETGRSRGFGFVSFSCEDSANNAIKEMDGKELNGRQIRVNLATERSSAPRSSFGGGGGYGGGGGGGY", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAATVRCFGRVLIHHQRCSLATVTSQTSLYPCCIYVPVPNRHFAAAAKPAKKTKKGTKEKASNEKKDDIEKIKSYPFMEGEPEDDVYLKRLYPRQIYEVEKAVNLLKKFQVLDFTNPKQGVYLDLTLDMTLGKKKKVEPFASVLSLPYPFISEMSKVAVFTGNASEIKIAEENGAAFAGGTNLIQKILDDEIQADFYIAVPEIMPELNPLRKKLKTRFPKFNRNSVGRDIPKMLELFKTGLEIKVDEERENFLETKIATLDMPSDQIAANLQAVINEVCRQRPLNLGPFVVRAFLRSSTSEGLLLKIEPLLPKEGETKESDKKAV", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASRNIWCVRRNFLFDLRDWMLQYSAEVFLKSISFRPFSAECDSKDKESLEEEREDLLSNLVTMGVDIDMARRRQPGVFNKAVTNEQELKLFLLSKGASDKVIGSIISRYPRAITRTPESLSKRWDLWRKIMASDLEIVNILERSPESFFRSNNNLNLENNIKFLCSVGLTHKCLCRLLTNAPRTFSNSLNLNKQMVEFLQETGMSLGHNDPRDFVRKIISKNPSILIQSTKRVKTNIEFLQSTFNLNKRDLLLLICGPGARILDLSNDCTKKNYTNIRERLLSLGCSEEEVQRFVLSYLNMVFLSEKKFNDKIDCLIEEKISASQIIENPRILDSSINTLKTRIRELSHAGYDLSTSSIALLSWSQRRYEAKLKRLCG", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVALYCGGGLRPLMLSWSRDLPCIWRALHTSAVCFKNRAARVRVGKGNKPVTYEEAHAPHYIAHRKGWLSLHTGNLDGEDHAAERTVEDVFLRKFMLGTFPGCLADQLILKRRANQVEICALVLRQLPAHKFYFLVGYSETLLSHFYKCPVRLHLQTVPSKVVYKYI", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MIARRIWRSHRFLRPFSSSSVCSPPFRVPEYLSQSSSSPASRPFFVHPPTLMKWGGGSRSWFSNEAMATDSNSGLIDVPLAQTGEGIAECELLKWFVKEGDSVEEFQPLCEVQSDKATIEITSRFKGKVALISHSPGDIIKVGETLVRLAVEDSQDSLLTTDSSEIVTLGGSKQGTENLLGALSTPAVRNLAKDLGIDINVITGTGKDGRVLKEDVLRFSDQKGFVTDSVSSEHAVIGGDSVSTKASSNFEDKTVPLRGFSRAMVKTMTMATSVPHFHFVEEINCDSLVELKQFFKENNTDSTIKHTFLPTLIKSLSMALTKYPFVNSCFNAESLEIILKGSHNIGVAMATEHGLVVPNIKNVQSLSLLEITKELSRLQHLAANNKLNPEDVTGGTITLSNIGAIGGKFGSPLLNLPEVAIIALGRIEKVPKFSKEGTVYPASIMMVNIAADHRVLDGATVARFCCQWKEYVEKPELLMLQMR", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTLFSCSVQMPLEERSLTNLPLNLLFRILSHLDMNDLQNIGKTCTLLRMLANENIVYRNAVIGSNGNMWWTKNVLVDVFDVLNFNRKAMKTLNSHNISLVASLRNVQRKYKLGVIDPARKTISYRTNEVESKEKGSVKDLNMDLNEPTEITREQIAHTAILQGMNQFIELNDKAFRTHSADSDDTYIEENNGEIHSLHGLEKNTTFEEDLVKKPPFIPSPTFSNYSRSSTNSVFSSSSPKLLDDDWNNITMDFTKSRDPDYKEMTPTSTESSDSITRLRKSNKVKDKAELFEKLIFRDSRPLKTKKKDNPRLKLSSSLSANDEDFRKIISPPSDILPKVGRRSVSRGYLEEIERHYPDFNGETTNPLAIKRVNSTKIANYEQLIIKENSSNCKGITEKNDENKFQRSHTSPVIELSKPHQRSKLKAVVTDGNKICYRKIELDNPSGSNTNDHVIKRLDANTDFNI", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVNNVVSIEKMKALWHSEVHDEQKWAVNMKLLRALGMFAGGVVLMRSYGDLMGV", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPRISTSLIRKASRIRPGLHLLLPECRTLEQAKLEYKWLTEELPPDKSIRWACLQRYKHVPLQYILRSQPFGALDIVCKPGVLIPRWETEEWVMAIIRALNNSMLSRHTIPLHICDTFTGTGCIALALSHGIANCTFTAIDVSTRAIKLVKENMLKNKVSGGKLVQHNILSSKASDEYPSHIDILTGNPPYIRKRDFNRDVKTSVKLFEPRLALVGELECYINLVNYWLPKTDSFFYEIGDVEQFNYVERRIKEDSYLSRIWSIGLKYDSNGKARVVYGFKATPKGRILHQIFASFGTIRHLATALSGHKANCN", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MADQENSPLHTVGFDARFPQQNQTKHCWQSYVDYHKCVNMKGEDFAPCKVFWKTYNALCPLDWIEKWDDQREKGIFAGDINSD", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLLGYCGSGYYGMQYNPPHKTIEGEILTKLFDVGAISEENSLAPKKNSFMAAARTDKGVHAMLNLLSLKITLREDTVAKLNAALPPEIRVWGIQPVNKKFNARSACDSRWYQYLIPEFILIGPPRSSLLHRNVGGCYREDGSQEVWDTFLEQTRGRFSGDELCRLQDTAQKLSESDPLVQDYVGLLSGTLSGYCLSPSKLDAFEAAMQEYVGTHNFHNFTTGKLWGDPSAQRHIKKVVVSQASPGWICVRIHGQSFMLHQIRRMVALAVLAARCQLPPNIVRNYFNAGPRKYIPRAPAQGLLLEGPVFDGYNTKLRNLLYCEIRPDDITLERMCRFRERQICTAIAHEETQRHVFCHFVRQMNRLATPLI", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSLFFKPVISPQWSFPVLLKIGVRSYAGGPRTKHKGNSPLASVPTGSSNKNRKQKAKGKKGNKKNDPDQAFNFGEYGGLKKDVEMNMDSTNKLIQKISNFDQLLILPPVRDAVKEIISKESLKLQDSRKKTSENIIPSPIQTVAIKRISKNLMDPKLQIHAIAAETGSGKTMAYLIPLIDYLKRQELETPELWETLRKNVLIRSIILVPTHELVDQVYETVSKTKTLLGLNSFKWDKATSYRDLLENIKNRIDILVTTPGKLLNLFSIRMITRPDKVLSKVGFVVLDEADTLLDRSWLEETHSAIKRIPNINHLIFCSATIPQEFNKTMQRLFPTVVPIMTPRLHKLPFALDFKVINSALSPFKGSKIKALAQTLYAISNDDTEPGFEKRCIIFVNEKKNVPEIVNLLNKKFGHNAIGLTGEDTFEERSEKIMPFLSPPRPLSEVVAQSTSPPTSLKKFEIPDSNIVIGKLKNTNSNGTAPSNKSLHVLVTTDLMARGLNFKGVRNVVLYDVPKTSIDLIHRVGRTARMKQGGRVFMLTDSKTKSWAKALPKIIKKHQRLS", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSLRILAKRSSSIWMKTRVTPALISPITITTRFNSTTTTAPSHKDDVRPVDPKISKIVQDISQLTLLETSSLINELKTVLNIPEISMPMGGFMAGAAGAGAGNVPSSTGEAGSGAEEEAKPEAKTVFTVKLDSFDTKTKAKVIKEVKGLLGLSLVEAKKFVEAAPKVLKENVAKDDAEKIKKTLEDLGAKVSLE", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFSRFATRYNALFEKAPIMTMCLTAGTLGGISDAVAQGLTIYQTNKNAMIGLDGVRLNTHPEIPSIKRVLQFVTFGFAISPFQFRWLRLLSAKFPIEKGAINVVKRVLLDQAVFAPFGTAFFFSWMTLAEGKGFRGAYDKLQAVFWPTLKANYMVWPFFQTVNFWLMPLQYQMPFACTVAIFWNIFLSLKNASSMQESGSQEIELF", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVASCKDQKKAVAICLQRSPCVMIERHNPQECLDNPELNKDLPELCIAQMKAFLDCKRGIVDMTKRFTGNAPLSTGKYDQQYENLCKGKFDPREEMEKLKLLNSQQKD", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MFLNRMMKTRTGLYRLYSTLKVPHVEINGIKYKTDPQTTNVTDSIIKLTDRSLHLKESHPVGILRDLIEKKLNSVDNTFKIFNNFKPVVTTMENFDSLGFPKDHPGRSKSDTYYINETHLLRTHTSAHELECFQKIRNDSDNIKSGFLISADVYRRDEIDKTHYPVFHQMEGATIWKRTKADVGVKEPMYIEKIREDIRQVENLLNKENVKITVDDDTIPLKENNPKQEYMSDLEVDLCSQHLKRSIELIVSEVFNKKISSMIKNKANNTPKELKVRWINAYFPWTAPSWEIEVWWQGEWLELCGCGLIRQDVLLRAGYKPSETIGWAFGLGLDRIAMLLFEIPDIRLLWSRDERFSRQFSKGLITSFKPYSKHPGSFRDVAFWLPEDKPDIHQVHENDLMEIIRNIAGDLVESVKLVDSFTHPKTGRKSMCYRINYQSMDRNLTNAEVNTLQDMVCSKLVKEYSVELR", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVQRLLPGAHICRRSFNSSAIIKSSALTLKEALENVIPKKRDAVKKLKACYGSTFVGPITISSVLGGMRGNQSMFWQGTSLDPEHGIKFQGLTIEECQNRLPNTGIDGDNFLPESMLWLLMTGGVPTFQQAASFRKELAIRGRKLPHYTEKVLSSLPKDMHPMTQLAIGLASMNKGSLFATNYQKGLIGKMEFWKDTLEDSLNLIASLPLLTGRIYSNITNEGHPLGQYSEEVDWCTNICSLLGMTNGTNSSNTCNLTSQQSLDFINLMRLYTGIHVDHEGGNVSAHTTHLVGSALSDPYLSYSSGIMGLAGPLHGLAAQEVVRFLIEMNSNISSIAREQEIKDYLWKILNSNRVIPGYGHAVLRKPDPRFTAMLEFAQKRPIEFENDKNVLLMQKLAEIAPKVLLEHGKSKNPFPNVDSASGILFYHYGIRELLFFTVIFGCSRAMGPLTQLVWDRILGLPIERPKSLNLEGLEALTKASNVNKL", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLVRNICKFTQVMGRFRVVVNPRDYCHLAPLKRSRYHQRSEFGCRPLCSNAAGYEVSWAAPPASGSSGGMFWAFSAAFTLNLFGGADEKEETPEEKLIKTIKRSILCIQREQYDKAEQMLHLALRMAQDIQSKDGITYVFDLMANLAMEREQFKKAEKIFTDVMKRLFAEGHTEESPKILHISSKIAHMSQLQGDLEKSFQGFTWTLQQLAKLLEKMPDDKDILELYGLTKNWFGQLLMKQGKYLEAKNLFKEAFDTLINVYGAVNDASVTILNNISVAYVNLEKYAEARETLLEAMELTKELKDATQEGILQANLGLVYLREGLMSQAENACRLAWKLGKQHQNPDAVEQAEYCLNEIKTTLNGEKRQ", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLPVTSRRHFTMSLYMLRSSSPHINHHSFLLPSFVSSKFKHHTLSPPPSPPPPPPMAACIDTCRTGKPQISPRDSSKHHDDESGFRYMNYFRYPDRSSFNGTQTKTLHTRPLLEDLDRDAEVDDVWAKIREEAKSDIAKEPIVSAYYHASIVSQRSLEAALANTLSVKLSNLNLPSNTLFDLFSGVLQGNPDIVESVKLDLLAVKERDPACISYVHCFLHFKGFLACQAHRIAHELWTQDRKILALLIQNRVSEAFAVDFHPGAKIGTGILLDHATAIVIGETAVVGNNVSILHNVTLGGTGKQCGDRHPKIGDGVLIGAGTCILGNITIGEGAKIGAGSVVLKDVPPRTTAVGNPARLLGGKDNPKTHDKIPGLTMDQTSHISEWSDYVI", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MALASGPARRVLARPWGLGLEGCGVPRRGAYEWGVRSTRKPEPPPLDRVYEIPGLEPITFAGKMHFMPGLARPVFPPWDPGWTHPKFRRLPPLQEHPLYKDEVCYIFHQRCRLLEGVKQALWLTKTKLIEGLPEKVLSLADNPRNHIENQDERVLNVISHARLWHSTEDIPKRETYCPVIVDSLIQLCKSQILKHPSLARRICAQKNMLSTTWKRESTLIQVHGSSGAQLNAKDPLPPIASREEVEATKNHVLETFSPISPTISLQECHIYDVNDDTGFREGYPYPCPHTLYLLESANLRAHRFQPDQLRAKMILFAFGNALAQARLLYGNDPKVLEQPVVVQSVGTDGRVFQFLVLQLNTTDLASEEGIKNLVWVDSDQLLYQHFWCLPVIKKKVVVEPVGPTGFQPETFRKFLALYLHGAV", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNVPKARLLKVAELSAKIFDQNFNPSGIRTGSKILNERLKGPSVASYYGNPDILKFRHLKTLYPDIEFVDLEEQYRLSMVEAKKRRGKGAPKKMKKDAAATAKGKGKKKK", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MISIKGTGRFLLDNYRIWQRRAFNRPIQLRKGYKVLAIETSCDDTCVSVLDRFSKSAAPNVLANLKDTLDSIDEGGIIPTKAHIHHQARIGPLTERALIESNAREGIDLICVTRGPGMPGSLSGGLDFAKGLAVAWNKPLIGVHHMLGHLLIPRMGTNGKVPQFPFVSLLVSGGHTTFVLSRAIDDHEILCDTIDIAVGDSLDKCGRELGFKGTMIAREMEKFINQDINDQDFALKLEMPSPLKNSASKRNMLSFSFSAFITALRTNLTKLGKTEIQELPEREIRSIAYQVQESVFDHIINKLKHVLKSQPEKFKNVREFVCSGGVSSNQRLRTKLETELGTLNSTSFFNFYYPPMDLCSDNSIMIGWAGIEIWESLRLVSDLDICPIRQWPLNDLLSVDGWRTDQL", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGMLELVGEYWEQLKITVVPVVAAAEDDDNEQHEEKAAEGEEKEEENGDEDEDEDEDEDDDDDDDEDEEEEEEVTDQLEDLREHFKNTEEGKALVHHYEECAERVKIQQQQPGYADLEHKEDCVEEFFHLQHYLDTATAPRLFDKLK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MWKAVMNAWNGTESQSKNVSNIQSYSFEDMKRIVGKHDPNVVLVDVREPSEYSIVHIPASINVPYRSHPDAFALDPLEFEKQIGIPKPDSAKELIFYCASGKRGGEAQKVASSHGYSNTSLYPGSMNDWVSHGGDKLDL", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAALRYRRFLKLCEEWPVDETKRGRDLGAYLRQRVAQAFREGENTQIAEPEACDQMYESLARLHSNYYKHKYPRPRDTSFSGLSVEEYKLILSTDTLEEFQEMNKSMWKKLQEKFAPTRPEEKHKAWTRVLSRPRT", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLRVSENGLRFLLKCHSTNVSMFNRLLSTQIKEGRSSIDDAGIIPDGTINERPNHYIEGITKGSDLDLLEKGIRKTDEMTSNFTNYMYKFHRLPPNYGSNQLITIDKELQKELDGVMSSFKAPCRFVFGYGSGVFEQAGYSKSHSKPQIDIILGVTYPSHFHSINMRQNPQHYSSLKYFGSEFVSKFQQIGAGVYFNPFANINGHDVKYGVVSMETLLKDIATWNTFYLAGRLQKPVKILKNDLRVQYWNQLNLKAAATLAKHYTLEKNNNKFDEFQFYKEITALSYAGDIRYKLGGENPDKVNNIVTKNFERFQEYYKPIYKEVVLNDSFYLPKGFTLKNTQRLLLSRISKSSALQTIKGVFTAGITKSIKYAWAKKLKSMRRS", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MYTKLRSIQSGTFSTVYKAWSTTHNRYVALKITPKYKTSEANMKNEYDVMKILSSCNPHPNICSMLDFYTDDSYYIMVLEYCECGDLYDFLDIAKSQGSPSSPSLIQIDMQKIIKQLCSAISFAHSLGIAHRDIKPENILLTINGDIKLADWGHAIQSPKSNDFQIGTDNYRAPETFSGRVSNSCFKKNFDRSSAPLYNTYQADYWSLGATIFYLMFGDCLFRVSKSKKVQHLKNFDEFEKDPFAFIYRKYVVPRLSCGYNDEEDLHVSLQHTRQYIWQDLPDIYDVFHLCKIMVDTLLKVSNAKERSMENFINEVDSAWNKDSSMDSCFSYQNKIDLFWEQWSVNTETVPAKFQLKNFEKPCLIQDGK", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLKLARPFIPPLSRNNAISSGIVLTSRRFQSSFTFLSNQSLLSKNQMKSKRKKGSKKAAYHRQPPEHEHTAPLIKQNKTITKKEHSDVRGSHLKKKRSDFSWLPRVPSTSHLKQSDMTTNVLYSGYRPLFINPNDPKLKEDTGSTLYEFAMKLEDLNEPLSPWISSATGLEFFSEWENIPSELLKNLKPFHPPKEKSMNTNELIHVSAKRNTLVDNKTSETLQRKMDEFSKRRGKGRKKSVVTLLQMKKKLEG", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRLGNAYAYCKPSQNVGLKLDLLRGLPGYVGHATSRINRLENQDNYSIKMMRSWPNAYGSALNCSVFDGHGEKGAQLSQLLADKLCSSLDFPEPSWDKQDLKKLVQEYARRFPEGNYWKHKLSTFEKFYNKFIKNCNSKQELLLMKEGDSAILGQNGGRMIFDKMGNIIDKIALLTELDRLRLFYGFARFDLDQCCGLGTAAGSTASSIFLYPYDDPNAPIDEGKDDDSWIISHSGLLKLIVTQVGDSKIILCDQDGIAHALTTTHHINSSRERHRLSIDPSRLDPDAFGETRFLNNFANTRSFGDVAGKPYGISSEPDIFSFLVGNTLHLPRSERSKLPFNGDECFLALVTDGITNKLADQEVVDLITSTVNSWGLKKATPQFVAEETIKFIQAIATKHSDNATCVVVRLSNWGNWPNVDRTGPQRETKLMNAQSNETKLN", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MFSVTRRRAAGAAAAMATATGTLYWMTSQGDRPLVHNDPSYMVQFPTAAPPQVSRRDLLDRLAKTHQFDVLIIGGGATGTGCALDAATRGLNVALVEKGDFASGTSSKSTKMIHGGVRYLEKAFWEFSKAQLDLVIEALNERKHLINTAPHLCTVLPILIPIYSTWQVPYIYMGCKFYDFFAGSQNLKKSYLLSKSATVEKAPMLTTDNLKASLVYHDGSFNDSRLNATLAITAVENGATVLNYVEVQKLIKDPTSGKVIGAEARDVETNELVRINAKCVVNATGPYSDAILQMDRNPSGLPDSPLNDNSKIKSTFNQIAVMDPKMVIPSIGVHIVLPSFYCPKDMGLLDVRTSDGRVMFFLPWQGKVLAGTTDIPLKQVPENPMPTEADIQDILKELQHYIEFPVKREDVLSAWAGVRPLVRDPRTIPADGKKGSATQGVVRSHFLFTSDNGLITIAGGKWTTYRQMAEETVDKVVEVGGFHNLKPCHTRDIKLAGAEEWTQNYVALLAQNYHLSSKMSNYLVQNYGTRSSIICEFFKESMENKLPLSLADKENNVIYSSEENNLVNFDTFRYPFTIGELKYSMQYEYCRTPLDFLLRRTRFAFLDAKEALNAVHATVKVMGDEFNWSEKKRQWELEKTVNFIKTFGV", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLGSLVLRRKALAPRLLLRLLRSPTLRGHGGASGRNVTTGSLGEPQWLRVATGGRPGTSPALFSGRGAATGGRQGGRFDTKCLAAATWGRLPGPEETLPGQDSWNGVPSRAGLGMCALAAALVVHCYSKSPSNKDAALLEAARANNMQEVSRLLSEGADVNAKHRLGWTALMVAAINRNNSVVQVLLAAGADPNLGDDFSSVYKTAKEQGIHSLEDGGQDGASRHITNQWTSALEFRRWLGLPAGVLITREDDFNNRLNNRASFKGCTALHYAVLADDYRTVKELLDGGANPLQRNEMGHTPLDYAREGEVMKLLRTSEAKYQEKQRKREAEERRRFPLEQRLKEHIIGQESAIATVGAAIRRKENGWYDEEHPLVFLFLGSSGIGKTELAKQTAKYMHKDAKKGFIRLDMSEFQERHEVAKFIGSPPGYVGHEEGGQLTKKLKQCPNAVVLFDEVDKAHPDVLTIMLQLFDEGRLTDGKGKTIDCKDAIFIMTSNVASDEIAQHALQLRQEALEMSRNRIAENLGDVQISDKITISKNFKENVIRPILKAHFRRDEFLGRINEIVYFLPFCHSELIQLVNKELNFWAKRAKQRHNITLLWDREVADVLVDGYNVHYGARSIKHEVERRVVNQLAAAYEQDLLPGGCTLRITVEDSDKQLLKSPELPSPQAEKRLPKLRLEIIDKDSKTRRLDIRAPLHPEKVCNTI", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MISSCVTRCFGRGKCLPGPATASIYQTIRCISTNSNKAAEAPIFPKLEDVKMHELIGNNNFGKKTYYVERSRTGNLPVYSAYKNGGNKIITEIRKIEGDVIQLRNDLQEQLPFIPKKSWSVVMQSKKIIIKGNAVEAVKRVLTKKF", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MFKYNRSLCSSALIAKSQIRFYRLKRAPLNYASHIPEVLNKTIIGPDEPEKCLILKGKTSEEIENNLLSNKKFQEINPLDTIQETFIQYLKFCNETNFKRSNKNLNRLKKTLESKDSNSTVKINAVFNYLLEECDLEIKRLNTTGQTQVYNEEKGNEDDLEQSIMNDIFRSAQEQFEDQEGHIPLRSTSFLLEILKSFNERFNGIIKPKESITEMVTFSQLAQAFEVVKLIPVQEMKEKGIYLVGNLLYGTGKVRLDPINESFYIESLLVFGNYKAAYSLFITNKDKVNERWWNELGLMITLRSNHLRNFRKLLAETDAKYSTKYSYLSPRVTKLSIRKYLSIGNVTEANILTDRFIKLVEEVGIIRMKDEQEELPTGVKNFQNEKHATEFLNELEIPSDHDYISIVDFHLYKRNIPMAAQLISKYMEIPGTTQEDAAFLLVKTKLNMLKDFEKLRNIFAQNKDYVVPENNVKMLQEAFESVITKYNTNSPIYNELLFENVSALTKSIVLTDFLEEFITKQASGQWMELNSVSRSRKFNGLLNILLGTGEEEKAYNILKKLEEASKKSKTDPDLLYNQFYSEVNAYHYAKFVEFYSLQIQNMKAQNTPSFRKKEFKQKVKSLLKRMQESEVIPNAVFLREILNFYDSMYDFNSSFEIINPLLESKQQVSSESSLSTSNPCRFYNRRIITKPLYHKIWSVYCHYYHVLQNNSRILSKKSSIVKKLIKRQIKIHPTCHPRVLFQMTAENGEILPDKTFSKLIVSTFMKSGDLEAIPAILTFLTKKFDLNIDYDLSMYILKGLKRQYLRDISNISKDACEYKLRKAELMNNESILKNIPQGTNQENTISHLIREILIFIKWKEKSDCSTFLMVEDAFKELGTEFTLLEELIEDVNKLKIKA", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVKYFLGQSVLRSSWDQVFAAFWQRYPNPYSKHVLTEDIVHREVTPDQKLLSRRLLTKTNRMPRWAERLFPANVAHSVYILEDSIVDPQNQTMTTFTWNINHARLMVVEERCVYCVNSDNSGWTEIRREAWVSSSLFGVSRAVQEFGLARFKSNVTKTMKGFEYILAKLQGEAPSKTLVETAKEAKEKAKETALAATEKAKDLANKAATKQQQRQLV", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSIYVASRRLSGGTTVTALRYATSLRSYSTSFREERDTFGPIQVPSDKLWGAQTQRSLQNFEIGGERERMPEPIVRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAQEVAEGKLNDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGRKRGEKCVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRLIPSLKTLHSTLESKSFEFKDIVKIGRTHTQDATPLTLGQEFGGYATQVKYGLNRVTCTLPRLYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETNLPFVTAENKFEALAAHDACVETSGSLNTIATSLMKIANDIRFLGSGPRCGLGELVLPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAVTVGGSNGHFELNVFKPVIASALLHSVRLIADASASFEKNCVRGIEANRERISKLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGCTLKEAALNLGVLTAEEFDTLVVPEKMIGPSD", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEVPPPAPRSFLCRALCLFPRVFAAEAVTADSEVLEERQKRLPYVPEPYYPESGWDRLRELFGKDEQQRISKDLANICKTAATAGIIGWVYGGIPAFIHAKQQYIEQSQAEIYHNRFDAVQSAHRAATRGFIRYGWRWGWRTAVFVTIFNTVNTSLNVYRNKDALSHFVIAGAVTGSLFRINVGLRGLVAGGIIGALLGTPVGGLLMAFQKYSGETVQERKQKDRKALHELKLEEWKGRLQVTEHLPEKIESSLQEDEPENDAKKIEALLNLPRNPSVIDKQDKD", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNVMVSFNQLRNYFLESNSLRPSKWLFQSYGTSSSANILNGKLLARKLQRSVAEEVQALKAKDRNFKPALAIVQVGKREDSNVYVRMKEKAARLVGIDFKYCPFPETIQMPALLHELKKLNDDHTVHGVLVQLPLPKHLNERTVTESITPPKDVDGFGAFNIGLLAKNDATPIHYPCTPKGIMELLKDNKISVAGLNAVVLGRSDIVGNPISYLLRKDNATVTVCHSKTKDLIQHISNADLVIAALGKPEFVRGEWLKPGSVVVDVGINAVQRNGKRVLVGDVHFESASKVASSITPVPGGVGPMTVAMLMENIVNAAKIARTENIYRKIDLNPLELKKPVPSDIEIANSQEPKLISNLAKEMGIYDTELENYGNYKAKVNLAVYERLKHRKDGNYVVVSGITPTPFGEGKSTVVAGLVQAMGHLGKLGIACVRQPSQGPTFGVKGGAAGGGYAQFIPMDDFNLHMTGDIHAVTAANNLLVAALETRMFHENTQSDAALIKRLIPVKNGRRVIPRGLIGRWNRICASHNMDPEDVNNASPELLKEFVRLNVDPDTIECNRVLDVNDRFLRSIEVGKASTEKGHVRKTSFDISVASECMSILALSCDLNDMHSRLSRMVIANDKYGNAITAGDLGVSGALTVLLKDAIKPNLMQTLEGTPAFVHAGPFANISIGASSIIADKIALKLAGTESFDRPEDAGYVVTEAGFASDMGMEKFFNIKCRYSKLVPNTVVLVTTVKALKLHGGGPKLKPGAPIPEEYLVENLDLVKNGCSNMVKHIQNCHKFNIPVVVAINSYKTDSSKEHEIIREAALQAGAVDAVPSDHWAQGGKGAIELAKSVMTACDQSSNSKFRLLYDSETSIEDKVNVIAKEMYGANGVEFSSLAKERINTFIKQGFGNLPICMAKTQYSLSHNPEFRNVPKNFTVPIRDMRLNAGAGFIYPLAAEIQTIPGLPTAPAYLNIDICENGEIVGLS", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAGALVRKAADYVRSKDFRDYLMSTHFWGPVANWGLPIAAINDMKKSPEIISGRMTFALCCYSLTFMRFAYKVQPRNWLLFACHATNEVAQLIQGGRLIKHEMTKTASA", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSGKPPVYRLPPLPRLKVKKPIIRQEANKCLVLMSNLLQCWSSYGHMSPKCAGLVTELKSCTSESALGKRNNVQKSNINYHAARLYDRINGKPHD", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAQGSRRRKVVLTAGSEGWSPSSGPDMEELLRSVERDLNIDARQLALAPGGTHVVALVSTRWLASLRERRLGPCPRAEGLGEAEVRTLLQRSVQRLPPGWTRVEVHGLRKRRLSYPLGGGVPFEEGSCSPETLTRFMQEVAAQNYRNLWRHAYHTYGQPYSHSTAPSALPALDSIRQALQRVYGCTFLPVGESIPCLSNVRDGPCPSRGSPACPSLLRAEALLESPEMLYVVHPYVQFSLHDVVTFSPAKLTNSQAKVLFLLFRVLRAMDACHRQGLACGALSLHHIAVDEKLCSELRLDLSAYEMPSEDENQEGSEEKNGTGIKSEKEGEGRTECPTCQKELRGLVLDWVHGRISNFHYLMQLNRLAGRRQGDPNYHPVLPWVVDFTTPYGRFRDLRKSKFRLNKGDKQLDFTYEMTRQAFVAGGAGSGEPPHVPHHISDVLSDITYYVYKARRTPRSVLCGHVRAQWEPHEYPATMERMQTWTPDECIPEFYTDPSIFCSIHPDMPDLDVPAWCSSNQEFVAAHRALLESWEVSQDLHHWIDLTFGYKLQGKEAVKEKNVCLHLVDAHTHLTSYGVVQLFDQPHPQRLAGSPALAPEPPLIPRLLVQPIREATGQEDISGQLINGAGRLVVEATPCETGWTRDRPGTGEDDLEQATEALDSISLPGKAGDQPGSSSSQASPGLLSFSAPSGSRPGRRSKAAGLDPGEGEEGKIVLPEGFSPIQALEELEKVGNFLAKGLGSQLEEPEKPHAQPPVHLQSLFHRDMQVLGVLLAEMVFATRVRILQPDAPLWVRFEAVRGLCIRHSKDIPVSLQPVLDTLLQLSGPKSPMVSKKGKLDPLFEYRPVSQGLPPPSPAQLLSPFSSVVPFPPYFPALHKFILLYQARRVEDEVQGRELAFALWQQLGAVLNDITPEGLEILLPFVLSLMSEEHTAVYTAWYLFEPVAKALGPKNANKYLLKPLIGAYESPCRLHGRFYLYTDCFVAQLVVRLGLQAFLTHLLPHVLQVLAGVEASQEEGKGLVGTTEDEESELPVSGPGSCAFGEEIQMDGQPAASSGLGLPDYRSGVSFHDQADLPDTEDFQAGLYVAESPQPQEAEAVSLGQLSDKSSTSEASQGEERGGDDGGAPADKNSVKSGDSSQDLKQSEGSEEEEEEEGCVVLEEDQEDEVTGTSELTLSDTMLSMETVVAPGDGRDREEEEEPLTEQTEGKEQKILLDTACKMVRWLSAKLGPTVASRHVARNLLRLLTSCYVGPTRQQFTVSSDDTPPLNAGNIYQKRPVLGDIVSGPVLSCLLHIAYLYGEPVLTYQYLPYISYLVAPGSNSNPSRLNSRKEAGLLAAVTLTQKIIVYLSDTTLMDILPRISHEVLLPVLGFLTSFVTGFPSGAQARTVLCVKTISLIALICLRIGQEMVQQHLSEPVATFFQVFSHLHELRQQDLPLDPKGCTEGQLPEATFSDGQRRPVDPTLLEELQKVFTLEMAYTIYVPFSCLLGDIIRKIIPNHELVGELAGLYLESMSPSSRNPASMEPTMASAGPEWDPQSGSCLQDDGHSGTFGSVLVGNRIQIPDSQPQSPGPLGSLSGVGSSGGLSNRNEDNALKRELPRSAHGLSGNWLAYWQYEIGVSQQDAHFHFHQIRLQSFPGHTGAVKCVAALSSEDFFLSGSKDRTVRLWPLYNYGDGTNETASRLIYAQHRKSVFYVGQLEAPQYVVSCDGAVHVWDPFTGKTLRTVDPSDSRVPLTAVAVMPAPHTSITMASSDSTLRFVDCRKPGLQHEFRLGGGLNPGLVRSLAVSPSGRSVVAGFSSGFMVLLDTRTGLVLRGWPAHEGDILQIKAVEGSVLISSSSDHSLTVWKELEQKPTHHYKSASDPIHTFDLYGSEVVTGTVANKIGVCSLLEPPSQATTKLSSENFRGTLTSLALLPTKRHLLLGSDNGIIRLLA", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAQRLLLRRFLTSVISRKPPQGVWASLTSTSLQTPPYNAGGLTGTPSPARTFHTTRVCSTTFNVQDGPDFQDRVVNSETPVVVDFHAQWCGPCKILGPRLEKMVAKQHGKVVMAKVDIDDHTDLAIEYEVSAVPTVLAIKNGDVVDKFVGIKDEDQLEAFLKKLIG", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MMKRLHPLRIQVHLKSDYPLFTFEQLLSTNGIRRGQTARISLKDYIEWQNFPNIMKRENFFTQRKPVTTTAKEEPFSFDNILDCEPQFSKCLAKWLLVNYKLNDYPYYDLNIVNIYTDLPQAIQICKNLMSYLKSTLSDNMFQKIKYFMVPLYKCDKIPSKLLDGIPGSVSLVQDYPVSPYFLQKKFHIEDPIQILMLNDVIKYTTHDLVRYSSDDKGWQQCFVDINKNGQKSKSFDSAIDYSCELALEQMFNDRSHVSPGKELYIPTKLIEILMTIKNNIPEHRLFIVDTPQRSSPTIISLLKSLISPRPTGSSQIVQPYSDSIFSDKRSGRICFMTDFLQLQNIYNGINSSSSSCEVEDVADFVEKWISPSERSTLSSQNGNRPQLEDIKNSSLAVLHST", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPWRLPTGHQLCRLCLLRKPRPALKIKPSSACVTYGTDSQSDKENKRTVEKLSACSVDIRKIRRLKGWVLLEEETYVEEIANILKELGANKTVIASILERCPEAIICSPAAVNTKRKLWQMVCKNEAELVQLIEQFPESFFTVKNQENQKLNVQFFQELGLRNVVISRFLTTASSIFHNPVENNKQMIGVLQESYLNLGGSEANAKVWLLKLLSQNPFIVLHSPRAVGETLKCLQGQGFTDSEVLQLLSKLKGFLFQLQPGSIQNSISFTKTTFECTDYDLRQLVVKCPALLCYPASVLEERIQALLKEGISIAQIRESPMVLELTPQIIQYRIRKLNSLGYGIKDGHLASLNGTKKEFEANFSKMQAKQGRPLFNPVASLKVEE", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFKRQLSTSVRYLQHYDESLLSRYYPESLLKSIKLAQQTIPEDTKFRVSRNVEFAPPYLDDFTKIHPFWDYKPGMPHLHAQEENNNFSIFRWDQVQQPLPGEGNILPPGVSLPNDGGRKSKSADVAAGLHKQTGVDPDYITRKLTMKPLVMKRVSNQTGKGKIASFYALVVVGDKNGMVGLGEGKSREEMSKAIFKAHWDAVRNLKEIPRYENRTIYGDIDFRYHGVKLHLRSAKPGFGLRVNHVIFEICECAGIKDLSGKVYKSRNDMNIAKGTIEAFTKAQKTLDEVALGRGKKLVDVRKVYYSS", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MMKRCFSILPQNVRFSSKFTSLNLPKLDLADFIDSNKRGINVLPSYRDETASTTQATNSKELRLLSKTLQGQSYRDQLELNPDVSKAINNNIMAVHIPNNLRRVATNYYKEIQEPNSLHRPCRTKMEVDAHIASIFLQNYGSIFQSLKELQKRVGPDNFKPQRILDVGYGPATGIVALNDILGPNYRPDLKDAVILGNAEMQERAKIILSRQLNEVVDTVEENVSTEKEQETDRRNKNFQEDEHIGEVMTKKINIMTNLRSSIPASKEYDLIILTHQLLHDGNQFPIQVDENIEHYLNILAPGGHIVIIERGNPMGFEIIARARQITLRPENFPDEFGKIPRPWSRGVTVRGKKDAELGNISSNYFLKVIAPCPHQRKCPLQVGNPNFYTHKEGKDLKFCNFQKSIKRPKFSIELKKGKLLATSWDGSQGNASRLKGTGRRNGRDYEILNYSYLIFERSHKDENTLKEIKKLRNENVNGKYDIGSLGDDTQNSWPRIINDPVKRKGHVMMDLCAPSGELEKWTVSRSFSKQIYHDARKSKKGDLWASAAKTQIKGLGDLNVKKFHKLEKERIKQLKKEERQKARKAMESYNELEDSLQFDDHQFSNFEVMKKLSTFHGNDFLQHVNRK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRWVRALLKNASLAGAPKYIEHFSKFSPSPLSMKQFLDFGSSNACEKTSFTFLRQELPVRLANIMKEINLLPDRVLGTPSVQLVQSWYVQSLLDIMEFLDKDPEDHRTLSQFTDALVTIRNRHNDVVPTMAQGVLEYKDTYGDDPVSNQNIQYFLDRFYLSRISIRMLINQHTLIFDGSTNPAHPKHIGSIDPNCSVSDVVKDAYDMAKLLCDKYYMASPDLEIQEVNATNANQPIHMVYVPSHLYHMLFELFKNAMRATVESHESSLTLPPIKIMVALGEEDLSIKMSDRGGGVPLRKIERLFSYMYSTAPTPQPGTGGTPLAGFGYGLPISRLYAKYFQGDLQLFSMEGFGTDAVIYLKALSTDSVERLPVYNKSAWRHYQTIQEAGDWCVPSTEPKNTSTYRVS", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRRDVRILLLGEAQVGKTSLILSLVGEEFPEEVPPRAEEITIPADVTPEKVPTHIVDYSEAEQTDEELREEIHKANVVCVVYDVSEEATIEKIRTKWIPLVNGGTTQGPRVPIILVGNKSDLRSGSSMEAVLPIMSQFPEIETCVECSAKNLRNISELFYYAQKAVLHPTAPLYDPEAKQLRPACAQALTRIFRLSDQDLDQALSDEELNAFQKSCFGHPLAPQALEDVKTVVCRNVAGGVREDRLTLDGFLFLNTLFIQRGRHETTWTILRRFGYSDALELTADYLSPLIHVPPGCSTELNHLGYQFVQRVFEKHDQDRDGALSPVELQSLFSVFPAAPWGPELPRTVRTEAGRLPLHGYLCQWTLVTYLDVRSCLGHLGYLGYPTLCEQDQAHAITVTREKRLDQEKGQTQRSVLLCKVVGARGVGKSAFLQAFLGRGLGHQDTREQPPGYAIDTVQVNGQEKYLILCEVGTDGLLATSLDATCDVACLMFDGSDPKSFAHCASVYKHHYMDGQTPCLFVSSKADLPEGVAVSGPSPAEFCRKHRLPAPVPFSCAGPAEPSTTIFTQLATMAAFPHLVHAELHPSSFWLRGLLGVVGAAVAAVLSFSLYRVLVKSQ", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLLFPRRTNIAFFKTTGIFANFPLLGRTITTSPSFLTHKLSKEVTRASTSPPRPKRIVVAITGATGVALGIRLLQVLKELSVETHLVISKWGAATMKYETDWEPHDVAALATKTYSVRDVSACISSGSFQHDGMIVVPCSMKSLAAIRIGFTEDLITRAADVSIKENRKLLLVTRETPLSSIHLENMLSLCRAGVIIFPPVPAFYTRPKSLHDLLEQSVGRILDCFGIHADTFPRWEGIKSK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKKDVRILLVGEPRVGKTSLIMSLVSEEFPEEVPPRAEEITIPADVTPERVPTHIVDYSEAEQSDEQLHQEISQANVICIVYAVNNKHSIDKVTSRWIPLINERTDKDSRLPLILVGNKSDLVEYSSMETILPIMNQYTEIETCVECSAKNLKNISELFYYAQKAVLHPTGPLYCPEEKEMKPACIKALTRIFKISDQDNDGTLNDAELNFFQRICFNTPLAPQALEDVKNVVRKHISDGVADSGLTLKGFLFLHTLFIQRGRHETTWTVLRRFGYDDDLDLTPEYLFPLLKIPPDCTTELNHHAYLFLQSTFDKHDLDRDCALSPDELKDLFKVFPYIPWGPDVNNTVCTNERGWITYQGFLSQWTLTTYLDVQRCLEYLGYLGYSILTEQESQASAVTVTRDKKIDLQKKQTQRNVFRCNVIGVKNCGKSGVLQALLGRNLMRQKKIREDHKSYYAINTVYVYGQEKYLLLHDISESEFLTEAEIICDVVCLVYDVSNPKSFEYCARIFKQHFMDSRIPCLIVAAKSDLHEVKQEYSISPTDFCRKHKMPPPQAFTCNTADAPSKDIFVKLTTMAMYPHVTQADLKSSTFWLRASFGATVFAVLGFAMYKALLKQR", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLWKNYVLSSSRITRRLHKSPRKSSFSKNFFITGCLLTVGAVSSYLTYRYTSERENKHELSPSYFVKYKISHKRDIDSSHFLLEVTPLFKQKVNIWSLMTAENLWSVEIKQPEVMVVRNYTPLPLKFNPASKEIEILKDGDNADGKLSFYIKKYENGEVARWLHHLPKGHIIEIRGPFIDYEFPHLPNELKRSRDCLYMDNRNERGNNVRENSQFIYQPYDIMMFTAGTGIVTALQLLLTESPFRGTIKLFHTDKNIKQLGPLYPILLRLQASNRVQLKIFETDRQTKQDVLKSIQKSITKPYPYKGLLPFSNVNNKNIMPVLALVCGPESYISSISGRKYDLNQGPVGGLLSKEGWNSDNVYKLS", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEFSTADFERLIMFEHARKNSEAQYKNDPLDSENLLKWGGALLELSQFQPIPEAKLMLNDAISKLEEALTINPGKHQALWCIANAYTAHAFYVHDPEEAKEHFDKATEYFQRAENEDPGNDTYRKSLDSSLKAPELHMQFMNQGMGQQILGGGGGGGGGGMASSNVSQSSKKKKRNTEFTYDVCGWIILACGIVAWVGMAKSLGPPPPAR", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLVPRVWSSVRLGLSRVLSRTLRGWPSGEGRGMDLSGIYPPVTTPFTATAEVDYGKLEENLHKLGTLPFRGFVVQGSNGEFPFLTSSERLEVVSRARQALPKDKLLLAGSGCESTQATVEMTVSMAQVGADAAMVVTPCYYRGRMSSAALIHHYTKVADLSPVPVVLYSVPANTGLDLPVDAVVTLSQHPNIVGIKDSGGDVTRIGLIVHKTRSQDFQVLAGSAGFLLASYAIGAVGGVCALANVLGSQVCQLERLCLTGQWEDAQKLQHRLIEPNTAVTRRFGIPGLKKTMDWFGYYGGPCRSPLQELSPAQEEALRLDFASNGWL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MHKLFAVRSLSSAIVKSFKSLQNQQAAFSTSLLLDDTQKQFKESVAKFAQENIAPYAEKIDRTNSFPKEINLWKLMGDFNLHGITAPEEYGGLNLGYLYHCIALEEISRASGAVAVSYGVQSNVCINQLVRNGTPDQKQKYLPKLISGDHIGALAMSEPNAGSDVVSMKCRADRVDGGYVLNGNKMWCTNGPVANTLIVYAKTDTTAGSKGITAFIIEKEMPGFSTAQKLDKLGMRGSDTCELVFENCFVPNENVLGQEGKGVYVLMSGLDLERLVLAAGPVGIMQACMDIVIPYVRQREQFGRPIGEFQLIQGKLADMYTALQSSRSYVYAVAKDCDNGKIDPKDCSGTILLAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKMYEIAAGTSEIRRLVIGRELFKHQ", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGPGGRVARLLAPLMWRRAVSSVAGSAVGAEPGLRLLAVQRLPVGAAFCRACQTPNFVRGLHSEPGLEERAEGTVNEGRPESDAADHTGPKFDIDMMVSLLRQENARDICVIQVPPEMRYTDYFVIVSGTSTRHLHAMAFYVVKMYKHLKCKRDPHVKIEGKDTDDWLCVDFGSMVIHLMLPETREIYELEKLWTLRSYDDQLAQIAPETVPEDFILGIEDDTSSVTPVELKCE", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MHKLFVARSVKSALFRIKNHQKPQFAAFSTSLLFDDTQKQFKESVAQFAQENIAPHAEKIDRTNYFPQDVNLWKLMGNFNLLGITVPEEYGGLGLGYLYHCIAMEEISRASGSVGLSYGAHTNLCINQLVRNGTHEQKQKYLPKLISGEHVGALAMSEPNAGSDVVSMKCKADRVEGGYVLNGNKMWCTNGPTAQTLVVYAKTDVTAGSKGITAFIIEKGMTGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGQVGRGVYVLMSGLDLERLVLASGPVGIMQACLDVVLPYVKQREQFGRPIGEFQFVQGKVADMYTSMQSSRSYLYSVARECDSGTINTKDCAGVILSAAERATQVALQAIQCLGGNGYVNEYPTGRFLRDAKLYEIGAGTSEIRRMIIGRELFKEQ", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MIRRITERASGFAKNIPILKSSRFHGESLDSSVSPVLIPGVHVFHCQDAVGIVAKLSDCIAAKGGNILGYDVFVPENNNVFYSRSEFIFDPVKWPRSQVDEDFQTIAQRYGALNSVVRVPSIDPKYKIALLLSKQDHCLVEMLHKWQDGKLPVDITCVISNHERASNTHVMRFLERHGIPYHYVSTTKENKREDDILELVKDTDFLVLARYMQILSGNFLKGYGKDVINIHHGLLPSFKGGYPAKQAFDAGVKLIGATSHFVTEELDSGPIIEQMVESVSHRDNLRSFVQKSEDLEKKCLTRAIKSYCELRVLPYGTNKTVVF", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLRVLLSAQTSPARLSGLLLIPPVQPCCLGPSKWGDRPVGGGPSAGPVQGLQRLLEQAKSPGELLRWLGQNPSKVRAHHYSVALRRLGQLLGSRPRPPPVEQVTLQDLSQLIIRNCPSFDIHTIHVCLHLAVLLGFPSDGPLVCALEQERRLRLPPKPPPPLQPLLRGGQGLEAALSCPRFLRYPRQHLISSLAEARPEELTPHVMVLLAQHLARHRLREPQLLEAIAHFLVVQETQLSSKVVQKLVLPFGRLNYLPLEQQFMPCLERILAREAGVAPLATVNILMSLCQLRCLPFRALHFVFSPGFINYISGTPHALIVRRYLSLLDTAVELELPGYRGPRLPRRQQVPIFPQPLITDRARCKYSHKDIVAEGLRQLLGEEKYRQDLTVPPGYCTDFLLCASSSGAVLPVRTQDPFLPYPPRSCPQGQAASSATTRDPAQRVVLVLRERWHFCRDGRVLLGSRALRERHLGLMGYQLLPLPFEELESQRGLPQLKSYLRQKLQALGLRWGPEGG", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MCLVKTTAHLLFYSFVFGGTTFYSYVASPIAFKVLEKDQFSALQNKIFPYFFQMQAASPVILALTAPIALTTGPLSSLVVASVSGLTNLFWLLPWTHKVKEQRKNIAKKYTGSELEAKDAILRKEFGKSHGLSLLFNLSNVCGMLAYGVCLSGGLLRKIPK", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MFARLANPAHFKPLTGSHITRAAKRLYHPKVIDHYTNPRNVGSMDKSLANVGTGIVGAPACGDVIKLQIQVNDKSGIIENVKFKTFGCGSAIASSSYMTELVRGMSLDEAVKIKNTEIAKELSLPPVKLHCSMLAEDAIKAAIKDYKTKRNPSVLH", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSAKGSLLRLLWQCGMTRAAPESCRYLYTSSWRADCNRASLTRVHRQTYARLYPILLVKQDGSTIHIRYPEPRRILTMPVDLDSLSPEERRARFRKREGQLKEKKEEPELADDFDVEQYKQFWTKK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSPLTRTVAIKKTVKVLSKCQSGREYTQKFLQRAYSTSHANSTYYSRTKLFISSHSKALNIALLSGSLLLTYSYYSPKKILSLDTINGIKDYSTNTSGNINMPSPNPKGTETQKSQRSQNDQSVLILNDSKIEAKLHDREESHFVNRGTGIFRYDVAQLPSNHPIEDDHVEQIITIPIESEDGKSIEKDLYFFGIFDGHGGPFTSEKLSKDLVRYVAYQLGQVYDQNKTVFHSDPNQLIDSAISKGFLKLDNDLVIESFRKLFQDPNNTNIANTLPAISGSCALLSLYNSTNSILKVAVTGDSRALICGLDNEGNWTVKSLSTDQTGDNLDEVRRIRKEHPGEPNVIRNGRILGSLQPSRAFGDYRYKIKEVDGKPLSDLPEVAKLYFRREPRDFKTPPYVTAEPVITSAKIGENTKFMVMGSDGLFELLTNEEIASLVIRWMDKNMNLAPVKAEPGKLPKVIDVSEDKEAQRPAFRYKDNNSSSPSGSNPEYLIEDKNVATHLIRNALSAGGRKEYVSALVSIPSPMSRRYRDDLTVTVAFFGDSGTPSIVSNATSIVMNPEATTKPKPRL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAGRWLDPLWAPGFLCVALILETASGAGDLSTKAHGHIQFSARGVNQTAMADCRAVCSLNTSDRCDFVKRNPDCHSEGGYLDYLKGIFCYFPPNLLPLAITLYVFWLLYLFLILGVTAAKFFCPNLSAISTSLKLSHNVAGVTFLAFGNGAPDIFSALVAFSDPRTAGLAIGALFGAGVLVTTVVAGGITILRPFMAASRPFLRDITFYMVAVFLTFTALYLGRITLVWALGYLGLYVFYVVTVIICTWVYQRQRSRSLVHSISETPELLTDSEEDQMSSNTNSYDYGEEYRPLLLGEETTGQILLQALNPLDYRKWRTQSISCKLLKVAKLPVEFLLLLTVPVVDPDKDDRNWKRPLNCLQLVISPLVLVLTLQSGVYGIYEIGGLLPVWAVVVIVGTALASVTFFATSNSEPPRLHWLFAFLGFLTSALWINAAATEVVNILRSLGVVFRLSNTVLGLTLLAWGNSIGDAFSDFTLARQGYPRMAFSACFGGIIFNILVGVGLGCLLQIVRSHASEVKLEPDGLLVWVLASALGLSLVFSLVSVPLQCFQLSKAYGLCLLLFYICFIVVVLLTEFGVIHLKAD", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATAVRLLGRRVSSWRLRPLPSPLAVPQRAHSMLPVDDDINGLNEEQKQLRHTISKFVQENLAPKAQEIDQSNDFKNLREFWKQLGSLGVLGITAPVQYGGSGLGYLEHVLVMEEISRASAAVGLSYGAHSNLCINQIVRNGNEAQKEKYLPKLISGEFIGALAMSEPNAGSDVVSMRLKAEKKGDHYVLNGNKFWITNGPDADVLVVYAKTDLTAVPASRGITAFIVEKDMPGFSTSKKLDKLGMRGSNTCELVFEDCKVPAANILSQESKGVYVLMSGLDLERLVLAGGPLGIMQAVLDHTIPYLHVREAFGQKIGQFQLMQGKMADMYTRLMACRQYVYNVARACDEGHITAKDCAGVILYTAECATQVALDGIQCLGGNGYINDFPMGRFLRDAKLYEIGGGTSEVRRLVIGRAFNADFR", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGSLSGLRLAAGSCFRLCERDVSSSLRLTRSSDLKRINGFCTKPQESPGAPSRTYNRVPLHKPTDWQKKILIWSGRFKKEDEIPETVSLEMLDAAKNKMRVKISYLMIALTVVGCIFMVIEGKKAAQRHETLTSLNLEKKARLKEEAAMKAKTE", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLGRRIFSPAPNRGFILCNLIQSNNSTRRGFSDNRKFNERNSEASSNVGFQRRVRSNIPSYLSASVEEGDIYSPNDLLFETVKAKNQAKFYEPVREDCFKTVNENPMNYWKNPVILSRFVTELGRIKPRGDTGLTAKNQRLLSRAIRRARAAGIMPTKYKSVYSEN", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRIEKHRTPLSKGIIWTILSVCLLFMFTTLILVIVATAGSTANYKPLTNIYIGEADIKHINVSKVIPQIGPILTILGSALTAPNSSLDDIFGAMKNIADTPALTPLLTLLSNADNTTVTIESLTELAPLAISGNPASSTRQLTEINGLLKYSDNATETLDGLSRLVSASLSSASSNSSSDSTTLVLDLLKDSDNPQNSTDALLTLNNLTMSEKAQLLPVFRLFAFSTNQTATMTALATLMNTTISSSLAQTLLTQLQNTISNGGSLNNTFSTLQPLVPQASAPAFDAVELLLNQTTSTNQTLSTLSDLLEQNLTQSSSAKKAFAALTQLMENSDNSTMVVTSVQSLAAVTNTTQSTQQLIGLDDVISSSSNTNETLSILSELQSGLSGNSSSVQYIPYLFSLLGASTDPKTTFSSLVTLTSWAQENPQTFLPILDILADAKSVQPISAEELNAMTPNILEYLKIPIYYRLSIFTLCHANLENKILDCNSPHAVQNLDFRSIIYDALVTSDFQPYLNALNISANDLYLEGKLLHREHQYVPAVRSVLALNLLAIIFSFFTMIFIILLYFNRYMFKQPLWLIALALHVCVGVATVLAAIIISVMIAIIKSGTADDKYGVVFKAGPAYTGLIWTAFALSFIATGLIIYTWWRNRRSGRYMSGSVTNRKGEIYTYGDGSAISADRFGDHNLGDDDDADFEKQVNRNEITAIDNSSSANNTDVTGSTSNRTELSHPDVTPKDSNGPVNNNAHLVA", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLKLSFRSLTSRLPRLSTLVVRGYASVANTGIEASNTSENNLNIQEQLNDNDKKRLKQIRNIGISAHIDSGKTTFTERVLYYTGRIKDIHEVRGKDNVGAKMDFMELEREKGITIQSAATHCTWERTVDQIEANEKQKTDFEKSYNINIIDTPGHIDFTIEVERALRVLDGAVLVLCAVSGVQSQTITVDRQMRRYNVPRISFVNKMDRMGADPWKVIQQINTKLKIPAAAVQIPIGQEDKLEGVVDLIQMRAIYNRGSKGEKIEISQQVPENLIELAKEKRSALIEKLADLDEEIADIYVMEEDPTPEQLMGAIRRTTLARKFTPVLMGSALSNVGVQSVLDAVCDYLPNPSEVENIALNAADSEKPVSLVPSSEKPLVALAFKLEEGRFGQLTYLRIYQGTLKRGNYIYNVNSTKKIKVSRLVRMHSNDMEEIEKVEAGGICALFGIECASGDTFTDGSVSYTMTSMFVPEPVISLSLKPKSKDTTSFSKALNRFQREDPTFRVQLDNESKETIISGMGELHLEVYVERMRREYKVDCETGKPRVAFRETLSKKVPFSYLHKKQSGGAGQYAKVEGYIEYMDGVEDESGNVVDCEFINKVTGGTVPTQYIPACEKAFYEALKKGFLIGHPIKNCRFVLEDGAYHPVDSSELAFRLATISAFRTAFLQANPMVLEPIMNVSITAPVEHQGGVIGNLDKRKATIVDSDTDEDEFTLQAEVPLNSMFSYSSDIRALTKGKGEFSMEFLKYLPAPKYVQKELVDAYNKQQQK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGNVMASTADAESSRGRGHLSAGLRLPEAPQYSGGVPPQMVEALKAEAKKPELTNPGTLEELHSRCRDIQANTFEGAKIMVNKGLSNHFQVTHTINMNSAGPSGYRFGATYVGTKQYGPTEAFPVLLGEIDPMGNLNANVIHQLTSRLRCKFASQFQDSKLVGTQLTGDYRGRDYTLTLTMGNPGFFTSSGVFVCQYLQSVTKRLALGSEFAYHYGPNVPGRQVAVLSAVGRYAFGDTVWSCTLGPAGFHLSYYQKASDQLQIGVEVETNIRQQESTATVAYQIDLPKADLVFRGSLDSNWLISGVLEKRLQPLPFSLAISGRMNHQKNSFRLGCGLMIG", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKIQTNAVNVLQRTSAYLKSGLLKETPAWYNVVASIPPSTKFTREPRFKNPSNGHIIGKLVDVTEQPHANNKGLYKTRPNSSDKRVGVKRLYRPPKLTYVEDRLRSLFYKQHPWELSRPKILVENEIGDENYDWSHMLQIGRPLDGESVIQRTMYLIKTKQYGDMVEAYDHARYEFYALRMQEETEQQVALEEAEMFGSLFGVSAIEHGIQKEQEVLDVWEKKVVEETELMAARTSNPAGSWKDDTTLNTAQEEESTTSENLHF", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDRPRTLRTYRGLIRAILKYERPSKIVNWGNLRKAMITKLEYAKKQNQRDSHENINRQLEKWKKLDPVSDRSLNLFIADSKSLRSILQNDIKWEKKVAQGQNVDEIFEHALDIIKFLDNQREYEELVDRYNPGNKLTQDEKVKRTANVVGLDVPT", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNFKNVKVPKGPGGGVIAAVVIGGLSLYGATHTLYNVDGGHRAIVFNRLVGIKDKVYPEGTHLMIPWFERPIIYDVRAKPYLVESTSGSRDLQMVKIGLRVLTRPMADQLPEVYRSLGENYRERVLPSIIHETLKAVVAQYNASQLITQRESVSREIRKILTLRAANFHIALDDVSITGLTFGKEFTAAIEGKQVAAQEAERAKFIVEKAEQDKRSAVIRAEGEAKSAQLIGQAIANNQAFLTLRKIEAAREIAQTISRSANKVYLSSNDLLLNLQAMDLDVKPKK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRVPNEVCFNILSYLEADELRCKSTVCTSWRNFIIPTLWEKVVFQNEAQLNNFFDTLQYSKDVSYYFRYLRKLNCSRVRKFLTDKHLMLMTLATGISRLNLSGCTRISEPLIGKLLYQNLNLVTINFSNIFSLPANILEYISDNCPNLKALNIGNCGLVEDTGMVQIIKRCPYLNRLIIPNCRKLTDVSLQILSEKEDLIELDISGCEGFHNADTLSRLVSRNRGLKELSMDGCTELSHFITFLNLNCELDAMRALSLNNLPDLKDSDIELITCKFSKLNSLFLSKCIGLTDSSLLSLTKLSQSLTTLHLGHCYEITDIGVQCLLKSCKNITYIDFGGCLRLSDIAVSAIAKLPYLQRVGLVKCICLTDLSVILLSGSFSRNLERVHLSYCIGLTAKSVSYLMYNCKTLKHLSVTGINSILCTELRSFSRPIPDGINPSQVPVFCAFTKVEIDLFREFIRNRI", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MFLATFKLCAGSSYRHMRNMKGLRHQAVLAIGQELNWRALGDSSPGWMGQVRRRSSLLGSQLEATLYSDQELSYIQQGEVAMQKALGILNNQEGWKKESQQENGDEVLSKMVPDVGKVFRLEVVVDQPMDRLYEELVDRMEAMGEWNPNVKEIKVLQRIGKDTVITHELAAAAAGNLVGPRDFVSVRCTKRRGSTCVLAGMATHFGEMPEQSGVIRAEHGPTCMVLHPLAGSPSKTKLTWLLSIDLKGWLPKTIINQVLSQTQIEFANHLRKRLEASPASEAQC", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPQKDPCQKQACEIQKCLQANSYMESKCQAVIQELRKCCAQYPKGRSVVCSGFEKEEEENLTRKSASK", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MARELRALLLWGRRLRPLLRAPALAAVPGGKPILCPRRTTAQLGPRRNPAWSLQAGRLFSTQTAEDKEEPLHSIISSTESVQGSTSKHEFQAETKKLLDIVARSLYSEKEVFIRELISNASDALEKLRHKLVSDGQALPEMEIHLQTNAEKGTITIQDTGIGMTQEELVSNLGTIARSGSKAFLDALQNQAEASSKIIGQFGVGFYSAFMVADRVEVYSRSAAPGSLGYQWLSDGSGVFEIAEASGVRTGTKIIIHLKSDCKEFSSEARVRDVVTKYSNFVSFPLYLNGRRMNTLQAIWMMDPKDVREWQHEEFYRYVAQAHDKPRYTLHYKTDAPLNIRSIFYVPDMKPSMFDVSRELGSSVALYSRKVLIQTKATDILPKWLRFIRGVVDSEDIPLNLSRELLQESALIRKLRDVLQQRLIKFFIDQSKKDAEKYAKFFEDYGLFMREGIVTATEQEVKEDIAKLLRYESSALPSGQLTSLSEYASRMRAGTRNIYYLCAPNRHLAEHSPYYEAMKKKDTEVLFCFEQFDELTLLHLREFDKKKLISVETDIVVDHYKEEKFEDRSPAAECLSEKETEELMAWMRNVLGSRVTNVKVTLRLDTHPAMVTVLEMGAARHFLRMQQLAKTQEERAQLLQPTLEINPRHALIKKLNQLRASEPGLAQLLVDQIYENAMIAAGLVDDPRAMVGRLNELLVKALERH", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFYLNAHLEINKVVDVVMSLSKKFLKPSVASNQLRLLFTAAERKVNYPGHVPLSPLQRIFLVAGSAIMGLKAPWRGGDMISVLGDASGQPFFLHRLLNKMLVDKTGREILKDKPRMTSKSLNLPFLRTLPPNTLGKIYVDWIDKEHVGPDTRSPTRFVDDPEEAYVMQRYRESHDFYHAICNMPTNIEGELAIKWLEFVNMGLPVGALSALFGPLRLNCEQASRFRRVYIPWSIRNGLNAKTLINVYWEKELTNDIEDVRRRIRIEAAPPLV", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAASVLNTVLRRLPMLSLFRGSHRVQVPLQTLCTKAPSEEDSLSSVPISPYKDEPWKYLESEEYQERYGSRPVWADYRRNHKGGVPPQRTRKTCIRRNKVVGNPCPICRDHKLHVDFRNVKLLEQFVCAHTGIIFYAPYTGVCVKQHKRLTQAIQKARDHGLLIYHIPQVEPRDLDFSTSHGAVSATPPAPTLVSGDPWYPWYNWKQPPERELSRLRRLYQGHLQEESGPPPESMPKMPPRTPAEASSTGQTGPQSAL", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MIVFRRFPTCLLHHIRQPASRSLLLESQRRSLSFTSYKYNSSHIDDDKSKKKLKNVFQMNSNRVIRKQKTKEELAKERFEEQLRSPNRFVRWGAIARSEKFSKGMTKYMIGAYVIFLIYGLFFTKKLFAKDKELERLLKKQEEGNANEYEALRIKELKGKLRRRDELKLEEYKKMQEEGIENFDDIRVQNFDQNKLNEQILPARDTTNFYQEKANEYDKAINMEERVIFLGKRRKWLMKHCQGDVLEVSCGTGRNIKYLDMSRINSITFLDSSENMMEITHKKFREKFPKYKKVAFVVGKAENLVDLAEKGKPSLENEKENQVKYDTIVEAFGLCSHEDPVKALNNFGKLLKPDGRIILLEHGRGQYDFINKILDNRAERRLNTWGCRWNLDLGEVLDDSDLELVEEKRTHLGTTWCIVAKRKGDVKKKDELGFVEKYLQSSIRKRMESFEKKDDMASKKELEPVPPVSKS", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLHSTKLVFRATPQALCFPVRSYSRYVRTVPKTASAKTTSKLAPSITTEDEVAEQDPSLQEPQSATSTASFAFHDAPPETRSVLNSSTNNNIDWSDSYHGLGSQPFSREIADILLAPIKDQDIEIKPDGLLYLPEIKYRRILNKAFGPGGWGLVPRTESLITKSQISREYGLICHGRLISIARGEQDYFGGEEKVTTALEGCKSNALMRCCKDLGIASELWDPGFIRKWKAKYCEEVFVEHVVNKKKKKLWKLKSNKKIEYPYKQL", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSVLRSTCLFFPPRSLLISFNKRRLFSTSRLILNKESETTKKKDKSKQQDFNPRHLGVAAEIFIPSAYKNLPNVFAHPLIVANALIRRLYTFGLNSVQVALFRFQSGIKPSFLLWKNKAIETYINVNTSFAHKNLSDIKGLVSLWVQEALEARSRQLPGNATLDWQLIKFNAVPKLVSVQPIMIPGMPLEHLQLVYKFDTKQRLIKVNQQTKKTETLDRDVVDYIAFLCDATTNDMILMGSLFESKPNDKLPKSYEDDAKVAIHRMKVNGDIYRLPPS", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MMPRLLFRAWPRCPSLVLGAPSRPLSAVSGPDDYLQHSIVPTMHYQDSLPRLPIPKLEDTMKRYLNAQKPLLDDSQFRRTEALCKNFETGVGKELHAHLLAQDKQNKHTSYISGPWFDMYLTARDSIVLNFNPFMAFNPDPKSEYNDQLTRATNLTVSAVRFLKTLQAGLLEPEVFHLNPSKSDTDAFKRLIRFVPPSLSWYGAYLVNAYPLDMSQYFRLFNSTRIPRPNRDELFTDTKARHLLVLRKGHFYVFDVLDQDGNIVNPLEIQAHLKYILSDSSPVPEFPVAYLTSENRDVWAELRQKLIFDGNEETLKKVDSAVFCLCLDDFPMKDLIHLSHTMLHGDGTNRWFDKSFNLIVAEDGTAAVHFEHSWGDGVAVLRFFNEVFRDSTQTPAITPQSQPAATNSSASVETLSFNLSGALKAGITAAKEKFDTTVKTLSIDSIQFQRGGKEFLKKKQLSPDAVAQLAFQMAFLRQYGQTVATYESCSTAAFKHGRTETIRPASIFTKRCSEAFVRDPSKHSVGELQHMMAECSKYHGQLTKEAAMGQGFDRHLYALRYLATARGLNLPELYLDPAYQQMNHNILSTSTLNSPAVSLGGFAPVVPDGFGIAYAVHDDWIGCNVSSYSGRNAREFLHCVQKCLEDIFDALEGKAIKT", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSQSNTGNSIEAPQLPIPGQTNGSANVTVDGAGVNVGIQNGSQGQKTGMDLYFDQALNYMGEHPVITGFGAFLTLYFTAGAYKSISKGLNGGKSTTAFLKGGFDPKMNSKEALQILNLTENTLTKKKLKEVHRKIMLANHPDKGGSPFLATKINEAKDFLEKRGISK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEKLRKVVNEIAYTRVHTNSPALHRSLVPFLTIASSLYGVALQIRRSLYRYSLLQKHRLPVPVISVGNLSWGGNGKTPMVEYISQFLVDSGLTPLILTRGYAGGDEVKMLERHLRGGPVKIGVGANRAATAALFLDKYGCVDSSSLRSFFDLHERAQVWTISEKIGCIILDDGMQHWSLSRDLEIVMLNGLNPWGNGHLMPHGPLREPLLALERADVAVVHHVDLITKQSLRDIENMIQGFKKSIPIFYSKMVPKYLFDVKNARSHVALEALRCASVLCVSAIGSADAFVKSIEMTGAHYVDRLDFSDHHLFEAEDVETMSRRAKGLEHKSNCKPIIVVTEKDYDRDPEILKCLDSYTVLVLCSELQITPILETDVDSFNYTLMKALAAKFYVSS", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MHRTAIFLTYRSCMRNFSTLSKTLTVSSGKVIRNGPFRRVIREKNQITKAPSVKAFKENSNSGIIKVHDPIATTILNEPTVIIERQIEFMNVFLGFEQANRYAIMDVNGNKIASMMERDFSITKAIMRQFYRLHRPFLVDVFDNWGNVIMTIKRPFSFINSHIKTIIPPSAYVDNGSDSTHYHDGKEGTTVGETIQNWHLWRRRYELFQKDGVEGSTFDQFGKIDAPFLSFDFPVTDADGKIMASVDRNWVGLGREMFTDTGVYVVRFDSQRCFDNIYPTEMLSSQVLTLDQRAVLLANAVSIDFDYFSRHSRQTGGFLSFGGGYDE", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLVFKRGIHVVPKLPNSKALLQNGVPNILSSSGFKTVWFDYQRYLCDKLTLATAGQSLESYYPFHILLKTAGNPLQSNIFNLASSIHNNHLFVENILPSAVEHGTNSNAVVKTEPSRLFLSKIKDSFNGSDWEVVKEEMIYRAENEVLGQGWLFLVENNEKKLFILTSNNNGTPYYFPRNQSFDLNSAISIDEFATLKQMKELIGKSTKLNGKVQDWTMPIICVNLWDHAYLHDYGVGNRSKYVKNVLDNLNWSVVNNRIFSGISK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGRTVIVLGGGISGLAASYHLIRGPSPPKVILVEGSKRLGGWIRSIRGSDGAIFELGPRGIRPAGALGARTLLLVSELGLESEVLPVRGDHPAAQNRFLYVGGTLHPLPSGLRGLLRPSPPFSKPLFWAGLRELLKPRGKEPDETVHSFAQRRLGPEVASLAMDSLCRGVFAGNSRELSIRSCFPSLFQAEQTHRSILLGLLLGAGQSPQPDSSLIRQARAERWSQWSLRGGLEVLPQALHNHLASKGVTVLSGQPVCGLSLQPEGRWKVSLGDSSLEADHIISAIPASELSKLLPAEAAPLARILSTIKAVSVAVVNLQYRGACLPVQGFGHLVPSSEDPTVLGIVYDSVAFPEQDGNPPSLRVTVMLGGYWLQKLKAAGHQLSPELFQQQAQEAAATQLGLKEPPSHCLVHLHKNCIPQYTIGHWQKLDSAMQFLTAQRLPLTLAGASYEGVAVNDCIESGRQAAVAVLGTESNS", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRGAGAILRPAARGARDLNPRRDISSWLAQWFPRTPARSVVALKTPIKVELVAGKTYRWCVCGRSKKQPFCDGSHFFQRTGLSPLKFKAQETRMVALCTCKATQRPPYCDGTHRSERVQKAEVGSPL", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVVHILGKGFKGKEVIKIALASKFYGIGKTTAEKICSKLGFYPWMRMHQLSEPQIMSIASELSTMTIEGDARAIVKDNIALKRKIGSYSGMRHTLHLPVRGQHTRNNAKTARKLNKIDRRGIHTFSQAKVQHNPSLWSCIFGK", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MFMVRLKFASISHNFSTVAAKHRRVPSKYKSLAIGKAQQAITDYLHTTRSLSYTHAEQIASNASVSIRNLILKLDFSVPTFSKSLRKHLSYHPINEFEFFFESIGIDYSEVSEFLPEKKFFFSEDRTVLDAAFALSGFGFPWNKLGKLYKEERLVFVQRPGEIESRLLKFKDIGFSTVAVIGTCLAIPRTLCGGGELGSEIRCLFVKLKRLFDEFDSHHLFEENVDSWLAVSRKIRIFYDLGCENEEMWELMCRNKSLFLEYSEEALMNKAGYFCRFGVSKEDAALLILRNPAIMNFDLEKPVISVTGMLKHFGLRQDEVDAVAQKYPYVFGRNQLKNLPYVLRAIDLHERIFDILKNGNHHLLASYTLMDPDEDLEREYQEGLEELQNSRTKRHNIQKLDFLHEIGFGENGITMKVLQHVHGTAVELHDRFQILLNSGIIFSKICMLIRSAPKILNQKPHSIQDKLRFLCGEMGDSLDYLEVFPAYLCFDLENRISPRFRFHKWLVEKGFSEKSYSIASIVATSEKAFIARLYGIHPAIPKHWFERFSSRKTRDTVS", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MIGKVAGTAAIAGISFLAGKYSNDDLPIFRNVQSATNVPMNQIQVSEPMTVKPASLNADAMGPSRSAEIMKHGYPGFTNVRTYEDFVLSYDYKTRTAHWVCEHLTPERLKHAEGVDRKLCEFKPDITFPQKFLSQNTDYKCSGFDRGHLAAAGNHRKSQLAVDQTFYLSNMSPQVGRGFNRDKWNDLEMHCRRVAKKMINSYIITGPLYLPKLEGDGKKYIKYQVIGDNNVAVPTHFFKVALFEVTPGKFELESYILPNAVIEDTVEISKFHVPLDAVERSAGLEIFARLDPKSIVKENGAKKGGLLW", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MMLSRAAVRSIAGARVAAHAASPLLSQRTLPAVWTRSMAKDNKPPKFSKPESTPAQKATPKAPEPAESEKAEQKQQQQQQQQTPAESEPEPEIDLSKLPDLRGGIPTTLEYEMAQKEAGKKPVAGEEAETQAEGAEGPEAATSGSGGGGRKKGQLPDSAYVSSTEKRRQKMANWAFIAAGLALVGGTIYLGREWDEEELEKHHDIPNGWGLGLWWKRAKARMTGTVSYYQEPAFEKLLPDPDPSFERPYTLCISLEDMLVHSEWTRDHGWRLAKRPGVDYFLRYLSQYYEIVLFTSVPFANAEPIVRKMDPYRFIMWPLFREATKYKDGEIVKDLSYLNRDLSKVIIIDTDPKHVRAQPENAIVLPKWKGDPKDTELVSLVPFLEFIHTMNFPDVRKVLKSFEGQHIPTEFARREAIARAEHNKLVAAKAKKAGLGSLGARFGIKPSKLNPMAMEGEEDPSEAFAKGKMIQDIARERGMRNYLAMEEEIKKNGEMWLKMEQEAQEKAQKEMMKNMQSSVFGWFGGAPSGEQQSGESEKKA", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLALRCGPRLLGLLSGPRSAPLLLSTTRTCSDGGARGANSSSQNPLVYLDVGADGQPLGRVVLELKADVVPKTAENFRALCTGEKGFGYKGSTFHRVIPAFMCQAGDFTNHNGTGGKSIYGSRFPDENFTLKHVGPGVLSMANAGPNTNGSQFFICTIKTDWLDGKHVVFGHVKEGMDVVKKIESFGSKSGKTSKKIVITDCGQLS", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MREGDSNSKKSADVAVLSIILTGSTLTLIYTYKRYLTQFKRTNDIPRRIFRKHWLYGKVTSVGDGDNFHFFHMPGGIRGGWGWLRPVPQMIKNDSTAEKLVGDSRNMRFFNFNWITHGRSTKSKIQKAKSQFLKLNVPYKNRKNLPTIPIRLCGIDAPERAHFGNPAQPFGNEALIWLQNRILGKKVWVKPLSIDQYNRCVARVSYWDWFGGWKDLSLEMLKDGLAVVYEGKVNTEFDDREDKYRYYEFLARSRKKGLWIQNKFETPGEYKKRI", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSQPVQRAAARSFLQKYINKETLKYIFTTHFWGPVSNFGIPIAAIYDLKKDPTLISGPMTFALVTYSGVFMKYALSVSPKNYLLFGCHLINETAQLAQGYRFLKYTYFTTDEEKKALDKEWKEKEKTGKQ", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MATVKLSFLQHICKLTGLSRSGRKDELLRRIVDSPIYPTSRVLGIDLGIKNFSYCFASQNEDSKVIIHNWSVENLTEKNGLDIQWTEDFQPSSMADLSIQLFNTLHEKFNPHVILMERQRYRSGIATIPEWTLRVNMLESMLYALHYAEKRNSIEQKIQYPFLLSLSPKSTYSYWASVLNTKASFSKKKSRVQMVKELIDGQKILFENEEALYKWNNGSRVEFKKDDMADSALIASGWMRWQAQLKHYRNFCKQFLKQ", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLEILWANITPIQTFVSSNHLTMLYGLKRFGCRLYHHSKSTRYIDATAKVVSQEPAAISSTGAIPLNSPAAPLLSQDVLIVERQLEMMNVFLGYEQANRYVILNQQGQHLGYIAEQGASSILSSLSRQFFHTHRAFKADVMDSNGQLVLQLNRPFSWINSRLQIHSIDYSKFSSTLVGEVLQKWHLWRRRYELFLAKRSMFEQFAKIDERVLSWEFLLRNEQDRILGSVSRNFMGLPREFFTDTGNYVLRFTSTSAANGSVNENQLLQAAHGIANDVCARDMSLEERAVMLGSAVTIDFDYFSRIHGGPALGLNIPFMFGGSSSNHDYPAEDLSAQEILKNDQETTPSTNDSSSETKSPFLSDADLDQQDFWDIFDRDGDD", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSWRWALARRVAALGATSGGGDGATAQAQRLFSSAAALLGRHPPPPSPPHYQIRSKVVGCRGATFVSSRWLHDAQYQVRQDGLSRSEEQQDPFELVADELSLLANRLRSMVAAEVPKLASAAEYFFKVGAEGKRFRPTVLLLMASALKFPLSDSTEVGVLTILANKLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVSSLNCIMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMDYYLQKTYYKTASLISNSCKAVAILAGHTADVSMLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLTDIRHGIITAPMLYAMEEFPQLHEVVDRGFDNPANVELALDYLQKSRGIEKTKELAREHANRAIKAIEALPDSDDEDVLTSRRALIDITERVITRTK", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLQYSKKKVSLNFFPVRLLSYKMTRISDAIFKDHRKLQSDYQNIKSANDYDTATRWQNQFVWELARHSVGEEIVVYPKFEKYLGEEGKEMAEKDRHEHQLVKEMLYKFQSMKANQSNFIPALDELMESLQKHIDEEEQHDIPFLEKHLSEEESLHMASSFERTKKFVPTHSHPSAPNKPPFETVAGLFAAPIDKLRDMMEKWP", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSKLFSTVNSARHSVPLGGMRDYVHIKKLEMNTVLGPDSWNQLMPQKCLLSLDMGTDFSKSAATDDLKYSLNYAVISRDLTNFVSKKKNWGSVSNLAKSVSQFVMDKYSGVECLNLEVQADTTHIRSDHISCIIQQERGNPESQEFDVVRISELKMLTLIGVFTFERLKKQYVTLDIKLPWPKKAELPPPVQSIIDNVVKFVEESNFKTVEALVESVSAVIAHNEYFQKFPDSPLVVKVLKLNAITATEGVGVSCIREPREIAMVNIPYLSSIHESSDIKFQLSSSQNTPIEGKNTWKRAFLAFGSNIGDRFKHIQMALQLLSREKTVKLRNISSIFESEPMYFKDQTPFMNGCVEVETLLTPSELLKLCKKIEYEELQRVKHFDNGPRTIDLDIVMFLNSAGEDIIVNEPDLNIPHPRMLERTFVLEPLCELISPVHLHPVTAEPIVDHLKQLYDKQHDEDTLWKLVPLPYRSGVEPRFLKFKTATKLDEFTGETNRITVSPTYIMAIFNATPDSFSDGGEHFADIESQLNDIIKLCKDALYLHESVIIDVGGCSTRPNSIQASEEEEIRRSIPLIKAIRESTELPQDKVILSIDTYRSNVAKEAIKVGVDIINDISGGLFDSNMFAVIAENPEICYILSHTRGDISTMNRLAHYENFALGDSIQQEFVHNTDIQQLDDLKDKTVLIRNVGQEIGERYIKAIDNGVKRWQILIDPGLGFAKTWKQNLQIIRHIPILKNYSFTMNSNNSQVYVNLRNMPVLLGPSRKKFIGHITKDVDAKQRDFATGAVVASCIGFGSDMVRVHDVKNCSKSIKLADAIYKGLE", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLRSNLCRGSRILARLTTTPRTYTSAATAAAANRGHIIKTYFNRDSTTITFSMEESSKPVSVCFNNVFLRDASHSAKLVTTGELYHNEKLTAPQDIQISEDGKSLVVKWKDGGHHQFPLQFFIDYKGSSFVSPATRKQESRYRPQLWNKRILKDNVKDLLSVSYNEFIDPKDDSKLFQTLVNLQKFGIAFISGTPSSSSEGLTIQKICERIGPIRSTVHGEGTFDVNASQATSVNAHYANKDLPLHTDLPFLENVPGFQILQSLPATEGEDPNTRPMNYFVDAFYATRNVRESDFEAYEALQIVPVNYIYENGDKRYYQSKPLIEHHDINEDNTLLGNYEALIKCINYSPPYQAPFTFGIYDKPSDLNNNLDLNLITTPAKLTERFLFKSFIRGLNLFESHINDFNNQFRLQLPENCCVIFNNRRILHANSLTSSNQQWLKGCYFDSDTFKSKLKFLEEKFPHDK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGISWFLSRFRIRTVAPSSFLKPRGLVYRPSQIRRRVSLLSLSGFHPYRAYSILGPKTPTAFNSANTVRFFSFSSISRLVFRSLRLPVAGFSLVAGGAAYIGAQVQRASDYTKDIFDKTFGILDSTWEKTRETVASVTNVQLPEISMPLWLEKILRLDEESAERRRVLQAERAKEHRSNSNDKQKSSDNDEDPNDTTVGIGAALAASILSVDSVDGEDTLTADEKRKLAQESKEDRMMLFTKKMIEIRNILQDIQDNNSAVTLPSIVVIGSQSSGKSSVLEAIVGHEFLPKGSNMVTRRPIELTLVHSADTAIPYGEFSGVQLGKITDFSKIQHILTDLNMAVPSSQGVDDNPIRLTIYASHIPNLSLIDLPGYIQIHSEDQPADLDMKISKLCEKYIREPNIILAVCAADVDLANSAALRASRRVDPLGLRTIGVVTKMDLVPPSKAISILHNNNYPLHYGYIGVISRIVPTGRFSAGQNLTDLVSTQENSYFSTHQQFADARIGNYLGIQSLRKCLINVLEYTMSKNLQHTADSIRTELEECNYQYKVQYNDRVLTADSYIAEGLDIFKAAFKEFTQKFGKSEVRDLLKSSLNEKVMDLLAERYWTDDDISNWSKHTNALDEHWKYKLDSCVSTLTRMGLGRVSTLLVTDSISKCIDEITKASPFADHPAAMQYIMNAAQDILRRRFHATSEQVENCVKPYKYDVEVNDDEWKSSRGQAEKLLQRELGLCQSALEKIKNAVGSRRMNQVLQYLEEQKTSSEPLPASYSTALLEQGRMLQYLKMREDILKLRISVLKSRACKHKEAKYTCPEIFLNAVSDKLVNTAVLFINIELLSEFYYQFPRELDQRLIHSLSSEQLNAFVNENPRLKSQLQLQHKRQCLELALQKINSLVILEQQADSD", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLWSGCRRFGARLGCLPGGLRVLVQTGHRSLTSCIDPSMGLNEEQKEFQKVAFDFAAREMAPNMAEWDQKELFPVDVMRKAAQLGFGGVYIQTDVGGSGLSRLDTSVIFEALATGCTSTTAYISIHNMCAWMIDSFGNEEQRHKFCPPLCTMEKFASYCLTEPGSGSDAASLLTSAKKQGDHYILNGSKAFISGAGESDIYVVMCRTGGPGPKGISCIVVEKGTPGLSFGKKEKKVGWNSQPTRAVIFEDCAVPVANRIGSEGQGFLIAVRGLNGGRINIASCSLGAAHASVILTRDHLNVRKQFGEPLASNQYLQFTLADMATRLVAARLMVRNAAVALQEERKDAVALCSMAKLFATDECFAICNQALQMHGGYGYLKDYAVQQYVRDSRVHQILEGSNEVMRILISRSLLQE", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MARARQEGSSPEPVEGLARDGPRPFPLGRLVPSAVSCGLCEPGLAAAPAAPTLLPAAYLCAPTAPPAVTAALGGSRWPGGPRSRPRGPRPDGPQPSLSLAEQHLESPVPSAPGALAGGPTQAAPGVRGEEEQWAREIGAQLRRMADDLNAQYERRRQEEQQRHRPSPWRVLYNLIMGLLPLPRGHRAPEMEPN", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MATPASAPDTRALVADFVGYKLRQKGYVCGAGPGEGPAADPLHQAMRAAGDEFETRFRRTFSDLAAQLHVTPGSAQQRFTQVSDELFQGGPNWGRLVAFFVFGAALCAESVNKEMEPLVGQVQEWMVAYLETQLADWIHSSGGWAEFTALYGDGALEEARRLREGNWASVRTVLTGAVALGALVTVGAFFASK", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MYLKIPCNSIYHQFQPLFRARTVHKVRSLGLNGFYRKYHGFNSLRFVRVLQEAGIDDKKSETLMRLISNVYSDMHEKISDFSVTKEQQDRVMYQQKVDFAHLRSELQSIERQEMVALHSQVEQLFSDVERLKTSFRDQLNNSTSEARLQLNIDRLNHYDETASQDLKLRELSAEIDTEMSNFRTQLASFKTQTLQWVFGIVTGSGALLLAYVRLII", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLTKLLKISCTSRQCTFAKPYQAIPGPRGPFGMGNLYNYLPGIGSYSWLRLHQAGQDKYEKYGAIVRETIVPGQDIVWLYDPKDIALLLNERDCPQRRSHLALAQYRKSRPDVYKTTGLLPTNGPEWWRIRAQVQKELSAPKSVRNFVRQVDGVTKEFIRFLQESRNGGAIDMLPKLTRLNLELTCLLTFGARLQSFTAQEQDPRSRSTRLMDAAETTNSCILPTDQGLQLWRFLETPSFRKLSQAQSYMESVALELVEENVRNGSVGSSLISAYVKNPELDRSDVVGTAADLLLAGIDTTSYASAFLLYHIARNPEVQQKLHEEARRVLPSAKDELSMDALRTDITYTRAVLKESLRLNPIAVGVGRILNQDAIFSGYFVPKGTTVVTQNMVACRLEQHFQDPLRFQPDRWLQHRSALNPYLVLPFGHGMRACIARRLAEQNMHILLLRLLREYELIWSGSDDEMGVKTLLINKPDAPVLIDLRLRRE", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPNRKVVKFFFDVISPYSYFGFEGITRHRSVWKTPIQMKPFFFAGVVRHTENPGLPLRIPIKEKYMHKDLLFSAQYWGIPFRLPKDYTNMMLNTSSIVPQRILVASQLRDNVLMEDVARGLWHRFYAYGKPIFTKSQVAEVLRDLHVKDVDELVMMSDSAEVKNILRENTDEAIGNGCFGAPWMHITDGHGKVLQTVFGSDRLPQVADFLAEPFKGPMREKKPNA", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLFRIPTLFTLFLACFSLVSGVFGYSPMFGSNTIELNSKNFRKFVKAKGPSLVVFYAPWCGYCKKLVPTYQKLASNLHSLLPVTAVDCDADQNRAVCSQYQVQGFPTIKLVYPSSKGSSLSSTDYNGDRSYKSLQKFVSDSIPSKVKILTSEAKTQKFIQDAQNSSKVILISQKMKPTLLYKSLSNEFSSLPFSFMPAKANVNDLFNISAYVDTSDLPILFIKHPNNGTSFFSNSLNRDSIVEFLQSSIKDNNFSEYLATFCSKKSCIITIQDKDSDSGIDESIRKKYPKLHFVRLGRNTTVAERLEDTLDLGYSDTFLLSLKKSHYNAKPYGKPLRRWLEDIQVQQAGPSVSLPNDLLSKIK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLGILRQRAIDGASTLRRTRFALVSARSYAAGAKEMTVRDALNSAIDEEMSADPKVFVMGEEVGQYQGAYKITKGLLEKYGPERVYDTPITEAGFTGIGVGAAYAGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYAAWYASVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPISEEALDSSFCLPIGKAKIEREGKDVTIVTFSKMVGFALKAAEKLAEEGISAEVINLRSIRPLDRATINASVRKTSRLVTVEEGFPQHGVCAEICASVVEESFSYLDAPVERIAGADVPMPYAANLERLALPQIEDIVRASKRACYRSK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MIGPRLCAATPRFPLVSLAHRNSKVFALASSNAVAQRWGKRFYAPIETETPHKVGVEFEESKDRIFTSPQKYVQGRHAFTRSYMYVKKWATKSAVVLADQNVWNICANKIVDSLSQNGMTVTKLVFGGEASLVELDKLRKQCPDDTQVIIGVGGGKTMDSAKYIAHSMNLPSIICPTTASSDAATSSLSVIYTPDGQFQKYSFYPLNPNLIFIDTDVIVRAPVRFLISGIGDALSTWVETESVIRSNSTSFAGGVASIAGRYIARACKDTLEKYALSAILSNTRGVCTEAFENVVEANTLMSGLGFENGGLAAAHAIHNGMTAIHGPVHRLMHGEKVAYGTLVQVVLEDWPLEDFNNLASFMAKCHLPITLEELGIPNVTDEELLMVGRATLRPDESIHNMSKKFNPSQIADAIKAVDSYSQKWQEQTGWTERFRLPPSRHSPHLTDIHP", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSRLAHNKALPYKIIVDLSFHRTRLPSDVSSLIKFEQRPAIINIHGLLGSHVMFHSLNKLLSRKLDADIFSVDVRNHGISPKAIPYDYTTLTNDLIYFIETHIGLERPIYLLGFSMGGKIALLTTLYKNINIRKCISIDLPPYETPELDPMILQNYDLIMRIIRRDVKILRGSPSWQKKVLELFKSLECNKRKCGGAVALYFANGFLSVKSNNVHQAQLHYEQQQHDPYINYSMPLSSMPNLLDEVKKWPDLSNQRDFFQKGTASRKVLFMKGLQSNFINNDYSLLRYNFPCADVREFNTGHNLLLENPEDSFKCILNFFAEETLDFE", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQALRHVVCALSGGVDSAVAALLLRRRGYQVTGVFMKNWDSLDEHGVCTADKDCEDAYRVCQILDIPFHQVSYVKEYWNDVFSDFLNEYEKGRTPNPDIVCNKHIKFSCFFHYAVDNLGADAIATGHYARTSLEDEEVFEQKHVKKPEGLFRNRFEVRNAVKLLQAADSFKDQTFFLSQVSQDALRRTIFPLGGLTKEFVKKIAAENRLHHVLQKKESMGMCFIGKRNFEHFLLQYLQPRPGHFISIEDNKVLGTHKGWFLYTLGQRANIGGLREPWYVVEKDSVKGDVFVAPRTDHPALYRDLLRTSRVHWIAEEPPAALVRDKMMECHFRFRHQMALVPCVLTLNQDGTVWVTAVQAVRALATGQFAVFYKGDECLGSGKILRLGPSAYTLQKGQRRAGMATESPSDSPEDGPGLSPLL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLRRLVTSSLSASRSMSASVQSRVGIPFVIDNEGKGERTYDIYSRLLRDRIVCLMTPVDDFIASALIAQLLFLQSESGKKPIHMYINSPGGSVTAGLAIYDTIQMISAPVSTWVIGQASSMGSLLLCAGEKGMRSALPNSRIMVHQPSGGAQGTCSDIVIRAEEITRLKRRLNEIYVHHTGMSYDEIEKTLDRDRFMSAHEALKFGLVDQIETHNGSMPSD", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSVGFIGAGQLAFALAKGFTAAGVLAAHKIMASSPDMDLATVSALRKMGVKLTPHNKETVQHSDVLFLAVKPHIIPFILDEIGADIEDRHIVVSCAAGVTISSIEKKLSAFRPAPRVIRCMTNTPVVVREGATVYATGTHAQVEDGRLMEQLLSSVGFCTEVEEDLIDAVTGLSGSGPAYAFTALDALADGGVKMGLPRRLAVRLGAQALLGAAKMLLHSEQHPGQLKDNVSSPGGATIHALHVLESGGFRSLLINAVEASCIRTRELQSMADQEQVSPAAIKKTILDKVKLDSPAGTALSPSGHTKLLPRSLAPAGKD", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAAAPGALGALRTGRVRLVAACCARLGPAAWARGTAPRRGYSSEVKTEDELRVRHLEEENRGIVVLGINRAYGKNALSKNLLKMLSKAVDALKSDKKVRTIIIRSEVPGIFCAGADLKERAKMHSSEVGPFVSKIRSVINDIANLPVPTIAAIDGLALGGGLELALACDIRVAASSAKMGLVETKLAIIPGGGGTQRLPRAIGMSLAKELIFSARVLDGQEAKAVGLISHVLEQNQEGDAAYRKALDLAREFLPQGPVAMRVAKLAINQGMEVDLVTGLAIEEACYAQTISTKDRLEGLLAFKEKRPPRYKGE", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLARAIRVRPMMRGIASSSVWNRNRPIQSSLMQYCRDRSLRLQRLHGANLMVQRFYSRKRDDSNGDIIMGPDLMSDQDTHLPATVAVPDVWPHVPLLAMRKNPLFPRFMKIVEVSNPIIMDLLRRKVKLNQPYVGVFLKKTDGEEELITNLNDVYNLGTFAQIQELQDLGDKLRMVVVAHRRIRITGQVVEDVPPPKPVKMTTLHYPLFNIKLQIPAEDQSTDQADAAPIKSRSDPARKPRGRIPRSRTGKSRESAAAEELIQNQTLEPPLKSGKVESSSLPKPPTEEKIVEPETGAKENVNQSAPSAQPVLIVEVENVKQPIYKQTEEVKALTQEIIKTLRDIITMNPLYRESLQQMLHQNQRVVDNPIYLCDLGASLSAGEPAELQKILEETDIPERLQLALTLLKKELELSRLQQKIGREVEEKVKQQHRKYILQEQLKVIKKELGIEKDDKDAIGEKYREKLKDKVVPEAIMTVIDEELTKLNFLESHSSEFNVTRNYLDWLTSLPWGVISTENLCLEKATETLNDDHYGMEDIKKRILEFIAVSSLKGSTQGKILCFHGPPGVGKTSIAKSIARALNREYFRFSVGGMTDVAEIKGHRRTYVGAMPGKLIQCLKKTKIENPLVLIDEVDKIGKGYQGDPSSALLELLDPEQNANFLDHYLDVPVDLSRVLFICTANVIDTIPEPLRDRMELIEMSGYVAEEKIAIARQYLMPQAMKDCGLTDKHINISEDALNMLIRSYCRESGVRNLQKHIEKVIRKVAFRVVKKEGEHFPVNADNLTTFLGKQIFSSDRMYATTPVGVVMGLAWTAMGGSSLYIETSRRHIRQGAKTDPNTVAGSLHITGNLGDVMKESAQIALTVARNFLYSLEPNNLFLEQEHIHLHVPEGATPKDGPSAGITIITALVSLATGKPVRQDIAMTGEVSLKGKVLPVGGIKEKTIAARRSGVNCLILPVDNKKDFEELPTYITDGLEVHFATTYEDVYKIAFTDVTETTTNNVEEQEPLQKLSSAAAAKSETWPYS", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSGKWRLVLTGIGNPEPQYAGTRHNVGLYMLELLRKRLGLQGRTYSPVPNTGGKVHYIEDEHCTILRSDGQYMNLSGEQVCKVWARYAKYQARHVVIHDELSVACGKVQLRAPSTSIRGHNGLRSLLKCSGGRVPFAKLAIGIGREPGSRSRDPASVSRWVLGALTPQELQTLLTQSEPAAWRALTQYIS", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLRASSKRLQLSWQVFRRFQSSNPQLSFPCVDQAQERSRQFEQSLQKQQACPNSVDPSASITSPSLSSGPEPVYGKIVSGFKKFYHNKPFLCDHGGILPKFEIAYETWGTLNKDHSNAILLHTGLSASSHAHSHPENTAPGWWEQFIGPGKDVDTNKFFVICTNVLGSCYGSTGPSSVDPGDGKHYATRFPIITVNDMIRAQLLLLDHLKIEKLYASVGSSLGGMQSLTLGALAPHRVGRIASISGGARSHPYSIALRFTQRQILMNDPYWNRGFYYDGVPPHTGMKLAREVATISYRSGPEWEQRFGNRRADPSVSPAFCPDFLIETYLDHAGEKFCLQYDPNSLLYISKAMDMHDMSASHQRSLSENRKKNQHKLDKYLSADVSAEEIIKLNEDTSVLPDVPYQEIANEDRAPEPDPETNLIAGLAPLKDTPVMVMGVESDNLMPVECQRETARCLEKAGNKQVVYHELDANESFYGHDTFLIYRKDLDLVGGKLKKFLELS", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVVFGYEAGTKPRDSGVVPVGTEEAPKVFKMAASMHGQPSPSLEDAKLRRPMVIEIIEKNFDYLRKEMTQNIYQMATFGTTAGFSGIFSNFLFRRCFKVKHDALKTYASLATLPFLSTVVTDKLFVIDALYSDNISKENCVFRSSLIGIVCGVFYPSSLAFTKNGRLATKYHTVPLPPKGRVLIHWMTLCQTQMKLMAIPLVFQIMFGILNGLYHYAVFEETLEKTIHEE", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASFLQRLVDPRKNFLARMHMKSVSNRLRRYGLRYDDLYDPLYDLDIKEALNRLPREIVDARNQRLMRAMDLSMKHEYLPDNLQAVQTPFRSYLQDMLALVKRERAEREALGALPLYQRTIP", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MALLSTVRGATWGRLVTRHFSHAARHGERPGGEELSRLLLDDLVPTSRLELLFGMTPCLLALQAARRSVARLLLQAGKAGLQGKRAELLRMAEARDIPVLRPRRQKLDTMCRYQVHQGVCMEVSPLRPRPWREAGEASPGDDPQQLWLVLDGIQDPRNFGAVLRSAHFLGVDKVITSRRNSCPLTPVVSKSSAGAMEVMDVFSTDDLTGFLQTKAQQGWLVAGTVGCPSTEDPQSSEIPIMSCLEFLWERPTLLVLGNEGSGLSQEVQASCQLLLTILPRRQLPPGLESLNVSVAAGILLHSICSQRKGFPTEGERRQLLQDPQEPSARSEGLSMAQHPGLSSGPEKERQNEG", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAPAKGMWCSLGSLLRVVQTRDLNARRWVRALRRSPVRVLSPSGQVEERKRAPDQQPRKAVPKASSQGQRQKQPLETSPSQTPHTWEEAGLRYDKAFPGDRRLSSVMTIVKSRPFREKQGKILLEGRRLIADALKAGAVPKAFFFSRLEYVKELPVDKLKDVSLIKVKFEDIKDWSDLVTPQGIMGIFAKPDPVKMTYPETPLHHTLPLVLICDNLRDPGNLGTILRSAAGAGCSKVLLTKGCVDAWEPKVLRAGMGAHFQVPIVNNVEWETVPNHLPPDTRVYVADNCGHYAQVQMSDKTGDRDWACDRRFLKFHKYEEDLDTKTRKDWLPKLEVQSYDLDWTGAPAAVVIGGETHGVSLESLQLAESTGGKRLLIPVVPGVDSLNSAMAASILLFEGKRQLRIKVEDLSRDRSYH", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASGAARWLVLAPVRSGALRSGPSLRKDGDVSAAWSGSGRSLVPSRSVIVTRSGAILPKPVKMSFGLLRVFSIVIPFLYVGTLISKNFAALLEEHDIFVPEDDDDDD", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MQRFSLVTHRSFSHSCVKPKSACSLVKPVHHLVKIDKSKLSPRFPELKYDKSDIRSPGFKPKDTHADRLNDHYLNTLQSDLLLINYSHNAAVVKGLKQRAWSGDSPYHLNRPPKNPRGSKAQLPDIHPIKWSNIPGLESVVINCFVREARENQLLAITAALQLQQITGCKPHPIFSKNDVPTWKLRKGHQMGAKVELKGKEMSQFLSTLTEIVLPRIREYKGISNQSGNRFGGISFGLTAEDIKFFPEIDANQDSWPKTFGMHININTSAQLDYQARTLLSGFQFPFFGEEK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGTMGKAFYSVGFWIRETGQALDRLGCRLQGKNHFREQLSRHRTLMNVFDKTPNVDKGAFVAPNASLSGDVHVGRGSSIWYGCVLRGDANSISVGAGTNIQDNALVHVAKTNLSGKVLPTVIGDNVTIGHSAVLHGCTVEDEAYIGTSATVLDGAHVEKHAMVASGALVRQNTRIPSGEVWGGNPAKFLRKVTEEERVFFSSSAVEYSNLAQAHATENAKNLDEAEFKKLLNKKNARDTEYDSVLDDLTLPENVPKAA", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNGLVLGATGLCGGGFLRHAQEAPQFSKVYAILRRELPFPATDKVVAIVERDNSKWSQLITNEMNPQVLFTALATTRAAAGGLDKQYKIDHDLNLQLAQAAKEKGCETIVLVSSAGAHPDSRFGYMKMKGEIERDVIALDFKHIIILRPGPLLGERTNSKQSGFGGNLTAALGTRVYRSRFQRLLGYPVYGDEVGKVGVHLALNTSGKDKVQFVSSKDILDISASLEKIAT", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGIVLKRAIAAGMKPFPNSTWHWSRTIRPFSQHLSSTCFLQQSSRFTSKRYLHLSTLTQQEKRFLPESELAKYKEYYQGLKSTVNEIPESVASKSPSLRTLHKRLQLPNELTYSTLSRCLTCPSAKLPDKINNPTKGAAFVNTVPTNKYLDNHGLNIMGKNLLSYHVTKSIIQKYPRLPTVVLNAAVNAYISEAVLAHIAKYWGIEVETTSVLSRYLKMEPFEFTLGRLKFFNNSLNSKDGIELITGKNFSETSALAMSVRSIIAAIWAVTEQKDSQAVYRFIDDHIMSRKLDITKMFQFEQPTRELAMLCRREGLEKPVSKLVAESGRLSKSPVFIVHVFSGEETLGEGYGSSLKEAKARAATDALMKWYCYEPLAQQEPVIDPGTVVV", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSALNWKPFVYGGLASITAECGTFPIDLTKTRLQIQGQTNDANFREIRYRGMLHALMRIGREEGLKALYSGIAPAMLRQASYGTIKIGTYQSLKRLAVERPEDETLLVNVVCGILSGVISSAIANPTDVLKIRMQAQNSAVQGGMIDSFMSIYQQEGTRGLWKGVSLTAQRAAIVVGVELPVYDITKKHLILSGLMGDTVATHFLSSFTCGLVGALASNPVDVVRTRMMNQRALRDGRCAGYKGTLDCLLQTWKNEGFFALYKGFWPNWLRLGPWNIIFFLTYEQLKKLDL", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRRLWTVGCFSRLIARHFSSVARRGERPGGEELSRLLLDDLAPAQRLERLFGLSPCLLALRAARRRVARLLLQAGKAGLQGERAELLRVAEARGIPVLRPRRQKLDALCGYQVHQGVCMEVSPLRPRPCDEAADTSSGDDPQQLWLVLEGLQDPRNLGAVMRSAHFLGVDRVITSQRNSCPLTPVVSKASAGAMEVMDVFATPDLPGFLQAKAQQGWLVVGTVGCPGPEISQSSKVPITSCLEFVWDRPTLLVLGSEGSGLSQEVFASCQLLLTILPRRHLPPGLESLNVSVATGILLHSICSQKKGFPVQERGQLLQDS", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQRLMKFRVLWGIHMSCPGFHHAPQHLRCRSLSGAGTLRWNDYDRPEEFNFASDVLDHWTQMEKEGKRSPNPALWWVNDQGDEVKWSFREMTDLTCRTANVLTQTCGLQTGDRLALILPRVPEWWLVCVGCIRTGIIFMPGTTQMKAKDILYRLQVSGAKAIVTTDTLAPEVESVAPECPSLKTKLLVSDHSREGWLDFRSLVKSASPDHICIKSKTLDPMAIFFTSGTTGFPKMAKHSHGFALRSYFPACRKLLQLKMSDVFWCLSDTGWILAALGSLLEPWTAGSTVFAHHLPQFDPKVIIETFFKYPITQCLAAPSVYRMILQQNYTSLRFPTLEHCCTGGEALLPEEQEQWKRQTGVLLYQAYGQSETGISCGTLRGMKIKPGSMGKAIPPFDIQIIDDKGNIQPPNTEGNIGIRIKPTRPIGLFMYYENNPEKTAEVECGDFYNTGDRATIDEEGYFWFLGRSDDVINASGYRVGPAEVENALAEHPAVAESAVVSSPDPVRGEVVKAFIVLNPEFSSRDPGELTKELQQHVKSVTAPYKYPRKVEFVSELPKTITGKIKRSELRKKEFGQK", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTSNLKRNFEYLVQYIQPHLRPKSSHSISLGYLSKEKISQEEMQIIRATQKLARTKYMTLYCIPRAWLIPFHEFLNNRSYRFPKLDYSQTPIRLAIRSGKKSVHKSAVVRHHNTTRIRETFIDIIKALYVNEKHHYLPKQAIDVVIDSYNGGCVNLPPETLFYDMQRLWLTAMNTYENQKPPNLKLLLHDHGTVVSLGSDNVPLYRKLDS", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAETVSPLKHFVLAKKAITAIFGQLLEFVTEGSHFVEATYRNPELDRIATEDDLVEIQGYRNKLAVIGEVLSRRHMKVAFFGRTSSGKSSVINAMLWDKVLPSGIGHTTNCFLSVEGTDGDKAYLMTEGSDEKKSVKTVNQLAHALHMDKDLKAGCLVHVFWPKAKCALLRDDLVLVDSPGTDVTTELDIWIDKFCLDADVFVLVANSESTLMNTEKHFFHKVNERLSKPNIFILNNRWDASASEPEYMEDVRRQHMERCLHFLVEELKVVSPLEARNRIFFVSAKEVLNSRMNKAQGMPEGGGALAEGFQARLQEFQNFEQTFEECISQSAVKTKFEQHTIRAKQILDTVKNILDSVNVAAAEKRVYSMEEREDQIDRLDFIRNQMNLLTMDVKKKIKEVTEEVANKVSCAMTDEICRLSVLVDEFCSEFHPTPSVLKVYKSELNKHIEDGMGRNLADRCTSEVNASILQSQQEIIENLKPLLPAGIQNKLHTLIPCKKFDLSYDLNCHKLCSDFQEDIVFRFSLGWSSLVHRFLGSTNAQRVLLGLSEPIFQVPRSLASTPTAPSNPAAPDNAAQEELMITLITGLASLTSRTSMGIIVVGGVIWKTVGWKLISVTLSMYGALYLYERLTWTTRAKERAFKQQFVNYATEKLQMIVKFTSANCSHQVQQEMATTFARLCQQVDVTQKHLEEEIARLSKEIDQLEKIQNNSKLLRNKAVQLERELENFSKQFLHPSSGES", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQLQRLGAPLLKRLVGGCIRQSTAPIMPCVVVSGSGVFLTPVRTYMPLPNDQSDFSPYIEIDLPSESRIQSLHKSGLAAQEWVACEKVHGTNFGIYLINQGDHEVVRFAKRSGIMDPNENFFGYHILIDEFTAQIRILNDLLKQKYGLSRVGRLVLNGELFGAKYKHPLVPKSEKWCTLPNGKKFPIAGVQIQREPFPQYSPELHFFAFDIKYSVSGAEEDFVLLGYDEFVEFSSKVPNLLYARALVRGTLDECLAFDVENFMTPLPALLGLGNYPLEGNLAEGVVIRHVRRGDPAVEKHNVSTIIKLRCSSFMELKHPGKQKELKETFIDTVRSGALRRVRGNVTVISDSMLPQVEAAANDLLLNNVSDGRLSNVLSKIGREPLLSGEVSQVDVVLMLAKDALKDFLKEVDSLVLNTTLAFRKLLITNVYFESKRLVEQKWKELMQEEAAAQSEAIPPLSPAAPTKGE", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLRRLGVRHFRRTPLLFVGGDGSIFERYTEIDNSNERRINALKGCGMFEDEWIATEKVHGANFGIYSIEGEKMIRYAKRSGIMPPNEHFFGYHILIPELQRYVTSIREMLCEKQKKKLHVVLINGELFGGKYDHPSVPKTRKTVMVAGKPRTISAVQTDSFPQYSPDLHFYAFDIKYKETEGGDYTTLVYDEAIELFQRVPGLLYARAVIRGPMSKVAAFDVERFVTTIPPLVGMGNYPLTGNWAEGLVVKHSRLGMAGFDPKGPTVLKFKCTAFQEISTDRAQGPRVDEMRNVRRDSINRAGVQLPDLESIVQDPIQLEASKLLLNHVCENRLKNVLSKIGTEPFEKEEMTPDQLATLLAKDALKDFLKDTEPSIVNIPVLIRKDLTRYVIFESRRLVCSQWKDILKRQSPDFSE", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MWRLRRAAVACEVCQSLVKHSSGIKGSLPLQKLHLVSRSIYHSHHPTLKLQRPQLRTSFQQFSSLTNLPLRKLKFSPIKYGYQPRRNFWPARLATRLLKLRYLILGSAVGGGYTAKKTFDQWKDMIPDLSEYKWIVPDIVWEIDEYIDFEKIRKALPSSEDLVKLAPDFDKIVESLSLLKDFFTSGSPEETAFRATDRGSESDKHFRKVSDKEKIDQLQEELLHTQLKYQRILERLEKENKELRKLVLQKDDKGIHHRKLKKSLIDMYSEVLDVLSDYDASYNTQDHLPRVVVVGDQSAGKTSVLEMIAQARIFPRGSGEMMTRSPVKVTLSEGPHHVALFKDSSREFDLTKEEDLAALRHEIELRMRKNVKEGCTVSPETISLNVKGPGLQRMVLVDLPGVINTVTSGMAPDTKETIFSISKAYMQNPNAIILCIQDGSVDAERSIVTDLVSQMDPHGRRTIFVLTKVDLAEKNVASPSRIQQIIEGKLFPMKALGYFAVVTGKGNSSESIEAIREYEEEFFQNSKLLKTSMLKAHQVTTRNLSLAVSDCFWKMVRESVEQQADSFKATRFNLETEWKNNYPRLRELDRNELFEKAKNEILDEVISLSQVTPKHWEEILQQSLWERVSTHVIENIYLPAAQTMNSGTFNTTVDIKLKQWTDKQLPNKAVEVAWETLQEEFSRFMTEPKGKEHDDIFDKLKEAVKEESIKRHKWNDFAEDSLRVIQHNALEDRSISDKQQWDAAIYFMEEALQARLKDTENAIENMVGPDWKKRWLYWKNRTQEQCVHNETKNELEKMLKCNEEHPAYLASDEITTVRKNLESRGVEVDPSLIKDTWHQVYRRHFLKTALNHCNLCRRGFYYYQRHFVDSELECNDVVLFWRIQRMLAITANTLRQQLTNTEVRRLEKNVKEVLEDFAEDGEKKIKLLTGKRVQLAEDLKKVREIQEKLDAFIEALHQEK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRDSPTHKEQRAQNTMSDQMPFPFNNFTYFFTLFSKFFSSFHHCTCSLSVSRQYLALDGIYHPLRAAFPNNSTLRRHFTKNRTPRHTGFSPSMTSCSKEHRQGTAPKLPSPNYNSGTEGTRFQI", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVVKAIARNSIGRNGVGAFVFPCRKITLQFCNWGGSSEGMRKFLTSKRLDKWGQEFPWIQFEVMRKSGHPLLRAEYTNGREKVICVRNLNIDNVENKLKLLKDSDGDILRRRTKNDNVESLNSSVRGIWSPLHAAKRHRI", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSIVNRASSASLPNFLAWRALGFRTICSGRLGFAPSVPDSPVSAGTKILESFKEEFEVGSRVVSFETGKIARFANGSVVLGMDETKVLSTVTCAKTDSPRDFLPLTVDYQEKQYAQGLIPNTYMRREGAPKERELLCGRLIDRPIRPLFPTGFYHEVQIMASVLSSDGKQDPDILAANASSAALMLSDVPWGGPIGVIRIGRICGQFVVNPTMDELSSSDLNLIYACTRDKTMMIDVQSREISEKDLAAALRLAHPEAVKYLDPQIRLAEKAGKQKKEYKLSMLSDKTLEKVADLAATRIESVFTDPSYGKFERGEALDNIGKDVRKVFEEEGDQESLSILPKAVDTVRKKVVRSRMISDGFRVDGRHVDEVRPIYCESHYLPALHGSALFSRGDTQVLCTVTLGAPAEAQSLDSLVGPPKKRFMLHYSFPPYCTNEVGKRGGLNRREVGHGTLAEKALLAVLPPEEAFPYTIRINSEVMSSDGSTSMASVCGGSMALMDAGIPLRAHVAGVSVGLITDVDPSSGEIKDYRIVTDILGLEDHLGDMDFKIAGTRDGVTAIQLDIKPAGIPLDIVCESLENAREARLQILDHMERNINSPRGQDGAYSPRLATLKYSNDSLRTLIGPMGVLKRKIEVETGARLSIDNGTLTIVAKNQDVMEKAQEQVDFIIGRELVVGGVYKGTVSSIKEYGAFVEFPGGQQGLLHMSELSHEPVSKVSDVLDIGQCITTMCIETDVRGNIKLSRKALLPKPKRKPASDAGKDPVMKESSTVYIENSSVGEIVASMPSIVTPLQKSRLSVPAVVIRTAVECNEAEKSSPVNDNDKPRRAATSKPDRKPKSTASKLIATQKEEEALESIAPEETSAECGEILKQDGKLKSVSPKNNSTASNLVSFSKAKKSTMKENLSENKAEESASVSTRKLKIGTEMTATVDHVRALGLVLDLGGEIRGMYIFQGDKDKFKKGDTLRVKCTSFNTKGVPVMALVDEEGEE", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKYINQFMKISKGFLVPSSTIGLNSKTYHYKFPLLSFVREFSNGSYLRESAQNPANLGSQKGSDIFSMLANQKDDSNRQQKEERVKERPRSRISFKKQETMDPSYTLQSMVLRSSLKKVGALCRQIAHKPFYHALLQMKMSDKKISKYIATALVSARENAVREAGLDESTLYVDQIWVGKAKYLKKLITMGRGGRAIERSPRVRVTVVLRDERALLRDLQRRQQRLERKKVWTPLPNRPIYLKSNFFTC", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAFRCTLRRLECYRASRLMPYKPSFLFSLISNVNEYERFVPFCQKSKVTEYDPKTGYPTKADLTVGFKGLCETFDSKVVCDPVALTVLADASHHRLFRRLKTHWSIEEASRGRVRVDLEVDFEFASKLHGMMSKFVGSSVASEIIQGFVQQAKIKHKLESENEK", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MFQFVKKKNEFLKFARLGSRAFTQNAQKTHSKGSNIALVSSSLLSVGMIALYYNVYGPSLSAGTPKEEGLHFIQHDWPQSKVLSGFDHASLRRGFQVYREVCSACHSLNLIAWRHLVGVTHTADEAKQMASEVEYEDGPDDEGNMFKRPGKLSDFLPPPYPNVEAARASNNGAAPPDLSCVVRGRHGGQDYIYSLLTGYTEPPAGVEVPDGMNFNPFFPGTQIAMARPLFDDAVEFEDGTPATTAQAAKDVVNFLHWASEPELDIRKKMGFQVITVLTILTALSMWYKRFKWTPIKNRKIFYQRPIK", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLRSLQFYRLSSKNLLSFKTCYSFYSTKASSPLPQPSFRRFWKNTATKIQNGEVLIQLDGRNLKSPSGKIVKVPKEMELLAHLIALEWDRLPSTSVRQHNLPITSLVSRAIDISQFKKEEKELLSTQLIRFLDTDTILIYSPETEYEGKLLEEQKENWWPLKETFENKLGVQLSYLDGDAGIIAHKQTQETHERIRNWLSSLNSWQLAAFERSVSCCKSFIVSFMILKGYLNSEKAAALTNLELQYQTNRWGSLEDAHEIDNEDLKNKLASSAILSRCIEDMHDKSNEHAH", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSVLLRSGLGPLCAVARAAIPFIWRGKYFSSGNEPAENPVTPMLRHLMYKIKSTGPITVAEYMKEVLTNPAKGYYVYRDMLGEKGDFITSPEISQIFGELLGIWFISEWMATGKSTAFQLVELGPGRGTLVGDILRVFTQLGSVLKNCDISVHLVEVSQKLSEIQALTLTKEKVPLERNAGSPVYMKGVTKSGIPISWYRDLHDVPKGYSFYLAHEFFDVLPVHKFQKTPQGWREVFVDIDPQVSDKLRFVLAPSATPAEAFIQHDETRDHVEVCPDAGVIIEELSQRIALTGGAALVADYGHDGTKTDTFRGFCDHKLHDVLIAPGTADLTADVDFSYLRRMAQGKVASLGPIKQHTFLKNMGIDVRLKVLLDKSNEPSVRQQLLQGYDMLMNPKKMGERFNFFALLPHQRLQGGRYQRNARQSKPFASVVAGFSELAWQ", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MIITTWIMYIFARKTVGLPFPPRVNSDIEVEESEAVSVVQHWLNKTEEEASRSIREKMSINDSPTHGHDIHVTRDLVKHHLSKSDMLTDPSQEVLEERTRIQFIRWSHTRIFQVPSEVMDDVMQERIDQVRRSVSHLMCDSYNDPSFRTSCSEC", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLPVITRFARPALMAIRPVNAMGVLRASSITKRLYHPKVIEHYTHPRNVGSLDKKLPNVGTGLVGAPACGDVMRLQIKVNDSTGVIEDVKFKTFGCGSAIASSSYMTELVQGMTLDDAAKIKNTEIAKELSLPPVKLHCSMLAEDAIKAAIKDYKSKRNTPTMLS", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAIKSIASRLRGSRRFLSGFVAGAVVGAAGAGLAALQFFRSQGAEGALTGKQPDGSAEKAVLEQFGFPLTGTEARCYTNHALSYDQAKRVPRWVLEHISKSKIMGDADRKHCKFKPDPNIPPTFSAFNEDYVGSGWSRGHMAPAGNNKFSSKAMAETFYLSNIVPQDFDNNSGYWNRIEMYCRELTERFEDVWVVSGPLTLPQTRGDGKKIVSYQVIGEDNVAVPSHLYKVILARRSSVSTEPLALGAFVVPNEAIGFQPQLTEFQVSLQDLEKLSGLVFFPHLDRTSDIRNICSVDTCKLLDFQEFTLYLSTRKIEGARSVLRLEKIMENLKNAEIEPDDYFMSRYEKKLEELKAKEQSGTQIRKPS", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAPWWRVVLNGSRNWRGFSTSAALSRRAAPLGPMPNEDIDVSNLERLKKYRSFDRYRRRAEREARDPHWWRTYREHFGEESDPKDTVDIGLPPPKVCRTQQLLERKRVLRELRTSVEEERASRLRTASIPLEAVRAEWERTCGPYHKQRLAEYYGLYRDLFHGATFVPRVPLHVAYAIGEDDLVPVYYGNEVTPTEAAQPPEVTYEADEGSMWTLLLTNLDGHLLEPDAEYVHWLVTNIPGSRVAEGEETCPYLPPFPARGSGFHRFAFLLFKQDKPVDFSGDTRPSPCYQLAQRTFHTFDFYKKHQDAMTPAGLAFFQCRWDDSVTHIFHQLLDMREPVFEFVRPPPYHPKQKCFPHRQPLRYLDRYRDSHEPTYGFY", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAATLLARACGLVRGAPWPWGWRRLHTVYQSVELPETHQMLRQTCRDFAEKELFPIAAQVDKEHRFPAAQVKKMGELGLMAMNVPEELSGAGLDYLAYSIAMEEISRGCASTGVIMSVNNSLYLGPILKFGTKEQKQQWVAPFTSGDKIGCFALSEPGNGSDAGAAATTARADGDSWVLSGTKAWITNAWEASAVVVFASTDRSLHNKGISAFLVPMPTPGLTLGKKEDKLGIRASSTANLIFEDRRIPKDSLLGEPGLGFKIAMQTLDTGRIGIASQALGIAQAALDCAVTYAENRSAFGAPLTKLQAIQFKLADMALALESARLLTWRAAMLKDNKKPFTKEAAMAKLAASEAATAITHQAMQILGGMGYVKEMPAERHYRDARITEIYEGTSEIQRLVVAGHLLKSYRS", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIARPARDVLSSATKKQFRFRGCLAARHEPYHTSTSRAGQVAILPATTDDKTLVSVFDSPRSNAKLSAFATTGLFNHSTVTHPRALNSIAQGTLIRAHVLTNRILRAKESREELFKVVKNLDRLSDMLCSVIDLCELVRNSHPDRAWVEAANDAYEGLCQTMNELNTHVGLYDVLKIVLSDPEIVKSLSPEAYRTAMIFWNDFEKSAINLPAKEREEFVALSSEIISLGRMFLEETTAARPPAKIPPSDLAGLKDKGMGVRLQLQAQFTQRDLHVYPGSLQAQMIMRSAPAEEARRRVYIASHSSTPEQIELLERMLSTRARLARLVGRESFAAMALDDKMAKNPTNVARFLDSLMDRSRPYARRALRNLSMRKQEHLHTPPFPTIQAWDRDYYCPPEPPAPPIPLPRLTFGTVLMGLSRLFRHLYGIHLRPVKPIAGEVWHSDVHKLEVVDEERGVIGLIYADVFARRGKASGAAHYTVRCSRRTDDDDVQGDNDELTRMYPDLIKQSEEFEAVGRGPIPGLPGTYQQPLVVLLCEFARPSLGAAVLEWHEVMTLFHEMGHAMHSMIGRTEYQNVSGTRCPTDFVELPSILMEHFLNSRQVLSLFHADSTSSSSQPIGNHDEDPCHSIDTYAQIMLAALDQIYHSPAALQPGFDSTRKLARLHDEKGLIPYVPGTSFQTQFGHLFGYGATYYSYLFDRAIASRVWKDVFSSSPLSRETGERYKQEVLRYGGGKDPWEMVSALLKAPELASGDAEAMATVGRWKIEDEVGLPGRH", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MALITLRKNLYRLSDFQMHRALAALKNKPLNHVHKVVKERLCPWLCSRQPEPFGVKFHHAHCKKFHSKNGNDLHPLGGPVFSQVSDCDRLEQNVKNEESQMFYRRLSNLTSSEEVLSFISTMETLPDTMAAGALQRICEVEKKDGDQGLPKEILENSIFQALCFQFEKEPSQLSNTSLVTALQALILLHVDPQSSLLLNLVAECQNRLRKGGMEVRNLCILGESLITLHSSGCVTLELIINQLQGEKLETFTPEDIVALYRILQACTEKVDEHQTFLNKINNFSLSIVSNLSPKLISQMLTALVVLDQSQAFPLIIKLGKYVVRHVPHFTNEELRRVLEAFIYFGHHDTFFTKALEHRVAAVCLTLDPEVVCRVMEYCSRELILSKPILNAVAETFVCQTEKFSPRQISALMEPFGKLNYLPPNASALFRKLENVLFTHFNYFPPKSLLKLLHSCSLNECHPVNFLAKIFKPLFLQRLQGKESHLDTLSRAQLTQLFLASVLECPFYKGPKLLPKYQVKSFLTPCCSLETPVDSQLYRYVKIGLTNLLGARLYFAPKVLTPYCYTIDVEIKLDEEGFVLPSTANEDIHKRIALCIDGPKRFCSNSKHLLGKEAIKQRHLQLLGYQVVQIPYHEIGMLKSRRELVEYLQRKLFSQNTVHWLQE", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLRQIIGQAKKHPSLIPLFVFIGTGATGATLYLLRLALFNPDVCWDRNNPEPWNKLGPNDQYKFYSVNVDYSKLKKERPDF", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLSLSAKNHFTVSNSITHVIKSYHIRTLTSSAEKMPHITTPFSTSASSTKLKAFRKVRPVLQRHSSSWIVAQNHRRSLSGQSSLNDLRHLNRFPHHTLKTSNNEFYPAEQLTLEDVNENVLKAKYAVRGAIPMRAEELKAQLEKDPQSLPFDRIINANIGNPQQLQQKPLTYYRQVLSLLQYPELLNQNEQQLVDSKLFKLDAIKRAKSLMEDIGGSVGAYSSSQGVEGIRKSVAEFITKRDEGEISYPEDIFLTAGASAAVNYLLSIFCRGPETGVLIPIPQYPLYTATLALNNSQALPYYLDENSGWSTNPEEIETVVKEAIQNEIKPTVLVVINPGNPTGAVLSPESIAQIFEVAAKYGTVVIADEVYQENIFPGTKFHSMKKILRHLQREHPGKFDNVQLASLHSTSKGVSGECGQRGGYMELTGFSHEMRQVILKLASISLCPVVTGQALVDLMVRPPVEGEESFESDQAERNSIHEKLITRAMTLYETFNSLEGIECQKPQGAMYLFPKIDLPFKAVQEARHLELTPDEFYCKKLLESTGICTVPGSGFGQEPGTYHLRTTFLAPGLEWIKKWESFHKEFFDQYRD", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLMIARKALASAHTKAFRLATRDVHCFSSILVSPPLVSLDLPENWIPYSDPPPPVSFETEQKTVVIDGNVIAEEIRTKIISEVGKMKKAVGKVPGLAVVLVGEQRDSQTYVRNKIKACEETGIKSVLAELPEDCTEGQIISVLRKFNEDTSIHGILVQLPLPQHLNESKILNMVRLEKDVDGFHPLNVGNLAMRGREPLFVSCTPKGCVELLIRTGVEIAGKNAVVIGRSNIVGLPMSLLLQRHDATVSTVHAFTKDPEHITRKADIVIAAAGIPNLVRGSWLKPGAVVIDVGTTPVEDSSCEFGYRLVGDVCYEEALGVASAITPVPGGVGPMTITMLLCNTLEAAKRIFL", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNPQVSNIIIMLVMMQLSRRIDMEDPTIIMYIRILYCSSIGISWIIYQMARKRIVAKNDMTTMKYVEPGNAMSGEGEKLQVTTVRDYDLKEIDSAIKSIYTGMAMMGFMHLYLKYTNPLFMQSISPVKSALEHNEVKIHLFGKPATGDLKRPFKAPSLFGGMGQTGPKTDKKSIEEAERAGNAGVKAE", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MALDFLAGCAGGVAGVLVGHPFDTVKVRLQVQSVEKPQYRGTLHCFKSIIKQESVLGLYKGLGSPLMGLTFINALVFGVQGNTLRALGHDSPLNQFLAGAAAGAIQCVICCPMELAKTRLQLQDAGPARTYKGSLDCLAQIYGHEGLRGVNRGMVSTLLRETPSFGVYFLTYDALTRALGCEPGDRLLVPKLLLAGGTSGIVSWLSTYPVDVVKSRLQADGLRGAPRYRGILDCVHQSYRAEGWRVFTRGLASTLLRAFPVNAATFATVTVVLTYARGEEAGPEGEAVPAAPAGPALAQPSSL", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNASEKLSQKAAQSVTRRFITWLKSPDFRKYLCSTHFWGPLSNFGIPIAAILDLKKDPRLISGRMTGALILYSSVFMRYAWMVSPRNYLLLGCHAFNTTVQTAQGIRFVNFWYGKEGASKQSVFENIMQAAKHPESGTRQK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MFRSMIVRSASPVKQGLLRRGFASESVPDRKVVILGAAGGIGQPLSLLMKLNPLVSSLSLYDIANTPGVAADVGHINTRSQVSGYMGDDDLGKALEGADLVIIPAGVPRKPGMTRDDLFNINAGIVKNLSIAIAKYCPQALVNMISNPVNSTVPIAAEIFKKAGTYDEKKLFGVTTLDVVRARTFYAGKSDVNVAEVNVPVVGGHAGITILPLFSQASPQANLSDDLIRALTKRTQDGGTEVVEAKAGKGSATLSMAYAGALFADACLKGLNGVPNVVECSFVQSTITELPFFASKVRLGKNGVEEVLDLGPLSDFEKEGLEALKAELKSSIEKGIKFANQ", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTLGNRRHGRNNEGSSNMNMNRNDLDDVSHYEMKEIQPKEKQIGSIEPENEVEYFEKTVEKTIENMEYEGEHHASYLRRFIDSFRRAEGSHANSPDSSNSNGTTPISTKDSSSQLDNELNRKSSYITVDGIKQSPQEQEQKQENLKKSIKPRHTVMMSLGTGIGTGLLVGNSKVLNNAGPGGLIIGYAIMGSCVYCIIQACGELAVIYSDLIGGFNTYPLFLVDPALGFSVAWLFCLQWLCVCPLELVTASMTIKYWTTSVNPDVFVVIFYVLIVVINVFGAKGYAEADFFFNCCKILMIVGFFILAIIIDCGGAGTDGYIGSKYWRDPGAFRGDTPIQRFKGVVATFVTAAFAFGMSEQLAMTASEQSNPRKAIPSAAKKMIYRILFVFLASLTLVGFLVPYTSDQLLGAAGSATKASPYVIAVSSHGVRVVPHFINAVILLSVLSVANGAFYTSSRILMSLAKQGNAPKCFDYIDREGRPAAAMLVSALFGVIAFCASSKKEEDVFTWLLAISGLSQLFTWITICLSHIRFRRAMKVQGRSLGEVGYKSQVGVWGSAYAVLMMVLALIAQFWVAIAPIGGGGKLSAQSFFENYLAMPIWIALYIFYKVWKKDWSLFIPADKVDLVSHRNIFDEELLKQEDEEYKERLRNGPYWKRVLDFWC", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLSRSRCVSRAFSRSLSAFQKGNCPLGRRSLPGISLCQGPGYPDSRKIVISNSSVLNVRFFRTTAVCKDDVITVKTPAFAESVTEGDVRWEKAVGDTVAEDEVVCEIETDKTSVQVPSPANGVIEALLVPDGGKVEGGTPLFTLRKTGAAPAKAKPAEAPAAAAPKAEPAVSAVPPPPAASIPTQMPPVPSPPQPLTSKPVSAVKPTAAPPVAEPGAVKGLRAEHREKMNRMRQRIAQRLKEAQNTCAMLTTFNEIDMSNIQDMRARHKEAFLKKHNLKLGFMSAFVKASAFALQEQPVVNAVIDDTTKEVVYRDYIDISVAVATPRGLVVPVIRNVETMNYADIERTISELGEKARKNELAIEDMDGGTFTISNGGVFGSLFGTPIINPPQSAILGMHAIVDRPVAVGGKVEIRPMMYVALTYDHRLIDGREAVTFLRKIKAAVEDPRVLLLDL", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MWKIMRSWKCGGMRWAHRQRPSHELLSQLSFDQHYKIRSNIELLIQDYASKPIAPLNYEYFLQYRPPLTKKEEYMLTIKTINLLLSLTCKRLNAIQRLPYNAVINPHIERTNSLYLKSLQTLLSIAYPYELHNPPKIQAKFTELLDDHEDAIVVLAKGLQEIQSCYPKFQISQFLNFHLKERITMKLLVTHYLSLMAQNKGDTNKRMIGILHRDLPIAQLIKHVSDYVNDICFVKFNTQRTPVLIHPPSQDITFTCIPPILEYIMTEVFKNAFEAQIALGKEHMPIEINLLKPDDDELYLRIRDHGGGITPEVEALMFNYSYSTHTQQSADSESTDLPGEQINNVSGMGFGLPMCKTYLELFGGKIDVQSLLGWGTDVYIKLKGPSKTALLSKK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFRRPVLQVLRQFVRHESETTTSLVLERSLNRVHLLGRVGQDPVLRQVEGKNPVTIFSLATNEMWRSGDSEVYQLGDVSQKTTWHRISVFRPGLRDVAYQYVKKGSRIYLEGKIDYGEYMDKNNVRRQATTIIADNIIFLSDQTKEKE", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLRFVPRRLAIGAYTLFMMEQKNNPKLKGLKIADRGKMTSKLYKALNPNDKAALEKRAAAHPGFKRKEKEPKELKAAKAAKTSTPRAPSEYAKFVQANIGRFEKLPHLDRMKAVAKLWKQQQMRTGKP", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNGALGKVLCLKNDTIFKQAFSLLRFRTSGENPVYSAGGILLTTSRHYRSKPTHGIGRYKHLVKPEEPKKKKGKVEIRAINVGTDYEYGTLNIHLIAYDMALTESYAQYVHNLCNHLSIKVEESYAMPTKTMEVLQLQDQGNKMLLDSVLTTHERVVQISGLNATFAEIFLEIIQSNLPEGVKLSVREHTEEDFKGRFKARPELEELLAKLN", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASGLIGRLVGTKPSKLATAARLIPARWTSTGAEAETKASSGGGRGSNLKTFQIYRWNPDNPGKPELQNYQIDLKDCGPMVLDALIKIKNEMDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKIQDEASETTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKTPASVPAKEILQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEYTKERLEAIDDEFKLYRCHTILNCARACPKGLNPGKQITHIKQLQR", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MARQNFLGLVVSQGKMQKTVKVRVETKVFNKKINKELFHRRDYLVHDEGEISREGDLVRIEATRPLSKRKFFAIAEIIRNKGQQFALYESEAQLSVAKEEAQKAKEFLDKRSVRENKLNEKTTLLRDIRTIQDALSSGSTPKELLEIKQRYGIQDFSQETVRQLLQLDISGLEVNLEKQRSLIDRIQTRLSELLSNDLKCDQFLKDHGVEDPLTLKKNIKKNLLRKHVMMDMQQPSQ", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAGILRSIVQRPPGRLQTATKGVEPLVCVDWIRHKFTRSRIPDEVFRPSPEDHEKYGGDPQQPHKLHIVTRIKSTKRRPYWEKDIIKMLGLQKAHTPQVHKNIPSVNAKLKIVKHLIRIKPLKLPQGLPTEEDMSNTCLKSTGELVTRWLLNPADQEAKKC", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MFISRRCRIKGFTLKNLLWFRSSSTRFVSTESPDASAITKPDGIFNYSLLENRTYIRIRGPDTVKFLNGLVTSKLLPHFIKKNLTTVEENEVPTEEGTTKVDPIIPVPEFDARLGNWGLYNEKGIQGPYISRFGLYSAFLNGKGKLITDTIIYPTPVTVSEQISNYPEYLLELHGNVVDKILHVLQTHKLANKIKFEKIDHSSLKTWDVEVQFPNLPKDIENPWFDNLLDPMALPKNSIDANNFAVNVLNSLFNSDPRILGIYVERRTESMSRHYSTFPQSFRVVTSEQVDDLSKLFNFNVFDFPFQVNKKASVQVREIRFQKGLIDSTEDYISETLLPLELNFDFFPNTISTNKGCYVGQELTARTYATGILRKRLVPVKLDNYQLLDTDPERKYAEFHIDNVVEKSLAENEPTLNPFTNKPPERTKRKQRPAGLLISNEGLYGVALLRTEHFSAAFSSDEPVEFYITTTKGENIKITPQKPFWFSDWKNNNGPHK", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATPFIAGVAVAATALAGRYGIQAWQAFKARPPRPKIKKFYEGGFQPTMTKREAALILGVRESVAAEKVKEAHRKVMVANHPDAGGSHFLASKINEAKDVMLGKTKNSGSAF", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVLIIRPSQTLILFRKAMLKPIGRYPLKRNFFGLSGTNHTIREQRYVLRKAINAPPSTVYAAVSEVAQYKEFIPYCVDSFVDKRNPVDNKPLIAGLRVGFKQYDEEFICNVTCKDTDHTYTVVAETISHNLFHLLISKWTIMPHPNRPNAAMVELLLRFKFKSRIYNSVSLIFAKTVTELVMNAFAKRAYHLVRLAMLKPSSKEGSP", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MARFPTSPAPNRLLRLFSSNKRSSSPTAALLTGDFQLIRHFSAGTAARVAKDEKEPWWKESMDKLRNIGISAHIDSGKTTLTERVLFYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWKDYKVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRVAFINKLDRMGADPWKVLNQARAKLRHHSAAVQVPIGLEENFQGLIDLIHVKAYFFHGSSGENVVAGDIPADMEGLVAEKRRELIETVSEVDDVLAEKFLNDEPVSASELEEAIRRATIAQTFVPVFMGSAFKNKGVQPLLDGVVSFLPSPNEVNNYALDQNNNEERVTLTGSPDGPLVALAFKLEEGRFGQLTYLRVYEGVIKKGDFIINVNTGKRIKVPRLVRMHSNDMEDIQEAHAGQIVAVFGIECASGDTFTDGSVKYTMTSMNVPEPVMSLAVQPVSKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERMRREYKVDATVGKPRVNFRETITQRAEFDYLHKKQSGGAGQYGRVTGYVEPLPPGSKEKFEFENMIVGQAIPSGFIPAIEKGFKEAANSGSLIGHPVENLRIVLTDGASHAVDSSELAFKMAAIYAFRLCYTAARPVILEPVMLVELKVPTEFQGTVAGDINKRKGIIVGNDQEGDDSVITANVPLNNMFGYSTSLRSMTQGKGEFTMEYKEHSAVSNEVQAQLVNAYSASKATE", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MWRLPGARAALRVIRTAVEKLSRAEAGSQTAAGAMERAVVRCVPSEPKLSLSFALADGSHKNMQRDQSEPLGRVLSRIATNALKGHAKAAAAKKSRKSRPNASGGAACSGPGPEPAVFCEPVVKLYYREEAVAEDVLNVDAWQDGAVLQIGDVKYKVERNPPAFTELQLPRYIMAGFPVCPKLSLEFGDPASSLFRWYKEAKPGAAEPEVGVPSSLSPSSPSSSWTETDVEERVYTPSNADIGLRLKLHCTPGDGQRFGHSRELESVCVVEAGPGTCTFDHRHLYTKKVTEDALIRTVSYNILADTYAQTEFSRTVLYPYCAPYALELDYRQNLIQKELTGYNADVICLQEVDRAVFSDSLVPALEAFGLEGVFRIKQHEGLATFYRKSKFSLLSQHDISFYEALESDPLHKELLEKLVLYPSAQEKVLQRSSVLQVSVLQSTKDSSKRICVANTHLYWHPKGGYIRLIQMAVALAHIRHVSCDLYPGIPVIFCGDFNSTPSTGMYHFVINGSIPEDHEDWASNGEEERCNMSLTHFFKLKSACGEPAYTNYVGGFHGCLDYIFIDLNALEVEQVIPLPSHEEVTTHQALPSVSHPSDHIALVCDLKWK", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNRILSSASLLSNVSMPRQNKHKITKALCYAIIVASIGSIQFGYHLSELNAPQQVLSCSEFDIPMEGYPYDRTWLGKRGYKQCIPLNDEQIGIVTSVFCIGGILGSYFATSLANIYGRKFSSLINCTLNIVGSLIIFNSNSYRGLIIGRILVGISCGSLIVIIPLFIKEVAPSGWEGLLGSMTQICIRLGVLLTQGIALPLTDSYRWRWILFGSFLIAVLNFFMWFIVDESPKWLLAHGRVTDAKLSLCKLRGVTFDEAAQEIQDWQLQIESGDPLIEPTTTNSISGSNSLWKYLRDRTNVKSRHVITVLLFGQQFCGINSIVLYGTKIISQLYPQHAIRINFFISMVNVLVTILVSLLIHSLPRKPLLMTSTVLVSVTAFIMGIAMNHNKMNLLIVFSFIYMGVFTMGLNPLPFIIMREVSKPQDMVLAQRYGTICNWVGTFIIAYTFPIIHDVLSGYVFIIFAIIACSISAFIWKKVPETKRSG", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MMLRRNAVRSLKTMEISVSNVVNSGSIAMLRGKLANVVLSDRTYHSSPIFHKNVPKGVLDKKNGREQRKTEQNVFNVDPASPWRHELLSFDECVSSALKYSTTPLQNTYKRIGNNQLNKNPSFAMFWDSMGRAMELYYSLRESPDFNAYRVSRLIHLLHNGLRSTRDQLVKLSRKPDYDSQSFHKEMMNFLCNSLKDISDDILIGKVSVSGYGATHLLTSFKELSFDDDCIRIWEASKNLSDETTSQAFQEPKVVGFMLPLLYAKTRSLTEPNELYNQIIQSKEFIHPNLYSGLIKVFIKAEDYEKALSLFGQLCEKAEVRNYGYLIETHLSFIGDSKNLTLAESFFDKIINDEMPYKIILQVSTVNSFLQNIWKAQNDFDHVYRIWEKAVKFYGNTVNPGILSSLNNTFFTIFFENYINDNINGFRKLQEIITFYSGVKKIDEPFFNVMLTRASIWHERSIIDFIDKNYTLYHIPRTIISYRILLKSLGSIDNTNNEEILDRWLELVKKLNELGQQYIANADLSALRDATVVWSQSKRDEKVFSAKAKGTPATTTTTEDDIKVPKPLENLKNEDSTSNSEDRIELYLKILKRYTPYFRATKQVYRYTTGCAESYPILNEYLSGYSDLSAEDIPVPQLHSFIAKEQ", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKISLHNKRQRGDQNQNMSVFNVLKPLLKGSNSFKVKLNGFLFNNVSTITIRTLMKTHKGTAKRWRRTGNTFKRGIAGRKHGNIGWSHRSLKALTGRKIAHPAYSKHLKRLLPYH", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MHPDLSPHLHTEECNVLINLLKECHKNHNILKFFGYCNDVDRELRKCLKNEYVENRTKSREHGIAMRKKLFNPPEESEK", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAVILLLALALVLGCYCALHRHKLADIYLRPLLKNTLLEDFYHAELIQPEAPKRRRRGIWDIPGPKRIPFLGTKWIFLLFFRRYKMTKLHEVYADLNRQYGDIVLEVMPSNVPIVHLYNRDDLEKVLKYPSKYPFRPPTEIIVMYRQSRPDRYASVGIVNEQGPMWQRLRSSLTSSITSPRVLQNFLPALNAVCDDFIELLRARRDPDTLVVPNFEELANLMGLEAVCTLMLGRRMGFLAIDTKQPQKISQLAAAVKQLFISQRDSYYGLGLWKYFPTKTYRDFARAEDLIYDVISEIIDHELEELKKSAACEDDEAAGLRSIFLNILELKDLDIRDKKSAIIDFIAAGIETLANTLLFVLSSVTGDPGAMPRILSEFCEYRDTNILQDALTNATYTKACIQESYRLRPTAFCLARILEEDMELSGYSLNAGTVVLCQNMIACHKDSNFQGAKQFTPERWIDPATENFTVNVDNASIVVPFGVGRRSCPGKRFVEMEVVLLLAKMVLAFDVSFVKPLETEFEFLLAPKTPLSLRLSDRVF", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MYGQVLESLAMIGLKGILKVIDNSGATLAECIRVVRAGKFASLGDEVVVVVKKARSGSSVTAANKVKRGDIHHAIIVRTKSPVRRPDGRYVRFDDNACVLVNKECEPLGTRILSVVANELRTKHHTKIASLAPRTI", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASKVISATIRRTLTKPHGTFSRCRYLSTAAAATEVNYEDESIMMKGVRISGRPLYLDMQATTPIDPRVFDAMNASQIHEYGNPHSRTHLYGWEAENAVENARNQVAKLIEASPKEIVFVSGATEANNMAVKGVMHFYKDTKKHVITTQTEHKCVLDSCRHLQQEGFEVTYLPVKTDGLVDLEMLREAIRPDTGLVSIMAVNNEIGVVQPMEEIGMICKEHNVPFHTDAAQAIGKIPVDVKKWNVALMSMSAHKIYGPKGVGALYVRRRPRIRLEPLMNGGGQERGLRSGTGATQQIVGFGAACELAMKEMEYDEKWIKGLQERLLNGVREKLDGVVVNGSMDSRYVGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTTKEEIDKAVELTVKQVEKLREMSPLYEMVKEGIDIKNIQWSQH", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSGYIRTLFIRNRFSNYRLRSQIIKYKYSNVSYLNKSALRCGQATDSTHPHILQPGELTPRISAQEYKTRRDRVASLLEDNDFMIVTSAPVRHMCGAAFYEYHQDPNFYYLTGCLEPNAVLLMFKNGASGSYDCSLYLPSKNPYIEKWEGLRTGSTLGKKLFQIENVYDSSLASSVINALGKKSNRIFYNYQTGYLSKMPAASAPEFIQDTLTKLFRTSTQRSVDELLHPLRSIKSTAELECMKEAANISSNVYREIMRKRFEKEAEMSAEFNYRFCIGGCDRSAYVPVVAGGKNGLTIHYTINNDIFRPDEMVLVDAGGEFGGYVTDISRTWPINGKFSTVQRDLYQAVLNVQKKCIKYCCTSNGWSLADIHFESVKLMHEELKQVGIHGTKREITDILYPHSIGHEIGLEIHDCSTNNGYQPLRKNQVITIEPGLYVPEEDGWPQWAQGIAIRIEDSVIVGDDKPFVLTSAAPKEIEEIEALKK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MADAWKDLASGTVGGAAQLVVGHPFDTIKVKLQSQPTPAPGQLPRYTGAIDAVKQTVASEGTKGLYKGMGAPLATVAAFNAVLFTVRGQMEGLLRSEAGVPLTISQQFVAGAGAGFAVSFLACPTELIKCRLQAQGALAGASTTSSVVAAVKYGGPMDVARHVLRSEGGARGLFKGLFPTFAREVPGNATMFAAYEAFKRFLAGGSDTSSLGQGSLIMAGGVAGASFWGIVYPTDVVKSVLQVDDYKNPRYTGSMDAFRKILKSEGVKGLYKGFGPAMARSVPANAACFLAYEMTRSSLG", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSAAGAIAAASVGRLRTGVRRPFSEYGRGLIIRCHSSGMTLDNINRAAVDRIIRVDHAGEYGANRIYAGQMAVLGRTSVGPVIQKMWDQEKNHLKKFNELMIAFRVRPTVLMPLWNVAGFALGAGTALLGKEGAMACTVAVEESIANHYNNQIRMLMEEDPEKYEELLQVIKQFRDEELEHHDTGLDHDAELAPAYALLKRIIQAGCSAAIYLSERF", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAASGKLSTCRLPPLPTIREIIKLLRLQAAKQLSQNFLLDLRLTDKIVRKAGNLTNAYVYEVGPGPGGITRSILNADVAELLVVEKDTRFIPGLQMLSDAAPGKLRIVHGDVLTFKVEKAFSESLKRPWEDDPPNVHIIGNLPFSVSTPLIIKWLENISCRDGPFVYGRTQMTLTFQKEVAERLAANTGSKQRSRLSVMAQYLCNVRHIFTIPGQAFVPKPEVDVGVVHFTPLIQPKIEQPFKLVEKVVQNVFQFRRKYCHRGLRMLFPEAQRLESTGRLLELADIDPTLRPRQLSISHFKSLCDVYRKMCDEDPQLFAYNFREELKRRKSKNEEKEEDDAENYRL", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKGNWSIVRKVLHRQFSTLRSSTPSSRLSTSIRPLVLAPNSISSLIARNSLFTASNIGPSIDFNFSNTSLPHRRSLCSEAGGENGVVLVKSEEEFINAMSKAQDGSLPSVFYFTAAWCGPCRFISPVIVELSKQYPDVTTYKVDIDEGGISNTISKLNITAVPTLHFFKGGSKKGEVVGADVTKLKNLMEQLYK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRGFASSASRIATAAAASKSLNASTSVNPKLSKTLNSSGKPTNPLNQRYISQVIERKDWFLILNQEFTTHRIGLNTRFVISVLQNQDNPLHSLRFYLWVSNFDPVYAKDQSLKSVLGNALFRKGPLLLSMELLKEIRDSGYRISDELMCVLIGSWGRLGLAKYCNDVFAQISFLGMKPSTRLYNAVIDALVKSNSLDLAYLKFQQMRSDGCKPDRFTYNILIHGVCKKGVVDEAIRLVKQMEQEGNRPNVFTYTILIDGFLIAGRVDEALKQLEMMRVRKLNPNEATIRTFVHGIFRCLPPCKAFEVLVGFMEKDSNLQRVGYDAVLYCLSNNSMAKETGQFLRKIGERGYIPDSSTFNAAMSCLLKGHDLVETCRIFDGFVSRGVKPGFNGYLVLVQALLNAQRFSEGDRYLKQMGVDGLLSSVYSYNAVIDCLCKARRIENAAMFLTEMQDRGISPNLVTFNTFLSGYSVRGDVKKVHGVLEKLLVHGFKPDVITFSLIINCLCRAKEIKDAFDCFKEMLEWGIEPNEITYNILIRSCCSTGDTDRSVKLFAKMKENGLSPDLYAYNATIQSFCKMRKVKKAEELLKTMLRIGLKPDNFTYSTLIKALSESGRESEAREMFSSIERHGCVPDSYTKRLVEELDLRKSGLSRETVSAS", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSYCRQEGKDRIIFVTKEDHETPSSAELVADDPNDPYEEHGLILPNGNINWNCPCLGGMASGPCGEQFKSAFSCFHYSTEEIKGSDCVDQFRAMQECMQKYPDLYPQEDEDEEEEREKKPAEQAEETAPIEATATKEEEGSS", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGASARLLRAVIMGAPGSGKGTVSSRITTHFELKHLSSGDLLRDNMLRGTEIGVLAKAFIDQGKLIPDDVMTRLALHELKNLTQYSWLLDGFPRTLPQAEALDRAYQIDTVINLNVPFEVIKQRLTARWIHPASGRVYNIEFNPPKTVGIDDLTGEPLIQREDDKPETVIKRLKAYEDQTKPVLEYYQKKGVLETFSGTETNKIWPYVYAFLQTKVPQRSQKASVTP", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAFRAARIAFAWKATNPANTTIRQYIKETLEEAPEKISQTVKKATGKASKKIDENKDKSPQEMAENAKQSVKQTAKDAKDTDYQQKAKDAGKKIKEEFSQRSENVLEETRREGMNRDGGVKKE", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLAARLVCLRTLPSRVFHPAFTKASPVVKNSITKNQWLLTPSREYATKTRIGIRRGRTGQELKEAALEPSMEKIFKIDQMGRWFVAGGAAVGLGALCYYGLGLSNEIGAIEKAVIWPQYVKDRIHSTYMYLAGSIGLTALSAIAISRTPVLMNFMMRGSWVTIGVTFAAMVGAGMLVRSIPYDQSPGPKHLAWLLHSGVMGAVVAPLTILGGPLLIRAAWYTAGIVGGLSTVAMCAPSEKFLNMGAPLGVGLGLVFVSSLGSMFLPPTTVAGATLYSVAMYGGLVLFSMFLLYDTQKVIKRAEVSPMYGVQKYDPINSMLSIYMDTLNIFMRVATMLATGGNRKK", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLFFTQCFGAVLDLIHLRFQHYKAKRVFSAAGQLVCVVNPTHNLKYVSSRRAVTQSAPEQGSFHPHHLSHHHCHHRHHHHLRHHAHPHHLHHQEAGLHANPVTPCLCMCPLFSCQWEGRLEVVVPHLRQIHRVDILQGAEIVFLATDMHLPAPADWIIMHSCLGHHFLLVLRKQERHEGHPQFFATMMLIGTPTQADCFTYRLELNRNHRRLKWEATPRSVLECVDSVITDGDCLVLNTSLAQLFSDNGSLAIGIAITATEVLPSEAEM", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVYTGFGLEQISPAQKKPYNELTPEEQGERGAEMIMNFMTSCPGKSVVSGVTGFALGGVLGLFMASMAYDTPLHTPTPANTAATATAGNIGVGGISRTVQQISDLPFRQQMKLQFTDMGKKSYSSAKNFGYIGMIYAGVECVIESLRAKNDIYNGVTAGFFTGAGLAYKAGPQAALMGGAGFAAFSAAIDLYMKSEDGRPPQNDFKE", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLILTKTAGVFFKPSKRKVYEFLRSFNFHPGTLFLHKIVLGIETSCDDTAAAVVDETGNVLGEAIHSQTEVHLKTGGIVPPAAQQLHRENIQRIVQEALSASGVSPSDLSAIATTIKPGLALSLGVGLSFSLQLVGQLKKPFIPIHHMEAHALTIRLTNKVEFPFLVLLISGGHCLLALVQGVSDFLLLGKSLDIAPGDMLDKVARRLSLIKHPECSTMSGGKAIEHLAKQGNRFHFDIKPPLHHAKNCDFSFTGLQHVTDKIIMKKEKEEGIEKGQILSSAADIAATVQHTMACHLVKRTHRAILFCKQRDLLPQNNAVLVASGGVASNFYIRRALEILTNATQCTLLCPPPRLCTDNGIMIAWNGIERLRAGLGILHDIEGIRYEPKCPLGVDISKEVGEASIKVPQLKMEI", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSIRRAMSTTASKEWRDYFMSTHFWGPVANWGIPVAALADTQKSPKFISGKMTLALTLYSCIFMRFAYKVQPRNWLLFACHATNATAQSIQGLRFLHYNYGSKEQQA", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MMILGKAGILAQYGTIYVRQNTIRNNLSSCIFKQSLCAFHSLAKVLQQKQVPLDLSYDIIKRDAVKTGDEGKPRPPIIILHGLFGNKLNNRSIGRNLNKKLGRDVYLLDLRNHGSSPHSSVHNYEVMSEDVKHFITKHELNTNGGPIIIGHSMGGKVAMMLVLKNPQLCSMLVCIENAPVSLRPNAEFVEYIKALMEIVNDKGKTIRTLKQADEHLAERIGGNELVRRFLLTALKKVKMDNSSSVSSYTFEERIPLATLKDAIVKGEIAAWPLDPARERWTRPALFIRATQSHYVVDEYLPIIGAFFPRFETRDIDAGHWVNAEKPGECAESIVDFVERHED", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEKTDEERKKAQMLDARARNISHNVRCTECGSQSIEDSQADIAILLRQLIRNEIGAGKTDKEIYSKLEDEFGETVLYAPKFDLQTAALWLTPVIIAGGTAAGIVYQKHRLRKNVDIMALNLIRGVPLTPKERVTILDVLIPPSPPPQGVVSRLRRWLNR", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAASKPVEAAMAAAAAPASGNGVGSSGGTAAPGSGAGTLPRWHVALAIGAPLLLGAGAMYLWSRRRRRREAGGRGDASGLKRNSERKTPEGRASPALGSGPDGSGDSLEMSSLDRAQAAKNKGNKYFKAGKYEQAIQCYTEAISLCPTEKNADLSTFYQNRAAAFEQLQKWKEVAQDCTKAVELNPKYVKALFRRAKAHEKLDNKKECLEDVTAVCILEGFQNEQSMLLADKVLKLLGKENAKEKYKNREPLMPSPQFIKSYFSSFTDDIISQPMLKGEKSDEDKDKEGEALEVKENSGYLKAKQYMEEENYDKIISECSKEIDAQGKYMAEALLLRATFYLLIGSANAAKPDLDKVISLKEANVKLRANALIKRGTMCMQQQQPMLSTQDFNMAAEIDPMNSDVYHHRGQLKILLDLVEEAVADFDACIRLRPKFALAQAQKCFALYRQAYTANNSSQVQAAMKGFEEVIKKFPRCAEGYALYAQALTDQQQFGKADEMYDKCIDLEPDNATTYVHKGLLQLQWKQDLDKGLELISKAIEIDNKCDFAYETMGTIEVQRGNMEKAIDMFNKAINLAKSEMEMAHLYSLCDAAHAQTEVAKKYGLKPPTL", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MWSRLVWLGLRAPLGGRQGFTSKADPQGSGRITAAVIEHLERLALVDFGSREAVARLEKAIAFADRLRAVDTDGVEPMESVLEDRCLYLRSDNVVEGNCADELLQNSHRVVEEYFVAPPGNISLPKLDEQEPFPHS", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MHSRSALLYRFLRPASRCFSSSSAVTPVTVTQSPKSLEALRARLANESPSLTDFIHGDTYSVEVGTKKKPLPKPKWMKESIPGGERYVQIKKKLRDLKLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPNNVAEAIASWGVDYVVITSVDRDDLPDQGSGHFAETVQRLKFLKPEMLIEALVPDFRGDGGCVEKVSKSGLDVLAHNIETVEELQSFVRDHRANFKQSLDVLRMAKEYAPAGTLTKTSVMLGCGETPDQVVKTMEKVRAAGVDVMTFGQYMRPSKRHMPVAEYVTPDAFERYRLLGMEMGFRYVASGPMVRSSYKAGEYYIKSMIEADRVASPSTSP", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSILKCLGVRGNQLCAARNYLKVLGFSSFHTAPNSSIEIQTQDEEVVIALGSNVGDRLHNFKEALKLMRKSGIHITRHASLYETAPAYVTDQPRFLNSAVRADTKLGPHELLAALKRIEKDMGRTDGIRYGPRPIDLDILFYGKFKVRSDILTVPHERIWERPFVMAPLMDLLGTAIDSDTVASWHSFSGHSGGLNALWEKLGGESLIGEEGMYRVMPVANGLLDWSRRTLVMGILNLTPDSFSDGGNFQSVKSAVSQARLMISEGADIIDIGAQSTRPMASRISAEEELGRLIPVLEAVMSIPEVEGKLISVDTFYSEVALEAVRKGAHIINDVSAGKLDASMFKVMAELDVPYVAMHMRGDPSTMQDSENLKYDNVCKDISSELYSRVREAEISGIPAWRIIMDPGIGFSKKTEDNLAALTGIPDIREEISKRSLAISHAPILIGPSRKRFLGEICSRPSAVDRDPATIASVTAGVLCGANIVRVHNVKDNLDAVKLCDAILKQKSSPIKFKQ", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRWFRALLKNASLAGAPKYIEHFSKFSPSPLSMKQFLDFGSSNACEKTSFTFLRQELPVRLANIMKEINLLPDRVLSTPSVQLVQSWYVQSLLDIMEFLDKDPEDHRTLSQFTDALVTIRNRHNDVVPTMAQGVLEYKDTYGDDPVSNQNIQYFLDRFYLSRISIRMLINQHTLIFDGSTNPAHPKHIGSIDPNCSVSDVVKDAYDMAKLLCDKYYMASPDLEIQEVNATNATQPIHMVYVPSHLYHMLFELFKNAMRATVESHESSLTLPPIKIMVALGEEDLSIKMSDRGGGVPLRKIERLFSYMYSTAPTPQPGTGGTPLAGFGYGLPISRLYAKYFQGDLQLFSMEGFGTDAVIYLKALSTDSVERLPVYNKSAWRHYQTIQEAGDWCVPSTEPKNTSTYRVS", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFLLQGAQMLQMLEKSLRKSLPMSLKVYGTVMHMNHGNPFNLKALVDKWPDFQTVVIRPQEQDMKDDLDHYTNTYHVYSEDLKNCQEFLDLPEVINWKQHLQIQSTQSSLNEVIQNLAATKSFKVKRSKNILYMASETIKELTPSLLDVKNLPVGDGKPKAIDPEMFKLSSVDPSHAAVVNRFWLFGGNERSLRFIERCIQSFPNFCLLGTEGTPVSWSLMDQTGEMRMAGTLPEYRAQGLVTHAIYQQAQCLLKRGFPVYSHVDPKNQIMQKMSQSLNHVPMPSDWNQWNCEPL", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MYLRRAVSKTLALPRRAPPGPAPLGKDASLRRMSSRKFPGTSGSNMIYYLVVGVTVSAGGYYTYKALTSKQVRRTEHVAEPKEQTKAELQPLPGEKEEHVAEAEQVCSEPGDTAVTEAESVDAEEVPEAAVVLPEESQASAPSEVPAEAAVVEASLSSSEPELKITEASLVETTESVPESTQEVESAAPDQDDVCNEGADTSQEGADTSQEGADTSQEGADTTKEEADNSKEAEGTTTEDPRSISEESAELEESPPLGSEPPAQPESQEEETQVTEETASPQG", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAQRLLLRRFLASVISRKPSQGQWPPLTSRALQTPQCSPGGLTVTPNPARTIYTTRISLTTFNIQDGPDFQDRVVNSETPVVVDFHAQWCGPCKILGPRLEKMVAKQHGKVVMAKVDIDDHTDLAIEYEVSAVPTVLAMKNGDVVDKFVGIKDEDQLEAFLKKLIG", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MARSISNVKIVSAFVSRELSNAIFRRGYAATAAQGSVSSGGRSGAVASAVMKKKGVEESTQKISWVPDPKTGYYRPETGSNEIDAAELRAALLNNKQ", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGQQFVWRLQSRFSSIRRASVILQHLRMSKHTETAEVLLERRGCAGVITLNRPKLLNALSLNMIRQIYPQLKKWERDPDTFLIIIKGAGGKAFCAGGDIKALSEAKKAGQTLSQDLFREEYILNNAIASCQKPYVALIDGITMGGGVGLSVHGQFRVATERSLFAMPETGIGLFPDVGGGYFLPRLQGKLGYFLALTGFRLKGRDVHRAGIATHFVDSEKLHVLEEELLALKSPSAEDVAGVLESYHAKSKMGQDKSIIFEEHMDKINSCFSANTVEQILENLRQDGSPFAMEQIKVINKMSPTSLKITLRQLMEGSTKTLQEVLTMEYRLTQACMEGHDFHEGVRAVLIDKDQTPKWKPADLKDVTDEDLNSYFKSLGSRDLKF", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MIRFSWVRLCSSAMAAAAASPDVQAITRAQAMQLDDLSPRKIASILDSYIVGQAEGKRAVAISLRNRWRRRQIEDEGLRRDILPKNILLVGPTGVGKTEISRRMAKLTEAPFVKVEATKYTEVGFKGKDVESIIEDLYSNAKTKAKRRLEIEREKEAHELALEIVFNGWHSCRSASGSFGSSTRNSGSGDSSAEEDKNSSSRDNVTFEEFKEKYKTQFKDDMVVIDVTQQPKGNTKPNASINSVEMLSVGILLGLGSESRGVKTRVTKRVEEALPLATQEALSRLVDETQISALARTLAEQDGVVFIDEIDKVVTEPASANADVSSTGVQQDLLPLIEGSNVTLKDGSQISTDNILFICSGAFHTVKTSDMIAELQGRLPVRVEMHALKEEDIRRILCEPKFNLLLQQKALMKTENIDLEFTPDAVDELARVTTKVNANAQNIGARRLHTVVERVMDEYSFNCQDYEGKKVVIDAEVVRKATGSLMNNIDLAKYIL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAALRAVCSLRGVGAQVLRAGSGIRLPSQPSRGARRWQPDIEWAEQFSGAVMYPSKETAHWKPPPWNDVDVLKEKVVTNVTLNFGPQHPAAHGVLRLVLELSGEMVRKCDPHIGLLHRGTEKLIEYKTYLQALPYFDRLDYVSMMCNEQAYSLAVEKLLNIQPPPRAQWIRVLFGEITRILNHIMAVTTHALDIGAMTPFFWMFEEREKMFEFYERVSGARMHAAYIRPGGVHQDLPLGLMDDIYEFSKNFSLRIDEVEEMLTNNRIWRNRTVDIGVVSAEDALNYGFSGVMLRGSGIQWDLRKTQPYDVYDQVEFDVPIGSRGDCYDRYLCRVEEMRQSLRIIEQCLNKMPPGEIKVDDAKVSPPKRAEMKTSMESLIHHFKLYTEGYQVPPGATYTAIEAPKGEFGVYLVSDGSSRPYRCKIKAPGFAHLAGLDKMSKGHMLADVVAIIGTQDIVFGEIDR", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKVNLMLKRGLATATATASSAPPKIKVGVLLSRIPIIKSELNELEKKYYEYQSELEKRLMWTFPAYFYFKKGTVAEHKFLSLQKGPISKKNGIWFPRGIPDIKHGRERSTKQEVKLSDDSTVAFSNNQKEQSKDDVNRPVIPNDRITEADRSNDMKSLERQLSRTLYLLVKDKSGTWKFPNFDLSDESKPLHVHAENELKLLSGDQIYTWSVSATPIGVLQDERNRTAEFIVKSHILAGKFDLVASKNDAFEDFAWLTKGEISEYVPKDYFNKTEFLLADN", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVSYGSHSSEVSKVLKTPKFVLRYGNVSSKQRFALKRKINYKLRESKYQEYLNEYNTFVLYDWENSGAGSLVDSSYNLPSLWKEFITEGISKGAINDKLPTVFMKRKLTNSALGHCLGLDFLTDPSESEHEYRCMFQTVQDIPSLSQLILFNSMPNVPVRLKLHTIGININFGCKRSLISNGGDQDTEMSEAVSYIQPLLEESSRMYRNLNYWKLLKIARNNKKDEPLDQSTRIKSQVKLLLSQLATNRITSPSVTDHGGHNWLIFTRRRL", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLLPLTKLKPRAKVAVVGGGVSGLCFTYFLSKLRPDVEITLFESQNRTGGWIYSCNTRDMSGNPIMLEKGPRTLRGVSDGTVLIMDTLKDLGKEAVIQSIDKGCIADKKFLLDPSDKLVQVPNSISTTVKFLLNPLGKGLITGMMGEWFRKKSPHPGQDESVESICDRRFGNNYISNNMISALLRGIYGDDVSLLSAKRTFKKIYYNELKHGSNTQAMIDNMRGKSRSKKTENLHQSLTGCLNDYSNAFGKDRSKLLDLSNTLKKYPMLGLAGGLETFPKIVRNALNEFKNVKIVTGNPVTQIMKRPANETTIGLKAKSGDQYETFDHLRLTITPPKIAKLLPKDQNSLSKLLDEIQSNTIILVNYYLPNKDVIDADLQGFGYLVPKSNKNPGKLLGVIFDSVIERNFKPLFDKLSTNPNALNKYTKVTAMIGGCMLNEHGVPVVPSREVTINAVKDALNNHLGISNKDLEAGQWEFTIADRCLPRFHVGYDAWQERAERKLQESYGQTVSVGGMGFSRSPGVPDVIVDGFNDALQLSK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKAERQTGLRNSFTTVIGRKLINTFVPSMMLTSVAGNDIFFRGLFKSPVLAFQSYRYVSILGQLKPSDGSTKSFKRLGRGPSSGLGKTSGRGQKGQKARGKVKSWFEGGQTPIYKLFPKIGFTNVGAKPLKELNLKRIQWFHDKNRLHLQPGEVLDMNKMRKLGLVTGPIKYGVKILASGKFHYNLPIALEASRASAKAIAAIEKAGGKFTARYYTPLGLRAHLNPQWFLEKRGRVPLQARPTKRRDIDFYSKEEKRGYLVMEKDKLLQDIKEAQNKGSRHFLKQNVKKSSLEIELEELSPEKDWVPVVSNSKVMNIKALDH", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLQLRFMPGWVPRNGFFGLKETIGTVHKRFYALASEQPSRKTVKPLDSRKTFLIDTYKHLMENSSMIFFVHYNNLSKTEDHHFRFKIKQTGGKLTKVRNNLFEVYLRNSHLPDPCGFVKRKEQNWKHPLLPLLKGPTATITYEDTNPQQVAKLLKVLQSAQDKLMVIGAKVENEVLNVEKINTFKTLPTKPEMQSQLVSVLQMLSGLGLVRTLENSSNALYLTLKSHNDNQKPKEDVESTTDAESKGSK", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MENSMMFISRSLRRPVTALNCNLQSVRTVIYLHKGPRINGLRRDPESYLRNPSGVLFTEVNAKECQDKVRSILQLPKYGINLSNELILQCLTHKSFAHGSKPYNEKLNLLGAQFLKLQTCIHSLKNGSPAESCENGQLSLQFSNLGTKFAKELTSKNTACTFVKLHNLDPFIFWKMRDPIKDGHINGETTIFASVLNAFIGAILSTNGSEKAAKFIQGSLLDKEDLHSLVNIANENVASAKAKISDKENKAFL", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MQKIFRPFQLTRGFTSSVKNFRQWRLIETRKIAKQPNYQVGDAKPLHMPKERKKFPDYKYGESNIFKQSNKGLYGGSFVQFGNNISESKAKTRKKWLPNVVKKGLWSETLNRKISIKMTAKVLKTISKEGGIDNYLTKEKSARIKELGPTGWKLRYRVLKRKDEIENPPHKDAPIIEMAGGKKAKIYYDEIVNGSPRKISVGRRRLMSFLYPLEKLEYRSVGKDLNYKKFVELFADVPVKDILARLEDHKFDLSTITV", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKGSPISQFSKTSINALTRPWKKYRDGELFYGLSKVGNKRVPLTTKQGNKTMYKGTRASGIGRHTKFGGYVINWKKVRTYVTPDMVNFELKPYVNANVPPLKHEFKGFSGGPLDPRLQLLKIKEYIVNGRVQSEGATDTSCYKERG", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLAQTFKKPHRAVLEQVSGTTVFIRNKRTKSKSSLSPLAQRVVTQLSVMSASRKQPKLLKLAREDLIKHQTIEKCWSIYQQQQRERRNLQLELQYKSIERSMNLLQELSPRLFEAANASEKGKRFPMEMKVPTDFPPNTLWHYNFRK", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MIKLHEVPPEPVDPASLPHDVNAHSPEGDGNPDKRKKIFGIPYPFSRSSCRRFLWNCQKISVLPMALYFPLHAANTLITPAVSPDSAPDDVLMMVREILPSITTKLLVAGITLHVSAGVLLRIVNNWNKPRRNRHRHLKISAEQDLSQDSIGLTGGISGYLFGLYKTFRIPPQVISGYILVPVLIYHLLIMKWVPNSISTEVDFASIKQLLSSKNRWWKWLGGLVPLAILLESGVYHIGSGLCRYFGVRKMTSRKKWSTAINLLTLVGFVSLIRLMKEDSTKLGPNQFESIFKKIRLLLHVN", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAGFWVGTAPLVAAGRRGRWPPQQLMLSAALRTLKHVLYYSRQCLMVSRNLGSVGYDPNEKTFDKILVANRGEIACRVIRTCKKMGIKTVAIHSDVDASSVHVKMADEAVCVGPAPTSKSYLNMDAIMEAIKKTRAQAVHPGYGFLSENKEFARCLAAEDVVFIGPDTHAIQAMGDKIESKLLAKKAEVNTIPGFDGVVKDAEEAVRIAREIGYPVMIKASAGGGGKGMRIAWDDEETRDGFRLSSQEAASSFGDDRLLIEKFIDNPRHIEIQVLGDKHGNALWLNERECSIQRRNQKVVEEAPSIFLDAETRRAMGEQAVALARAVKYSSAGTVEFLVDSKKNFYFLEMNTRLQVEHPVTECITGLDLVQEMIRVAKGYPLRHKQADIRINGWAVECRVYAEDPYKSFGLPSIGRLSQYQEPLHLPGVRVDSGIQPGSDISIYYDPMISKLITYGSDRTEALKRMADALDNYVIRGVTHNIALLREVIINSRFVKGDISTKFLSDVYPDGFKGHMLTKSEKNQLLAIASSLFVAFQLRAQHFQENSRMPVIKPDIANWELSVKLHDKVHTVVASNNGSVFSVEVDGSKLNVTSTWNLASPLLSVSVDGTQRTVQCLSREAGGNMSIQFLGTVYKVNILTRLAAELNKFMLEKVTEDTSSVLRSPMPGVVVAVSVKPGDAVAEGQEICVIEAMKMQNSMTAGKTGTVKSVHCQAGDTVGEGDLLVELE", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVSSFSVPMPVKRIFDTFPLQTYAAQTDKDEAVALEIQRRSYTFTERGGGSSELTVEGTYKLGVYNVFLEANTGAALATDPWCLFVQLALCQKNGLVLPTHSQEQTPSHTCNHEMLVLSRLSNPDEALPILVEGYKKRIIRSTVAISEIMRSRILDDAEQLMYYTLLDTVLYDCWITQIIFCASDAQFMELYSCQKLSGSIVTPLDVENSLLQKLSAKSLKISLTKRNKFQFRHREIVKSMQGVYHNHHNSVNQEQVLNVLFENSKQVLLGLKDMLKSDGQPTYLHLKIASYILCITNVKEPIKLKTFVENECKELVQFAQDTLKNFVQ", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAFLSKFGNILKQTTNKQLNAQVSLSSPSLFQAIRCMSSSKLFIGGMAYSMDEDSLREAFTKYGEVVDTRVILDRETGRSRGFGFVTFTSSEAASSAIQALDGRDLHGRVVKVNYANDRTSGGGFGGGGYGGGGGGYGGSGGYGGGAGGYGGSGGYGGGAGGYGGNSGGGYGGNAAGGYGGSGAGGYGGDATGHGGAGGGYGSSGGFGSSGNTYGEGSSASAGAVGDYNGSSGYGSANTYGSSNGGFAGDSQFGGSPVGNSSQFGGDNTQFTAGGQFGGEDQFGSMEKSETKMEDGPIGGEFEDVAKRA", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNGRVDYLVSEEEINLTRGPSGLGFNIVGGTDQQYVSNDSGIYVSRIKEDGAAARDGRLQEGDKILSVNGQDLKNLLHQDAVDLFRNAGYAVSLRVQHRLPVQNGPIVHRGDGEPSGVPVAVVLLPVFALTLVAVWAFVRYRKQL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MQGFGSQIFRKLLRSSNAKVSDALLQNTRTLFTAPPLHSGLQTSFTAETQQHVRQNSQNLLKQLNDEMKARKYTETVATFSSLKPFGILDSQTINRYILFLVDRIKMLNGRGNVDEATLDKLDDILRYAIEHQEIASARFWRIMLQSYIDLNLFDKASLIADMSLSHMEFLPKDERVLGNLYISALQAKILGGASFEQCGKIGSAIHEQLEGKEVVNELVAVYLIYTVFKDQGISSKAHKALVQFNGLTSFHSDVIISVFVNKGLVDQAAAYLKNSNLNERNLPTIYTTVWLLQRLFEAHHSLDPLLTIFDYYLSVSPKDITRLTNAILSLSMKQFERDRDIKKATDFITTFINKMSDVKEFKPSISTANTLFSIASRLKDVKWLSAGFDMIDKYGLKPTHVTYRSLLKAYCLLPSTCEQISQAWVNLEYRLEAISISVADKEINLLKDCILSQPDRDDQQSCLQFLNMVLSKYGKHIRSP", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNIDDYESLPTTSVGVNMTAGAIAGVLEHVVMYPLDSVKTRMQSLSPPTKNMNIVSTLRTMITREGLLRPIRGASAVVLGAGPAHSLYFAAYEMTKELTAKFTSVRNLNYVISGAVATLIHDAISSPTDVIKQRMQMYNSPYTSVVSCVRDIYKREGFKAFYRAYGTQLVMNLPYQTIHFTTYEFFQNKMNLERKYNPPVHMAAGAAAGACAAAVTTPLDVIKTLLNTQETGLTRGMIEASRKIYHMAGPLGFFRGTTARVLYSMPATAICWSTYEFFKFYLCGLDADQYKSSITGSSEPRKADYVLPRTTDEEQIDQEREAAKEKDTTATLHSAPTSVNASGAIKTVCELSTRPAGPTINLHTRHTDVKSPYERGFST", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MIVRMIRLCKGPKLLRSQFASASALYSTKSLFKPPMYQKAEINLIIPHRKHFLLRSIRLQSDIAQGKKSTKPTLKLSNANSKSSGFKDIKRLFVLSKPESKYIGLALLLILISSSVSMAVPSVIGKLLDLASESDGEDEEGSKSNKLYGFTKKQFFTALGAVFIIGAVANASRIIILKVTGERLVARLRTRTMKAALDQDATFLDTNRVGDLISRLSSDASIVAKSVTQNVSDGTRAIIQGFVGFGMMSFLSWKLTCVMMILAPPLGAMALIYGRKIRNLSRQLQTSVGGLTKVAEEQLNATRTIQAYGGEKNEVRRYAKEVRNVFHIGLKEAVTSGLFFGSTGLVGNTAMLSLLLVGTSMIQSGSMTVGELSSFMMYAVYTGSSLFGLSSFYSELMKGAGAAARVFELNDRKPLIRPTIGKDPVSLAQKPIVFKNVSFTYPTRPKHQIFKDLNITIKPGEHVCAVGPSGSGKSTIASLLLRYYDVNSGSIEFGDEDIRNFNLRKYRRLIGYVQQEPLLFNGTILDNILYCIPPEIAEQDDRIRRAIGKANCTKFLANFPDGLQTMVGARGAQLSGGQKQRIALARAFLLDPAVLILDEATSALDSQSEEIVAKNLQRRVERGFTTISIAHRLSTIKHSTRVIVLGKHGSVVETGSFRDLIAIPNSELNALLAEQQDEEGKGGVIDLDNSVAREV", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLNGRLPLLRLGICRNMLSRPRLAKLPSIRFRSLVTPSSSQLIPLSRLCLRSPAVGKSLILQSFRCNSSKTVPETSLPSASPISKGSARSAHAKEQSKTDDYKDIIRLFMLAKRDWKLLLTAILLLTISCSIGMSIPKVIGIVLDTLKTSSGSDFFDLKIPIFSLPLYEFLSFFTVALLIGCAANFGRFILLRILSERVVARLRANVIKKTLHQDAEFFDNHKVGDLISRLGSDAYVVSRSMTQKVSDGVKALICGVVGVGMMCSLSPQLSILLLFFTPPVLFSASVFGKQIRNTSKDLQEATGQLTRVAEEQLSGIKTVQSFVAEGNELSRYNVAIRDIFQVGKTAAFTNAKFFTTTSLLGDLSFLTVLAYGSYLVLQSQLSIGDLTAFMLYTEYTGNAVFGLSTFYSEIMQGAGAASRLFELTDRKPSISPTVGHKYKPDRGVIEFKDVSFSYPTRPSVQIFKNLNFKIAPGSSVCIVGPSGRGKSTIALLLLRYYNPTTGTITIDNQDISKLNCKSLRRHIGIVQQEPVLMSGTIRDNITYGLTYTPTKEEIRSVAKQCFCHNFITKFPNTYDTVIGPHGTLLSGGQKQRIAIARALIKKPTILILDEATSALDVESEGAINYTFGQLMKSKSMTIVSIAHRLSTIRRSENVIVLGHDGSVVEMGKFKELYANPTSALSQLLNEKAAPGPSDQQLQIEKVIEKEDLNESKEHDDQKKDDNDDNDNNHDNDSNNQSPETKDNNSDDIEKSVEHLLKDAAKEANPIKITPQP", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSFWKTLQRQPRTISLFTNDIASNIKSQKCLQLLKGDVSHRFDVEIANRFPTWDQLQYMRTSCPQGPVSLQRQIPKLDSVLKYKHTDPTFGMDLQKCVQRGLWNPKEALWVDWENKLVGNEPADIDKYIIQRK", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAALRGAAARFRGRAPGGARGAAGRQCYDLLVIGGGSGGLACAKEAAQLGKKVAVLDYVEPSPQGTRWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAPHYGWGVAQAPHSWATLADAVQNHVKSLNWGHRIQLQDRKVKYFNVKASFVDTHTVCGVSKGGEETLLSAEHIVIATGGRPRYPTHIEGALEYGITSDDLFWLKESPGKTLVVGASYVALECAGLLTGLGLDTTVMIRSVPLRAFDQQMASLVTEHMAGHGTRILRGCAPEKVEKLPGQQLRVTWVDLTSDRKDAGTFDTVLWAIGRVPETASLNLEKAGVHTNPVTGKILVDAQETTSVPHIYAIGDVAEGRPELTPTAIMAGRLLAQRLSGRTSDLMDYSSVPTTVFTPLEYGCVGLSEEAAVARHGEEHVEVYHAFYKPLEFTVPQRDASQCYIKMVCLREPPQLVLGLHFLGPNAGEVIQGFALGIKCGASYQQLMRTVGIHPTCAEEVAKLRISKRSGLDPTVTGCUG", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGFLTAVTQGLVRGADRMSKWTSKRGPRTFTKSRGAKKTGFYTNRKFVQIKEMVPEFVVPDLTGFKLKPYVNYRAPAGIDTPLTAKALFLETVAPAIEKDFKEGTFDANNLEKYGFEPTQEGKLFQLYPKNFPR", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTMIFFSKLNRSISRSKGFLYGGGVRSAARLLTSPGLEAASVNEVEGGLGFIRRHFASLASRKGLVNNDLIGVFANPRLRRFFSDEAPKKKNYENYFPKDKQEPKSDQKSEHKEGSEKNENENVGDMFMNRFQNLLIPLLALAVFFSTFSFGSGEQQQISFQEFKNKLLEPGLVDHIDVSNKSVAKVYVRSTPKDQQTTDVVHGNGNGIPAKRTGGQYKYYFNIGSVDSFEEKLEEAQEALGVDRHEYVPVTYVSEMVWYQEFMRFAPTLLLLGTLIYGARRMQGGLGVGGTGGKNGRGIFNIGKATITRADKHSKNKIYFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRHLFQEARQAAPSIIFIDEIDAIGRARGRGGLGGNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFKIYLKKIKLDHEPSYYSQRLAALTPGFAGADIANVCNEAALIAARHEGATVTMAHFESAIDRVIGGLEKKNRVISKLERRTVAYHESGHAVVGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPPRDDGYDFSKPYSNKTGAIIDEEVRDWVAKAYERTVELVEEHKVKVAEIAELLLEKEVLHQDDLLKILGERPFKSAEVTNYDRFKSGFEETEKDSAATPTVEPVVDDGAPPPFEPQVVPT", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFSVGKKISFILPIKGVLQKLKDNAQKTVLLDATWYLPTDTKNGKKEYLESRLPGAQYFDIDEAKDHKNPLPHMLPPADEFASYVGKLGIDRNTNVIIYDRKGFFSSPRVFWTFKVFGHEHVFLFPNAFNAWKTEGLELETGEPRTPKPVVYEGAKLNKDLVASFDDIVKVIESPDAAGVHIVDARAHERFLGNVPESRPGLASGHIPTSINIPFTETTAAGITAPKPEEDLEKVFSSHGLTDKSVPIITSCGSGVTASVLFAALKECGFKDVRVYDESWSGYGKRANEDSSLLATGP", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSIMKPTTRFFRFNSLELAVSPFQRIYGQLRFLRKQPKPFLVKLLNNEVSKLGRQGDVVSVTRGYYRNTLFPKKQAIAVDALKSMKAHLLQGSEFSTKTKE", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSMTSSVRVEWIAAVTIAAGTAAIGYLAYKRFYVKDHRNKSMVNPHIQKDNPKVVHAFDMEDLGDKAVYCRCWRSKKFPLCDGSHTKHNEETGDNVGPLIIKKKDT", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MFRIASRQTRNLRALSSSKNWARSLVNTRSFRAAASVQNNNAQIGEMVDKISSLSLLETSELVKQLKEKLNIAEIAPMAAVAPAVASAAPSEEKAPEEKKEEKTTWNLKLESFDAGSKAKVIKEVKSLLGLSLVDAKKFVESAPKVLKENILKEDAEAIKSKLEKLSCKVVLE", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVKGSLLNRNINALNCRCLAQKLTSWGLLNIRSIHADSNRGVVNSSNLIIIPPYKPKSKSVLRSPYLTSHYVDALAFKLVEDPTVHNTLEDVFQDIESYKPKSVNTSAKSFRQLVNTLEVAFRKEQLRKFAKVFHIKSSSLRKKEIIERILLDHWKLRIHGDAMDDMLAIKDVTLCPLEMFFLLLNNASALRDISQKHAAHVVINVTNNNIKIEAKKRDVAIVEELISGIFKHLKSKTIDVTEYYANIINKNAVLLSERCKAYIELSGKAQIKITTAFGNCSFDEIERKLLSFVMLFENTDKCLIDSECLTSKKSFTLNDFTYDFRLPWYLKDDSWKRWCRVKEYSWNTSVLSDEALTRNSLTLPVPIKPSINKDISSIEVKDLSQKNTTQSKKLNSYIRDSFHSVNDFWFSSHATNTEQCFTKRLTATFGYSLFSSSFLSHTKNPDVASFYVKERSKAHHFLFNTFVDQIPSYLKNHSILDETTRKSFYRIILSSNSLSTSLTYPLIEIILPIKNGFLMGKETFQIAFKKSRGYQILLPESELDLKINTTTFKTIANNKSVDAFLDDCVSFFSRPEMTQDSQLNASSGFLTNFSLKDSTDRFYKVLSYEKVSERFVKIDDSYITYSDIFSPLSHSHKDWFRIHTEENSSKNFYEIISEIVGGFPYYSQANERSLIS", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLPKFRSRSSIIKNTERISNILSGGKLTVCGSKLGGLYTFEKCTFNKYYSSSQYQHTGRPVGGNIHSSSNQQRQKNSEAPRINEIPPSTSSVEKSTTIPNSSVVLDHLLNEGENTLEEVKPSEIHPHMSWSSKSEGKMFKIPEELLNKLNGFGALEKQKKSFSFFTSASLLHRKITTELVNVLQRSKDQGTKDGRFLLDGAPGSGRSIALIQAELFALSQPNFIVLPVHNCEGWVNSTSSYGYDEQLKLWVQPDLIKGFLTSVMKTNSDKLKKLKTFESHELLQNECIPAGTDLLSFLHKLIASSNAPKSLEIFLQELNNNTKSNSNMKVLLVIDNISILSVVTKYKDKKNNFLPPKDFYFINLLFKYISGSLTFNRGTVLAATSSQPRVSTPSLDIALGVAHRNPYKSSDETILDSLQSVHILNMEPYTLDESRRMMEYLVSSNVCLEKVDNYLQNHVLSGGNPRKFFDACTRLA", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGLPVHNQPHKAHSGSPASTFSAALVSSAISNVIGYPLDSIKVRQQTYNFPTIRSCFQNAVKNEGLKGLYRGLTLPLISATLSRSVSFTVYDSLKLTFAHVDPTLRYFISGLGTGTFISLFACPFEYSKLYSQIDMLLRKTNMGRRQETNSKLSVRPPLSSFQSASDIVRRYGFTALWNGYRYHLTRDALGSACYFTIYETFKKNLIANDVKPHFAYAFSGAFCGALSWILVFPVDTAKSIVQRNTLLSIKTPLSSIPWLSFTIYRGIGISLMRSALINSCNFTLFELFRETKVLSK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSRILKSLSRSYSTTSPRLYVDVVQGLYISSLKSYKPKAVPSETAAEVKEWSMPSAPTAPKYDVDFTSALNSYKYEGETIPTKAAGESNKFDFLESYENEKEH", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MIPSRISHKFPLFLRSSLAAPKAAYRFSSTIPKPSDQVPDVDAFLNKIGRNCNELKDTFENNWNNLFQWDSKILKEKGVNIQQRKYILKQVHNYRNNRPIHEIKLGKKSFFGGERKRKAFTAKWKAENKQ", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MATVFRPLERLRVPRPSLHPGVTGSGSACCRCTLGAKRYLLTDNIVKLKEFQHKKIAIAYNLPGTKEIYFRNLEEKLTQNKLILKEELKTLLYLCQSLEDVQLAKAVIYRYHAENKNFTLGEYKFGPVFMRLCYELDLEDSAVELVRDKHLQGFFLDSTSFNILMDMLFTKGKYERALQVLIEMKNQDVRFSKETYILAFAICYKLNTLESLKICTTLREEALIKGDIICRRAYCFVVALALNKNQLKNAVSIFSQIVNPESIVCINLNILIHIQSNMLESLLKILEDSLDTNLSKFVRRHTFSEEVLAKVREKLKDSPALIARFDEVYGKLHVNGQITVHSLDALLCHVPRDKRSNLLLLKKRAVSHRTLQPLSRSLLTE", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAIRMGKLTTMPAGLIYASVSVHAAKQEESKKQLVKPEQLPIYTAPPLQSKYVEEQPGHLQMGFASIRTATGCYIGWCKGVYVFVKNGIMDTVQFGKDAYVYLKNPPRDFLPKMGVITVSGLAGLVSARKGSKFKKITYPLGLATLGATVCYPVQSVIIAKVTAKKVYATSQQIFGAVKSLWTKSSKEESLPKPKEKTKLGSSSEIEVPAKTTHVLKHSVPLPTELSSEAKTKSESTSGATQFMPDPKLMDHGQSHPEDIDMYSTRS", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPTELSDITIQSVLPCDYSLFKNNLRVSRLPESRFVFSPKEEINCQSLLQGPELKTALDNLNFIHKQKFEHQFRHGYWKLHPHPHHQHDSIIPASWIHDTPHMKLVFHRLQNLPDGDLLLENDPKNNVGYFISGGIAGIVSRTCTAPLDRLKVMLISDTGSKPSPKYPFATLLHTTKVLWNRNGIRSFFVGNGINVLKVMPESSIKFGTYEAMKRVLGISSSSENHSPLYSYLAGGMAGSVAQMFIYPVDTLKFRIQCSDLSRGQHGKSIILSNAKELYKSVGIRGYYRGVLVGILGMFPYSATDLGTFEGLKRTWIGILASRDNVDPQDVKLPNGLVMAFGALSGSTGATIVFPLNVIRTRLQTQGTSAHPATYDGFIDCFYKTTKNEGFRGLYKGLSPNLLKVAPSVAISYLVYENCKKWLGLE", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSNCLQNFLKITSTRLLCSRLCQQLRSKRKFFGTVPISRLHRRVVITGIGLVTPLGVGTHLVWDRLIGGESGIVSLVGEEYKSIPCSVAAYVPRGSDEGQFNEQNFVSKSDIKSMSSPTIMAIGAAELAMKDSGWHPQSEADQVATGVAIGMGMIPLEVVSETALNFQTKGYNKVSPFFVPKILVNMAAGQVSIRYKLKGPNHAVSTACTTGAHAVGDSFRFIAHGDADVMVAGGTDSCISPLSLAGFSRARALSTNSDPKLACRPFHPKRDGFVMGEGAAVLVLEEYEHAVQRRARIYAEVLGYGLSGDAGHITAPDPEGEGALRCMAAALKDAGVQPEEISYINAHATSTPLGDAAENKAIKHLFKDHAYALAVSSTKGATGHLLGAAGAVEAAFTTLACYYQKLPPTLNLDCSEPEFDLNYVPLKAQEWKTEKRFIGLTNSFGFGGTNATLCIAGL", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MFFRVFLHFIRSHSATAVDFLPVMVHRLPVFKRYMGNTPQKKAVFGQCRGLPCVAPLLTTVEEAPRGISARVWGHFPKWLNGSLLRIGPGKFEFGKDKYNHWFDGMALLHQFRMAKGTVTYRSKFLQSDTYKANSAKNRIVISEFGTLALPDPCKNVFERFMSRFELPGKAAAMTDNTNVNYVRYKGDYYLCTETNFMNKVDIETLEKTEKVDWSKFIAVNGATAHPHYDLDGTAYNMGNSFGPYGFSYKVIRVPPEKVDLGETIHGVQVICSIASTEKGKPSYYHSFGMTRNYIIFIEQPLKMNLWKIATSKIRGKAFSDGISWEPQCNTRFHVVEKRTGQLLPGRYYSKPFVTFHQINAFEDQGCVIIDLCCQDNGRTLEVYQLQNLRKAGEGLDQVHNSAAKSFPRRFVLPLNVSLNAPEGDNLSPLSYTSASAVKQADGTIWCSHENLHQEDLEKEGGIEFPQIYYDRFSGKKYHFFYGCGFRHLVGDSLIKVDVVNKTLKVWREDGFYPSEPVFVPAPGTNEEDGGVILSVVITPNQNESNFILVLDAKNFEELGRAEVPVQMPYGFHGTFIPI", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTLIWRHLLRPLCLVTSAPRILEMHPFLSLGTSRTSVTKLSLHTKPRMPPCDFMPERYQSLGYNRVLEIHKEHLSPVVTAYFQKPLLLHQGHMEWLFDAEGSRYLDFFSGIVTVSVGHCHPKVNAVAQKQLGRLWHTSTVFFHPPMHEYAEKLAALLPEPLKVIFLVNSGSEANELAMLMARAHSNNIDIISFRGAYHGCSPYTLGLTNVGTYKMELPGGTGCQPTMCPDVFRGPWGGSHCRDSPVQTIRKCSCAPDCCQAKDQYIEQFKDTLSTSVAKSIAGFFAEPIQGVNGVVQYPKGFLKEAFELVRARGGVCIADEVQTGFGRLGSHFWGFQTHDVLPDIVTMAKGIGNGFPMAAVITTPEIAKSLAKCLQHFNTFGGNPMACAIGSAVLEVIKEENLQENSQEVGTYMLLKFAKLRDEFEIVGDVRGKGLMIGIEMVQDKISCRPLPREEVNQIHEDCKHMGLLVGRGSIFSQTFRIAPSMCITKPEVDFAVEVFRSALTQHMERRAK", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MYLTASSSASSSIIRAASSRSSSLFSFRSVLSPSVSSTSPSSLLARRSFGTISPAFRRWSHSFHSKPSPFRFTSQIRAVSPVLDRLQRTFSSMASEHPFKGIFTTLPKPGGGEFGKFYSLPALNDPRVDKLPYSIRILLESAIRNCDNFQVTKEDVEKIIDWEKTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTKGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLAGKMRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGNGMSGLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANNMFVDYNEPQQDRVYSSYLELNLDDVEPCISGPKRPHDRVTLKEMKADWHSCLDSKVGFKGFAIPKEAQEKVVNFSFDGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACDLGLQVKPWIKTSLAPGSGVVTKYLLKSGLQEYLNEQGFNIVGYGCTTCIGNSGEINESVGAAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFETEPIGKGKNGKDVFLRDIWPTTEEIAEVVQSSVLPDMFRATYESITKGNPMWNKLSVPENTLYSWDPNSTYIHEPPYFKDMTMDPPGPHNVKDAYCLLNFGDSITTDHISPAGNIQKDSPAAKFLMERGVDRKDFNSYGSRRGNDEIMARGTFANIRIVNKLMNGEVGPKTVHIPSGEKLSVFDAAMRYKSSGEDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIHLPTDISEIRPGQDVTVTTDNGKSFTCTVRFDTEVELAYFNHGGILPYVIRNLSKQ", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLPTFKRYMSSSAHQIPKHFKSLIYSTHEVEDCTKVLSVKNYTPKQDLSQSIVLKTLAFPINPSDINQLQGVYPSRPEKTYDYSTDEPAAIAGNEGVFEVVSLPSGSSKGDLKLGDRVIPLQANQGTWSNYRVFSSSSDLIKVNDLDLFSAATVSVNGCTGFQLVSDYIDWNSNGNEWIIQNAGTSSVSKIVTQVAKAKGIKTLSVIRDRDNFDEVAKVLEDKYGATKVISESQNNDKTFAKEVLSKILGENARVRLALNSVGGKSSASIARKLENNALMLTYGGMSKQPVTLPTSLHIFKGLTSKGYWVTEKNKKNPQSKIDTISDFIKMYNYGHIISPRDEIETLTWNTNTTTDEQLLELVKKGITGKGKKKMVVLEW", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVGGGVIQQILRRKLHSQSLATPVLSWFSSKKAHEDAGSSGVRALALLGAGVTGLLSFSTVASADEAEHGLESPEYPWPHDGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEEAKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANESAARFANGGAYPPDLSLITKARHNGPNYVFALLTGYRDPPAGISIREGLHYNPYFPGGAIAMPKMLNDEAVEYEDGVPATEAQMGKDIVSFLAWAAEPEMEERKLMGFKWIFLLSLALLQAAYYRRLKWSVLKSRKLVLDVVN", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLARSALRSGFLPSLTSSVKTGFCLKAAAPTLSMKWSAVKYYSTKHFTKEHEWVKVDGDVGTVGITSYAANALGEVVFVELPEPETTVSVGDGIGAVESVKSASDVYSPVSGTVTSINESLGDSPDKVSSSPEEEGWICKIKLSSPDELKSLLNDESYAQFCKEEDASH", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGSQQVAISFLTNLAKAAFGLGVAATALNSSLYTVDGGERAVLFDRFRGVLDQTVGEGTHFLIPYLQTPHIYDIRTKPHTFSSKSGTKDLQMVNLTLRVLFRPEVSRLPYIFQTLGLEYDEKVLPSIGNEVLKAVVANFNADQLLTERPQVSALVRDALIKRAREFNIELDDIAITHLSYGAEFSRAVEAKQVAQQEAERSKFVVMKADQERRAAVIRAEGESEAAQLISDATAKAGMGLIELRRIEASREVAATLARSPNVAYLPGGQSMLFNLNPGR", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLPYMDQVLRAFYQSTHWSTQNSYEDITATSRTLLDFRIPSAIHLQISNKSTPNTFNSLDFSTRSRINGSLSYLYSDAQQLEKFMRNSTDIPLQDATETYRQLQPNLNFSVSSANTLSSDNTTVDNDKKLLHDSKFVKKSLYYGRMYYPSSDLEAMIIKRLSPQTQFMLKGVSSFKESLNVLTCYFQRDSHRNLQEWIFSTSDLLCGYRVLHNFLTTPSKFNTSLYNNSSLSLGAEFWLGLVSLSPGCSTTLRYYTHSTNTGRPLTLTLSWNPLFGHISSTYSAKTGTNSTFCAKYDFNLYSIESNLSFGCEFWQKKHHLLETNKNNNDKLEPISDELVDINPNSRATKLLHENVPDLNSAVNDIPSTLDIPVHKQKLLNDLTYAFSSSLRKIDEERSTIEKFDNKINSSIFTSVWKLSTSLRDKTLKLLWEGKWRGFLISAGTELVFTRGFQESLSDDEKNDNAISISATDTENGNIPVFPAKFGIQFQYST", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MALAVLAWRTRTAVIALLSPPQAAALAVRYASKKTGGSSKNLGGKSPGKRFGIRKMEGHYVHAGNILATQRHFRWHPGAHVGLGKNKCLYALEEGVVRYTKEVYVPSPSNSEAVDLVTRLPEGAVLYKTFVHVVPAKPEGTFKLVAML", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPGIVELPTLEELKVDEVKISSAVLKAAAHHYGAQCDKPNKEFMLCRWEEKDPRRCLEEGKLVNKCALDFFRQIKRHCAEPFTEYWTCIDYTGQQLFRHCRKQQAKFDECVLDKLGWVRPDLGELSKVTKVKTDRPLPENPYHSRPRPDPSPEIEGDLQPATHGSRFYFWTK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAVLLLLLRALRRGPGPGPRPLWGPGPAWSPGFPARPGRGRPYMASRPPGDLAEAGGRALQSLQLRLLTPTFEGINGLLLKQHLVQNPVRLWQLLGGTFYFNTSRLKQKNKEKDKSKGKAPEEDEEERRRRERDDQMYRERLRTLLVIAVVMSLLNALSTSGGSISWNDFVHEMLAKGEVQRVQVVPESDVVEVYLHPGAVVFGRPRLALMYRMQVANIDKFEEKLRAAEDELNIEAKDRIPVSYKRTGFFGNALYSVGMTAVGLAILWYVFRLAGMTGREGGFSAFNQLKMARFTIVDGKMGKGVSFKDVAGMHEAKLEVREFVDYLKSPERFLQLGAKVPKGALLLGPPGCGKTLLAKAVATEAQVPFLAMAGPEFVEVIGGLGAARVRSLFKEARARAPCIVYIDEIDAVGKKRSTTMSGFSNTEEEQTLNQLLVEMDGMGTTDHVIVLASTNRADILDGALMRPGRLDRHVFIDLPTLQERREIFEQHLKSLKLTQSSTFYSQRLAELTPGFSGADIANICNEAALHAAREGHTSVHTLNFEYAVERVLAGTAKKSKILSKEEQKVVAFHESGHALVGWMLEHTEAVMKVSITPRTNAALGFAQMLPRDQHLFTKEQLFERMCMALGGRASEALSFNEVTSGAQDDLRKVTRIAYSMVKQFGMAPGIGPISFPEAQEGLMGIGRRPFSQGLQQMMDHEARLLVAKAYRHTEKVLQDNLDKLQALANALLEKEVINYEDIEALIGPPPHGPKKMIAPQRWIDAQREKQDLGEEETEETQQPPLGGEEPTWPK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAAVRCMGRALIHHQRHSLSKMVYQTSLCSCSVNIRVPNRHFAAATKSAKKTKKGAKEKTPDEKKDEIEKIKAYPYMEGEPEDDVYLKRLYPRQIYEVEKAVHLLKKFQILDFTSPKQSVYLDLTLDMALGKKKNVEPFTSVLSLPYPFASEINKVAVFTENASEVKIAEENGAAFAGGTSLIQKIWDDEIVADFYVAVPEIMPELNRLRKKLNKKYPKLSRNSIGRDIPKMLELFKNGHEIKVDEERENFLQTKIATLDMSSDQIAANLQAVINEVCRHRPLNLGPFVVRAFLRSSTSEGLLLKIDPLLPKEVKNEESEKEDA", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRGFIANSLKPHMRSFALRRSFTSSRILRKVNAVESFGDYNTRISADKVTVVDFYADWCGPCKYLKPFLEKLSEQNQKASFIAVNADKFSDIAQKNGVYALPTMVLFRKGQELDRIVGADVKTLSSLLAKYQE", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTVLYTSASLKKMKCLAFNMGMNCVRTVSHARSGGAKFGGRNVFNIFDSKTPDSVRIKAFKNTIYQSAMGKGKTKFSAMEINLITSLVRGYKGEGKKNAINPLQTNVQILNKLLLTHRLTDKDILEGMNLAAGPVNVAIPRDITPQEEKKKVELRNRKAENMDLHPSRKMHIKELLHSLNLDMCNDEEVYQKISLYLQKNEESRTSVGASQQNHVDIDINSLKRYLQNIEKKARQKSAIDKQKKNQARIYQWNTQSFSEIVPLSAGNILFKREPNRLWKRLQNGISVFLGSNGGGKKSKTTKKVLQGNNILLHSLENNKDMTLSNNFDHSVFNINFTDLFGVINASGSPPDRVLNEINEIELKGWKCVGNLYDNNKIVVFQSSNPLLEDTKIPQKSFTNSKRFLISLSALLASFFAYYRYRLSQRQESKK", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKLPKILYDAAAFGGPRSTGFVKILNLNGRSSYKSSYLVNQNLMDEALVKSNLLKEYNSEKMTILEMAPGPGVTTTSLFNYFQPKSHVVLESREVFSKPLQKLCTLSDGRIKWVHQDGYYWQTYEDVYVSKVLDPRIQTEEEQKLSPHRELLFFAHLPHGYAGLLFVSQILDFLSARDWLGIFGRVRVLLWLPCSPTVTLLGSRGFSKRSKTSVFREAFTDSRVLAASESTLQKLCMGYSKEAKENYQISPNPLLVSPTPITSEPHKEDLTLVEMCSKPQDKQLSIPVFESIVRILLTCKATSLSKSIYYLGPGAETLLPSFTQCGINIDMPVGLLSAADFLTISKIIQKYPFKHHLHLGTIIEDS", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRRFVIGQAKNLIDQSRRRQLHHHKNLSFVSLIPPFSAPSDSSSRHLSSSSSSDMSASDSSSSLPVTLDTINPKVIKCEYAVRGEIVNIAQKLQEDLKTNKDAYPFDEIIYCNIGNPQSLGQQPITFFREVLALCSYTALLDESATHGLFSSDSIERAWKILDQIPGRATGAYSHSQGIKGLRDAIADGIEARDGFPADPNDIFMTDGASPGVHMMMQLLITSEKDGILCPIPQYPLYSASIALHGGTLVPYYLDEASGWGLEISELKKQLEDARSKGITVRALAVINPGNPTGQVLSEENQRDVVKFCKQEGLVLLADEVYQENVYVPDKKFHSFKKVARSMGYGEKDLALVSFQSVSKGYYGECGKRGGYMEVTGFTSDVREQIYKMASVNLCSNISGQILASLIMSPPKPGDDSYESYIAEKDGILSSLARRAKTLEEALNKLEGVTCNRAEGAMYLFPCLHLPQKAIAAAEAEKTAPDNFYCKRLLKATGIVVVPGSGFRQVPGTWHFRCTILPQEDKIPAIVDRLTAFHQSFMDEFRD", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSVLGKTLQEKVNLLAQYPQTGLSLKQLVYFGKNPTPGTLFRAGLFLRDELPIRLARRIQDLQNLSPMLRSMKRISSVKAAYGRSMEEIIELKGVELPKCLPKHARYHNAPKWRSSLMDSEILHNPSLANTHLDSSKGRYFETDFSDQDNGVDCNWPESLLKFNSNFAYLLNTIRTRHDNVAVEIALDIQEYRRKTNQIDNSIQIFLDRFYMSRIGIRMLLGQYIALVSEPPRENYVGVISTRANIYQIIEGAAENAKYICRLAYGLFEAPEIQIICDPSLEMMYVESHLNHAVFEILKNSLRATVEFHGVDSDFFPPIKVIVAKGQEDITIKISDEGGGISRRNIPLVWSYMFTTASPTLTDDPHDIVSANSTTPMAGFGFGLPLARLYTRYFGGDLELISMEGYGTDVYIHLNRLCESAEPLQ", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTSTLATRLSTYSISLILQRIKIIKRCYSAPVLRDYQQDAIDACVNSIRQGTKRIGVSLATGGGKTVIFSNLINQLRQNYFKERQGNFKSLILVHRRELALQATATLKKIFPDLKVHIEMGKYDCDIEDSDVIVASVQTLIRRLHKYDTNSVNLIIIDEAHHSVANSYRSILDHFKASTAETKIPVIGFSATFERADKRALSMVMDKIVYHRGILEMIDDKWLCEAKFTSVKIEADLSDVKSTADDFQLAPLSSLMNTKEINEVILKTYLHKKQEKSLKSTLLFGVDKAHVQSLHKLFKDNGINTDYVTSDTKQIERDNIIQKFKNGETEVLMNCGIFTEGTDMPNIDCILLCRPTKSRSLLIQMIGRGLRLHHSKDHCHIIDFIGASSVGVVSAPTLLGIRSDDIEFDDATVEDLKAIQGEIIAKQQKIDERLRALFQTDEAAMENVTERNSVADWIHSANSVDLTLCSFDSFRNFTQSNNSYPSGKEFDEASEAVKEMELLMNSQYPWVKFASNAWGLPLKGKNHLRIYKEKSEDKLSMVYHLKMYRQLPCFITNKYADYVPKSIIKDANLWNVMSKVEKIINTLNSDLEGQTMQYQAISSKYSKWRQTVPTSKQRDFVFRKLKKVYGESSKDFIRLSLDDVTTYVNTKMTKGDASNLIFASSLAPVYPLKSLLRILEYQKRRSFIK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAARCWRPLLRGPRLSLHTAANAAATATETTCQDVAATPVARYPPIVASMTADSKAARLRRIERWQATVHAAESVDEKLRILTKMQFMKYMVYPQTFALNADRWYQYFTKTVFLSGLPPPPAEPEPEPEPEPEPALDLAALRAVACDCLLQEHFYLRRRRRVHRYEESEVISLPFLDQLVSTLVGLLSPHNPALAAAALDYRCPVHFYWVRGEEIIPRGHRRGRIDDLRYQIDDKPNNQIRISKQLAEFVPLDYSVPIEIPTIKCKPDKLPLFKRQYENHIFVGSKTADPCCYGHTQFHLLPDKLRRERLLRQNCADQIEVVFRANAIASLFAWTGAQAMYQGFWSEADVTRPFVSQAVITDGKYFSFFCYQLNTLALTTQADQNNPRKNICWGTQSKPLYETIEDNDVKGFNDDVLLQIVHFLLNRPKEEKSQLLEN", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLKSASQNFFRAYSSRIGRYAATASGKLAQSRLSNIPTPKALKKFLDEYIVGQEIGKKVLSVAVYNHYLRINDKQKKGELQRQRELMEREKIADDRDEPIFSGNSESKAGWRNLQRQFNLAGREVDEDLELSKSNVLVVGPSGSGKTLLATTLAKILNVPIAITDCTQLTQAGYIGEDVEVCIERLLVNAEFDVARAEKGIIVLDEIDKLAKPAASIGTKDVSGEGVQQSLLKIIEGHKVEITVKRPVKHDIDGQKNQTTTKKDEVFVVDTSNILFMIMGAFVGLDKHIVKRIEDMKKIQKAGESVESSNSKEVEKERAKKFRFSNTLEQVELDNGKKVCALDLTTPTDLVSFGLIPELIGRVPIITALQPLQRDDLFHILKEPKNALLDQYEYIFKQFGVRLCVTQKALKKVAQFALKEGTGARGLRGIMERLLLNVNYDCPGSNIAYVLIDEATVDSLQETEHSLASQVDVKYYSGDEKDSLIRDVSEEDKKLGVMLEKELGHSANIHTPTIPKRSLT", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MFLLLNCIVAVSQNMGIGKNGDLPRPPLRNEFRYFQRMTTTSSVEGKQNLVIMGRKTWFSIPEKNRPLKDRINLVLSRELKEPPQGAHFLARSLDDALKLTERPELANKVDMIWIVGGSSVYKEAMNHLGHLKLFVTRIMQDFESDTFFSEIDLEKYKLLPEYPGVLSDVQEGKHIKYKFEVCEKDD", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLRQTTKRAFLGLASQNPTPFPVVSRLYHPNVIDHYDNPRNVGSFDKNDPNVGTGLVGAPQCGDVMKLQVKFDGSGQIIDAKFKTFGCGSAIAASSVATEWVKGKSVEEVLTIKNSQIAKHLSLPPVKLHCSMLAEDAIKAAIKNYKEKQDKANGETVETIDSTYLHGIGS", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLERCPWKLISSPRNIPARSFLNSRGTYLVLRKSNILPLQHILRFSNFASKQCFPLRNGNNSASKALWNNKSKEKEPLNTSVKLASDVPDDKNVTGQMIVKDMLQYIWPKGKTNLKVRVVSALALLVAAKILNVQVPFYFKSIIDTMNTTLVQEVGALWSTVGAVVLGYGFARIFSTVFQELRNSVFAIVSQSAIRSVSSNVYQHLLNLDMNFHLSKQTGSITRAMDRGTKGISFILSSMVLHIIPITLEIAMVSGILTYKYGPSFSAIAATTVALYALFTVRTTSWRTVFRRQANAADSKASAAAIESLINYEAVKTFNNESYEMSRYEKHLSAYEKANVKVASSLAFLNSGQAIIFSTALTLMMYMGCRGIVTSNLTVGDLVMINQLVFQLSIPLNFLGSVYREMRQAFTDMEQLFSLKRINIQVKEAPDARDLVLKGGSIQFDNVHFSYNPNRPILNGCSFNIPAGAKVAFVGASGCGKSTILRLLFRFYDTDSGKILIDNQRLDQITLNSLRKAIGVVPQDTPLFNDTILYNIGYGNPKASNDEIVEAAKKAKIHDIIESFPEGYQTKVGERGLMISGGEKQRLAVSRLLLKNPEILFFDEATSALDTNTERALLRNINDLIKGSHKTSVFIAHRLRTIKDCDIIFVLEKGRVVEQGSHEQLMAKNSVYTSMWHSQESPFGESNKSGDA", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLARNLRCLHPNTFASLKTNVSYHGVKCLASQSKRGFKVWADVPMGPPDPIFGITEAYKKDGDVKKMNLGAGTYRDDAGKPYVLPSVRQAETELLSQKLDKEYAPITGIPSFRVQATKLAYGDVYESIKDRLVSAQSISGTGALCIAANFLASFYPSKTIYVSDPTWGNHKNVFSRAGLTVKSYKYYDPATRGLDIKGMLSDLTSAPDGSIILLHACAHNPTGVDPTKAQWDDILKTMQKKNHFALLDMAYQGFASGDFARDAYATRLFASSNVPMLLCQSFAKNMGLYGERAGCFSILANDAEEAARIESQTKILIRALYSNPPVNGARIANHILSNPALREQWAGEVVGMSERLKSMRKALRNILEKDLKNKHSWKHITDQIGMFCYTGLNPQQVDVLAKQYHIYLTKNGRISISGLNTSNVRYFAEAINAVTSN", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGGLFWRSALRGLRCGPRAPGPSLLVRHGSGGPSWTRERTLVAVKPDGVQRRLVGDVIQRFERRGFTLVGMKMLQAPESVLAEHYQDLRRKPFYPALIRYMSSGPVVAMVWEGYNVVRASRAMIGHTDSAEAAPGTIRGDFSVHISRNVIHASDSVEGAQREIQLWFQSSELVSWADGGQHSSIHPA", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLSSSGHAIRRGITTSAALSNKHAFIVGAARTPIGSFRSSLSSVTAPELASVAIKAALERGAVKPSSIQEVFLGQVCQANAGQAPARQAALGAGLDLSVAVTTVNKVCSSGLKAIILAAQQIQTGHQDFAIGGGMESMSQVPFYVQRGEIPYGGFQVIDGIVKDGLTDAYDKVHMGNCGEKTSKEMGITRKDQDEYAINSYKKSAKAWENGNIGPEVVPVNVKSKKGVTIVDKDEEFTKVNFDKFTSLRTVFQKDGTITAANASTLNDGAAAVIVASQEAVSEQSLKPLARILAYGDAATHPLDFAVAPTLMFPKILERAGVKQSDVAQWEVNEAFSCVPLAFIKKLGVDPSLVNPHGGAVSIGHPIGMSGARLITHLVHTLKSGQIGVAAICNGGGGSSGMVIQKL", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLSVVAIPKICVTGPARRCFFHTAKKLYADDYKPAAMSSNAPSLTKDQAKKRELKRLVQRKAEAKRPATASPLYMPVTKALRYLRAAEVGRPQSQQTINLTTLVVGERGTAPLSGSVTFPKPLRYIKIAAFTNDESKLEELREKYPNHLIGGADLVAKIKSGEISVDFDKAFATPDIVPALQSQVARILGPRGVLPSVKKGTVSDDISSLLQESLGSMPFRQRGNSISIGVGKCYFTDREILQNIISARAAFKTAVDNQKSKKPNILSKTTLSSTHGPGIVIDFA", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPLFARLCQPQSRRMFSSISSFSALSVLRPQTGMLLNSSPLKTPSFTPLGFGLIGQRRWKSRGNTYQPSTLKRKRTFGFLARAKSKQGSKILKRRKLKGRWFLSH", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSFFLNSLRGNQEVSQEKLDVAGVQFDAMCSTFNNILSTCLEKCIPHEGFGEPDLTKGEQCCIDRCVAKMHYSNRLIGGFVQTRGFGPENQLRHYSRFVAKEIADDSKK", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLSRVAKRAFSSTVANPYKVTVLGAGGGIGQPLSLLLKLNHKVTDLRLYDLKGAKGVATDLSHIPTNSVVKGFTPEEPDGLNNALKDTDMVLIPAGVPRKPGMTRDDLFAINASIVRDLAAATAESAPNAAILVISNPVNSTVPIVAQVLKNKGVYNPKKLFGVTTLDSIRAARFISEVENTDPTQERVNVIGGHSGITIIPLISQTNHKLMSDDKRHELIHRIQFGGDEVVKAKNGAGSATLSMAHAGAKFANAVLSGFKGERDVIEPSFVDSPLFKSEGIEFFASPVTLGPDGIEKIHPIGELSSEEEEMLQKCKETLKKNIEKGVNFVASK", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSKFLQGSIFSISKLHVRYSSTRPFLVAPSIANSITTEAPAINHSPELANARKWLPKRCGLITRKKGMMPYFDKSTGERSAATILEVNNVEVIMHRTSEVNGYFACQVGYGSRHLSKVSRQMLGHFASKVVNPKEHVAEFRVKDEKGLIPPGTLLKPSFFKEGQYVDVRSVSKGKGFTGVMKRYGFKGLRASHGTSIMHRHGGSYGQNQDPGRVLPGRKMPGHMGNEHVTIQNVKVLKVDDENNVIWVKGSVAGPKNSFVKIQDAIKKT", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MFSKYLQSRVCGLHSFTNSSAQQLFSKSIAHSSRRNFVISSSCTKFRNVAIQRNAKREFSRCAALKNFSYHARCFHATRAVWEMTDPYKTLGVSKSASASEIKSAYYKLAKQYHPDANPDKAAQDKFVEIKQAYEVLQDPKKKKAFDTYGAGAFKNGEFTGGDFEGFQNGFAGASSFSSGFPGFNFEDLFGFSSRGPQARRNTSFDVFVGEDIEASITIDFMEAVRGAKKDLSYSVSSTCSSCHGSGLQPGSHKSTCFACKGTGQRLHFIPPSFHMQTTCDSCGGTGTTIPPNSACRSCMGSGTVRERKTVSIDIPPGIDDNTVLRVMGAGNDASTAKGGPNAKSRPGDLFATIHVRKHPFFVREGTNVTYNAKIPMTTAALGGTLRVPTLTGNVDLRVSPGTSTGDRITMAGKGIRKVNTSRYGNFYVNFEVTIPKILSPHERSLLEQLADALNDSTARRTQSSPSGTNSSTSTSSTSSKHSTGISTEPTTGEENKQDGSVGGFFKRAFRRLHPDEDQNPKKDESSS", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MFGLGGAPQISSEQKLQAAEAELDMVTGMFNQLVDQCHSKCINKSYGDSDITKQEALCLDRCVAKYFDTNVQVGEHMQKLGQSGQFMGRK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKAIFNRRVVVDSSSRLTKLLANPTTHSHLNRQTFTSLYKPNQSRHFRTHYLPSSPSSPPVSRFDPSQLWRSEKIRGFFASALGNKAVKLGNLVESRVGFIGSQFPKKGFEFQRFSGFQRRGWKHWLQGLSDRDVVLGLVIANAGVFVMWRVFNQQFMMNNFMISLDNFKSGRLHTLITSAFSHIDIGHIVSNMIGLYFFGTSIARNFGPQFLLKLYLAGALGGSVFYLIHHAYMAATSPKGQGAFVRDPSRTPGLGASGAVNAIMLLDIFLHPRATLYLEFFIPVPAMLLGIFLIGKDILRITEGNSNISGSAHLGGAAVAAIAWARIRKGRFRF", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAGSDTAPFLSQADDPDDGPVPGTPGLPGSTGNPKSEEPEVPDQEGLQRITGLSPGRSALIVAVLCYINLLNYMDRFTVAGVLPDIEQFFNIGDSSSGLIQTVFISSYMVLAPVFGYLGDRYNRKYLMCGGIAFWSLVTLGSSFIPGEHFWLLLLTRGLVGVGEASYSTIAPTLIADLFVADQRSRMLSIFYFAIPVGSGLGYIAGSKVKDMAGDWHWALRVTPGLGVVAVLLLFLVVREPPRGAVERHSDLPPLNPTSWWADLRALARNPSFVLSSLGFTAVAFVTGSLALWAPAFLLRSRVVLGETPPCLPGDSCSSSDSLIFGLITCLTGVLGVGLGVEISRRLRHSNPRADPLVCATGLLGSAPFLFLSLACARGSIVATYIFIFIGETLLSMNWAIVADILLYVVIPTRRSTAEAFQIVLSHLLGDAGSPYLIGLISDRLRRNWPPSFLSEFRALQFSLMLCAFVGALGGAAFLGTAIFIEADRRRAQLHVQGLLHEAGSTDDRIVVPQRGRSTRVPVASVLI", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRLARLLRGAALAGPGPGLRAAGFSRSFSSDSGSSPASERGVPGQVDFYARFSPSPLSMKQFLDFGSVNACEKTSFMFLRQELPVRLANIMKEISLLPDNLLRTPSVQLVQSWYIQSLQELLDFKDKSAEDAKAIYDFTDTVIRIRNRHNDVIPTMAQGVIEYKESFGVDPVTSQNVQYFLDRFYMSRISIRMLLNQHSLLFGGKGKGSPSHRKHIGSINPNCNVLEVIKDGYENARRLCDLYYINSPELELEELNAKSPGQPIQVVYVPSHLYHMVFELFKNAMRATMEHHANRGVYPPIQVHVTLGNEDLTVKMSDRGGGVPLRKIDRLFNYMYSTAPRPRVETSRAVPLAGFGYGLPISRLYAQYFQGDLKLYSLEGYGTDAVIYIKALSTDSIERLPVYNKAAWKHYNTNHEADDWCVPSREPKDMTTFRSA", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTIKRNLVKTLQSIRYQATTATAHAESTLNPLPNAAIPPKYALVTVRSFPSLEPLTFVPVPTSTVAAPLRRDILWRAVVYENDNRRVGASNPPGRSENGFSRRKLMPQKGSGRARVGDANSPTRHNGGRALARTAPNDYTTELPSKVYSMAFNNALSHQYKSGKLFVIGGEKVDLISPTPELDLNRLDLVNTNTVEGKEIFEGEVIFRKFLEEFQLKGKRLLFITDKTREGLIKSSDPYKQKVDVIQKELVEVNDILRAQAVFIELEALEYLAMAHQKEILHSVSN", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEQINSNSRKKKQQLEVFKYFASVLTKEDKPISISNGMLDMPTVNSSKLTAGNGKPDTEKLTGELILTYDDFIELISSSKTIYSKFTDHSFNLNQIPKNVFGCIFFAIDEQNKGYLTLNDWFYFNNLLEYDNYHLIILYEFFRKFDVENLKAKQKKELGSSSFNLKAADDRIKSINYGNRFLSFDDLLLNLNQFKDTIRLLHESIDDNFVKDNKLLLDWNDFRFLKFYKCYHENEEYLSLNSLVTILQNDLKNEKIFIGFDRLAQMDSQGHRLALSKNQLTYLLRLFYSHRVSADIFSSLNLSNTELLKADNNSIPYNVFKDIFYLFQNFDLLNQIFHKYVTENNLNEQDIREQIVTKNDFMTVLNAQYNKVNNIIEFSPSQINLLFSIVANSKENRRLRKRNQDRDDELLNDHHYDSDIDFFIHNEYLHGVSRSRKNLESFNDYYHDLSDGFDQDSGVKKASKASTGLFESVFGGKKDKATMRSDLTIEDFMKILNPNYLNDLVHQMELQKNQNESLYINYYFYPIFDSLYNFSLGSIAGCIGATVVYPIDFIKTRMQAQRSLAQYKNSIDCLLKIISREGIKGLYSGLGPQLIGVAPEKAIKLTVNDFMRNRLTDKNGKLSLFPEIISGASAGACQVIFTNPLEIVKIRLQVQSDYVGENIQQANETATQIVKKLGLRGLYNGVAACLMRDVPFSAIYFPTYAHLKKDLFDFDPNDKTKRNRLKTWELLTAGAIAGMPAAFLTTPFDVIKTRLQIDPRKGETKYNGIFHAIRTILKEESFRSFFKGGGARVLRSSPQFGFTLAAYELFKGFIPSPDNKLKSREGRKRFCIDDDAGNEETVVHSNGELPQQKFYSDDRKHANYYYKSCQIAKTFIDLDNNFSRFDSSVYKNFQEHLRSING", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDSSVIQRKKVAVIGGGLVGSLQACFLAKRNFQIDVYEAREDTRVATFTRGRSINLALSHRGRQALKAVGLEDQIVSQGIPMRARMIHSLSGKKSAIPYGTKSQYILSVSRENLNKDLLTAAEKYPNVKMHFNHRLLKCNPEEGMITVLGSDKVPKDVTCDLIVGCDGAYSTVRSHLMKKPRFDYSQQYIPHGYMELTIPPKNGDYAMEPNYLHIWPRNTFMMIALPNMNKSFTCTLFMPFEEFEKLLTSNDVVDFFQKYFPDAIPLIGEKLLVQDFFLLPAQPMISVKCSSFHFKSHCVLLGDAAHAIVPFFGQGMNAGFEDCLVFDELMDKFSNDLSLCLPVFSRLRIPDDHAISDLSMYNYIEMRAHVNSSWFIFQKNMERFLHAIMPSTFIPLYTMVTFSRIRYHEAVQRWHWQKKVINKGLFFLGSLIAISSTYLLIHYMSPRSFLRLRRPWNWIAHFRNTTCFPAKAVDSLEQISNLISR", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSGCGLFLRTTAAARACRGLVVSTANRRLLRTSPPVRAFAKELFLGKIKKKEVFPFPEVSQDELNEINQFLGPVEKFFTEEVDSRKIDQEGKIPDETLEKLKSLGLFGLQVPEEYGGLGFSNTMYSRLGEIISMDGSITVTLAAHQAIGLKGIILAGTEEQKAKYLPKLASGEHIAAFCLTEPASGSDAASIRSRATLSEDKKHYILNGSKVWITNGGLANIFTVFAKTEVVDSDGSVKDKITAFIVERDFGGVTNGKPEDKLGIRGSNTCEVHFENTKIPVENILGEVGDGFKVAMNILNSGRFSMGSVVAGLLKRLIEMTAEYACTRKQFNKRLSEFGLIQEKFALMAQKAYVMESMTYLTAGMLDQPGFPDCSIEAAMVKVFSSEAAWQCVSEALQILGGLGYTRDYPYERILRDTRILLIFEGTNEILRMYIALTGLQHAGRILTTRIHELKQAKVSTVMDTVGRRLRDSLGRTVDLGLTGNHGVVHPSLADSANKFEENTYCFGRTVETLLLRFGKTIMEEQLVLKRVANILINLYGMTAVLSRASRSIRIGLRNHDHEVLLANTFCVEAYLQNLFSLSQLDKYAPENLDEQIKKVSQQILEKRAYICAHPLDRTC", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAFARRLLRGPLSGPLLGRRGVCAGAMAPPRRFVLELPDCTLAHFALGADAPGDADAPDPRLAALLGPPERSYSLCVPVTPDAGCGARVRAARLHQRLLHQLRRGPFQRCQLLRLLCYCPGGQAGGAQQGFLLRDPLDDPDTRQALLELLGACQEAPRPHLGEFEADPRGQLWQRLWEVQDGRRLQVGCAQVVPVPEPPLHPVVPDLPSSVVFPDREAARAVLEECTSFIPEARAVLDLVDQCPKQIQKGKFQVVAIEGLDATGKTTVTQSVADSLKAVLLKSPPSCIGQWRKIFDDEPTIIRRAFYSLGNYIVASEIAKESAKSPVIVDRYWHSTATYAIATEVSGGLQHLPPAHHPVYQWPEDLLKPDLILLLTVSPEERLQRLQGRGMEKTREEAELEANSVFRQKVEMSYQRMENPGCHVVDASPSREKVLQTVLSLIQNSFSEP", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLMLSKTAGAIPRPPRSNVRGFIRRFNVQPRALFHHKLVLGIETSCDDTAAAVVDETGNVLGEALHSQTEVHLKTGGIVPPVAQQLHRENIQRIVEEALSASGVSPSDLSAIATTIKPGLALSLGVGLSFSVQLVNQFKKPFIPIHHMEAHALTIRLTHKVGFPFLVLLISGGHCLLALVQSVSDFLLLGKSLDIAPGDMLDKVARRLSLIKHPECSTMSGGKAIEHLAKEGNRFHFTINPPMQNAKNCDFSFTGLQHVTDKLITHKEKEEGIEKGQILSSAADIAAAVQHATACHLAKRTHRAILFCQQKNLLSPANAVLVVSGGVASNLYIRRALEIVANATQCTLLCPPPRLCTDNGIMIAWNGIERLRAGLGILHDVEDIRYEPKCPLGIDISREVAEAAIKVPRLKMTL", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLCRNTARTGCKFFRLYHSNPIEHVKPIHIKPLTYGKESPQYKVLSLALQKFVPEHGFSERSIVESLNELGYPSSMISSIGAPNSPSFFHSSTAVMELIKFQLVDKRYRLTEGINPDVTPQYKLPSLEHLLLKRLEMDKPIGGHLSELMSQLAIPSAFLFETAIPELHRLSDDMIYFSNEKDHHDSAWYAKRLAVSSTYIGSKLFMAQDKSHNYKETFTFAKDKLHRVMRLGEYYNNTEEFAWYTLMSTVNLIKSQLVRG", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPPRSIEEWFYYKLLSSPGFHRFVRKVYRKVNGIKEDPFTDQSTAFQYLYKPTPRQKFKALRLLFWDEMRSTFGFRRRLGDRFKKD", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVLLHKSTHIFPTDFASVSRAFFNRYPNPYSPHVLSIDTISRNVDQEGNLRTTRLLKKSGKLPTWVKPFLRGITETWIIEVSVVNPANSTMKTYTRNLDHTGIMKVEEYTTYQFDSATSSTIADSRVKFSSGFNMGIKSKVEDWSRTKFDENVKKSRMGMAFVIQKLEEARNPQF", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVKMRRITPTRLLFTCRYISNNASPPVQPLNVLFFGSDTFSNFSLQALNELRQNNGSCGIVDNIQVVTRSPKWCGRQKSILKYPPIFDMAEKLQLPRPITCDTKQEMLALSKLTPSRQGNPENDGSGAPFNAIIAVSFGKLIPGDLIRAVPLALNVHPSLLPRHKGSAPIQRALLEGDTYTGVTIQTLHPDRFDHGAIVAQTEPLAIATMLSKGRVNDSTADFNSEGLPRRTAILMDQLGALGAQLLGQTLRERLYLPQNRVQAPTAYKPSYAHRITTEDKRIHWARDSAAELLNKLETLGPLHAFKEATAARKDAQNSVLKRILFHECKVMRDARLDNGSKPGMFKYDDIKDCILVTCRGNLLLCVSRLQFEGFAVERAGQFMARLRKRCGALSEKLVFL", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKSIFKVRGCVSHAAQFCQKRTVVSTGTSNTATAGAVRKSFNSTETKPVFATKSEAGNGSHMKEYSSGINSKLGGTPLETRSTADDSLNNSYKQVKGDIDWYTSWYGLGMKPFEAKVQKDLIEPLDPKDIEIKPDGLIYLPEIKYRRILNKAFGAGGWGLVPRSQTIVTSKLVTREYGLICHGQLISVARGEQDYFNEAGIPTATEGCKSNALMRCCKDLGVGSELWDPVFIKKFKVDHCTEKFVEHVTTKRKKKIWLRKDRQVEYPYK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MADRLAIFIKQCGLQRCVILTGAGCSTESGVPDYRGPNGLYRRPNFVPLTRQVFLSGSEHRKRYWARSMFGYNTVSGASCNDTHMGLYELYRAGVVNRLLTQNVDGLHHLAAHGGTGSKTVEAYAKYTSSNSGVLELHGNIHQVCCMQCGDVSPRRRLQQRLCEANYQLCRDYEAEFSEVRPDGDYEVPDRVVQAMQLVCCEHCGGLLKPHVVLFGENVPKECVREAYTAVRAASCLICLGTSLQVFSALRFVLAARESGVPIAIVTAGRTRADGLEELKVDTNSTAATMRGVVKQLLGFELGGTK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLRYYGATRNLPLVFSINKLMLRASSFTRPFHYSSYSLQNGDTPDKGSTNKNEIRTPNNAVWKENIELQWQHLKKKLNELYSRFNFHRDQLSFQVNKAKKSIQEANRKLSEQENEINDSRLNYNKDELTSAKIEGLPSEREQHRKKWSRKLEFYFDSLQETLFTATRALNDVTGYSGIQKLKSSISLMEKKLEATKKEHKLFKAQYANAIDERAQSQREVNELLQRQSAWSSSDLERFTQLYKNDALNARQEQELKNKVKEIESKEEQLNDDLYRAILTRYHEEQIWSDKIRRTSTWGTFILMGMNIFLFIVLQLLLEPWKRKRLVGSFEDKVKSALNEYAKEQNMKMDKLLPGKSSEVTDQGNTENSIVEEHIEQRGECKINTAEIDRPEVATAETTTTEMKSFRDIWERIKALFVTLKSIQYRKLDAPLVFDTLEFYLYSISLVSMTILVSGLI", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MALRMWASSTANALKLSSSASKSHLLPAFSISRCFSSVLEGLKYANSHEWVKHEGSVATIGITDHAQDHLGEVVFVELPEANSSVSKEKSFGAVESVKATSEILSPISGEVIEVNTKLTESPGLINSSPYEDGWMIKVKPSSPAELEALMGPKEYTKFCEEEDAAH", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MALSRLSSRSNTFLKPAITALPSSIRRHVSTDSSPITIETAVPFTSHLCESPSRSVETSSEEILAFFRDMARMRRMEIAADSLYKAKLIRGFCHLYDGQEALAVGMEAAITKKDAIITSYRDHCTFIGRGGKLVDAFSELMGRKTGCSHGKGGSMHFYKKDASFYGGHGIVGAQIPLGCGLAFAQKYNKDEAVTFALYGDGAANQGQLFEALNISALWDLPAILVCENNHYGMGTATWRSAKSPAYFKRGDYVPGLKVDGMDALAVKQACKFAKEHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQVRDPIERVRKLLLTHDIATEKELKDMEKEIRKEVDDAVAQAKESPIPDASELFTNMYVKDCGVESFGADRKELKVTLP", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLRLSLLRSTATLPVKCQRRGLILPAAAMYTLGSLIFGKEARLADAMERGELHNKNVDYAKEAEERTELRIRALANTRPMEPRYNGHVPLHRYEKLLLFAISGWNSFFHPEDGYNIVQLGEATALPVFLENLKQTMLSDSSGRRILKEQPNITTEILHMDKLAKLPHNTFGYVYYQWLKRENVSPDTRAPVKFIDDPMHAYIFKRYRQCHDFYHAITNMPIIIEGEITIKALEGANLGVPMAILGGILAPLRLKKVQRKRLYNIYLPWAVRTGLSCKPLINVYWEEMLEKDVTALRKELKITLPPDLRTMRKERAALRKEIDAKYNSQKRATTPA", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNFVSSILIRWCDVPVYILLRKCVIYKFAYSSRKIESVHCAITDDEVMSYNNEDMVPFSAINLPIRFLIFYNAFRSNTSWNYNESQIGNLTISKQS", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSLFIGTHNIKNSAIQLLKTKLKNEFLKGVKCITKYPENAHSIFKVQEYTGYKGVTFIVSTKWNLTVDSIHKLIPEIKSSPSACLLLVNQPYPVIEKITDILTKHGITNANLLEFSKSSLLHPFKRNRHLLSFVPYPMTAETLGDISWSFSRRENMKFEKDQQYILSKFKSPRNLLIPYPSFLIQKFLDMAILPPMAALRILFYQYSKASTMSLTDDFYNKYVLENLNIINNLQIFLHLDLEPLHIKSLAKARKRFYETDLKTTFLNAFSRDELLMLVNYFIFRGTELKLSVSMNLAFRDILLSSAYLNKTK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAKVFESIGKFGLALAVAGGVVNSALYNVDAGHRAVIFDRFRGVQDIVVGEGTHFLIPWVQKPIIFDCRSRPRNVPVITGSKDLQNVNITLRILFRPVASQLPRIYTSIGEDYDERVLPSITTEILKSVVARFDAGELITQRELVSRQVSDDLTERAATFGLILDDVSLTHLTFGKEFTEAVEAKQVAQQEAERARFVVEKAEQQKKAAIISAEGDSKAAELIANSLATAGDGLIELRKLEAAEDIAYQLSRSRNITYLPAGQSVLLQLPQ", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAKVFESIGKFGLALAVAGGVVNSALYNVDAGHRAVIFDRFRGVQDIVVGEGTHFLIPWVQKPIIFDCRSRPRNVPVITGSKDLQNVNITLRILFRPVASQLPRIYTSIGEDYDERVLPSITTEILKSVVARFDAGELITQRELVSRQVSDDLTERAATFGLILDDVSLTHLTFGKEFTEAVEAKQVAQQEAERARFVVEKAEQQKKAAIISAEGDSKAAELIANSLATAGDGLIELRKLEAAEDIAYQLSRSRNITYLPAGQSVLLQLPQ", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASKLLRAVILGPPGSGKGTVCQRIAQNFGLQHLSSGHFLRENIKASTEVGEMAKQYIEKSLLVPDHVITRLMMSELENRRGQHWLLDGFPRTLGQAEALDKICEVDLVISLNIPFETLKDRLSRRWIHPPSGRVYNLDFNPPHVHGIDDVTGEPLVQQEDDKPEAVAARLRQYKDVAKPVIELYKSRGVLHQFSGTETNKIWPYVYTLFSNKITPIQSKEAY", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MMLKQAAKKALGLTSRQSTPWSVGILRTYHENVIDHYDNPRNVGSFDKNDPNVGTGLVGAPACGDVMKLQIKVDEKTGQIVDARFKTFGCGSAIASSSVATEWVKGKAMEDVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYKEKRVKTNGAAAAGETTQA", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLKYKPLLKISKNCEAAILRASKTRLNTIRAYGSTVPKSKSFEQDSRKRTQSWTALRVGAILAATSSVAYLNWHNGQIDNEPKLDMNKQKISPAEVAKHNKPDDCWVVINGYVYDLTRFLPNHPGGQDVIKFNAGKDVTAIFEPLHAPNVIDKYIAPEKKLGPLQGSMPPELVCPPYAPGETKEDIARKEQLKSLLPPLDNIINLYDFEYLASQTLTKQAWAYYSSGANDEVTHRENHNAYHRIFFKPKILVDVRKVDISTDMLGSHVDVPFYVSATALCKLGNPLEGEKDVARGCGQGVTKVPQMISTLASCSPEEIIEAAPSDKQIQWYQLYVNSDRKITDDLVKNVEKLGVKALFVTVDAPSLGQREKDMKLKFSNTKAGPKAMKKTNVEESQGASRALSKFIDPSLTWKDIEELKKKTKLPIVIKGVQRTEDVIKAAEIGVSGVVLSNHGGRQLDFSRAPIEVLAETMPILEQRNLKDKLEVFVDGGVRRGTDVLKALCLGAKGVGLGRPFLYANSCYGRNGVEKAIEILRDEIEMSMRLLGVTSIAELKPDLLDLSTLKARTVGVPNDVLYNEVYEGPTLTEFEDA", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLRSTVSKASLKICRHFHRESIPYDKTIKNLLLPKDTKVIFQGFTGKQGTFHASISQEYGTNVVGGTNPKKAGQTHLGQPVFASVKDAIKETGATASAIFVPPPIAAAAIKESIEAEIPLAVCITEGIPQHDMLYIAEMLQTQDKTRLVGPNCPGIINPATKVRIGIQPPKIFQAGKIGIISRSGTLTYEAVQQTTKTDLGQSLVIGMGGDAFPGTDFIDALKLFLEDETTEGIIMLGEIGGKAEIEAAQFLKEYNFSRSKPMPVASFIAGTVAGQMKGVRMGHSGAIVEGSGTDAESKKQALRDVGVAVVESPGYLGQALLDQFAKFK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFPRVSTFLPLRPLSRHPLSSGSPETSAAAIMLLTVRHGTVRYRSSALLARTKNNIQRYFGTNSVICSKKDKQSVRTEETSKETSESQDSEKENTKKDLLGIIKGMKVELSTVNVRTTKPPKRRPLKSLEATLGRLRRATEYAPKKRIEPLSPELVAAASAVADSLPFDKQTTKSELLSQLQQHEEESRAQRDAKRPKISFSNIISDMKVARSATARVRSRPELRIQFDEGYDNYPGQEKTDDLKKRKNIFTGKRLNIFDMMAVTKEAPETDTSPSLWDVEFAKQLATVNEQPLQNGFEELIQWTKEGKLWEFPINNEAGFDDDGSEFHEHIFLEKHLESFPKQGPIRHFMELVTCGLSKNPYLSVKQKVEHIEWFRNYFNEKKDILKESNIQFN", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MYKTWRLCRTHTVGGLCHDGSHRFVSTGGAKIGKKFENMNQIRDYLSRPVWSVHEYLGINTKEEKLEPPSAEAVKKLLRLSGLPLEGADIKEIQMRLAKQLSFINKLHNIPVEGEKHTKEYDARLVQRNTKQLNYTKLLEGISHQKQDAELGEVSGSWKATGLAAESKNAYFVVKEGLLKNRK", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MFKSTLNSIIRRPLKGFQLLRGADSSNTRPQSPRASARDVTEKQILRTPSAPTAIPLREIIYRVPSLFPRPLEDSVKDFRDFIKNEDAFQTELLKTLPFYPTPSESKTARLIRTVVDDEGNYINEFCIRPRKTSVPEADLKHLVFIHGYGAGLGFFIKNFEDIPLLDNEWCIHAIDLPGYGFSSRPKFPFEYPRDNIHSVQDWFHERIHTWFSKRNLLNRPEKNIVMAHSLGSYLMALYLQKYKESPSFKKLILCSPAGVSYRDFNNTASEVEKWKPPPWWYVKLWDRNISPFTLVRNFRQLGSKITSGWSYRRFKHILNGDPEQSKRFEALHRYAYAIFNKRGSGEYLLSFALKCGGEPRLSLEQQLFDGKKSDILKNSNCDWLWLYGDDDWMDVNGGLRVSRFLKEKLKQKSNVIIVPHSGHHLYLDNYKFFNNILTKEMQKI", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MYFPSWLSQLYRGLSRPIRRTTQPIWGSLYRSLLQSSQRRIPEFSSFVVRTNTCGELRSSHLGQEVTLCGWIQYRRQNTFLVLRDFDGLVQVIIPQDESAASVKKILCEAPVESVVQVSGTVISRPAGQENPKMPTGEIEIKVKTAELLNACKKLPFEIKNFVKKTEALRLQYRYLDLRSFQMQYNLRLRSQMVMKMREYLCNLHGFVDIETPTLFKRTPGGAKEFLVPSREPGKFYSLPQSPQQFKQLLMVGGLDRYFQVARCYRDEGSRPDRQPEFTQIDIEMSFVDQTGIQSLIEGLLQYSWPNDKDPVVVPFPTMTFAEVLATYGTDKPDTRFGMKIIDISDVFRNTEIGFLQDALSKPHGTVKAICIPEGAKYLKRKDIESIRNFAADHFNQEILPVFLNANRNWNSPVANFIMESQRLELIRLMETQEEDVVLLTAGEHNKACSLLGKLRLECADLLETRGVVLRDPTLFSFLWVVDFPLFLPKEENPRELESAHHPFTAPHPSDIHLLYTEPKKARSQHYDLVLNGNEIGGGSIRIHNAELQRYILATLLKEDVKMLSHLLQALDYGAPPHGGIALGLDRLICLVTGSPSIRDVIAFPKSFRGHDLMSNTPDSVPPEELKPYHIRVSKPTDSKAERAH", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLRTKVFATTVARISGIRRYIPIRTINTVTKKNISKIEKLCEVLEVNPDGYKGKERIPTKELTKLLYTTSRNMLVRVPMTGDLSTGNTFETRNETLQKLGEQLIHLEINKMLTITFTNFNQFNIMNKNFNYIHNLDRARVVNMDSISWLIKNSLKINQLAHLRIPANLPKEMGLTSSSNDFQNLNDWKVILSFIGYLKLLEIKNDNKKFIESIIKTICIPLINYHLRKS", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKMKLFQTICRQLRSSKFSVESAALVAFSTSSYSCGRKKKVNPYEEVDQEKYSNLVQSVLSSRGVAQTPGSVEEDALLCGPVSKHKLPNQGEDRRVPQNWFPIFNPERSDKPNASDPSVPLKIPLQRNVIPSVTRVLQQTMTKQQVFLLERWKQRMILELGEDGFKEYTSNVFLQGKRFHEALESILSPQETLKERDENLLKSGYIESVQHILKDVSGVRALESAVQHETLNYIGLLDCVAEYQGKLCVIDWKTSEKPKPFIQSTFDNPLQVVAYMGAMNHDTNYSFQVQCGLIVVAYKDGSPAHPHFMDAELCSQYWTKWLLRLEEYTEKKKNQNIQKPEYSE", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MFGPFKLTSPVAGGLLWKIPWRMSTHQKTRQRERLRNVDQVIKQLTLGLHVQRCQDKGLTYQEAMESKKKYKPRSKSLRLLNKPSVFPKENQMSSKDKYWTFDKKAVGYRKGIHKVPKWTKISIRKAPKFF", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKADAKQITHLLKPLRLLLLGAPGSGKGTQTSRLLKQIPQLSSISSGDILRQEIKSESTLGREATTYIAQGKLLPDDLITRLITFRLSALGWLKPSAMWLLDGFPRTTAQASALDELLKQHDASLNLVVELDVPESTILERIENRYVHVPSGRVYNLQYNPPKVPGLDDITGEPLTKRLDDTAEVFKKRLEEYKKTNEPLKDYYKKSGIFGTVSGETSDIIFRNY", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLRRVGRTTCSPAACQLRHTTILSVRKGDTVVLLGDRQVTLGERIVAKSSACKLRRINDDVVIGFAGSTADAISLMEKLENKIGEFPNQLTRAAVELAKEWRTDRALRRLEASLIVCSAEETLEIDGQGNVITPEADGIVAIGSGGTFAKAAARALIDVDGYDAEKIARKAMRIATDIDVFSNEHWDVEVLKRKSEKQEGSEASAKTSE", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAPSGVHLLVRRGSHRIFSSPLNHIYLHKQSSSQQRRNFFFRRQRDISHSIVLPAAVSSAHPVPKHIKKPDYVTTGIVPDWGDSIEVKNEDQIQGLHQACQLARHVLLLAGKSLKVDMTTEEIDALVHREIISHNAYPSPLGYGGFPKSVCTSVNNVLCHGIPDSRPLQDGDIINIDVTVYYNGYHGDTSETFLVGNVDECGKKLVEVARRCRDEAIAACRAGAPFSVIGNTISHITHQNGFQVCPHFVGHGIGSYFHGHPEIWHHANDSDLPMEEGMAFTIEPIITEGSPEFKVLEDAWTVVSLDNQRSAQFEHTVLITSRGAQILTKLPHEA", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNSRQAWRLFLSQGRGDRWVSRPRGHFSPALRREFFTTTTKEGYDRRPVDITPLEQRKLTFDTHALVQDLETHGFDKTQAETIVSALTALSNVSLDTIYKEMVTQAQQEITVQQLMAHLDAIRKDMVILEKSEFANLRAENEKMKIELDQVKQQLMHETSRIRADNKLDINLERSRVTDMFTDQEKQLMETTTEFTKKDTQTKSIISETSNKIDAEIASLKTLMESNKLETIRYLAASVFTCLAIALGFYRFWK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSLASIRRLAAGAAVIAAASGGAVYLSPSVASSDKGGGPILDSLRRRLGDPTASVPSRSAQESALIAATASDPLDVLVIGGGATGSGVALDAVTRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALEERKQLIENAPHLCHALPCMTPCFDWFEVIYFWMGLKMYDLVAGPRLLHLSRYYSAKESIELFPTLARKGKDKNLRGTVVYYDGQMNDSRLNVGLACTAALAGAAVLNHAEVVSLITDDATKRIIGARIRNNLTGQEFNSYAKVVVNAAGPFCDSIRKMIDEDTKPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITSLPEPHEDEIQFILDAISDYLNIKVRRTDVLSAWSGIRPLAMDPTAKSTESISRDHVVFEENPGLVTITGGKWTTYRSMAEDAVDAAIKSGQLKPTNECVTQKLQLLGSYGWEPSSFTTLAQQYVRMKKTYGGKVVPGAMDTAAAKHLSHAYGSMADRVATIAQEEGLGKRLAHGHPFLEAEVAYCARHEYCESAVDFIARRCRIAFLDTDAAARALQRVVEILASEHKWDKSRQKQELQKAKEFLETFKSSKNAQFNDGKHN", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MFSSVAHLARANPFNAPHLQLVHDVSGPRSPPGPPRRSRHLAAAAVEGYSCEFGSMKYYALCGFGGVLSCGLTHTAVVPLDLVKCRMQVDPQKYKGIFNGFSITLKEDGVRGLAKGWAPTLIGYSMQGLCKFGFYEVFKALYSNILGEENTYLWRTSLYLAASASAEFFADIALAPMEAAKVRIQTQPGYANTLREAVPKMYKEEGLNAFYKGVAPVWMRQIPYTMMKFACFERTVEALYKFVVPKPRSECTKAEQLVVTFVAGYIAGVFCAIVSHPADSVVSVLNKEKGSTASQVLQRLGFRGVWKGLFARIIMIGTLTALQWFIYDSVKVYFRLPRPPPPEMPESLKKKLGLTE", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLRSLHSAATLSNKRFYSLISHSNRKNIIKKLLRHPSFDPIRHHLPEDITTIDPYSLSQNVIESLNKLEVPKKDAAMVHNMMIENLSDLDYGVATIHSNNLRDLDLKPSLPAIKQIIRNNPGRVQSSWELFTQYKASMENVPDELMEVVLEKIIKFDKAEKVDGKKSLTYQDLVRCLYLINHFSSNYNLPSELVEPILIYIVDNGIPNVLGSVLKYKIPLSFFDKYVSEMTQYQICELYDFYSLDNIVADPLVLHKCLTVLGENEKIQQTEEEKEIISKLEEEIDIVKSQCHDNWSLEFPNWSVRKTATSFEELFLEIQKRNIDKKDFELAHKLLRLIGAFKGKVSLFFKLYDEYLLKFKNNEDDLMFEAFLTLCCQGYKSSNEKMLQYAEAFIKEDFDSKLESKIQSVLIVANAKANIDLSLKIYNSNISTAKREKDKYTDLAESDVLTESLILAFLSRDDADFARVIFDGALGEKLISGPTAAKKIKNLLAQYGEALETKTSKQVMQTKIEHYMESI", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLQRHSLKLGKFSIRTLATGAPLDASKLKITRNPNPSKPRPNEELVFGQTFTDHMLTIPWSAKEGWGTPHIKPYGNLSLDPSACVFHYAFELFEGLKAYRTPQNTITMFRPDKNMARMNKSAARICLPTFESEELIKLTGKLIEQDKHLVPQGNGYSLYIRPTMIGTSKGLGVGTPSEALLYVITSPVGPYYKTGFKAVRLEATDYATRAWPGGVGDKKLGANYAPCILPQLQAAKRGYQQNLWLFGPEKNITEVGTMNVFFVFLNKVTGKKELVTAPLDGTILEGVTRDSVLTLARDKLDPQEWDINERYYTITEVATRAKQGELLEAFGSGTAAVVSPIKEIGWNNEDIHVPLLPGEQCGALTKQVAQWIADIQYGRVNYGNWSKTVADLN", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASHLFTRSRISLLKTLKPNPFTSASPIRAISGTPFLSQDPLLATESTDHDPSNHQSTSTPLPPNPATGSPLYQENWRSPIPNTPSFNQSLVPLGFLNQAPAPRIRALSETLDMNSLLNMFADWTASQRWSDMKQLFEVWVRSLDKNGKPNKPDVNLYNHYLRANLMMGASAGDMLDLVAPMEEFSVEPNTASYNLVLKAMYQARETEAAMKLLERMLLLGKDSLPDDESYDLVIGMHFGVGKNDEAMKVMDTALKSGYMLSTSVFTECVRSCVAKGRTDTLVSIIERCKAVDRNKSLCPSWILCNYIAEVAIQEDNSKLAFYAFEFMFKWITRGEMARPSVIFSVDEGLVVAGLASAARTCSSSLVEGSWTILKQSLRGRKAANPASYIAKINAYASLGNLQKAFTSLHELESAYADSEKEVVEEMLSPFTSLYPLVVACSKKGFETLDEVYFQLESLSQGDTPYKSVAALNCIILGCANTWDLDRAYQTFEAISASFGLTPNIDSYNALLYAFGKVKKTFEATNVFEHLVSIGVKPDSRTYSLLVDAHLINRDPKSALTVVDDMIKAGFEPSRETLKKLRRRCVREMDDENDDQVEALAKKFQIRMGSENRRNMLFNIDYSRGRALNN", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSALLPRLLTRTAFKASGKLLRLSSVISRTFSQTTTSYAAAFDRSKPHVNIGTIGHVDHGKTTLTAAITKTLAAKGGANFLDYAAIDKAPEERARGITISTAHVEYETAKRHYSHVDCPGHADYIKNMITGAAQMDGAIIVVAATDGQMPQTREHLLLARQVGVQHIVVFVNKVDTIDDPEMLELVEMEMRELLNEYGFDGDNAPIIMGSALCALEGRQPEIGEQAIMKLLDAVDEYIPTPERDLNKPFLMPVEDIFSISGRGTVVTGRVERGNLKKGEELEIVGHNSTPLKTTVTGIEMFRKELDSAMAGDNAGVLLRGIRRDQLKRGMVLAKPGTVKAHTKILASLYILSKEEGGRHSGFGENYRPQMFIRTADVTVVMRFPKEVEDHSMQVMPGDNVEMECDLIHPTPLEVGQRFNIREGGRTVGTGLITRIIE", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MWRLPGLLGRALPRTLGPSLWRVTPKSTSPDGPQTTSSTLLVPVPNLDRSGPHGPGTSGGPRSHGWKDAFQWMSSRVSPNTLWDAISWGTLAVLALQLARQIHFQASLPAGPQRVEHCSWHSPLDRFFSSPLWHPCSSLRQHILPSPDGPAPRHTGLREPRLGQEEASAQPRNFSHNSLRGARPQDPSEEGPGDFGFLHASSSIESEAKPAQPQPTGEKEQDKSKTLSLEEAVTSIQQLFQLSVSIAFNFLGTENMKSGDHTAAFSYFQKAAARGYSKAQYNAGLCHEHGRGTPRDISKAVLYYQLAASQGHSLAQYRYARCLLRDPASSWNPERQRAVSLLKQAADSGLREAQAFLGVLFTKEPYLDEQRAVKYLWLAANNGDSQSRYHLGICYEKGLGVQRNLGEALRCYQQSAALGNEAAQERLRALFSMGAAAPGPSDLTVTGLKSFSSPSLCSLNTLLAGTSRLPHASSTGNLGLLCRSGHLGASLEASSRAIPPHPYPLERSVVRLGFG", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKASSKAIKLVLDHLKSTGRVLGSVESGNSATISEKTASVNKQQQLQEKKPSVLQYRSYNPYLVKEDFLSILPENLYKKRGQFTNELDFQLMKVRDPKYFQFKDQYYLFFNDYNSLTEYIKLTKHSRINKIRVKMTPLAQPLPTLLTKLQRYSKNLYNAFRSSEQYFEGLNEKVDVSGEFTTNQLRSILDSVEEIENKSVLVWNIPTKLRSHDILNYFWFYNIRSSFKIYWDDEMKRNLRFISFENSHDAYRFKRNYHGLLAKELLTLSEKGDAADYSLEMDDSKILIEHLSE", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAPSAGEDKHSSAKRVAVIGAGVSGLAAAYKLKIHGLNVTVFEAEGKAGGKLRSVSQDGLIWDEGANTMTESEGDVTFLIDSLGLREKQQFPLSQNKRYIARNGTPVLLPSNPIDLIKSNFLSTGSKLQMLLEPILWKNKKLSQVSDSHESVSGFFQRHFGKEVVDYLIDPFVAGTCGGDPDSLSMHHSFPELWNLEKRFGSVILGAIRSKLSPKNEKKQGPPKTSANKKRQRGSFSFLGGMQTLTDAICKDLREDELRLNSRVLELSCSCTEDSAIDSWSIISASPHKRQSEEESFDAVIMTAPLCDVKSMKIAKRGNPFLLNFIPEVDYVPLSVVITTFKRENVKYPLEGFGVLVPSKEQQHGLKTLGTLFSSMMFPDRAPNNVYLYTTFVGGSRNRELAKASRTELKEIVTSDLKQLLGAEGEPTYVNHLYWSKAFPLYGHNYDSVLDAIDKMEKNLPGLFYAGNHRGGLSVGKALSSGCNAADLVISYLESVSTDSKRHC", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAAQIPESDQIKQFKEFLGTYNKLTETCFLDCVKDFTTREVKPEETTCSEHCLQKYLKMTQRISMRFQEYHIQQNEALAAKAGLLGQPR", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPRDGTNEQRFLELPSPMSFILNILRNVLEYFGVPVDQDLLICQNKNCGSARSIVRIIGRRLPLKPCRRPHFELIPHVNSTESDDYELRVPSFADVLCVANDEEASCLRFRHSLWQKKEERKIAPFYPSKLTWDPSSPGLRQNKTETDDLPVNEAAIKKIAALEDELTFLRSQIAAIVAMQDLRESRETGFIDLSDEQVPPSSATTGLSVEPDHAPSVVLPPPPPPPPPPQFSLQPPSSLPMQPGSANTHDIDSLATEMERQLSGVKKTDDSHHSKSQRLRDVPNMLDVLKDVNKVRLRPVERSPGGRPVQKRKRRSSEWDPVSLISNALKQKFAFQDDSFDRENSSWECSPFSSPETSRF", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTMVTRVIMTDDDSQSLCFLCFLLFFFITAIAVAGDSLDSDREVLLSLKSYLESRNPQNRGLYTEWKMENQDVVCQWPGIICTPQRSRVTGINLTDSTISGPLFKNFSALTELTYLDLSRNTIEGEIPDDLSRCHNLKHLNLSHNILEGELSLPGLSNLEVLDLSLNRITGDIQSSFPLFCNSLVVANLSTNNFTGRIDDIFNGCRNLKYVDFSSNRFSGEVWTGFGRLVEFSVADNHLSGNISASMFRGNCTLQMLDLSGNAFGGEFPGQVSNCQNLNVLNLWGNKFTGNIPAEIGSISSLKGLYLGNNTFSRDIPETLLNLTNLVFLDLSRNKFGGDIQEIFGRFTQVKYLVLHANSYVGGINSSNILKLPNLSRLDLGYNNFSGQLPTEISQIQSLKFLILAYNNFSGDIPQEYGNMPGLQALDLSFNKLTGSIPASFGKLTSLLWLMLANNSLSGEIPREIGNCTSLLWFNVANNQLSGRFHPELTRMGSNPSPTFEVNRQNKDKIIAGSGECLAMKRWIPAEFPPFNFVYAILTKKSCRSLWDHVLKGYGLFPVCSAGSTVRTLKISAYLQLSGNKFSGEIPASISQMDRLSTLHLGFNEFEGKLPPEIGQLPLAFLNLTRNNFSGEIPQEIGNLKCLQNLDLSFNNFSGNFPTSLNDLNELSKFNISYNPFISGAIPTTGQVATFDKDSFLGNPLLRFPSFFNQSGNNTRKISNQVLGNRPRTLLLIWISLALALAFIACLVVSGIVLMVVKASREAEIDLLDGSKTRHDMTSSSGGSSPWLSGKIKVIRLDKSTFTYADILKATSNFSEERVVGRGGYGTVYRGVLPDGREVAVKKLQREGTEAEKEFRAEMEVLSANAFGDWAHPNLVRLYGWCLDGSEKILVHEYMGGGSLEELITDKTKLQWKKRIDIATDVARGLVFLHHECYPSIVHRDVKASNVLLDKHGNARVTDFGLARLLNVGDSHVSTVIAGTIGYVAPEYGQTWQATTRGDVYSYGVLTMELATGRRAVDGGEECLVEWARRVMTGNMTAKGSPITLSGTKPGNGAEQMTELLKIGVKCTADHPQARPNMKEVLAMLVKISGKAELFNGLSSQGYIEM", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MIPRTRTLLQSKIPITRYFARCWAPRVRYNVCRTLPAAALHTNIIAHNEVKKDDKKVHLGSFKVDKPKMMIAFTCKKCNTRSSHTMSKQAYEKGTVLISCPHCKVRHLIADHLKIFHDHHVTVEQLMKANGEQVSQDVGDLEFEDIPDSLKDVLGKYAKNNSENASQLPHPSQK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLLQPVWKGCRWTQFVRPIRRWNSTGTNRGVPFSFKDISNQEDITNISYPSSSDSVLTKSNGSSEVYKPKEEVVKYILHGKFTKNNTHLTFSSVVEDKNFHKNKGLTYNDTMLYYLNLPQKVKISLSTGCLGFRKAARGEYEAAFQTSGRMFELIKEKNMLNKDIEVVMDDFGKGRAAFISALVGKEGASVVKKVVKISDATKLKFGGVRSPKMRRL", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSLTSSSSVRVEWIAAVTIAAGTAAIGYLAYKRFYVKDHRNKAMINLHIQKDNPKIVHAFDMEDLGDKAVYCRCWRSKKFPFCDGAHTKHNEETGDNVGPLIIKKKET", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLTARLSRPLSQLPRKTLNFSDRENGTRGSLLLYSAPFVPVGRRTYAASVDPVGSKAVLITGCDSGFGFSLAKHLHSEGFLVFAGCLMKDKGSDGVKELDSMKSDRLRTVQLNVCKSEEVDKAAEVIRSSLEDPEKGLWGLVNNAGISTFGDVEFTSMETYKEVAEVNLWGTVRVTKAFLPLIRRAKGRVVNISSMMGRMANVARSPYCITKFGVEAFSDCLRYEMHPLGVKVSVVEPGNFIAATSLYGGTERIQAIANKMWEELPEVVRQDYGRKYFDEKVARMESYCTSGSTDTSPVIKAVTHALTATTPYTRYHPMDYYWWLRMQIMTHFPGAISDRIYIH", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPGRKARRNAPVNPTRAELPPEFAAQLRKIGDKVYCTWSAPDITVVLAQMPGKSQKSRMRSPSPTRVPADLKDECAQLRRIGDKVNLRQKLLNLISKLFNLVT", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEHPAYTLSLLTTAGGLMGYYRKGSIPSLVSGLVFGSVYGIAGYLLHMNRDGGLEMALGASTLLLGAGVIRGMPSRFTKPVPVVLTALGGLGSYYYYNKYKEFYP", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLPHVVLTFRRLGCALASCRLAPARHRGSGLLHTAPVARSDRSAPVFTRALAFGDRIALVDQHGRHTYRELYSRSLRLSQEICRLCGCVGGDLREERVSFLCANDASYVVAQWASWMSGGVAVPLYRKHPAAQLEYVICDSQSSVVLASQEYLELLSPVVRKLGVPLLPLTPAIYTGAVEEPAEVPVPEQGWRNKGAMIIYTSGTTGRPKGVLSTHQNIRAVVTGLVHKWAWTKDDVILHVLPLHHVHGVVNALLCPLWVGATCVMMPEFSPQQVWEKFLSSETPRINVFMAVPTIYTKLMEYYDRHFTQPHAQDFLRAVCEEKIRLMVSGSAALPLPVLEKWKNITGHTLLERYGMTEIGMALSGPLTTAVRLPGSVGTPLPGVQVRIVSENPQREACSYTIHAEGDERGTKVTPGFEEKEGELLVRGPSVFREYWNKPEETKSAFTLDGWFKTGDTVVFKDGQYWIRGRTSVDIIKTGGYKVSALEVEWHLLAHPSITDVAVIGVPDMTWGQRVTAVVTLREGHSLSHRELKEWARNVLAPYAVPSELVLVEEIPRNQMGKIDKKALIRHFHPS", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MARQATRLISNLSTKLNPSSPTMSASPLWHQYRYFGSPPPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVAEAKVETKANASVVYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALKKQLRTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLERFIADPQTEGIVLIGEIGGTAEEDAAALIKESGTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALKEAGVTVCESPAKIGVTMLDVFKQRGLA", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MMWKNIAGLSKAAAAARTHGSRRCFSTAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGIRGLLPPRVMTCVQQCDRFIESFRSLENNTKGEPENVVALAKWRMLNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLYRRPRGMYFSAKDKGEMMSMIYNWPAPQVDMIVITDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRVLPIMLDVGTNNEKLLQNDLYLGVRQPRLEGEEYLEIIDEFMEAAFTRWPKAVVQFEDFQAKWAFGTLERYRKKFCMFNDDVQGTAGVALAGLLGTVRAQGRPISDFVNQKIVVVGAGSAGLGVTKMAVQAVARMAGISESEATKNFYLIDKDGLVTTERTKLDPGAVLFAKNPAEIREGASIVEVVKKVRPHVLLGLSGVGGIFNEEVLKAMRESDSCKPAIFAMSNPTLNAECTAADAFKHAGGNIVFASGSPFENVELENGKVGHVNQANNMYLFPGIGLGTLLSGARIVTDGMLQAASECLASYMTDEEVQKGILYPSINNIRHITAEVGAAVLRAAVTDDIAEGHGDVGPKDLSHMSKEDTVNYITRNMWFPVYSPLVHEK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSAFVRVVPRISRSSVLTRSLRLQLRCYASYPEHTIIGMPALSPTMTQGNLAAWTKKEGDQLSPGEVIAEIETDKAQMDFEFQEDGYLAKILVPEGTKDIPVNKPIAVYVEDKADVPAFKDFKLEDSGSDSKTSTKAQPAEPQAEKKQEAPAEETKTSAPEAKKSDVAAPQGRIFASPLAKTIALEKGISLKDVHGTGPRGRITKADIESYLEKSSKQSSQTSGAAAATPAAATSSTTAGSAPSPSSTASYEDVPISTMRSIIGERLLQSTQGIPSYIVSSKISISKLLKLRQSLNATANDKYKLSINDLLVKAITVAAKRVPDANAYWLPNENVIRKFKNVDVSVAVATPTGLLTPIVKNCEAKGLSQISNEIKELVKRARINKLAPEEFQGGTICISNMGMNNAVNMFTSIINPPQSTILAIATVERVAVEDAAAENGFSFDNQVTITGTFDHRTIDGAKGAEFMKELKTVIENPLEMLL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLARSLGYRLISTSRILYNKPTVKSVVSSCPAGTSLNLNIWKSGKDAVALEDKEYPNWLWSVLDSDHVVEHAAEDPEGQALLKRRKNIRKANRQRIKQNNFLSQL", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLKSIFAKRFASTGSYPGSTRITLPRRPAKKIQLGKSRPAIYHQFNVKMELSDGSVVIRRSQYPKGEIRLIQDQRNNPLWNPSRDDLVVVDANSGGSLDRFNKRYSSLFSVDSTTPNSSSETVELSEENKKKTQIKKEEKEDVSEKAFGMDDYLSLLDDSEQQIKSGKLASKKRDKK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MWRGLSALVTQAAWAPLRLCARCSTSAESLVPSSTIFALSSGQGRCAIAVIRTSGPASGLALRSLTALQEPPPARRACLRLLRHPCSGEPLDRSLVLWFPGPQSFTGEDCVEFHVHGGPAVVSGVLQALGSVPGLRPAEAGEFTRRAFAHGKLSLTEVEGLADLIRAETEAQRRQALRQLDGELSQLCQGWAKTLTKALAYVEAYIDFGEDDNLEEGVLEQADREVRALEVALGSHLRDARRGQRLLSGANVVVTGPPNAGKSSLVNLLSQKPVSIVSPEPGTTRDVLETPVDLAGFPVLLSDTAGLREGVGAVEQEGVRRARHRLEQADIILGVLDASDLASSSSCSFLDTVVTPLLAQSQDSGGQRLLLLLNKSDLLSANAPACDIALPPHLLLSCHTGAGMDSLLQALKTELAAVCGDPSTGPPLLTRVRHQYHLQGCLDALGHYQLATDLALAAEALRQARRQLNHLTGGGGTEEILDLIFQDFCVGK", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAMLLRVATQRLSPWRSFCSRGSQGGLSQDFVEALKAVVGSPHVSTASAVREQHGHDESMHRCQPPDAVVWPQNVDQVSRVASLCYNQGVPIIPFGTGTGVEGGVCAVQGGVCINLTHMDQITELNTEDFSVVVEPGVTRKALNTHLRDSGLWFPVDPGADASLCGMAATGASGTNAVRYGTMRDNVINLEVVLPDGRLLHTAGRGRHYRKSAAGYNLTGLFVGSEGTLGIITSTTLRLHPAPEATVAATCAFPSVQAAVDSTVQILQAAVPVARIEFLDDVMMDACNRHSKLNCPVAPTLFLEFHGSQQTLAEQLQRTEAITQDNGGSHFSWAKEAEKRNELWAARHNAWYAALALSPGSKAYSTDVCVPISRLPEILVETKEEIKASKLTGAIVGHVGDGNFHCILLVDPDDAEEQRRVKAFAENLGRRALALGGTCTGEHGIGLGKRQLLQEEVGPVGVETMRQLKNTLDPRGLMNPGKVL", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAALKSWLSRSVTSFFRYRQCLCVPVVANFKKRCFSELIRPWHKTVTIGFGVTLCAVPIAQKSEPHSLSSEALMRRAVSLVTDSTSTFLSQTTYALIEAITEYTKAVYTLTSLYRQYTSLLGKMNSEEEDEVWQVIIGARAEMTSKHQEYLKLETTWMTAVGLSEMAAEAAYQTGADQASITARNHIQLVKLQVEEVHQLSRKAETKLAEAQIEELRQKTQEEGEERAESEQEAYLRED", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKSKTWIFRDVLSSHRTKAFDSLLCRRLPVSKATKHLQLGEHFLFFPPSFEKLDRDGYFNYQNPASLLGNPDLRYRRRIWGQGELVQYLPVTLDQEYTCHESIKYVKKIRDEHVVCIERTLLQERPENVSSPMDICLFERRVLMYTNSPANKTAVKMPVGEENYKILKNFTVTDMDIVAYGQMSLNPHRIHWDKEYSRYVEGYDDIIMQGPFSVQLLQKCIQPFLEQPIRQLRYRNLNYIYPNTTLSICQSLSSSSGMYTFQIRDLQKANLVYMKADVFC", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MILLKRTKIRGVSVSFVSLQRRTHSRLVNPIRQQHQQITKQRSSKILKNAHFYDFRSLPKVPTTQYLEARELTRDILYSGYRPVMYPVKENPLFRDKKRKSLQTLLTMNEKTNAEAKTIDEKKHKNILFGERGTGGIMSGGVNGTWKYNPTVPNELLPFNWWSTSSMGMEYFPEWKNVPPYMMRKLKPFDKALQMRLTHKSKKKMK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFANVGFRTLRVSRGPLYGSCSQIISFSKRTFYSSAKSGYQSNNSHGDAYSSGSQSGPFTYKTAVAFQPKDRDDLIYQKLKDSIRSPTGEDNYFVTSNNVHDIFAGVADGVGGWAEHGYDSSAISRELCKKMDEISTALAENSSKETLLTPKKIIGAAYAKIRDEKVVKVGGTTAIVAHFPSNGKLEVANLGDSWCGVFRDSKLVFQTKFQTVGFNAPYQLSIIPEEMLKEAERRGSKYILNTPRDADEYSFQLKKKDIIILATDGVTDNIATDDIELFLKDNAARTNDELQLLSQKFVDNVVSLSKDPNYPSVFAQEISKLTGKNYSGGKEDDITVVVVRVD", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLPARLACGLLCGLRRGPAPAAACYGPARWLLEGKCEVPIRQRASSLGRRVPPSSTATEDYAEGPDTEERFLFPEYVPERTPEEQVRELQELRELQQLQQEKERERLQQREERLQQKLRAGFRTLPVPEFPDASVPPSGIYCSGCGAELHCQHPGLPGYLPEEKFRDAAQAEGGPARTVCQRCWLLVHHGRALRLQVSRDQYLELVSAALRRPGPALVLYMVNLLDLPDALLPDLPKLVGPKQLIVLGNKVDLLPQDAPGYLKRLRKRLWDDCIRAGLVVAPGHQGPQYPAGDEPLEEIKNQNPSSRSRTVVKDVRLISAKTGYGVEEMISALQRSWRYRGDVYLVGTTNAGKSTLFNTLLESDYCTAKGSEAIDRATISPWPGTTLNLLKFPICNPTPYRMFKRQRRLQEDATKAEEDLSEEEQSQLNQLKKHGYIVGRVGRTFSYSREQDEVPFEFDADSLAFDMGSEPVVSVCKSTKQIELTPEDVKDAHWFYDTPGITKESCILNLLTEKEINTVLPTHSIIPRTFVLKPGMVLFLGGIARIDFLQGNQSAWFTVVASNFLPVHITSLDKADALYEKHAGHELLLVPMGGKERMAQFPPLVAEDITLKGGGKFEAVADIKFSSAGWVAVTPYSEGTLHLRGHTPEGTALTVHPPVLPYIVNVKGQRMKKSVAYKTKKPPSLVHNLKKHR", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNVKKVPNVPGSPALSALLKLGVIGGLGLYCIGSSMYNVDGGHRAIVFNRFTGIKDRVYPEGTHFKIPLFERAIIYDVRSRPYVENSQTGSNDLQTVTIGLRVLTRPMGDRLPEIYRTLGQNYGERVLPSIINETLKAVVAQYNASHLITQREAVSREIRKIVTERAAKFNIALDDVSITNLKFGKEFTEAIEKKQVAAQEAERAKFIVEKAEQDKKSAIIRAQGEAKSAQLIGQAIANNEAFITLRKIEAAREIAQTIAKSANKVYLNSSDLLISKQ", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MQGVIRSFVSGGNVVKGSVLQHLRVINPAIQPSVFCSRSESTQPARMEESGFESTTISDVMKSKGKSADGSWLWCTTDDTVYDAVKSMTQHNVGALVVVKPGEQQALAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPETKVLRAMQLMTDNRIRHIPVIKDKGMIGMVSIGDVVRAVVHEHREELQRLNAYIQGGY", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MWRYISKQAYSRKFRNSHDSALLGFSQYSSSFGKTRPLQCLCEESTTNPNLGLSQNSIFSRISRKVRHLEGICEESSKNPHLGLSQNSLFSSVKGDFRVCGKRGSGSLGFLRSYGSAAEAIASTSEEDIDEIQELIEEMNKENEALKTNLQPKQPKTIGGMGVGKYNLLRRRQIKVETEAWEEAAKEYQELLMDMCEQKLAPNLPYMKSLFLGWFEPLRDAIAAEQKLCDEGKNRGAYAPFFDQLPAEMMAVITMHKLMGLLMTGGGTGSARVVQAASHIGEAIEHEARIHRFLEKTKKSNALSGDLEDTPGDIMKERERVRKKVKILMKKQKLQQVRKIVKQQDDEKPWGQDNLVKVGCRLIQILMETAYIQPPNDQLDDCPPDIRPAFVHTLKTVETMKGSRRYGVIQCDPLVRKGLDKTARHMVIPYMPMLVPPQSWLGYDKGAYLFLPSYIMRTHGAKQQREAVKRVPKKQLEPVFQALDTLGNTKWRLNRKVLGIVDRIWASGGRLADLVDREDVPLPEEPDAEDEAQIRKWKWKVKGVKKENCERHSQRCDIELKLAVARKMKDEDGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGKSGLRWLKIHLANVYGGGVDKLSYEGRVAFSENHVEDIFDSAERPLEGKRWWLGAEDPFQCLATCINIAEALRSPSPETAISYMPIHQDGSCNGLQHYAALGRDTLGAAAVNLVAGDKPADVYSGIAARVLDIMKRDAAKDPANDPNVMRARLLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERGVIEDDNELFAAACYAAKTTLTALGEMFEAARSIMSWLGDCAKIIAMENHPVRWTTPLGLPVVQPYRKLGRHLIKTSLQILTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAIACKESGLSFAGVHDSYWTHASDVDQMNKILREKFVELYDAPILENLLESFQQSFPDLQFPPLPERGDFDLREVLESPYFFN", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLSRVSVFKPASRGFSVLSSLKITEHTSAKHTEKPEHAPKCQNLSDAQAAFLDRVIRVDQAGELGADYIYAGQYFVLAHRYPHLKPVLKHIWDQEIHHHNTFNNLQLKRRVRPSLLTPLWKAGAFAMGAGTALISPEAAMACTEAVETVIGGHYNGQLRNLANQFNLERTDGTKGPSEEIKSLTSTIQQFRDDELEHLDTAIKHDSYMAVPYTVITEGIKTICRVAIWSAERI", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNSMFRFWIPKTSISMQLRMLSTQTRQALEQAVKEDPIVLFMKGTPTRPMCGFSLKAIQILSLENVASDKLVTYNVLSNDELREGIKEFSDWPTIPQLYINGEFVGGSDILASMHKSGELHKILKEINALAPEQPKDSEEETTKKD", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSIAWSSVFKRELRLERFLPRVYSTKVPDNAPRAADNEQWLETLRPITHPEQKKSDHDVSYTRHINVPLGEVTSVNYLQRYNKHKHSQGNFVDVRIVKCKSGAGGSGAVSFFRDAGRSIGPPDGGDGGAGGSVYIQAVAGLGSLAKMKTTYTAEDGEAGAARQLDGMRGRDVLIQVPVGTVVKWCLPPQKVRELVEREMRKDNNATLRSILGSTAVNLSVSSGSHRKKIQLYRHEMAESWLFKDKAKEYHENKDWFKDLHKKMEAYDHSLEQSELFNDQFPLAGLDLNQPMTKPVCLLKGGQGGLGNMHFLTNLIRNPRFSKPGRNGLEQHFLFELKSIADLGLIGLPNAGKSTILNKISNAKPKIGHWQFTTLSPTIGTVSLGFGQDVFTVADIPGIIQGASLDKGMGLEFLRHIERSNGWVFVLDLSNKNPLNDLQLLIEEVGTLEKVKTKNILIVCNKVDIDAEKSESFAKYLQVEKFSKSQEWDCVPISALREENIDVLKKKMFKCARQSEFDK", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MMRGSRFLLSRASLYHRLRSDHHHHSFSSFIKRSSIQRSPAINAFFTDPSPSPSPVNARVFFFSTSTSTPNQDQTKTASSKKILRTISSYLWMKDNPELRFRVIAALACLIGAKFLNVQVPFLFKLSIDLLSSYSSSTITDSNPYLLAAFATPSSVLIGYGIARSGSSAFNELRTAVFSKVSLRTIRSVSRKVLSHLHDLDLRYHLNRETGALNRIIDRGSRAINTILSAMVFNVVPTILEISMVTGILAYNFGPVFALITSLSVGSYIAFTLVVTQYRTKFRKAMNQADNDASTRAIDSLVNYETVKYFNNEDYEARKYDDLLGRYEDAALQTQKSLAFLDFGQSFIFSTALSTSMVLCSQGIMNGEMTVGDLVMVNGLLFQLSLPLYFLGGVYRETVQGLVDMKSLFQLLEERSDIGDKDTETKLPPLVLRGGSISFENVHFSYLPERKILDGISFEVPAGKSVAIVGSSGSGKSTILRMIFRFFDTDSGNVRIDGQDIKEVTLESLRSCIGVVPQDTVLFNDTIFHNIHYGNLSATEEEVYDAARRAVIHDTIMKFPDKYSTAVGERGLMLSGGEKQRVALARAFLKSPAILLCDEATNALDSKTEAEIMKTFRSLASNRTCIFIAHRLTTAMQCDEIIVMEKGKVVEKGTHQVLLEKSGRYAKLWTQQNSTLEV", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSTNAKESAGKNIKYPWWYGGAAGIFATMVTHPLDLAKVRLQAAPMPKPTLFRMLESILANEGVVGLYSGLSAAVLRQCTYTTVRFGAYDLLKENVIPREQLTNMAYLLPCSMFSGAIGGLAGNFADVVNIRMQNDSALEAAKRRNYKNAIDGVYKIYRYEGGLKTLFTGWKPNMVRGILMTASQVVTYDVFKNYLVTKLDFDASKNYTHLTASLLAGLVATTVCSPADVMKTRIMNGSGDHQPALKILADAVRKEGPSFMFRGWLPSFTRLGPFTMLIFFAIEQLKKHRVGMPKEDK", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MYLRRAVSKTLALPLRAPPNPAPLGKDASLRRMSSNRFPGSSGSNMIYYLVVGVTVSAGGYYAYKTVTSDQAKHTEHKTNLKEKTKAEIHPFQGEKENVAETEKASSEAPEELIVEAEVVDAEESPSATVVVIKEASACPGHVEAAPETTAVSAETGPEVTDAAARETTEVNPETTPEVTNAALDEAVTIDNDKDTTKNETSDEYAELEEENSPAESESSAGDDLQEEASVGSEAASAQG", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLGVRCLLRSVRFCSSAPFPKHKPSAKLSVRDALGAQNASGERIKIQGWIRSVRSQKEVLFLHVNDGSSLESLQVVADSGLDSRELNFGSSVEVQGQLIKSPSKRQNVELKAEKIKVIGNCDAKDFPIKYKERHPLEYLRQYPHFRCRTNVLGSILRIRSEATAAIHSFFKDSGFVHIHTPIITSNDSEGAGELFQLEPSGKLKVPEENFFNVPAFLTVSGQLHLEVMSGAFTQVFTFGPTFRAENSQSRRHLAEFYMIEAEISFVDSLQDLMQVIEELFKATTMMVLSKCPEDVELCHKFIAPGQKDRLEHMLKNNFLIISYTEAVEILKQASQNFTFTPEWGADLRTEHEKYLVKHCGNIPVFVINYPLTLKPFYMRDNEDGPQHTVAAVDLLVPGVGELFGGGLREERYHFLEERLARSGLTEVYQWYLDLRRFGSVPHGGFGMGFERYLQCILGVDNIKDVIPFPRFPHSCLL", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MISHVLVKDTSCLKVRTSYKCFVKYFPKCSVQSSFHSYDELAFSRRLYNLPRTLLNSRYYSNHSHGLVHGSKSPPSSQFLVPSFLQFNGQLKALCNNSAFQALPIKLSDLQKHWPSLKPHPLPPKRVSLGIPSISNPPVDTVISDSPTSPHPPSFVQPHPPYGIFAAPILDVRVLTNPGAVKRTYNLCLDISKYPLLEGKDWKIGGSFGIMPPNSDAEVLHLAHLLKIPQHELYVTKVLRTNGGRWPTIWGEDKPRCLYTSLYHIFKWCSDFISKPPTKSLIRLLAEHTLNPVEKSVLLALSDFRQDESYCRICTQSCVTLPDILEAFPSCHPPVDHLISALPQLMPRWYSISNDPSLANKRLEMAFTVQEYHSPNGQSRTGICTGFLEDLALAFLKARHDGSLANKKFTVPMFRGVQQNPFAKEFHNDGPMCLIGAGVGIAPFRGFVQRRLANAACTGKVWIIQGCRDQKLDELYHGEWNTVPGHHKNPKCRAKKLVVESRNGRREYVQDAVRRHGDVIWDVLSHKNGRIYLCGSGNSFVSEIEKALMDVAMKYGKLSKEEAQKELKNWQKPMNCKLIKEVW", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFCHLRPLRRFGLRKVLPHWLHYSRALSGAEAINALRPFYFAVHPDFFGQHPREREVNENSLKRLSVYLENLQKPGFKSLKPTQLTFYIREKTAQNSSEGQEPISTTGFRAVRFTLHSSDLLSTVLYILNSCSLPVEHVQSLNTNVHSQPLKEATGMPDRPIKWHRSYYSFTGFKDPDEDLTHVSRVETTLTSWLGSNGKGAVKKLRNSLPLRKELDRLKNELSELLQLSDIRWQRGWGVAHRCSQLHSLSRLAQQNPGPLQNVKGCTVVFTDRSGMSALGHVMLGTMDVHHHWTRLFESLPSYFDLQRRMSALEAQISNLLGGIQVVYIEELQPALTLDQYYSLLHTFYNQLLRSRVPPHPHPQSLSGLQMILSSDRYAPSLHELGHFDIPALSDPASLQSFMRTKAQQARENMTRREKLKVMENELIQASTKQFSLEKLYKEPSISSRQMVDCCKRLLEQSLPYLHGMHLCVSHFYSVMQDGDLCIPWNWKKGEAMK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAALRLLASVLGRGVPAGGSGLALSQGCARCFATSPRLRAKFYADPVEMVKDISDGATVMIGGFGLCGIPENLIAALLRTRVKDLQVVSSNVGVEDFGLGLLLAARQVRRIVCSYVGENTLCESQYLAGELELELTPQGTLAERIRAGGAGVPAFYTPTGYGTLVQEGGAPIRYTPDGHLALMSQPREVREFNGDHFLLERAIRADFALVKGWKADRAGNVVFRRSARNFNVPMCKAADVTAVEVEEIVEVGAFPPEDIHVPNIYVDRVIKGQKYEKRIERLTILKEEDGDAGKEEDARTRIIRRAALEFEDGMYANLGIGIPLLASNFISPSMTVHLHSENGILGLGPFPTEDEVDADLINAGKQTVTVLPGGCFFASDDSFAMIRGGHIQLTMLGAMQVSKYGDLANWMIPGKKVKGMGGAMDLVSSQKTRVVVTMQHCTKDNTPKIMEKCTMPLTGKRCVDRIITEKAVFDVHRKKELTLRELWEGLTVDDIKKSTGCAFAVSPNLRPMQQVAP", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MATALSEEELDNEDYYSLLNVRREASSEELKAAYRRLCMLYHPDKHRDPELKSQAERLFNLVHQAYEVLSDPQTRAIYDIYGKRGLEMEGWEVVERRRTPAEIREEFERLQREREERRLQQRTNPKGTISVGVDATDLFDRYDEEYEDVSGSSFPQIEINKMHISQSIEAPLTATDTAILSGSLSTQNGNGGGSINFALRRVTSAKGWGELEFGAGDLQGPLFGLKLFRNLTPRCFVTTNCALQFSSRGIRPGLTTVLARNLDKNTVGYLQWRWGIQSAMNTSIVRDTKTSHFTVALQLGIPHSFALISYQHKFQDDDQTRVKGSLKAGFFGTVVEYGAERKISRHSVLGAAVSVGVPQGVSLKVKLNRASQTYFFPIHLTDQLLPSAMFYATVGPLVVYFAMHRLIIKPYLRAQKEKELEKQRESAATDVLQKKQEAESAVRLMQESVRRIIEAEESRMGLIIVNAWYGKFVNDKSRKSEKVKVIDVTVPLQCLVKDSKLILTEASKAGLPGFYDPCVGEEKNLKVLYQFRGVLHQVMVLDSEALRIPKQSHRIDTDG", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNSSYFPGVLGVRWVHTNRKLQKRKEEHGAFQDSLHFMIPAAETKDLGASVTVGNSLTRAFRQVDRICQRNNVPRLFRSQRFYEKPSEKRSRVRSERHRARFRAGIVRLVNLAKNMRRWGY", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAALVRPARFVVRPLLQVVQAWDLDARRWVRALRRSPVKVVFPSGEVVEQKRAPGKQPRKAPSEASAQEQREKQPLEESASRAPSTWEESGLRYDKAYPGDRRLSSVMTIVKSRPFREKQGKILLEGRRLISDALKAGAVPKMFFFSRLEYLKELPVDKLKGVSLIKVKFEDIKDWSDLVTPQGIMGIFAKPDHVKMTYPKTQLQHSLPLLLICDNLRDPGNLGTILRSAAGAGCSKVLLTKGCVDAWEPKVLRAGMGAHFRMPIINNLEWETVPNYLPPDTRVYVADNCGLYAQAEMSNKASDHGWVCDQRVMKFHKYEEEEDVETGASQDWLPHVEVQSYDSDWTEAPAAVVIGGETYGVSLESLQLAESTGGKRLLIPVVPGVDSLNSAMAASILLFEGKRQLRGRAEDLSRDRSYH", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATAALLRGATPGRGGPVWRWRLRAAPRCRLAHSSCSPGGDPTAGAAWACFRLDGRTLLRVRGPDAAPFLLGLLTNELPLPSPAAAGAPPAARAGYAHFLNVQGRTLYDVILYGLQEHSEVSGFLLECDSSVQGALQKHLALYRIRRKVTVEPHPELRVWAVLPSSPEACGAASLQERAGAAAILIRDPRTARMGWRLLTQDEGPALVPGGRLGDLWDYHQHRYLQGVPEGVRDLPPGVALPLESNLAFMNGVSFTKGCYIGQELTARTHHMGVIRKRLFPVRFLDPLPTSGITPGATVLTASGQTVGKFRAGQGNVGLALLWSEKIKGPLHIRASEGAQVALAASVPDWWPTVSK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTKVDFWPTLKDAYEPLYPQQLEILRQQVVSEGGPTATIQSRFNYAWGLIKSTDVNDERLGVKILTDIYKEAESRRRECLYYLTIGCYKLGEYSMAKRYVDTLFEHERNNKQVGALKSMVEDKIQKETLKGVVVAGGVLAGAVAVASFFLRNKRR", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASRNIWRVRRNFLFDLRGWVPQYSAEVFLKSIPFRPFSVECNSKDGENGDLLNNLLTMGVDVDMARRRQPGVFNKAVTNEQELKMFLLSKGASDKVIGSIISRYPRAITRTPESLSKRWDLWREIMASDLEIVNILERSPESFFRSNNNLNLENNIKFLCSVGLTHKCLCRLLTSAPRTFSNSLNLNKQMVEFLQETGISLGHNNPTDFVRKIISKNPSILIQSTKRVKTNIEFLQSTFNLDKEDLLLLICGPGARILDLSNDCTKRNYTNIKKRLLSLGCTEEEVQKFVLSYLNMIFLSEKKFNDKIDCLLEEKISTSQILENPRVLDSSIHTLKTRIRELAHAGYDVSTSSIALLSWSQRRYEAKLKRLSG", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLFLALGSPWAVELPLCGRRTALCAAAALRGPRASVSRASSSSGPSGPVAGWSTGPSGAARLLRRPGRAQIPVYWEGYVRFLNTPSDKSEDGRLIYTGNMARAVFGVKCFSYSTSLIGLTFLPYIFTQNNAISESVPLPIQIIFYGIMGSFTVITPVLLHFITKGYVIRLYHEATTDTYKAITYNAMLAETSTVFHQNDVKIPDAKHVFTTFYAKTKSLLVNPVLFPNREDYIHLMGYDKEEFILYMEETSEEKRHKDDK", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MWRCVSRGFRAPASKTSSLFDGVSGSRFSRFFSTGSTDTRSSYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMSEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEEGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMASDGSCQGVIALNMEDGTLHRFRSSQTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPHKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTIKGDDPDAVIPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEISKPGEKQKPLEKDAGEKTIAWLDRLRNSNGSLPTSTIRLNMQRIMQNNAAVFRTQETLEEGCQLIDKAWESFGDVQVKDRSMIWNSDLIETLELENLLINASITMHSAEARKESRGAHAREDFTKREDGEWMKHTLGYWEDEKVRLDYRPVHMDTLDDEIDTFPPKARVY", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRGLVNKLVSRSLSISGKWQNQQLRRLNIHEYQGAELMGKYGVNVPKGVAASSLEEVKKAIQDVFPNESELVVKSQILAGGRGLGTFKSGLKGGVHIVKRDEAEEIAGKMLGQVLVTKQTGPQGKVVSKVYLCEKLSLVNEMYFSIILDRKSAGPLIIACKKGGTSIEDLAEKFPDMIIKVPIDVFAGITDEDAAKVVDGLAPKAADRKDSIEQVKKLYELFRKTDCTMLEINPLAETSTNQLVAADAKLNFDDNAAFRQKEVFAMRDPTQEDPREVAAAKVDLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEHQVVEAFKILTSDDKVKAILVNIFGGIMKCDVIASGIVNAAKEVALKVPVVVRLEGTNVEQGKRILKESGMKLITADDLDDAAEKAVKALAH", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGALVIRGIRNFNLENRAEREISKMKPSVAPRHPSTNSLLREQISLYPEVKGEIARKDEKLLSFLKDVYVDSKDPVSSLQVKAAETCQEPKEFRLPKDHHFDMINIKSIPKGKISIVEALTLLNNHKLFPETWTAEKIMQEYQLEQKDVNSLLKYFVTFEVEIFPPEDKKAIRSK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSGSVLFTAGERWRCFLTPSRSSLYWALHNFCCRKKSTTPKKITPNVTFCDENAKEPENALDKLFSSEQQASILHVLNTASTKELEAFRLLRGRRSINIVEHRENFGPFQNLESLMNVPLFKYKSTVQVCNSILCPKTGREKRKSPENRFLRKLLKPDIERERLKAVNSIISIVFGTRRIAWAHLDRKLTVLDWQQSDRWSLMRGIYSSSVYLEEISSIISKMPKADFYVLEKTGLSIQNSSLFPILLHFHIMEAMLYALLNKTFAQDGQHQVLSMNRNAVGKHFELMIGDSRTSGKELVKQFLFDSILKADPRVFFPSDKIVHYRQMFLSTELQRVEELYDSLLQAIAFYELAVFDSQP", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLRPLRKSVLASCRHCFKVCGGLPQKQLPLFSPLLLRARYSSTDSSTKRSNKSDKIDAPGFKKIFLVAIIGTVIFVKTVQSLDKNKPKTTLSEEEFENVVKGLKRRVAIFPQGEVDIKFSLSPSIEETRKVLQKSQGDDINELQFVDPVKVIDYYRTLRDDRYEALLNEYYKKYGCDTYAYNLPTGMLVMLLGRYFKENFKTGDKLVVVNFPHSIADATRFENEVSIVSKIFVPRKLSGSDVCKYYETVGKADII", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSLVKLANTCAHLQNCSKVRVALTSIPYTKLQLQFAYNLYQQGFLSSLQKGSTMGPDKDFVEVTPDNISTRRLWVGLKYRDNKPVLSSCKLISKPNSRIHLPMEDMKKLCSGVTIRNIKPLQPGELILVRAHNNIMDINEAISKKLDGEVLCRVK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLALRVARGSWGALRGAAWAPGTRPSKRRACWALLPPVPCCLGCLAERWRLRPAALGLRLPGIGQRNHCSGAGKAAPRPAAGAGAAAEAPGGQWGPASTPSLYENPWTIPNMLSMTRIGLAPVLGYLIIEEDFNIALGVFALAGLTDLLDGFIARNWANQRSALGSALDPLADKILISILYVSLTYADLIPVPLTYMIISRDVMLIAAVFYVRYRTLPTPRTLAKYFNPCYATARLKPTFISKVNTAVQLILVAASLAAPVFNYADSIYLQILWCFTAFTTAASAYSYYHYGRKTVQVIKD", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MATSIARLSRRGVTSNLIRRCFAAEAALARKTELPKPQFTVSPSTDRVKWDYRGQRQIIPLGQWLPKVAVDAYVAPNVVLAGQVTVWDGSSVWNGAVLRGDLNKITVGFCSNVQERCVVHAAWSSPTGLPAATIIDRYVTVGAYSLLRSCTIEPECIIGQHSILMEGSLVETRSILEAGSVVPPGRRIPSGELWGGNPARFIRTLTNEETLEIPKLAVAINHLSGDYFSEFLPYSTVYLEVEKFKKSLGIAV", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MILVYNRIRSIISSSLGRIHVRYNSNSQNRWLNRQLKDPYTKEAKVQNLRSRAAFKLMQIDDKYRLFSKNRTDQRILDLGYAPGAWSQVARQRSSPNSMILGVDILPCEPPHGVNSIQANILAKRTHDLIRLFFSKHFQLNRHDDLHKDHGYFQNMLEEELTHVKDTELYREIFTSDDIYETPNTNSTLIEREKFPVDVIISDMYEPWPQTTGFWNNITNQAYFRMANTSGVSIRDHYQSIDLCDAALVTAIDLLRPLGSFVCKLYTGEEENLFKKRMQAVFTNVHKFKPDASRDESKETYYIGLKKKRNVDKLDVFSNS", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MYRLAVRDQCKCALQRTLQQTTANNRQFGGSSSGSGGREQGRRQQEEQGQQGDQGYQGYQSLPPHMREAGFGKVVLFVSPLAAVGGVITYAKYDDDFRKLVEKNVPGAGSVIKVALQEEPPFKGITKNVNDQIDKVKSGIETVTSTVDSVTSKVTGLFGGGSGDDKSKKSKVEPVKATPAEEKRPSKPSEVSKTEAKPVSKPAAAAAPAPAAKPKDNPLPRDVVELEKAIELSAQLAVKEYNVAIGVLKGFNDDVRKVVDKAVENGENSLWTTLKNRASARDTAVATAERAAREAQEKIVACEIALSAAATAQNAKKVEAVRDKIKKLVDHIGNVKDELYRHKDTASVSDKYWRNVEKARNYFIDEIESIFPGLSLADKKLNLSKEDLDLFILHAYTHVLAYQKELQRLQTDGELRLKRAIDSVRGDNDSEALRAQLEYHLEAERRKLAVENQKKIFHIHAESDKLLRLQLKKQAEAHADHIKDIVAQRETDLTRSFKRELEDKLATEKANYKLQLAGMLGKLRGMDAALAERADAERTANQAQALWAACQALWASVRAATPGVHYKDRLRPLKNEINAIAKVAKGDDLVAAVLESVPKEAQERGVYPEDALRERFLNVERVARRLALVPEEGAGLPIYFLSYLQSLFILRPDNPISKDELENKPFDYSKLDTYDILNRARYHVDRSDFLQALKYMNLLQGASRKIAGEWMKEARLMLETQQAANTLMAHAAASGLLYL", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MFDSFMKRNQLALIMFRACSKLQYHGVNTSLSRHLFLAKRNLSISSACLEAKNSQKFPALDTFEPRHIGPSKTDQQYQLESLGYKDFDSFLKDVIPDSVRTPESQLMAFGSVNPNEKNPPVNYSESEFTTLANNVANQNKLIKSFIGMGYYNVKLPAAIQRNVLENPEWYTQYTPYQAEISQGRLESMMNYQTMIADLTGLSISNASLLDEGTAAGEAMVMLMANDKKKRKTFLVDKNIYPNTLSVLRTRASGFGIKIELDNITPELITKSAKHVFGIFVQYPAADGSIFDYGHLAATARSFNMHVVAATDLLALTILKSPGEWGADVAVGSTQRFGLPMGYGGPHAGFFACSEEFKRKIPGRLIGLSKDRLENPAYRLALQTREQHIRREKATSNICTAQALLANMSAFYAIYHGPNGLQEIANRIYASTSFLKSALESSGYKIVNKSHFFDTLTIEVESADKVLAKALDHGYNLRKVDDSHVGLSLDETVCDKDIQALFSIFNINKSVDQYYMEIATSEPNGNSASTVDNLSICSLPENFRRTTLYLQHPVFNRYHSETELMRYIHHLQSKDLSLAHAMTPLGSCTMKLNAVTEMMPITNPLFANIHPYVPEEQAKGYRHVIEDLQLMLTTITGFDAACFQPNSGAAGEYTGLSVIRAYQRSIGQGHRNICLIPVSAHGTNPASAAMAGFTVIPVKCLNNGYLDMQDLKEKASKHADKLAAFMVTYPSTFGIFEPDVKEALEVIHEHGGQVYFDGANMNAMVGLCKAGDIGADVCHLNLHKTFCIPHGGGGPGVGPICVKKHLADFLPSHPVVSCGGKNGITSVSSSPFGSAGILPISWAYMRMMGLAGLRDASKAALLNANYMAKRLSSHYKLVYTNKNNLCAHEFILDAREFKATAGVDATDIAKRLQDYSFHAPTLSWPIANTLMIEPTESESMYEMDRFCDALISIRQEIREIEEGLQPKDNNLLVNAPHPQKDIASEKWDRPYTRERAVYPVPLLKERKFWPSVARLDDAYGDKNLFCTCSPVV", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MIRFAQYARYPVISRLMKPTVISPFQAQAFSSSSVMLKTLNQTIRNKEKRPEKTNKQSVALEGSPFRRGVCTRVFTVKPKKPNSAVRKVARVRLSTGRSVTAYIPGIGHNAQEHAVVLLRGGRAQDCPGVQYHVVRGVYDIAGVAGRVTSRSKYGVKKPKAA", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNFFTSAVGSKVFKRNNFKYVAIAASSIGLAAYHIRKDAIALDIPNSTYQHVSKNRVPPTDGDGITKRLKEFERTVTVNKDGIFRYDFNQVASNDPCEDDHVEVIDRNIDEGNWYFWGIFDGHSGWNTSLFLRQHLVPAVVRELQKCTASYYHQNACPSSLALDKSISEAFAKVDHQIVHEHVSHVFNNPESLQVAASLLLPALSGSCALLTSYSAKSKSLQVACTGDSRAVLGECTPDGSWEAIPLSRDQTGMNPDEASRLEVEHPGEEVLRNNRILGRLMPSRAFGDARYKWSQEISERLHREYFSASPIPVKTPPYVTAVPEIESITVNPKKHRFLIMASDGLWDTMSSEQAVQLVGEWADTVLGKTTNEKNTTQDDKQSWSLFKKTSKVIDDNAATHLIRHSLGGSDQRISALLTLTYPISRRYRDDITVTVIFFDEKTL", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSSCGACTCGAAAARLLTTSLTSAQRGISCGRIHVPVLGRLGTTLDAQALRRAPLRTFSETPAYFASKDGANKDGSGDGNKKSVTEGSSKKSGSGNSGKGGNQLRCPKCGDLCTHVETFVSSTRFVKCEKCHHFFVVLSEADSKKSIIKEPESAAEAVKLAFQQKPPPPPKKIYNYLDKYVVGQSFAKKVLSVAVYNHYKRIYNNIPANLRQQAEAEKQTSLTPRELEIRRREDEYRFTKLLQIAGISPHGNALGASMQQQVNQQMPQEKRGGEVLDSSQDDIKLEKSNILLLGPTGSGKTLLAQTLAKCLDVPFAICDCTTLTQAGYVGEDIESVIAKLLQDANYNVEKAQQGIVFLDEVDKIGSVPGIHQLRDVGGEGVQQGLLKLLEGTIVNVPEKNSRKLRGETVQVDTTNVLFVASGAFNGLDRIISRRKNEKYLGFGTPSNLGKGRRAAAAADLANRSGESNTHQDIEEKDRLLRHVEARDLIEFGMIPEFVGRLPVVVPLHSLDEKTLVQILTEPRNAVIPQYQALFSMDKCELNVTEDALKAIARLALERKTGARGLRSIMEKLLLEPMFEVPNSDIVCVEVDKEVVEGKKEPGYIRAPSKESSEEEYDSGVEEDGWPRQADAANS", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRNSVEFSQLGLKTAFNLSQNKTYTSAVKKQFFSTGAFLSNGGNIDLNKHTQKNIDSKYVACNSRSVTPPNDVASSVSKNTLRHKQRLMMAQWLMSPEVQKAKSSSSGNVGLGPNTNQPFPLNPFFKPPRPISHSLRMKITDEYLQGASIEVLARKFNTSPQRIEALIKLRRINDEFEEKKKPILHSYNEVMEKMLNACTKPEMMQFNDGNDIPLRSNPVSLWKSLPEGETFTPQEAAKILKWPSIEELNMRQNATHFHKTSDEHKDLNEDEELISSSPSEVGKRVFRLIDLSTGNVYRRDTGGDIYVKRKKSTT", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLWKLLLRSQSCRLCSFRKMRSPPKYRPFLACFTYTTDKQSSKENTRTVEKLYKCSVDIRKIRRLKGWVLLEDETYVEEIANILQELGADETAVASILERCPEAIVCSPTAVNTQRKLWQLVCKNEEELIKLIEQFPESFFTIKDQENQKLNVQFFQELGLKNVVISRLLTAAPNVFHNPVEKNKQMVRILQESYLDVGGSEANMKVWLLKLLSQNPFILLNSPTAIKETLEFLQEQGFTSFEILQLLSKLKGFLFQLCPRSIQNSISFSKNAFKCTDHDLKQLVLKCPALLYYSVPVLEERMQGLLREGISIAQIRETPMVLELTPQIVQYRIRKLNSSGYRIKDGHLANLNGSKKEFEANFGKIQAKKVRPLFNPVAPLNVEE", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAASTGYVRLWAAARCWVLRRPLLAVTGGRVPSASGSWLRRGCRVCDTSTPWGGRVPMGGGQWRGLWDAGSRGGSDETSEGGVEDGATASSGEGPVVTALAPMTVPDVFPHLPLIAISRNPVFPRFIKIVEVKNKKLVELLRRKVRLAQPYVGVFLKRDDNNESDVVESLDEIYHTGTFAQIHEMQDLGDKLRMIVTGHRRIHISRQLEVEPEGLEPEAENKQKSRRKLKRGKKEVGDELGAKPQLEMVTEATSDTSKEVLMVEVENVAHEDFQVTEEVKALTAEIVKTIRDIIALNPLYRESVLQMMQAGQRVVDNPIYLSDMGAALTGAESHELQDVLEETNILKRLYKALSLLKKEFELSKLQQRLGREVEEKIKQTHRKYLLQEQLKIIKKELGLEKDDKDAIEEKFRERLKELVVPKHVMDVVDEELSKLALLDNHSSEFNVTRNYLDWLTSIPWGRQSDENLDLARAQSVLEEDHYGMEDVKKRVLEFIAVSQLRGSTQGKILCFHGPPGVGKTSIARSIARALGREYFRFSVGGMTDVAEIKGHRRTYVGAMPGKIIQCLKKTKTENPLVLIDEVDKIGRGYQGDPSSALLELLDPEQNANFLDHYLDVPVDLSKVLFICTANVTDTIPEPLRDRMEMINVSGYVAQEKLAIAERYLVPQARTLCGLDESKAQLSATVLTLLIKQYCRESGVRNLQKQVEKVLRKAAYKIVSGEAQTVHVTPENLQDFVGKPVFTVERMYDVTPPGVVMGLAWTAMGGSTLFVETSLRRPQPSGSKEDKDGSLEVTGQLGDVMKESARIAYTFARAFLMEQDPENDFLVTSHIHLHVPEGATPKDGPSAGCTIVTALLSLALGQPVLQNLAMTGEVSLTGKVLPVGGIKEKTIAAKRAGVTCIILPAENRKDFSDLAPFITEGLEVHFVEHYRDIFRIAFPLREHQEALAVER", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLKIGRNATILKNNFWFASVRFQSGGFSEKLAKGPSFADFLNMDKPLTADEAFELDRKVELPNGSIHKRLPSWLKTKVPLGTNFNRIKHDLRGSHLHTVCEEAKCPNIGECWGGKDKSRATATIMLMGDTCTRGCRFCSVKTSRRPGPLDPNEPENTAEAIKQWNLGYIVLTSVDRDDLTDLGANHIAKTIQKIKEKAPHILVEALTPDFSGRMDLVEIVAKSGLDVFAHNVETVEELTPFVRDRRATYRQSLSVLKHVKKTCPHLITKTSIMLGLGETDAEILTTLKDLLEHNVDVVTFGQYMRPTKRHLKVQEYVHPKKFEYWKEVAEKLGFLYVASGPLVRSSYKAGEYFMENLIKKRSGNPASMSV", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLISSRIVRSSLVNVPLRLSRCFTQAHRACKEEEVNSPLSSAAEQPEQKYTHFGSKTVLKSTKQKLVGDVFSSVANRYDLMNDVMSLGIHRLWKDHFINKLDAGKRPNSTTPLNFIDVAGGSGDIAFGLLDHAESKFGDTESTMDIVDINPDMLKEGEKRAMEQGKYFKDPRVRFLVSNGEKLEEIDSDSKDIYTVSFGIRNFTDIQKGLNTAYRVLKPGGIFYCLEFSKIENPLMDFAYQQWAKVLPVMGSMIANDYDSYQYLVESIERFPDQETFKSMIEKAGFKSAGYESLTFGICAIHWGIKV", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLMAWCRGPVLLCLRQGLGTNSFLHGLGQEPFEGARSLCCRSSPRDLRDGEREHEAAQRKAPGAESCPSLPLSISDIGTGCLSSLENLRLPTLREESSPRELEDSSGDQGRCGPTHQGSEDPSMLSQAQSATEVEERHVSPSCSTSRERPFQAGELILAETGEGETKFKKLFRLNNFGLLNSNWGAVPFGKIVGKFPGQILRSSFGKQYMLRRPALEDYVVLMKRGTAITFPKDINMILSMMDINPGDTVLEAGSGSGGMSLFLSKAVGSQGRVISFEVRKDHHDLAKKNYKHWRDSWKLSHVEEWPDNVDFIHKDISGATEDIKSLTFDAVALDMLNPHVTLPVFYPHLKHGGVCAVYVVNITQVIELLDGIRTCELALSCEKISEVIVRDWLVCLAKQKNGILAQKVESKINTDVQLDSQEKIGVKGELFQEDDHEESHSDFPYGSFPYVARPVHWQPGHTAFLVKLRKVKPQLN", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSQAAKNVIVKLIVGAGQAAPSPPVGPALGSKGIKAIDFCKEFNARSANYQPGVPVPVLITIKPDRTFTFEMKSPPTGYLLLKALKMDKGHGQPNVGTMLGSAPAKGPTRALGELSLKHVYEIAKIKKSDERHSLLEMEGIVKSIVGVAKSMGIKVVP", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLTITKRLVTTDVRSRILLSSLNGKMSDALALLRQQQQTSVDVELLHTMLARAAALAHADTIAYMWYQHVMPRRLPVEGRLLCEMAGVALYQDRLFLPAQFLQHYQAMNRDRRTSPEDELIEYELRRIKVEAFARGTMHSTALREKWKVFLQEMDTLPGQPPLRLRDFPQMTKAMGIALMQQDEQAAALALFGRQPLVIKNEWSLPLLLAGVLWHVPGPAQARRVLAEFRQSYRGLPLLDAELVIKRRGFEINT", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEMQSEFDRLLFFEHARKSAETTYAQNPLDADNLTRWGGALLELSQFQPVAESKQMISDATSKLEEALTVNPEKHDALWCLGNAHTSHVFLTPDMDEAKVYFEKATQCFQQAFDADPSNDLYRKSLEVTAKAPELHMEIHRHGPMQQTMAAEPSTSTSTKSSKKTKSSDLKYDIFGWVILAVGIVAWVGFAKSNMPPPPPPPPQ", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSPAAQLAKAAARSTCMTRLPSGIRVATAPSNSHFAAVGVYVDAGPIYETSIDRGVSHFVSSLAFKSTHGATESQVLKTMAGLGGNLFCTATRESILYQGSVLHHDLPRTVQLLADTTLRPALTEEEIAERRATIAFEAEDLHSRPDAFIGEMMHAVAFGGRGLGNSIFCEPQRARNMTSDTIREYFATYLHPSRMVVAGTGVAHAELVDLVSKAFVPSSTRAPSSVTHSDIETAYVGGSHQLVIPKPPPTHPNYEQTLTHVQVAFPVPPFTHPDMFPVSTLQVLMGGGGAFSAGGPGKGMYSRLYTNVLNRYRWMESCAAFQHAYSSTSLFGISASCVPSFNPHLCNVLAGEFVHMARNLSDEEVARAKNQLKSSLLMNLESQVITVEDIGRQVLAQNQRLEPLELVNNISAVTRDDLVRVAEALVAKPPTMVAVGEDLTKLTDIKETLAAFNASGEALQPVGSAGSFGRVTM", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTISSAHPETEPKWWKEATFYQIYPASFKDSNDDGWGDMKGIASKLEYIKELGADAIWISPFYDSPQDDMGYDIANYEKVWPTYGTNEDCFALIEKTHKLGMKFITDLVINHCSSEHEWFKESRSSKTNPKRDWFFWRPPKGYDAEGKPIPPNNWKSYFGGSAWTFDEKTQEFYLRLFCSTQPDLNWENEDCRKAIYESAVGYWLDHGVDGFRIDVGSLYSKVVGLPDAPVVDKNSTWQSSDPYTLNGPRIHEFHQEMNQFIRNRVKDGREIMTVGEMQHASDETKRLYTSASRHELSELFNFSHTDVGTSPLFRYNLVPFELKDWKIALAELFRYINGTDCWSTIYLENHDQPRSITRFGDDSPKNRVISGKLLSVLLSALTGTLYVYQGQELGQINFKNWPVEKYEDVEIRNNYNAIKEEHGENSEEMKKFLEAIALISRDHARTPMQWSREEPNAGFSGPSAKPWFYLNDSFREGINVEDEIKDPNSVLNFWKEALKFRKAHKDITVYGYDFEFIDLDNKKLFSFTKKYNNKTLFAALNFSSDATDFKIPNDDSSFKLEFGNYPKKEVDASSRTLKPWEGRIYISE", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MIPKLIVFGGNGFLGKRICQEAVTSGYQVVSVSRSGKAPHSNELNDKQWMQEVQWTAADIFKPDSYHELLNNATNVVHSLGILLENENYKQTLSKSPTYDSKSRLLSFGAGPNPLKKSSPYFTYEMMNKQSAIILADTFKQKILKKSKKEQEKANQRSFTYISADKGFPLIPSGYINSKREAEIELEKMQRYFRPIIVRPGFMFDEHRNAIGPRSFIHTALELLYCGNKFLLRNKLQLLNDLIRPTVSTQQVSKSVLKNIENPDFKGVVTLEEILKA", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAFATRQLVRSLSSSSTAAASAKKILVKHVTVIGGGLMGAGIAQVAAATGHTVVLVDQTEDILAKSKKGIEESLRKVAKKKFAENPKAGDEFVEKTLSSISTSTDAASVVHSTDLVVEAIVENLKVKSELFKRLDKFAAEHTIFASNTSSLQITSLANATTRQDRFAGLHFFNPVPLMKLVEVVKTPMTSQKTLESLVDFSKTLGKHPVSCKDTPGFIVNRLLVPYLIEAVRLYERGDASKEDIDTAMKLGAGYPMGPFELLDYVGLDTTKFIIDGWHEMDSQNPLFQPSPAMNKLVAENKFGKKTGEGFYKYK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGLPEVNFLRKNCILVELKLFYQTVYPPKELYWNNRITAELSTFSNIKYARPTFAVNNGTFQRTRPKLDLVLASSDIRKLATVLFNLKALIMNTKGEEPTLTTMTSVQTNEEKNDNLEQKYSSLLDRWNGKVEVHDSPFLQLQRDSNLLFAERPVRYVSTTEGEGVDISSEEFFRLEEEQCRRNYDVLVDEHSTPSVGMKDGQYGPNIIHFEPSLYHTYSSLPMSMKFWLNGLEDDETTMMNIDEKSAENLDILLHGFKGFSNKRVKG", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSRQVARLIGSLSSKARRCSTGGSEVFPSCQSLTSLTQSRSFASDPHPPAAVFVDKNTRVLCQGITGKNGTFHTEQAIEYGTKMVAGVTPKKGGTEHLGLPVFNSVAEAKADTKANASVIYVPAPFAAAAIMEGIEAELDLIVCITEGIPQHDMVRVKHALNSQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGKIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFFVDPQTEGIVLIGEIGGTAEEDAAALIKASGTEKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKSLNDAGVKVVESPAKIGSAMYELFQERGLLKQ", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNRVGIDVDHMIGVLLLAVVVVFWVGASCLTNELLETNAYNKPFFLTYLNISSFALYLTPDLWRIIQSRRKSLQERTERTLPIHTQESFSEFLPLLSSTPSTSSNLSSIADTKVKDTMRLSLLFCVLWFVANLAANAALSYTTVASSTILSSTSSFFTLFLATSLGIETFSTKKLLGLFVSLFGIILIVMQSSKQQDSVSASSFLVGNTLALLGSLGYSVYTTLLKYEISSKGLRLDIQMFLGYVGIFTFLLFWPILIILDITHMETFELPSNFHISFLVMLNCIIIFVSDYFWCKALILTSPLVVTVALTFTIPLAMFADFVWREAFFTPWYIIGVIFIFVSFFLVNHRGESAVEKDCAAVEKGPILDA", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNVSKILVSPTVTTNVLRIFAPRLPQIGASLLVQKKWALRSKKFYRFYSEKNSGEMPPKKEADSSGKASNKSTISSIDNSQPPPPSNTNDKTKQANVAVSHAMLATREQEANKDLTSPDAQAAFYKLLLQSNYPQYVVSRFETPGIASSPECMELYMEALQRIGRHSEADAVRQNLLTASSAGAVNPSLASSSSNQSGYHGNFPSMYSPLYGSRKEPLHVVVSESTFTVVSRWVKWLLVFGILTYSFSEGFKYITENTTLLKSSEVADKSVDVAKTNVKFDDVCGCDEARAELEEIVDFLKDPTKYESLGGKLPKGVLLTGPPGTGKTLLARATAGEAGVDFFFMSGSEFDEVYVGVGAKRIRDLFAQARSRAPAIIFIDELDAIGGKRNPKDQAYAKQTLNQLLVELDGFSQTSGIIIIGATNFPEALDKALTRPGRFDKVVNVDLPDVRGRADILKHHMKKITLADNVDPTIIARGTPGLSGAELANLVNQAAVYACQKNAVSVDMSHFEWAKDKILMGAERKTMVLTDAARKATAFHEAGHAIMAKYTNGATPLYKATILPRGRALGITFQLPEMDKVDITKRECQARLDVCMGGKIAEELIYGKDNTTSGCGSDLQSATGTARAMVTQYGMSDDVGPVNLSENWESWSNKIRDIADNEVIELLKDSEERARRLLTKKNVELHRLAQGLIEYETLDAHEIEQVCKGEKLDKLKTSTNTVVEGPDSDERKDIGDDKPKIPTMLNA", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAWSGGKDIVDQIFDAGYWLVSKSAVLSDEIKNHVEKSIESISGKISNKETPRLQENDSNRSKVYKTLRIGLQDHWKLGLGISATSLCLYLGYRTFFKLPPNLPEAESQVVLILGDMNDPIIRNQVMDLYRRRFTVYICTENADVYKKHEEDQDFIYYIDPTCEKDFEGFFVDVPRLASILFMPRLSYHPSGVISCDSLESEIHSSIFVYYQALLSIIPHLKRKTQLIMFNPSLTADLNLVHHSTEIITSGIIDSLFKIFKEYQRLNVSTIKLGILQIGSQPSNYKFLRMAGSDIHEALHYPVYKMIMSANGYKLRQLLSWLTTLGGYNSVYYCGRFSYLVSWPFASLIFNHHTRLSLKRLRGRLAKVYSSIISFFCRSSSKSSK", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGTRLPLVLRQLRRPPQPPGPPRRLRVPCRASSGGGGGGGGGREGLLGQRRPQDGQARSSCSPGGRTPAARDSIVREVIQNSKEVLSLLQEKNPAFKPVLAIIQAGDDNLMQEINQNLAEEAGLNITHICLPPDSSEAEIIDEILKINEDTRVHGLALQISENLFSNKVLNALKPEKDVDGVTDINLGKLVRGDAHECFVSPVAKAVIELLEKSGVNLDGKKILVVGAHGSLEAALQCLFQRKGSMTMSIQWKTRQLQSKLHEADIVVLGSPKPEEIPLTWIQPGTTVLNCSHDFLSGKVGCGSPRIHFGGLIEEDDVILLAAALRIQNMVSSGRRWLREQQHRRWRLHCLKLQPLSPVPSDIEISRGQTPKAVDVLAKEIGLLADEIEIYGKSKAKVRLSVLERLKDQADGKYVLVAGITPTPLGEGKSTVTIGLVQALTAHLNVNSFACLRQPSQGPTFGVKGGAAGGGYAQVIPMEEFNLHLTGDIHAITAANNLLAAAIDTRILHENTQTDKALYNRLVPLVNGVREFSEIQLARLKKLGINKTDPSTLTEEEVSKFARLDIDPSTITWQRVLDTNDRFLRKITIGQGNTEKGHYRQAQFDIAVASEIMAVLALTDSLADMKARLGRMVVASDKSGQPVTADDLGVTGALTVLMKDAIKPNLMQTLEGTPVFVHAGPFANIAHGNSSVLADKIALKLVGEEGFVVTEAGFGADIGMEKFFNIKCRASGLVPNVVVLVATVRALKMHGGGPSVTAGVPLKKEYTEENIQLVADGCCNLQKQIQITQLFGVPVVVALNVFKTDTRAEIDLVCELAKRAGAFDAVPCYHWSVGGKGSVDLARAVREAASKRSRFQFLYDVQVPIVDKIRTIAQAVYGAKDIELSPEAQAKIDRYTQQGFGNLPICMAKTHLSLSHQPDKKGVPRDFILPISDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYDIDLDTETEQVKGLF", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDLLRLSRLFSGPHPIGLSVLQRLDLLRSTQWTGGREGPAWLRAASCSSSSHQKRMSSLCSDSSTPVAPQEEEEEESFGTLSEKFSSRRIFHKSTAQLYNLKLKEQGVEEEELEPRLRQGGRNTPYWYFLQCKRLLKEGKLAEALDLFERQMLKEERLQPLECNYTVLIGGCGRVGYLKKAFRLFNDMKKRDLEPSDATYTALFNVCAESPWKDSALQSALKLRQQLQAQNFQLNLKTYHALLKVAAKCADLRVCLEVFKEIIQKGHAVTEETFCFLLMGCIQDKKTGFRQAMQVWRQMLSLGIKPSRHGYNLLLGAARDCGLGDPEVASRLLLTSQEETILLQPPTGRRLAGGKVQAKTRHGVSVKHVEALERQLFLEPSHKLEGPPAFPEARETSRTQPEVETKAEPGHMGALAPLALKPPDLELQVNLLSLGALSPAVVSFGTVATPADRLALMGGLEGFLGKMAEHRLQPDIKTLTLLAEVVEPGSPAESSLLSILDRHRVEADITFFNTLIRKKSKLGDLEGAKALLPILAKKGIVPNLRTFCNLAIGCHRPRDGMQLLADMKKSQVTPNTHIYSTLINAALKKLDYTYLISILKDMRRNSVPVNEVVIRQLEFAAQYPPTFDRYKEKNTYLEKIDGFRAYYKQWLKVMPAEEPPHPWQEFRDKPVRNQVITENAGGLRDG", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRAFPRVLPFRHQRSYNNILLRTVRLFGSSLSSFDFSRQMPKVDPDNTAAMLLQKNLIQRNNMLYGYGSGTIRCTLLDSTGRAKSPLVEIKREDLVSKHGLLPRDLRKIEKSRKNDLVPSLLVRENSILISLLTVKALIKPDMVIIFDSAGSGITLNSEAHKDFINDMKLRLKNQETSELNSDPLPYEFRALETIFISALSNLTSEMKVLLTICKGVLQDLEFSITRDKLRFLLGQNKKLSSFNKKAVLVKDMLDDLLEQDDMLCDMYLTDKKAGKIRVQDDHTEIEMLLETYHNYVDEIVQKSESAISDVKTTEEIINIILDSNRNELMLLGIRYAIGMLSLGGALFLGSIYGMNLESFIEESNYAYLTVTILGLISTVWLYAKGIRHLHKLQRMTLLSKIKTDSVHELLKK", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MFLPKFNPIRSFSPILRAKTLLRYQNRMYLSTEIRKAIEDAIESAPVVLFMKGTPEFPKCGFSRATIGLLGNQGVDPAKFAAYNVLEDPELREGIKEFSEWPTIPQLYVNKEFIGGCDVITSMARSGELADLLEEAQALVPEEEEETKDR", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAAWGRRRLGPGSSGGSARERVSLSATDCYIVHEIYNGENAQDQFEYELEQALEAQYKYIVIEPTRIGDETARWITVGNCLHKTAVLAGTACLFTPLALPLDYSHYISLPAGVLSLACCTLYGISWQFDPCCKYQVEYDAYKLSRLPLHTLTSSTPVVLVRKDDLHRKRLHNTIALAALVYCVKKIYELYAV", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIRLGGWCARRLCSAAVPAGRRGAAGGLGLAGGRALRVLVDMDGVLADFEGGFLRKFRARFPDQPFIALEDRRGFWVSEQYGRLRPGLSEKAISIWESKNFFFELEPLPGAVEAVKEMASLQNTDVFICTSPIKMFKYCPYEKYAWVEKYFGPDFLEQIVLTRDKTVVSADLLIDDRPDITGAEPTPSWEHVLFTACHNQHLQLQPPRRRLHSWADDWKAILDSKRPC", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRLISKVLVKTNCLEVGMRRAPQWYSHYSTTAGNARVNKKGSKVVPVLTGLALASIFAKKWYDDSQIKKADATSVAVDASISAFPKKMGPPQWPFSTQYELIGKGVRCVSSITFKAYGLGIYVAAEDKHLVSEVLDSKFLSQAFIDTAAPPSPENSHQDNLRAALNDPAKAPILINNLLDSGIRLMSKNTPIKAGSFKLLMDGTKKSVLKNPDSQSQDKDRLEAGFQELHDCFRSVKGLVARDDDFFIELNKDCSMNLSYYARKKDEFVILGTVKEPLIGKLLFAHYLAAVDPPSPEARKEVIDALVSLS", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDRGRCANMLKSLQRTLAKCQKSPSTNHWQCFKRNFTSIRATKYPGRSNSTFHYWPWFAASTLLATSLYYRDRPVQNDDKTDAFPSHTESIQVDSSVSDFPLTITALNFPVSTTFKLLGYGQRHVTFLRFKVYALGLYLAENDENLVSDTLNETYLHKYFLDVDDSKTPKENLARLLKRDDSKSVMMIDDLLDSGMRMLAKITPVRNTDFKHLKEGLVKTISKHPDVANNKDTLAKGLSELNDAFSRKGSVRKNDDLIIELLANGALQFSYHDSKNNEFEVMGVVNNQLVGKFLFSQYLCGEKSPSPQAKKTAIDKLITLL", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPFIESMAPLSRAITRGISQFSCYSNTLVLRSSRNSSSSLVKRSYVSSRVSPKKPQHNSDATSSAQKVANKTHTSSVLPGTILKGLCIKAGGVDPVAREDHEYPEWLWSLLDEPAPNSKTARSHARKSAIRAANFLTKK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSRATAVSRLARAARAAAAARRHHAGGRDPLRALASLAGDASASAGGGARRPAWFAPPMGRLGGGGLLVPPPPPQRRLFHPTQAARYSTSSSSQITPGEFTEMAWEGVVGAVDAARMSKQQVVEAEHLMKALLEQKDGLARRIFSKAGIDNTSVLQATDEFISRQPKVVGDTSGPIIGSSFVSILDNARKHKKEYADEFVSVEHILRAFTEDKRFGQQLFRDLKIGENELKEAISAVRGSQRVTDQNPEGKYQALEKYGIDMTELARRGKLDPVIGRDDEVRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLQNRKLISLDMGALLAGAKFQGQFEERLKAVLKEITASNGQIILFIDEIHTIVGAGAAGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTISILRGLRERYELHHGVKISDGALVSAAVLSDRYITGRFLPDKAIDLVDEAAAKLKMEITSKPIELDEVDREIIRLEMEKLSLKNDTDKASKQRLSKLEADLESLKQKQKNLSEHWEYEKSLMTRIRSIKEETDRVNLEIEAAEREYDLNRAAELKYGTLLSLQKQLEEAENKLMEFQQSGKSMLREEVTDVDIAEIVSKWTGIPVSNLQQSEKEKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASLMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYIGYGEGGQLTEAVRRRPYSVVLFDEIEKAHQDVFNILLQLLDDGRITDSQGRTVSFTNCVIIMTSNIGSPLILDTLRNTSDSKEAVYEIMKKQVIDMARQSFRPEFLNRIDEYIVFQPLDTTEINRIVEIQLNRVKNRLRQQKIHLQYTPEAVEHLGSLGFDPNYGARPVKRVIQQMVENEIALSVLKGDFKEDDTVLVDVSSVAIAKGLAPQKKLVLQRLENANLELVAND", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLSCFRLLSRHISPSLASLRPVRCCFALPLRWAPGRPLDPRQIAPRRPLAAAASSRDPTGPAAGPSRVRQNFHPDSEAAINRQINLELYASYVYLSMAYYFSRDDVALNNFSRYFLHQSREETEHAEKLMRLQNQRGGRIRLQDIKKPEQDDWESGLHAMECALLLEKNVNQSLLELHALASDKGDPHLCDFLETYYLNEQVKSIKELGDHVHNLVKMGAPDAGLAEYLFDTHTLGNENKQN", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQPIIKGAVSSTFKRALYNFGIKEKKSVNIEMGRTQQTKKIDQSLSKKLPKGTIYDPFDFSMGRIHLDRKYQANKNSNRNDIMKSGANPLEFYARPRILSRYVTSTGRIQHRDITGLSAKNQRRLSKAIRRCQAIGLM", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MALDPADQHLRHVEKDVLIPKIMREKAKERCSEQVQDFTKCCKNSGVLMVVKCRKENSALKECLTAYYNDPAFYEECKMEYLKEREEFRKTGIPTKKRLQKLPTSM", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MESNKQPRKIQLYTKEFYATCTLGGIIACGPTHSSITPLDLVKCRLQVNPKLYTSNLQGFRKIIANEGWKKVYTGFGATFVGYSLQGAGKYGGYEYFKHLYSSWLSPGVTVYLMASATAEFLADIMLCPFEAIKVKQQTTMPPFCNNVVDGWKKMYAESGGMKAFYKGIVPLWCRQIPYTMCKFTSFEKIVQKIYSVLPKKKEEMNALQQISVSFVGGYLAGILCAAVSHPADVMVSKINSERKANESMSVASKRIYQKIGFTGLWNGLMVRIVMIGTLTSFQWLIYDSFKAYVGLPTTG", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGSSSEASFRSAQASCSGARRQGLGRGDQNLSVMPPNGRAQTHTPGWVSDPLVLGAQVHGGCRGIEALSVSSGSWSSATVWILTGLGLGLSRPFLPGATVLRDRPLGSAFELSYDQKKAPLRLQ", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGTLGRAIYTVGNWIRGTGQALDRVGSLLQGSHRIEEHLSRHRTLMNVFDKSPLVDKDVFVAPSASVIGDVQIGKGSSIWYGCVLRGDVNNISVGSGTNIQDNTLVHVAKTNISGKVLPTLIGDNVTVGHSAVIHGCTVEDDAFVGMGATLLDGVVVEKHAMVAAGSLVKQNTRIPSGEVWGGNPAKFMRKLTDEEIVYISQSAKNYINLAQIHASENSKSFEQIEVERALRKKYARKDEDYDSMLGITRETPPELILPDNVLPGGKPVAKVPSTQYF", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MWRCLRVASSSRRSESNGAFITSQLSRFFSAPPSAGDKSSYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMSVDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLEFGIGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLIMNSDGTCQGVIALNMEDGTLHRFHAGSTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGARGEGGILRNSEGEKFMDRYAPTARDLASRDVVSRSMTMEIRQGRGAGPMKDYLYLYLNHLPPEVLKERLPGISETAAIFAGVDVTREPIPVLPTVHYNMGGIPTNYHGEVITLRGDDPDAVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIQKPGEKLKPLEKDAGEKSIEWLDRIRNSNGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCDLIDKTWDSFGDVKVTDRSMIWNSDLIETMELENLLVNACITMHSAEARKESRGAHAREDFTKRDDANWMKHTLGYWEEGNVKLEYRPVHMKTLDDEVDTFPPKPRVY", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVFFRSVSAISRLRSRAVQQSSLSNSVRWLHSSELDLKSQMQEIIPEQQDRLKKLKSEQGKVPVGNITVDMVLGGMRGMTGLLWETSLLDADEGIRFRGMSIPECQKILPSAESGEEPLPESLLWLLLTGKVPTKEQANALSTELAHRAAVPAIDALPSTAHPMTQFASGVMALQVQSEFQKAYEQGDISKSKYWEPTFEDALNLIARVPVVASYVYRRMYKDGSIIPLDDSLDYGANFSHMLGFDSPQMKELMRLYVTIHSDHEGGNVSAHAGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKLVVEECGESISKEQLKDYVWKTLNSGKVVPGYGHGVLRKTDPRYICQREFALKHLPDDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNYYGLTEARYYTVLFGVSRSLGICSQLIWDRALGLPLERPKSVNMDWLDNFTRLNR", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDFVRLARLFARARPMGLFILQHLDPCRARWAGGREGLMRPMWAPFSSSSSQLPLGQERQENTGSLGSDPSHSNSTATQEEDEEEEESFGTLSDKYSSRRLFRKSAAQFHNLRFGERRDEQMEPEPKLWRGRRNTPYWYFLQCKHLIKEGKLVEALDLFERQMLKEERLQPMESNYTVLIGGCGRVGYLKKAFNLYNQMKKRDLEPSDATYTALFNVCAESPWKDSALQSALKLRQQLQAKNFELNLKTYHALLKMAAKCADLRMCLDVFKEIIHKGHVVTEETFSFLLMGCIQDKKTGFRYALQVWRLMLSLGLQPSRDSYNLLLVAARDCGLGDPQVASELLLKPREEATVLQPPVSRQRPRRTAQAKAGNLMSAMLHVEALERQLFLEPSQALGPPEPPEARVPGKAQPEVDTKAEPSHTAALTAVALKPPPVELEVNLLTPGAVPPTVVSFGTVTTPADRLALIGGLEGFLSKMAEHRQQPDIRTLTLLAEVVESGSPAESLLLALLDEHQVEADLTFFNTLVRKKSKLGDLEGAKALLPVLAKRGLVPNLQTFCNLAIGCHRPKDGLQLLTDMKKSQVTPNTHIYSALINAAIRKLNYTYLISILKDMKQNRVPVNEVVIRQLEFAAQYPPTFDRYQGKNTYLEKIDGFRAYYKQWLTVMPAEETPHPWQKFRTKPQGDQDTGKEADDGCALGGR", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVKMGEVWTNTGSALASLVFIYTIFERFFPYRLREHFEPLAQSLIGFIYPYIQITFHEYSGERFKRSDVYDAIQSYLSKDSSSRAKKLTANTIKGNKSIILSMDDHEEITDEFQGVKVWWQSKKHQSESRAISFYPKADESRFYMLKFHRRDREVITKKYLNHVISEGKTIEVKNRERKLYSNNPSQNWSGYKQTKWSHVTFEHPATFDTLAMEYKKKEEIKNDLIKFSNSKDYYKKIGKAWKRGYLLFGPPGTGKSTMIAAMANLLEYDVYDLELTTVKDNTELRRLLIETSGKSIIVIEDIDCSLDLTGQRKQKKDEEEDEDETSPIEKQMKKDQGENKGSKVTLSGLLNFIDGLWSACGGERIIVFTTNFIDKLDPALIRKGRMDKHIEMSYCGFEAFKVLANNYLDAKEEDDNELFDEIKRLLEVEEIKMTPADVGENLLKKSEVETKEICLKRLIEALKEEKEEAKRRIEDEEKKKKEEEEIKRKKREEKKIKKEEKEEKEENETTMKD", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNNNNVTEATSRAQIRPYYDPDSFNAGYSAVFKPDEGVVDPHGYTIASKLNVINSSPTTKRMANALFKSSPMKKLSNSVNDGLSLEGSNGEITGLNNFEWAELVNIQKWRKIFEQLLDMFFRKYFQLLIQQPFDVARLLIQVGEFKIFKTTVDTNKPQAPIILRDEEGDGAAREGEEDAYDEEEIDFFPIERKIAEANSTAPIMAEETDHSHHEPTDISLTIAPQSLHTIDVINALFDQEGIRGLWKANNTTFIYNFLSLSIDTWFTGLLSSFLGVPDPYFMEVINSPDISKSFILALGAGVFTSIILLPVDLIRTRLIVTSFKKKKNVKTDGKNMVTNTRSLRQLIRCWSWRKNGVSIPLDMWSLTILQSINNSFFNKLFDLVIYNQFHIEKYSQTVMYNTMKFFSKSLELFIKLPLENLLRRCQLNYLLNDQRLSFKVDSTELIVKPKKYNGIWDVIRNNSNTNRGQLWNGWKVGVISLICGYGLQMMNKVDINMEQEKF", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAGPVRGAAGPWALDLLRALPRVSLANLRPNPGSRKPERRRRGQRRGRKCGRGHKGERQRGTRPRLGFEGGQTPFYLRIPKYGFNEGHSFRRQYQPLSLNRLQYLIDLGRVDPTQPIDLTQLVNGRGVTIQPSKRDYGVQLVEEGADTFKAKVNIEVQLASELAIAAIEKNGGVVTTAFYDPRSLEILCKPIPFFLRGQPIPKRMLPPEALVPYYTDARNRGYLADPARFPEARLELAKKYGYILPDITKDELFKMLSSRKDPRQIFFGLAPGWVVNMADKKILKPTDEKLLEYYSS", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAALVLLRAGLARPRGVPTALLRGTLLRHSAVLTAAADRSAPARQSHGGAPQGHGSSKAASLHWTSERAVSALLLGLLPAAYLYPGPAVDYSLAAALTLHGHWGLGQVITDYVHGDTPIKVANTGLYVLSAITFTGLCYFNYYDVGICKAVAMLWSI", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MHLTLFKELLKQPKPKFHFEIEPNVSFLAVEEGPASLRSYSIGNVSRIYDGIRVPPKPEEPLNCCQSGCAICVWDVYADDLEEYNRARRKAKRHYLDKHLPVPPDLAKVSLKETSSLEELPPQLKAFVLLEKRLMKDKQSKNN", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGVLAAAARCLVRGADRMSKWTSKRGPRSFRGRKGRGAKGIGFLTSGWRFVQIKEMVPEFVVPDLTGFKLKPYVSYLAPESEETPLTAAQLFSEAVAPAIEKDFKDGTFDPDNLEKYGFEPTQEGKLFQLYPRNFLR", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MWNPILLDTSSFSFQKHVSGVFLQVRNATKRAAGSRTSMKDSAGRRLGPKKYEGQDVSTGEIIMRQRGTKFYPGENVGIGKDHSIFALEPGVVRYYLDPFHPKRKFIGVALRRDLKLPSPHFEPTVRRFGRFELTNKRAAYKEENSISRKDYLAKPNILKQLEVRESKRKELQDKLSKVLRDELKLDIKDIELATSYLIRVRASLKNGYPIEDARFNSRYYLKEEERLKARRESWTNEKLSESLSKIDECSDLLNSSTSFNNKLELHQYISEQEKQALKAKLLEDLEKSQHLETKKDKNYIKALFKDACNFLTLSEEVHLRRKYLKSVFPETDSTVETKSGKKSIVSRRFDYTKNKVEVIARSRRAFLSKL", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGTITVVINEGPILLIRALHRATTNKKMFRSTVWRRFASTGEIAKAKLDEFLIYHKTDAKLKPFIYRPKNAQILLTKDIRDPKTREPLQPRPPVKPLSKQTLNDFIYSVEPNSTELLDWFKEWTGTSIRKRAIWTYISPIHVQKMLTASFFKIGKYAHMVGLLYGIEHKFLKAQNPSVFDIEHFFNTNIMCALHRNRLKDYKDAEIAQRKLQVAWKKVLNRKNNTGLANILVATLGRQIGFTPELTGLQPVDISLPDIPNSSSGAELKDLLSKYEGIYLIARTLLDIDQHNAQYLELQEFIRQYQNALSESSDPYDTHLKALGLLETPPPQESTEKEEK", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLRTRLSVSVAARSQLTRSLTASRTAPLRRWPIQQSRLYSSNTRSHKATTTRENTFQKPYSDEEVTKTPVGSRARKIFEAPHPHATRLTVEGAIECPLESFQLLNSPLFNKGSAFTQEEREAFNLEALLPPQVNTLDEQLERSYKQLCYLKTPLAKNDFMTSLRVQNKVLYFALIRRHIKELVPIIYTPTEGDAIAAYSHRFRKPEGVFLDITEPDSIECRLATYGGDKDVDYIVVSDSEGILGIGDQGIGGVRIAISKLALMTLCGGIHPGRVLPVCLDVGTNNKKLARDELYMGNKFSRIRGKQYDDFLEKFIKAVKKVYPSAVLHFEDFGVKNARRLLEKYRYELPSFNDDIQGTGAVVMASLIAALKHTNRDLKDTRVLIYGAGSAGLGIADQIVNHMVTHGVDKEEARKKIFLMDRRGLILQSYEANSTPAQHVYAKSDAEWAGINTRSLHDVVENVKPTCLVGCSTQAGAFTQDVVEEMHKHNPRPIIFPLSNPTRLHEAVPADLMKWTNNNALVATGSPFPPVDGYRISENNNCYSFPGIGLGAVLSRATTITDKMISAAVDQLAELSPLREGDSRPGLLPGLDTITNTSARLATAVILQALEEGTARIEQEQVPGGAPGETVKVPRDFDECLQWVKAQMWEPVYRPMIKVQHDPSVHTNQL", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MARFPTSPAPNLLLRLFSSNKRASSPTAALLTGDFHLIRHFSAGTAARAVKDEKEPWWKESMDKLRNIGISAHIDSGKTTLTERVLFYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWKDYKVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRVAFINKLDRMGADPWKVLNQARAKLRHHSAAVQVPIGLEENFQGLIDLIHVKAYFFHGSSGENVVAGDIPADMEGLVGDKRRELIETVSEVDDVLAEKFLNDEPVSAAELEEAIRRATIAQKFVPVFMGSAFKNKGVQPLLDGVVSFLPSPNEVNNYALDQNNNEERVTLTGSPDGPLVALAFKLEEGRFGQLTYLRVYEGVIKKGDFIINVNTGKRIKVPRLVRMHSNDMEDIQEAHAGQIVAVFGIECASGDTFTDGSVKYTMTSMNVPEPVMSLAVQPVSKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERMRREYKVDATVGKPRVNFRETITQRAEFDYLHKKQSGGAGQYGRVTGYVEPLPPGSKEKFEFENMIVGQAIPSGFIPAIEKGFKEAANSGSLIGHPVENLRIVLTDGASHAVDSSELAFKMAAIYAFRLCYTAARPVILEPVMLVELKVPTEFQGTVAGDINKRKGIIVGNDQEGDDSVITANVPLNNMFGYSTSLRSMTQGKGEFTMEYKEHSAVSNEVQAQLVNAYSASKATE", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLFDAFTNGFMNDIFEFGDRSKFNRSAWLSCWGPALELRETEDTIEVDVEVPGIDKQNLKVDLHGSKLTISGERKKPEEEKAGPLIRWSERCVGAFSRTITLPQPVDEKLIHASLNNGILSIVMKKKNPEFTTRIVEIQ", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MYLSKIICKKVPMKLLCTRNAATVSAAATNALQKEQPSGEAMIARPRLVDLDKRWGIMSQEEKDGLITDLYARQKQPWTTLSIEEKKAAYWIAFGEHGPRAFSHISQKTVFWGTVAGLTIGVVLFGLIRTQAAPSPRTMTREWQEKSNEYMKENKINPISGEASEGFKGRGQISGGIFSPSEKDKK", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLLLSWSRIATKVVRRPVRFRSYYGLTHIKSLHTQYRLLNRLQENKSGNKNEDNNEDAKLNKEIPTDEEVEAIRKQVEKYIEQTKNNTIPANWKEQKRKIDESIRRLEDAVLKQESNRIQEERKEKEEENGPSKAKSNRTKEQGYFEGNNSRNIPPPPPPPPPKPPLNDPSNPVSKNVNLFQIGLTFFLLSFLLDLLNSLEEQSEITWQDFREKLLAKGYVAKLIVVNKSMVKVMLNDNGKNQADNYGRNFYYFTIGSIDSFEHKLQKAQDELDIDKDFRIPVLYVQEGNWAKAMFQILPTVLMIAGIIWLTRRSAQAAGGSRGGIFGLSRSKAKKFNTETDVKIKFKDVAGCDEAKEEIMEFVSFLKEPSRYEKMGAKIPRGAILSGPPGTGKTLLAKATAGEAGVPFYFVSGSEFVEMFVGVGAARVRDLFKTARENAPSIVFIDEIDAIGKARQKGNFSGANDERENTLNQMLVEMDGFTPADHVVVLAGTNRPDILDKALLRPGRFDRHINIDKPELEGRKAIFAVHLHHLKLAGEIFDLKNRLAALTPGFSGADIANVCNEAALIAARSDEDAVKLNHFEQAIERVIGGVERKSKLLSPEEKKVVAYHEAGHAVCGWYLKYADPLLKVSIIPRGQGALGYAQYLPGDIFLLTEQQLKDRMTMSLGGRVSEELHFPSVTSGASDDFKKVTSMATAMVTELGMSDKIGWVNYQKRDDSDLTKPFSDETGDIIDSEVYRIVQECHDRCTKLLKEKAEDVEKIAQVLLKKEVLTREDMIDLLGKRPFPERNDAFDKYLNDYETEKIRKEEEKNEKRNEPKPSTN", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGNTLGLAPMGTLPRWSHRREEPLPNPGSFDELHRLCKDVFPAQMEGVKLVVNKVLSSHFQVAHTVHMSALGLPGYHLHTAYAGDWQLSPTEVFPTVVGDMDSSGSLNAQVLLLLAERLRAKAVFQTQQAKFLTWQFDGEYRGDDYTATLTLGNPDLIGESVIMVAHFLQSITHRLVLGGELVYHRRPGEEGAILTLAGKYSALHWVATLNVGSGGAHASYYHKANEQVQVGVEFEANTRLQDTTFSFGYHLTLPQADMVFRGLVDSNWCVGAVLEKKMRPLPVTLALGAFLNHWRNRFHCGFSITVG", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRKRTEPVTLEHERCAASGSSSSGSAAAALDADCSLKQNLRLAGKGTAEPHSASDAGMKRALGRRKSLWFRLRKILLCVLGFYIAIPFLVKLCPGIQAKLIFLNFVRVPYFIDLKKPQDQGLNHTCNYYLQPEDDVTIGVWHTIPSVWWKNAQGKDQMWYEDALASNHAIILYLHGNAGTRGGDHRVELYKVLSSLGYHVVTFDYRGWGDSVGTPSERGMTYDALHVFDWIKARSGDNPVYIWGHSLGTGVATNLVRRLCERETPPDALILESPFTNIREEAKSHPFSVIYRYFPGFDWFFLDPITSSGIKFANDENMKHISCPLLILHAEDDPVVPFHLGRKLYNIAAPSRSFRDFKVQFIPFHSDLGYRHKYIYKSPELPRILREFLGKSEPERQH", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASLGRQVPEWHRLLALSWACLVRQTPHLREQKQMSPSLSCKLTTVPGRGSFQEFSSITPQKYMQEPENRTRLVQCLHEEQKPCVDPESLEPEKVIRSLQDMGFAEAHIHSLFSIQPSVHPQQLLGIVSELLLLGLNPEPVFNALKKNPQLLKLSSMQMKRRSSYLRKLGLGEGKLKRVLSVCPEVFTMHQRDIDRVVKVLREKCLFTAQHITDVLHRCPTVLQEDPNELEYKFQYAYFRMGLTHLDIVRTNFLQYSITKIKQRHIYLERLGRYQTPDKKGQTQIPNPSLRNILRVSEAEFLARTACSSVEEFQVFKKLLDQEEEEESESHASEEEEEEEEEEELL", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQRFFSARSILGYAVKTRRRSFSSRSSSLLFDDTQLQFKESVSKFAQDNIAPHAERIDKTNSFPKDVNLWKLMGEFNLHGITAPEEYGGLGLGYLYHCIAMEEISRASGSVALSYGAHSNLCINQLVRNGTAAQKEKYLPKLISGEHVGALAMSEPNAGSDVVGMKCKAEKVDGGYILNGNKMWCTNGPSAETLVVYAKTDTKAGSKGITAFIIEKGMTGFSTAQKLDKLGMRGSDTCELVFENCFVPEENILDKEGKGVYVLMSGLDLERLVLAAGPLGIMQACLDNVLPYIRQREQFGRPVGEFQFIQGKVADMYTALQSSRSYVYSVARDCDNGKVDPKDCAGTILCAAERATQVALQAIQCLGGNGYINEYATGRLLRDAKLYEIGAGTSEIRRIVIGRELFKEE", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRVVRLLRLRAALTLLGEVPRRPASRGVPGSRRTQKGSGARWEKEKHEDGVKWRQLEHKGPYFAPPYEPLPDGVRFFYEGRPVRLSVAAEEVATFYGRMLDHEYTTKEVFRKNFFNDWRKEMAVEEREVIKSLDKCDFTEIHRYFVDKAAARKVLSREEKQKLKEEAEKLQQEFGYCILDGHQEKIGNFKIEPPGLFRGRGDHPKMGMLKRRITPEDVVINCSRDSKIPEPPAGHQWKEVRSDNTVTWLAAWTESVQNSIKYIMLNPCSKLKGETAWQKFETARRLRGFVDEIRSQYRADWKSREMKTRQRAVALYFIDKLALRAGNEKEDGEAADTVGCCSLRVEHVQLHPEADGCQHVVEFDFLGKDCIRYYNRVPVEKPVYKNLQLFMENKDPRDDLFDRLTTTSLNKHLQELMDGLTAKVFRTYNASITLQEQLRALTRAEDSIAAKILSYNRANRVVAILCNHQRATPSTFEKSMQNLQTKIQAKKEQVAEARAELRRARAEHKAQGDGKSRSVLEKKRRLLEKLQEQLAQLSVQATDKEENKQVALGTSKLNYLDPRISIAWCKRFRVPVEKIYSKTQRERFAWALAMAGEDFEF", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDIPQADLDLLNEKDKNELRGFISNETQRQRVQGQTHALTDSCWKKCVTSPIKTNQLDKTEAVCMADCVERFLDVNLTIMAHVQKITRGGSK", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASRRVLSSLLRSSSGRSAAKLGNRNPRLPSPSPARHAAPCSYLLGRVAEYATSSPASSAAPSSAPAKDEGKKTYDYGGKGAIGRVCQVIGAIVDVRFEDQEGLPPIMTSLEVQDHPTRLVLEVSHHLGQNVVRTIAMDGTEGLVRGRKVLNTGAPITVPVGRATLGRIMNVLGEPIDERGEIKTEHYLPIHRDAPALVDLATGQEILATGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEYFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLASDLGALQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEIFTGAPGKYVDLKENINSFQGLLDGKYDDLSEQSFYMVGGIDEVVAKAEKIAKESAA", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASRRVLSSLLRSSSGRSAAKLVNRNPRLPSPSPARHAAPCSYLLGRVAEYATSSPASSAAPSSAPAKDEGKKTYDYGGKGAIGRVCQVIGAIVDVRFEDQEGLPPIMTSLEVQDHPTRLVLEVSHHLGQNVVRTIAMDGTEGLVRGRKVLNTGAPITVPVGRATLGRIMNVLGEPIDERGEIKTEHYLPIHRDAPALVDLATGQEILATGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEYFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLASDLGALQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEIFTGAPGKYVDLKENINSFQGLLDGKYDDLSEQSFYMVGGIDEVVAKAEKIAKESAA", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MMRRVTSSLPSALKLGRSLGPNVRFSGGAAAVEASPAIPPNSSSGKTLVRNMKPRELMQELDNYIIGQTEAKKAVAVALRNRWRRHQVDAAIREEISPKNILMIGPTGVGKTEIARRLAKLVDAPFIKVEATKFTEVGFHGRDVESIIEDLYKASLTQTKQNIMRRHEETARQKAENRILKALAGVSDGFREHLRSGALDDIEVIVELQEKKEKPKNSGTNEGVFISLEIPSSIGGQRPQTVKKVMKIKDAIPAVLQEELDKIVDTEDVSAEALRACEEDGIVVIDEIDKIVTASGGYKGHQASAEGVQQDLLPLVEGTTVSTKGNVQIKTDKILFICSGAFHSVKPSDMLAELQGRLPIRVELKPLTKEDFHRIITEPRYNLIKQHVMMMKTEGVDLVFTDDALWEIASIAAHINSTVQNIGARRLITITEKVVEEVSFDGPDRKGETFVIDAAYVRNSVESMMKKVDIKKFIL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFGSKTLFSWAAKRSKDFYRKKSKIDNFRSRAAYKLIELNSKYRFINKEDVVIDVGFAPGSWSQVAKKLVGNKGKVIGIDIQHIAPPEGVLPIYGDIRDPNTLTKLFEALRLLHEPNTNDSIDCRVVDAVISDMLHKATGIRIRDHALSMELCASALHVALTFLKSNGSFICKFYMGDEDADLQNLLKSHFRFVQVMKPKASLKESREAYFVCLERKP", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFRFNFRREISKYTGIYHRKIHSGLEDAGPMASRLKSRSVVRFSGPDTVKFLQGLLTNDVRRFGESSGEKNSAVPTPNMASVTNPPMYAALLTPQGRFLYDFFLYSPSRPDEKLDRTGSGPGSDSGRDGSVELFADVDVDVLDELLETLKKYRLRSKVDIENVAEEFSCWQRYGRNLTGSSSVGWGGGVDRAGESTASGNKYGWQWYKDPRLECLGYRSIFPSDATPPLVEADKETDESNYLLWRLEHGVAEGSAEIPKGEAIPLEYNFVGLNAISFDKGCYVGQELIARTHHRGVIRKRLIPLRFIDSNGKELNQKIAAGAEVVESGTGKKMGTVSTALGSRGMGVMRVEEAFKPSAELAVKDSEEVKVEAIKPTWWPAEWFQQNQSGVAAA", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MARGGWRRLRRLLSAGQLLFQGRALLVTNTLGCGALMAAGDGVRQSWEIRARPGQVFDPRRSASMFAVGCSMGPFLHYWYLSLDRLFPASGLRGFPNVLKKVLVDQLVASPLLGVWYFLGLGCLEGQTVGESCQELREKFWEFYKADWCVWPAAQFVNFLFVPPQFRVTYINGLTLGWDTYLSYLKYRSPVPLTPPGCVALDTRAD", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MMMWQRYARGAPRSLTSLSFGKASRISTVKPVLRSRMPVHQRLQTLSGLATRNTIHRSTQIRSFHISWTRLNENRPNKEGEGKNNGNKDNNSNKEDGKDKRNEFGSLSEYFRSKEFANTMFLTIGFTIIFTLLTPSSNNSGDDSNRVLTFQDFKTKYLEKGLVSKIYVVNKFLVEAELVNTKQVVSFTIGSVDIFEEQMDQIQDLLNIPPRDRIPIKYIERSSPFTFLFPFLPTIILLGGLYFITRKINSSPPNANGGGGGGLGGMFNVGKSRAKLFNKETDIKISFKNVAGCDEAKQEIMEFVHFLKNPGKYTKLGAKIPRGAILSGPPGTGKTLLAKATAGEANVPFLSVSGSEFVEMFVGVGASRVRDLFTQARSMAPSIIFIDEIDAIGKERGKGGALGGANDEREATLNQLLVEMDGFTTSDQVVVLAGTNRPDVLDNALMRPGRFDRHIQIDSPDVNGRQQIYLVHLKRLNLDPLLTDDMNNLSGKLATLTPGFTGADIANACNEAALIAARHNDPYITIHHFEQAIERVIAGLEKKTRVLSKEEKRSVAYHEAGHAVCGWFLKYADPLLKVSIIPRGQGALGYAQYLPPDQYLISEEQFRHRMIMALGGRVSEELHFPSVTSGAHDDFKKVTQMANAMVTSLGMSPKIGYLSFDQNDGNFKVNKPFSNKTARTIDLEVKSIVDDAHRACTELLTKNLDKVDLVAKELLRKEAITREDMIRLLGPRPFKERNEAFEKYLDPKSNTEPPEAPAATN", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPFMNFRNFNFMPLLRPLNPVYKGAEHLCRKNIHNQVYNVETGKTIQILQDLVPLVLYRALPDAILDHHVELVIFPNSLNFPRIEGLTIYKFIFKTARLLLSSTYGSSAKRPIDIIHQLHSSMENKNVRYSMKCNWIASPNDEYTWVFHFDIDKKGIIYRHIIDNLERNRSRQCEKISALKPDPIE", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSAKPATDDAKDELLSPFRRLYALTRTPYPALANAALLASTPVLSPSFKVPPTQSPALSIPMSRVFSKSSTARIGITTKTALFFSTMQAIGAYMIYDNDLENGAGFIATWSALYLIVGGKKSFSALRYGRTWPLVLSSVSLANAVLYGQRFLATGFQ", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNRRLLVRSISCFQPLSRITFGRPNTPFLRKYADTSTAANTNSTILRKQLLSLKPISASDSLFISCTVFNSKGNIISMSEKFPKWSFLTEHSLFPRDLRKIDNSSIDIIPTIMCKPNCIVINLLHIKALIERDKVYVFDTTNPSAAAKLSVLMYDLESKLSSTKNNSQFYEHRALESIFINVMSALETDFKLHSQICIQILNDLENEVNRLKLRHLLIKSKDLTLFYQKTLLIRDLLDELLENDDDLANMYLTVKKSPKDNFSDLEMLIETYYTQCDEYVQQSESLIQDIKSTEEIVNIILDANRNSLMLLELKVTIYTLGFTVASVLPAFYGMNLKNFIEESEWGFTSVAVFSIVSALYITKKNFNSLRSVTKMTMYPNSPANSSVYPKTSASIALTNKLKRRRKWWKSTKQRLGVLLYGSSYTNKANLSNNKINKGFSKVKKFNMENDIKNKQNRDMIWKWLIEDKKN", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRLPVTVKATKPSFLVIWIRYSSAASSPTVSLNPSGRLQQTLAGSVEVKGKSLHSGKFSTVKLNPEIAGAGRFFEFRSRFIPASIEFAQESPLCTTLLKDELKIRTVEHLLSALEAKGVDNCRIQIESESSDDREVEVPIFDGSAKEWVDAIQGVGINAAQNHDGESVEKMVAHVNKPVYVCKNDTFVAAFPALETRITCGIDFPQVPAIGCQWFSWRPIHESSFAKDIASSRTFCVYEEVERMREAGLIKGGSLDNAIVCSAEHGWMNPPLRFDDEACRHKILDLIGDLSLVSRGGNGGLPVAHIVAYKAGHALHTDLARHLTMD", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRLPVTVKATKPSFLVIWIRYSSAASSPTVSLNPSGRLQQTLAGSVEVKGKSLHSGKFSTVKLNPEIAGAGRFFEFRSRFIPASIEFAQESPLCTTLLKDELKIRTVEHLLSALEAKGVDNCRIQIESESSDDREVEVPIFDGSAKEWVDAIQGVGINAAQNHDGESVEKMVAHVNKPVYVCKNDTFVAAFPALETRITCGIDFPQVPAIGCQWFSWRPIHESSFAKDIASSRTFCVYEEVERMREAGLIKGGSLDNAIVCSAEHGWMNPPLRFDDEACRHKILDLIGDLSLVSRGGNGGLPVAHIVAYKAGHALHTDLARHLTMD", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRLPVTVKATKPSFLVIWIRYSSAASSPTVSLNPSGRLQQTLAGSVEVKGKSLHSGKFSTVKLNPEIAGAGRFFEFRSRFIPASIEFAQESPLCTTLLKDELKIRTVEHLLSALEAKGVDNCRIQIESESSDDREVEVPIFDGSAKEWVDAIQGVGINAAQNHDGESVEKMVAHVNKPVYVCKNDTFVAAFPALETRITCGIDFPQVPAIGCQWFSWRPIHESSFAKDIASSRTFCVYEEVERMREAGLIKGGSLDNAIVCSAEHGWMNPPLRFDDEACRHKILDLIGDLSLVSRGGNGGLPVAHIVAYKAGHALHTDLARHLTMD", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSSLSTSDLASLDDTSKKEIATFLEGENSKQKVQMSIHQFTNICFKKCVESVNDSNLSSQEEQCLSNCVNRFLDTNIRIVNGLQNTR", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASILLRSCRGRAPARLPPPPRYTVPRGSPGDPAHLSCASTLGLRNCLNVPFGCCTPIHPVYTSSRGDHLGCWALRPECLRIVSRAPWTSTSVGFVAVGPQCLPVRGWHSSRPVRDDSVVEKSLKSLKDKNKKLEEGGPVYSPPAEVVVKKSLGQRVLDELKHYYHGFRLLWIDTKIAARMLWRILNGHSLTRRERRQFLRICADLFRLVPFLVFVVVPFMEFLLPVAVKLFPNMLPSTFETQSLKEERLKKELRVKLELAKFLQDTIEEMALKNKAAKGSATKDFSVFFQKIRETGERPSNEEIMRFSKLFEDELTLDNLTRPQLVALCKLLELQSIGTNNFLRFQLTMRLRSIKADDKLIAEEGVDSLNVKELQAACRARGMRALGVTEDRLRGQLKQWLDLHLHQEIPTSLLILSRAMYLPDTLSPADQLKSTLQTLPEIVAKEAQVKVAEVEGEQVDNKAKLEATLQEEAAIQQEHREKELQKRSEVAKDFEPERVVAAPQRPGTEPQPEMPDTVLQSETLKDTAPVLEGLKEEEITKEEIDILSDACSKLQEQKKSLTKEKEELELLKEDVQDYSEDLQEIKKELSKTGEEKYVEESKASKRLTKRVQQMIGQIDGLISQLEMDQQAGKLAPANGMPTGENVISVAELINAMKQVKHIPESKLTSLAAALDENKDGKVNIDDLVKVIELVDKEDVHISTSQVAEIVATLEKEEKVEEKEKAKEKAEKEVAEVKS", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFLQTLRLTMPRMFLHMKPSPITITRACTVPSLLSVAAPQPALVAANRPLVFNRGFKVRTSVKKFCSDCYLVRRKGRVYIYCKSNKKHKQRQG", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEFLKTCVLRRNACTAVCFWRSKVVQKPSVRRISTTSPRSTVMPAWVIDKYGKNEVLRFTQNMMMPIIHYPNEVIVKVHAASVNPIDVNMRSGYGATALNMKRDPLHVKIKGEEFPLTLGRDVSGVVMECGLDVKYFKPGDEVWAAVPPWKQGTLSEFVVVSGNEVSHKPKSLTHTQAASLPYVALTAWSAINKVGGLNDKNCTGKRVLILGASGGVGTFAIQVMKAWDAHVTAVCSQDASELVRKLGADDVIDYKSGSVEEQLKSLKPFDFILDNVGGSTETWAPDFLKKWSGATYVTLVTPFLLNMDRLGIADGMLQTGVTVGSKALKHFWKGVHYRWAFFMASGPCLDDIAELVDAGKIRPVIEQTFPFSKVPEAFLKVERGHARGKTVINVV", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MESPKNSLIPSFLYSSSSSPRSFLLDQVLNSNSNAAFEKSPSPAPRSSPTSMISRKNFLIASPTEPGKGIEMYSPAFYAACTFGGILSCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGILLKEQGVKGFFRGWVPTLLGYSAQGACKFGFYEYFKKTYSDLAGPEYTAKYKTLIYLAGSASAEIIADIALCPFEAVKVRVQTQPGFARGMSDGFPKFIKSEGYGGLYKGLAPLWGRQIPYTMMKFASFETIVEMIYKYAIPNPKSECSKGLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKIGMVGLFTRGLPLRIVMIGTLTGAQWGLYDAFKVFVGLPTTGGVAPAPAIAATEAKA", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLQRGLWPWRTRLLPTPGTWRPARPWPLPPPPQVLRVKLCGNVKYYQSHHYSTVVPPDEITVIYRHGLPLVTLTLPSRKERCQFVVKPMLSTVGSFLQDLQNEDKGIKTAAIFTADGNMISASTLMDILLMNDFKLVINKIAYDVQCPKREKPSNEHTAEMEHMKSLVHRLFTILHLEESQKKREHHLLEKIDHLKEQLQPLEQVKAGIEAHSEAKTSGLLWAGLALLSIQGGALAWLTWWVYSWDIMEPVTYFITFANSMVFFAYFIVTRQDYTYSAVKSRQFLQFFHKKSKQQHFDVQQYNKLKEDLAKAKESLKQARHSLCLQMQVEELNEKN", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSGSDRGDRLYDVLGVTRDATVQEIKTAYRKLALKHHPDKYVDQDSKEVNEIKFKEITAAYEILSDPEKKSHYDLYGDDNGAASSGGANGFGDEDFMNFFNNFFNNGSHDGNNFPGEYDAYEEGNSTSSKDIDIDISLTLKDLYMGKKLKFDLKRQVICIKCHGSGWKPKRKIHVTHDVECESCAGKGSKERLKRFGPGLVASQWVVCEKCNGKGKYTKRPKNPKNFCPDCAGLGLLSKKEIITVNVAPGHHFNDVITVKGMADEEIDKTTCGDLKFHLTEKQENLEQKQIFLKNFDDGAGEDLYTSITISLSEALTGFEKFLTKTFDDRLLTLSVKPGRVVRPGDTIKIANEGWPILDNPHGRCGDLYVFVHIEFPPDNWFNEKSELLAIKTNLPSSSSCASHATVNTEDDSNLTNNETISNFRIIHTDDLPEGIRPFKPEAQDSAHQKARSSYCCIQ", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVLSRLPACLLPLVGTKVSIQGWLVATSRQVSKSISFHQLRDTHGTILQLLSTDKIILQQKREPLVSSTDFSQQKSTSVMRTLSSIPPESVVQVTGKLQRRPEHDRRPGNEFELHVEDVKLLNVAKNLQLFPGDEKPGMRIQLANRHIQLRAPKYNSYLRQRSRLAYQVHSFFNDREFCEVETPLLFKSTPEGAREFVVPSRLNPGKFYALPQSPQQYKQILMASGIGNYYQIARCFRDEDLRFDRQPEFTQIDLEMSFVDKPHEIMEVVEDLLVRLVSFAKGITLAKPFQHITYQHAIDKYGSDKPDIRFELPLKNITSLLPKQDPLISTEILVYNDLSHSLSNAESRKLCEAVGENVVVTSIREHSQLQTWVKKLPQLRQLPIVAEELNQKLQIGINSIVFMTNRPKYLVSGTTPLGKLRLLLHELLVKKKALPELDKDLLKFVWVVDFPLFSPTEEKNQSITSTHHPFTAPHWDDVHLLEKKPLSVRGLHYDIVVNGIELGGGSIRIHNPDIQRFVLKDVLKLPENRYATFEHLIRVLSSGCPPHGGIALGFDRLAALLTNAPGIREVIAFPKTSSGADLLIGSPSAIPEEMLKDYNVAITRQTQNRN", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSRGSRFVRAPGLLLCRVNLQPQPKIPSFSYSLRSDYRLHNGFSNYIRRNSIRTSPVINAFLSDNSPSPSPSPSPIRFVQRSSMLNGRLFSTSTPNPDQTTTKTKEIKTTSSDSDSAMADMKILRTLAGYLWMRDNPEFRFRVIAALGFLVGAKVLNVQVPFLFKLAVDWLASATGTGASLTTFAATNPTLLTVFATPAAVLIGYGIARTGSSAFNELRTAVFSKVALRTIRSVSRKVFSHLHDLDLRYHLSRETGGLNRIIDRGSRAINFILSAMVFNVVPTILEISMVSGILAYKFGAAFAWITSLSVGSYIVFTLAVTQWRTKFRKAMNKADNDASTRAIDSLINYETVKYFNNEGYEAEKYDQFLKKYEDAALQTQRSLAFLNFGQSIIFSTALSTAMVLCSQGIMNGQMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFQLLEEKSDITNTSDAKPLVLKGGNIEFENVHFSYLPERKILDGISFVVPAGKSVAIVGTSGSGKSTILRMLFRFFDTDSGNIRIDGQDIKEVRLDSLRSSIGVVPQDTVLFNDTIFHNIHYGRLSATEEEVYEAARRAAIHETISNFPDKYSTIVGERGLKLSGGEKQRVALARTFLKSPAILLCDEATSALDSTTEAEILNALKALASNRTSIFIAHRLTTAMQCDEIVVLENGKVVEQGPHDELLGKSGRYAQLWTQQNSSVDMLDAAIKLE", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MALWRAYQRALAAHPWKVQVLTAGSLMGLGDIISQQLVERRGLQEHQRGRTLTMVSLGCGFVGPVVGGWYKVLDRFIPGTTKVDALKKMLLDQGGFAPCFLGCFLPLVGALNGLSAQDNWAKLQRDYPDALITNYYLWPAVQLANFYLVPLHYRLAVVQCVAVIWNSYLSWKAHRL", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLTLRSACQLTTKNLGLHKCISKTVISALGRRGYHSENYETSKISGKKALILENALEHVPQLGFTEDAIVQGGQALGYSNLSKALFPSGPMDLISYFFLKQRYALSSLKPHLTTIPETSGRVVQLIWSRLQGNRDIVQHLPQMIAICTYPSNLRKSLSSLAELSDEILYLAQDKSADFQWYTKRAAISAIYSASELFMSRDTSPNFEATYNFVQHRIQHAKALNDLRNDVLEWGSFQLNAVRSILRSRGI", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLKSGRLNFLKLNINSRLLYSTNPQLTKKVIGIDLGTTNSAVAYIRDSNDKKSATIIENDEGQRTTPSIVAFDVKSSPQNKDQMKTLVGMAAKRQNAINSENTFFATKRLIGRAFNDKEVQRDMAVMPYKIVKCESNGQAYLSTSNGLIQSPSQIASILLKYLKQTSEEYLGEKVNLAVITVPAYFNDSQRQATKDAGKLAGLNVLRVINEPTAAALSFGIDDKRNNGLIAVYDLGGGTFDISILDIEDGVFEVRATNGDTHLGGEDFDNVIVNYIIDTFIHENPEITREEITKNRETMQRLKDVSERAKIDLSHVKKTFIELPFVYKSKHLRVPMTEEELDNMTLSLINRTIPPVKQALKDADIEPEDIDEVILVGGMTRMPKIRSVVKDLFGKSPNSSVNPDETVALGAAIQGGILSGEIKNVLLLDVTPLTLGIETFGGAFSPLIPRNTTVPVKKTEIFSTGVDGQAGVDIKVFQGERGLVRNNKLIGDLKLTGITPLPKGIPQIYVTFDIDADGIINVSAAEKSSGKQQSITVIPNSGLSEEEIAKLIEEANANRAQDNLIRQRLELISKADIMISDTENLFKRYEKLISSEKEYSNIVEDIKALRQAIKNFKANENDMSIDVNGIKKATDALQGRALKLFQSATKNQQNQGK", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAAVVAVCGGLGRKKLTHLVTAAVSLTHPGTHTVLWRRGCSQQVSSNEDLPISMENPYKEPLKKCILCGKHVDYKNVQLLSQFVSPFTGCIYGRHITGLCGKKQKEITKAIKRAQIMGFMPVTYKDPAYLKDPKVCNIRYRE", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAKYLAQIIVMGVQVVGRAFARALRQEFAASRAAADARGRAGHRSAAASNLSGLSLQEAQQILNVSKLSPEEVQKNYEHLFKVNDKSVGGSFYLQSKVVRAKERLDEELKIQAQEDREKGQMPHT", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MYRLMSAVTARAAAPGGLASSCGRRGVHQRAGLPPLGHGWVGGLGLGLGLALGVKLAGGLRGAAPAQSPAAPDPEASPLAEPPQEQSLAPWSPQTPAPPCSRCFARAIESSRDLLHRIKDEVGAPGIVVGVSVDGKEVWSEGLGYADVENRVPCKPETVMRIASISKSLTMVALAKLWEAGKLDLDIPVQHYVPEFPEKEYEGEKVSVTTRLLISHLSGIRHYEKDIKKVKEEKAYKALKMMKENVAFEQEKEGKSNEKNDFTKFKTEQENEAKCRNSKPGKKKNDFEQGELYLREKFENSIESLRLFKNDPLFFKPGSQFLYSTFGYTLLAAIVERASGCKYLDYMQKIFHDLDMLTTVQEENEPVIYNRARFYVYNKKKRLVNTPYVDNSYKWAGGGFLSTVGDLLKFGNAMLYGYQVGLFKNSNENLLPGYLKPETMVMMWTPVPNTEMSWDKEGKYAMAWGVVERKQTYGSCRKQRHYASHTGGAVGASSVLLVLPEELDTETINNKVPPRGIIVSIICNMQSVGLNSTALKIALEFDKDRSD", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLRFLSKNSVAAIRNVSIARGVHTKATLPPLPYAYNALEPALSETIMKLHHDKHHQTYVNNLNAAQEKLADPNLDLEGEVALQAAIKFNGGGHINHSLFWKILAPQKEGGGKPVTSGSLHKAITSKWGSLEDFQKEMNAALASIQGSGWAWLIVDKDGSLRITTTANQDTIVKSKPIIGIDAWEHAYYPQYENRKAEYFKAIWNVINWKEAESRYSNR", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MARLLWLLRGLTLGTAPRRAVRGQAGGGGPGTGPGLGEAGSLATCELPLAKSEWQKKLTPEQFYVTREKGTEPPFSGIYLNNKEAGMYHCVCCDSPLFSSEKKYCSGTGWPSFSEAHGTSGSDESHTGILRRLDTSLGSARTEVVCKQCEAHLGHVFPDGPGPNGQRFCINSVALKFKPRKH", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MITTVQEISKWRNLCFIRMQSRKWYPVLKKTPLVADGRKIIKHADKVPHPEEIIHPFYQPTAIEQFTACATEYNPSLLDGKKIAPSLIKHPVSLKTILVDSKLKFDDIRGVNKWLMEFVARRQHQRNIVLTPASKSVRSFHVLHLSSTDIAKLRGLENILSEIENTNDLQSRVESVNNELQNIFDRDSKQTRLFCENILAYLIKNYGNSTEKLILLINVTEMQLYSRLDQMKAMNIILYNILCKVEANENPPYSPTLVTALENLLAAINNRFFPGRCENSLHPIVIEQLLSYFIKTGNLNESKNFLGHLIKKGILPEATIINRYLEAIDVHFDKSTKIFDIRSKFAFIADLAPIIENYGTIDLFKFLIPMCRHFDELCSLLNIIRKSNNAKRAVDSTLPIFIKKVLTFTKDPMINSGNLSTVFNIVSPIYGQNVPSEFVEKFILSFALQGNYTMMAHMIDTYKIKLSHKYQLQIIRALKNSERNHALKNTGAVGYNKEFKKYFIEKYLNCTEREALRP", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKADAKQITHLLKPLRLLLLGAPGSGKGTQTSRLLKQIPQLSSISSGDILRQEIKSESTLGREATTYIAQGKLLPDDLITRLITFRLSALGWLKPSAMWLLDGFPRTTAQASALDELLKQHDASLNLVVELDVPESTILERIENRYVHVPSGRVYNLQYNPPKVPGLDDITGEPLTKRLDDTAEVFKKRLEEYKKTNEPLKDYYKKSGIFGTVSGETSDIIFPKLLNLITSKFG", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAALKLLSSGLRLCASARNSRGALHKGCACYFSVSTRHHTKFYTDPVEAVKDIPNGATLLVGGFGLCGIPENLIGALLKTGVKDLTAVSNNAGVDNFGLGLLLRSKQIKRMISSYVGENAEFERQFLSGELEVELTPQGTLAERIRAGGAGVPAFYTSTGYGTLVQEGGSPIKYNKDGSVAIASKPREVREFRGQHFILEEAITGDFALVKAWKADRAGNVIFRKSARNFNLPMCKAAGTTVVEVEEIVDIGSFAPEDIHIPKIYVHRLIKGEKYEKRIERLSLRKEGEGKAKSGKPGEDVRERIIKRAALEFEDGMYANLGIGIPLLASNFISPNMTVHLQSENGVLGLGPYPLKDEADADLINAGKETVTVLPGASFFSSDESFAMIRGGHVNLTMLGAMQVSKYGDLANWMIPGKMVKGMGGAMDLVSSSKTKVVVTMEHSAKGNAHKIMEKCTLPLTGKQCVNRIITEKGVFDVDKKNGLTLIELWEGLTVDDIRKSTGCDFAVSPNLMPMQQIST", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLRAAVAAAEELRSPLLRVIGTLRDGRGSVLLGRRVRFCSNSSASDTEAAVAEAEAKAEDASAAEGEADSKASSAIVPTSTNIDDCLSVIALPLPHRPLFPGFYMPINVKDQKLLQALIENRKRSAPYAGAFLVKDEEGTDPNIVTGSDSAKSIDDLKGKDLLKRLHEVGTLAQITSIQGDHVVLLGHRRLRITEMVEEDPLTVKVDHLKEKPYNKDDDVMKATSFEVISTLREVLRTSSLWKDHVQTYTQHIGDFNYQRLADFGAAISGANKLLCQEVLEELDVYKRLKLTLELVKKEMEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIESKKDKCPPHVLQVIEEELTKLQLLEASSSEFSVTRNYLDWLTVLPWGNYSDENFDVHHAQKILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRQFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGKGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIIAIAGYITDEKMHIARDYLEKNTRQACGIKPEQVEVTDTALLALIENYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPDHESVSASVTEESGNGDNTTTKDEILKDPAVEDASVTNNVTNPASEEANEENLTSEAAKEDSTSKGNKGTDGAADKAIEKVVVDSSNLGDFVGKPVFQAERIYEHTPVGVVMGLAWTAMGGSTLYIETKKVEEREGKGALVLTGQLGDVMKESAQIAHTVGRAVLLEKEPDNHFFANSKVHLHVPAGSTPKDGPSAGCTMITSMLSLAMGKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSAIKTLIFPAANKRDFDELASNVKEGLEVHFVDTYSEIYDLAFQSDAGTETS", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPGFTAPTRRQVLSLYKEFIKNANQFNNYNFREYFLSKTRTTFRKNMNQQDPKVLMNLFKEAKNDLGVLKRQSVISQMYTFDRLVVEPLQGRKH", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAFLSKVGRLFSQTSSHVTASSSMLQSIRCMSSSKIFVGGISYSTDEFGLREAFSKYGEVVDAKIIVDRETGRSRGFAFVTFTSTEEASNAMQLDGQDLHGRRIRVNYATERGSGFGGRGFGGPGGGYGASDGGYGAPAGGYGGGAGGYGGNSSYSGNAGGGGGYGGNSSYGGNAGGYGGNPPYSGNAVGGGGGYGSNFGGGGGYGVAGGVGGSENFAQGSSTNAGFDDKFESNQPLGNDTDHQTESGLGGDEQFGGSDNQFGDAENGNTENGPVGFDQTDDGDVAKRA", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNALNKIPFTRALYHFSRFRSFTTSGWIMSGHNKWSKIKHKKSANDQARSLQIGKLSQGIILAVRQEGANPELNMRLATLLESAKKISMPKSGIENAINRGLGTAGSEGSQVHFIEYEAMHPSGVGLIVEAVTDNRARAASSIKHILRNHGASLSTVKFLFSKKGKVEVNLPPEKRDSMKFEDVLDDAIEAGAEDIVNRPKEYIDEEDEGEFLILTEPSSLNQVAHHFRSKNYEIKDSRLIHIPLEETAIDVPAKDSVREDIQKLIDDLYENEDVMFIHLSILNSHFLPILDE", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSIRFTHCFTSSSSLPCYILRHLPFSNFNRYSTASHDNVNAFRLLMRRFAQPVVIITSGFADGHRAGMTASSFTPVSLTPNPVISFNIKIPSRTANAIQQSNRVIVHLLSSSIKKHSEWASLLAKQKHQINPLMNSEKNSTSVEDLPGSNRTQQTSSHSLLHPLHPIDVSLSKEGLPCLVDSLGLLHCSIIHSYQVQDHILFVANVERVEHGSSPLESSSGLVYYNRNYCSTSPLSPIIDSFES", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGIWQRLLLFGGVSLRAGGGATAPLGGSRAMVCGRQLSGAGSETLKQRRTQIMSRGLPKQKPIEGVKQVIVVASGKGGVGKSTTAVNLALALAANDSSKAIGLLDVDVYGPSVPKMMNLKGNPELSQSNLMRPLLNYGIACMSMGFLVEESEPVVWRGLMVMSAIEKLLRQVDWGQLDYLVVDMPPGTGDVQLSVSQNIPITGAVIVSTPQDIALMDAHKGAEMFRRVHVPVLGLVQNMSVFQCPKCKHKTHIFGADGARKLAQTLGLEVLGDIPLHLNIREASDTGQPIVFSQPESDEAKAYLRIAVEVVRRLPSPSE", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MIRRVSTTSCLSATAFRSFTKWSFKSSQFHGESLDSSVSPLLIPGFHVFHCPDVVGIVAKLSDCIAAKGGNILGYDVFVPENKNVFYSRSEFIFDPVKWPRRQMDEDFQTIAQKFSALSSVVRVPSLDPKYKIALLLSKQDHCLVEMLHKWQDGKLPVDITCVISNHERAPNTHVMRFLQRHGISYHYLPTTDQNKIEEEILELVKGTDFLVLARYMQLLSGNFLKGYGKDVINIHHGLLPSFKGRNPVKQAFDAGVKLIGATTHFVTEELDSGPIIEQMVERVSHRDNLRSFVQKSEDLEKKCLMKAIKSYCELRVLPYGTQRTVVF", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASLEDLIPTVNKLQDVMYDSGIDTLDLPILAVVGSQSSGKSSILETLVGRDFLPRGTGIVTRRPLVLQLNNISPNSPLIEEDDNSVNPHDEVTKISGFEAGTKPLEYRGKERNHADEWGEFLHIPGKRFYDFDDIKREIENETARIAGKDKGISKIPINLKVFSPHVLNLTLVDLPGITKVPIGEQPPDIEKQIKNLILDYIATPNCLILAVSPANVDLVNSESLKLAREVDPQGKRTIGVITKLDLMDSGTNALDILSGKMYPLKLGFVGVVNRSQQDIQLNKTVEESLDKEEDYFRKHPVYRTISTKCGTRYLAKLLNQTLLSHIRDKLPDIKTKLNTLISQTEQELARYGGVGATTNESRASLVLQLMNKFSTNFISSIDGTSSDINTKELCGGARIYYIYNNVFGNSLKSIDPTSNLSVLDVRTAIRNSTGPRPTLFVPELAFDLLVKPQIKLLLEPSQRCVELVYEELMKICHKCGSAELARYPKLKSMLIEVISELLRERLQPTRSYVESLIDIHRAYINTNHPNFLSATEAMDDIMKTRRKRNQELLKSKLSQQENGQTNGINGTSSISSNIDQDSAKNSDYDDDGIDAESKQTKDKFLNYFFGKDKKGQPVFDASDKKRSIAGDGNIEDFRNLQISDFSLGDIDDLENAEPPLTEREELECELIKRLIVSYFDIIREMIEDQVPKAVMCLLVNYCKDSVQNRLVTKLYKETLFEELLVEDQTLAQDRELCVKSLGVYKKAATLISNIL", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAEAHQAVAFQFTVTPDGIDLRLSHEALKQICLSGLHSWKKKFIRFKNGIITGVFPANPSSWLIVVVGVISSMHAKVDPSLGMIAKISRTLDTTGRMSSQTKNIVSGVLFGTGLWVAVIMTMRYSLKVLLSYHGWMFAEHGKMSRSTKIWMAMVKVLSGRKPMLYSFQTSLPRLPVPAVKDTVSRYLESVRPLMKEEDFQRMTALAQDFAVNLGPKLQWYLKLKSWWATNYVSDWWEEYIYLRGRGPLMVNSNYYAMEMLYITPTHIQAARAGNTIHAILLYRRTLDREELKPIRLLGSTIPLCSAQWERLFNTSRIPGEETDTIQHIKDSRHIVVYHRGRYFKVWLYHDGRLLRPRELEQQMQQILDDPSEPQPGEAKLAALTAADRVPWAKCRQTYFARGKNKQSLDAVEKAAFFVTLDESEQGYREEDPEASIDSYAKSLLHGRCFDRWFDKSITFVVFKNSKIGINAEHSWADAPVVGHLWEYVMATDVFQLGYSEDGHCKGDTNPNIPKPTRLQWDIPGECQEVIDASLSSASLLANDVDLHSFPFDSFGKGLIKKCRTSPDAFIQLALQLAHYKDMGKFCLTYEASMTRLFREGRTETVRSCTMESCNFVQAMMDPKSTAEQRLKLFKIACEKHQHLYRLAMTGAGIDRHLFCLYVVSKYLAVDSPFLKEVLSEPWRLSTSQTPQQQVELFDFEKNPDYVSCGGGFGPVADDGYGVSYIIVGENFIHFHISSKFSSPETDSHRFGKHLRQAMMDIITLFGLTINSKK", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MMRGLVSGAKMLSSTPSSMATSIATGRRSYSLIPMVIEHSSRGERAYDIFSRLLKERIICINGPINDDTSHVVVAQLLYLESENPSKPIHMYLNSPGGHVTAGLAIYDTMQYIRSPISTICLGQAASMASLLLAAGAKGQRRSLPNATVMIHQPSGGYSGQAKDITIHTKQIVRVWDALNELYVKHTGQPLDVVANNMDRDHFMTPEEAKAFGIIDEVIDERPLELVKDAVGNESKDKSSS", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MATASRFLLRKLPRFLKLSPTLLRSNGVRVSSNLIQDSIEPLDSFWRIGSRIRHDSLTTRSFSSQGPASVDYSSVLQEEEFHKLANFTINHLLEKIEDYGDNVQIDGFDIDYGNEVLTLKLGSLGTYVLNKQTPNRQIWMSSPVSGPSRFDWDRDANAWIYRRTEAKLHKLLEEELENLCGEPIQLS", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGNVLAASSPPAGPPPPPAPALVGLPPPPPSPPGFTLPPLGGSLGAGTSTSRSSERTPGAATASASGAAEDGACGCLPNPGTFEECHRKCKELFPIQMEGVKLTVNKGLSNHFQVNHTVALSTIGESNYHFGVTYVGTKQLSPTEAFPVLVGDMDNSGSLNAQVIHQLGPGLRSKMAIQTQQSKFVNWQVDGEYRGSDFTAAVTLGNPDVLVGSGILVAHYLQSITPCLALGGELVYHRRPGEEGTVMSLAGKYTLNNWLATVTLGQAGMHATYYHKASDQLQVGVEFEASTRMQDTSVSFGYQLDLPKANLLFKGSVDSNWIVGATLEKKLPPLPLTLALGAFLNHRKNKFQCGFGLTIG", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFAKVAFKNFTPLKSIAPRSFSTTSSRAFKVAVLGAGGGIGQPLSMLLKLNDKVSELALFDIRGAPGVAADIGHINTTSNVVGYAPDDKGLEKALNGADVVIIPAGVPRKPGMTRDDLFATNASIVRDLAFAAGETCPEAKYLVVTNPVNSTVPIFKKALERVGVHQPKHLFGVTTLDSVRASRFTSQVTNGKAELLHIPVVGGHSGATIVPLLSQGGVELTGEKRDALIHRIQFGGDEVVKAKAGAGSATLSMAYAGARMASSVLRALAGESGVEECTFVESPLYKDQGIDFFASRVTLGKDGVDTIHPVGKINDYEESLLKVALGELKKSITKGEQFVA", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVEAERAENSWIASETSPSSSPVVIESSDFSITDGMRDVSGFGIASRPQLDKGLSENNIGFTERVFSAAGAAVLSAVTLNPLDVVKTRLQAQAAGMSYSHPLSNSIGRMAFFGPNMMFADLRCSPSCARAGVEGTVSICPPDCFQYKGTFDVFTKIIRQEGLGRLWRGTNAGLALAVPMVGIYLPFYDMFRNRLEELSREKAPAMTFCVPTVAGSLARSLACTVCYPIDLARTRMQAFKEAKAGVKPPGVFKTLVGVFSEVRTANNLESSLHNYRGLWRGLGAQLARDVPFSAICWSTLEPIKKRLLGVAGNDTNLVGVFGATFSAGFIAGSIAAAATCPLDVARTRRQIEKDPGRALMMTTRQTLIEVWRDGGMRGLFMGMGPRVARAGPSVGIVVSFYEVVKYVLHRHASS", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLKRLANRQNGFASFSCSSVGLRYGRTNPSTKRSFSLFQSVADTFLTVHEASHIPWIVLVPLTTMTLRTLVTLPFSIWQRRRILKQQELRKLVQPITPIIKLRLAAVTNKKSRNAARISSNGSFMPLQLQNAGVLTPEQITLLAVKETRKRQKKLFKKYNVPLWKNALLPMVQIPLWVTVSMGIRTLTETQLIESFYPSWFSALGFSSFDLSSPLVAMPLLAPILVGTLAVLNVELNGRLMFSSSLSSQGIKTISRNSTRVQEAMTSILNVSRLGCVVMLAMSSQAPFLLSLYWISSQLFSLVQNIILNWIYPYQR", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSAILFPGQGVDWKTWMQPYLENNIVQNTLKEAENVTEIEIRKYIVEAEAKSNLRQPITTIAQPAILACSIALLRAFPPFTKKFRFYVGHSLGEYSAFVASQTLSFSSALKLVQARAKAMSYASALCQNPTSMLAITLTSRFPTDNFLNTVYSAVQKYRLIDIANVNSDRQIVLSGDKKELESITSTLSELVRSLGKLRSNWLDVSGAFHSRYMLPARDSLKNALGETEFNISPELCYTDSGKRFLPIISNVTAELYPADEEDIRRQLLLQCFRPVLFKNCLKTVKSKYGANLFYAYGPGTTMQSIAKQNGISTKSRP", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSFLGFGGGQPQLSSQQKIQAAEAELDLVTDMFNKLVNNCYKKCINTSYSEGELNKNESSCLDRCVAKYFETNVQVGENMQKMGQSFNAAGKF", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLTTLRSRCSSLLLNQSWKLAPNRIFASSPSFSSSAGISNVSEILTLPEVEKILADVKADNVTVIPTHNHCFWADFTVIATGRSDWHLRNIAQALVYRAKQKQKGAKHVMLPSVQGYNSKWIVIDYGKFVVHALDEKARGYFNLESLWSAESSGTDTSDQDLQNVFVKVRPKNNSKRKPAKVSS", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLITQIIMKQIRDYPIVSTISIAVSTVLASEVIWKLVQCSRSKREKASRVHEVIIFNELGEICAAVHMRNSSMGSQKPQVSPCCNTHCSLRNVAKIVEQIDRAVYSIDLAIYTFTSLFLADSIKRALQRGVIIRIISDGEMVYSKGSQISMLAQLGVPVRVPITTNLMHNKFCIIDGFERVEEIRLLRKLKFMRPCYSIVISGSVNWTALGLGGNWENCIITADDKLTATFQAEFQRMWRAFAKTEGSQIQLK", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASKLKTFINLRDYPITLFNQIRSLSSRILTPINQSHYRKRILLANLLQRYGFPPSSLQHFLSRNNHLLNSDLVETEISLGILLSLKIPQKSLVSLISDCPNVLRSEFLRKWRVPLSNCGKHGVVSSSAIKSVLEHSSRIGIGPDKFNECVRVLKSLGFCDSTVSRILSSFPGVLLVNEIEIRRKIEFLVGIGIARDNIERFFHVFPEVLGIGTETRLKPLLDEFMKMGFSKDDVKKEIAREPRVLGLELGELPRCLELINTLKCREVIRVSIISEGAFRAGFEVKLRVDCLCKYGLIRRDAFKVVWKEPRVILYEIEDIEKKIEFLTNRMGFHINCLADVPEYLGVNLQKQIVPRYNVIDYLKLKGGLGCDIGLKGLIKPSMKRFYNLYVMPYPECERIFGKRKENVRVNKRHPAGLWKLMKPPSNLTTKEDVQNMKSFIESLA", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEESSVTIGTIDVSYLPNSSEYSLGRCKHTNEDWVDCGFKPTFFRSATLKWKESLMSRKRPFVGRCCYSCTPQSWERFFNPSIPSLGLRNVIYINETHTRHRGWLARRLSYILFVQERDVHKGMFATSITDNVLNSSRVQEAIAEVAAELNPDGSAQQQSKAIQKVKRKARKILQEMVATVSPGMIRLTGWVLLKLFNSFFWNIQIHKGQLEMVKAATETNLPLLFLPVHRSHIDYLLLTFILFCHNIKAPYIASGNNLNIPIFSTLIHKLGGFFIRRRLDETPDGRKDILYRALLHGHIVELLRQQQFLEIFLEGTRSRSGKTSCARAGLLSVVVDTLSSNTIPDILVIPVGISYDRIIEGHYNGEQLGKPKKNESLWSVARGVIRMLRKNYGYVRVDFAQPFSLKEYLEGQSQKPVSAPLSLEQALLPAILPSRPDAAAAEHEDMSSNESRNAADEAFRRRLIANLAEHILFTASKSCAIMSTHIVACLLLYRHRQGIHLSTLVEDFFVMKEEVLARDFDLGFSGNSEDVVMHAIQLLGNCVTITHTSRKDEFFITPSTTVPSVFELNFYSNGVLHVFIMEAIIACSIYAVQNKRGSGGSAGGLGNLISQEQLVRKAASLCYLLSNEGTISLPCQTFYQVCQETVGKFIQYGILTVAEQDDQEDVSPGLAEQQWNKKLPEPLNWRSDEEDEDSDFGEEQRDCYLKVSQAKEHQQFITFLQRLLGPLLEAYSSAAIFVHTFRGPVPESEYLQKLHRYLLTRTERNVAVYAESATYCLVKNAVKMFKDIGVFKETKQKRASVLELSTTFLPQGSRQKLLEYILSFVVL", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEHWGQPIPGAGQPWRQPLPTSGRWWLGAASWWWLGAASWWWLGAAPWWWLGTASWWWLGSRRWHPQSVEQAE", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MMMQKLRRSGEFIRFGCKSLISSRPNKDSVSRSVSGFVNHYKSKGKLFELSDGNYKTELHHPCISRNVGMLLQQYKCFGSSAASLIQRNPLFSSLDSKDVSYFKEILGEKNVVEDKERLETANTDWMHKYKGSSKLMLLPKNTQEVSQILEYCDSRRLAVVPQGGNTGLVGGSVPVFDEVIVNVGLMNKILSFDEVSGVLVCEAGCILENLATFLDTKGFIMPLDLGAKGSCHIGGNVSTNAGGLRLIRYGSLHGTVLGLEAVTANGNVLDMLGTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTQPKLSSVNLAFIACKDYLSCQKLLVEAKRNLGEILSAFEFLDNNSMDLVLNHLDGVRNPVSSSENFYILIETTGSDETNDREKLEAFLLKSLEKGLVSDGVIAQDINQASSFWRIREGITEALQKAGAVYKYDLSLPVEEIYNIVNDLRGRLGDLANVMGYGHLGDGNLHLNISAAEYNDKLLGLIEPYVYEWTSKHRGSISAEHGLGVMKANEIFYSKSPETVALMASIKKLLDPKGILNPYKVLPHSLFSN", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVRGRISRLSVRDVRFPTSLGGHGADAMHTDPDYSAAYVVIETDAEDGIKGCGITFTLGKGTEVVVCAVNALAHHVLNKDLKDIVGDFRGFYRQLTSDGQLRWIGPEKGVVHLATAAVLNAVWDLWAKQEGKPVWKLLVDMDPRMLVSCIDFRYITDVLTEEDALEILQKGQIGKKEREKQMLAQGYPAYTTSCAWLGYSDDTLKQLCAQALKDGWTRFKVKVGADLQDDMRRCQIIRDMIGPEKTLMMDANQRWDVPEAVEWMSKLAKFKPLWIEEPTSPDDILGHATISKALVPLGIGIATGEQCHNRVIFKQLLQAKALQFLQIDSCRLGSVNENLSVLLMAKKFEIPVCPHAGGVGLCELVQHLIIFDYISVSASLENRVCEYVDHLHEHFKYPVMIQRASYMPPKDPGYSTEMKEESVKKHQYPDGEVWKKLLPAQEN", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MALDFLAGCAGGVAGVIVGHPFDIVKVRLQVQSTEKPQYRGTLHCFQSIIKQESVLGLYKGLGSPLMGLTFINALVFGVQGNTLRALGQDSPLNQFLAGAAAGAIQCVICCPMELAKTRLQLQAVGPARTYKGSLDCLVQIYRHEGLRGINRGMVSTLLRETPSFGVYFLTYDVMTRAMGCEPGDRLLVPKLLLAGGTSGITSWLSTYPMDVVKSRLQADGLQGTPRYRGIVDCMRQSYQAEGWQVFTRGLASTLLRAFPVNAATFATVTVVLTYTRGEEAQVDSEAALGTSPTPAGSALAQPSSL", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASQVNALLLPVIESTPLHQITKVALTTTLTSKQSDYKFKEIAVPLTKSLQLYEKAQRRQDLRASLKALESIIYQTHFQWNNPLPRHAHLFQKHYHFLLTHWPFENHRDLVDSIAVNNGKLNSTSSRSVWLKADWITLFNVKNPWVQTPPSLMRLSGTDLDTFTPERIFLINSLGNHYKFLIANSHLSYNHKKYPSPGVQIPVRNALGEVSPAKQIAQLFARQLSHIYKSLFIENPPLSPENELALTAVFYDETVERRLRRLYMRACARAYTTTNADSTTEPLMFHCTRWEVD", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLRILLSAQTSPARLSGLLLIPPVQPCCLGPSKSGDRPFGGGPVQGLQRLLEQARSPGELLRWLSQNPTKVRAHHYPVALRRLGQLLVSQPRPSPVEQATLQDLSQLIIRNCPSFDVHTIHVCLHLAVLLGFPSDGPLLCALEQERRSRLPPKPPSPHRPAIYGGQRLEVALSCPRFLQYPRQHLIRSLAEARPEELTPHVMVLLAQHLARHRLREPQLLEAIAHFLVVQEAQLNSKVVQKLVLPFGRLNYMPLEQQFMPCLERILAREAGVAPLATVNILMSLCQLQCLPFRALQFVFSPSFINHINGTPPSLIVRRYLSLLDTAVELELPGYQGPRLPQRQRVPIFPQPLITDRARCKYSHKDMVAEGLRQLLGEENYRQNLTVPPGYCTDFLLCVSSSGAVLPMRTQDPFLPYPPRSCQQDQANFNSTTQDPAQRVVLMLRERWHFCRDGRVLLGSRALRERHLGLMGYQLLPLPFEELESQRGLPQLKSYLRQKLQALGFRWGPEGG", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAVSMSVVLRQTLWRRRAVAVAALSVSRVPTRSLRTSTWRLAQDQTQDTQLITVDEKLDITTLTGVPEEHIKTRKVRIFVPARNNMQSGVNNTKKWKMEFDTRERWENPLMGWASTADPLSNMVLTFSTKEDAVSFAEKNGWSYDIEERKVPKPKSKSYGANFSWNKRTRVSTK", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAAARARVTHLLRHLQSTACQCPTHSHTYSQAPGPSGKTADYAFEMAVSNIRYGAGVTKEVGMDLQNMGAKNVCLMTDKNLSQLPPVQIVMDSLSKNGISFQVYDDVRVEPTDGSFMDAIEFAKKGAFDAYVAVGGGSTMDTCKAANLYASSPHSEFLDYVNAPIGKGKPVTVPLKPLIAVPTTSGTGSETTGVAIFDYEHLKVKTGIASRAIKPTLGLVDPLHTLHMPCQVVANSGFDVLCHALESYTAIPYSMRSPCPSNPIQRPAYQGSNPISDIWAVHALQIVAKYLKRAVRNPDDLEARSKMHLASAFAGIGFGNAGVHLCHGMSYPISGLVKTYKAKEYNVDHPLVPHGLSVVLTSPAVFTFTAQMFPERHLETAGILGANIRTARIQDAGLVLADALRKFLFDLNVDDGLAALGYSKDDIPSLVKGTLPQERVTKLAPRAQSEEDLSALFEASMKLY", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLLSAVSFAKSKSKTILVKLVSQAGTGFSFNHKRSRLREKLSLLHYDPIVNKKVLFVEQKKIRSL", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MALALRRLSSSVKKPISLLSSNGGSLRFMSSLSTAAMAESEKSRSSWIKQLNASLDEIDPEVADIIELEKARQWKGFELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFQLDPSKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDENTGYIDYDQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCNKQKAVMLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGLKEINKQGKEVMYDYEDRINQAVFPGLQGGPHNHTITGLAVALKQARTPEYKAYQDQVLRNCSKFAETLLAKGYDLVSGGTDNHLVLVNLKNKGIDGSRVEKVLELVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFIEEDFAKVAEYFDLAVKIALKIKAESQGTKLKDFVATMQSNEKLQSEMSKLREMVEEYAKQFPTIGFEKETMRYKE", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRNPFLTFRAPTRKTGDYLVSKFVKKDNFSSLRLARAYTFSTRSTAVSQFSLLSLSQRSFQSLKINKGIPEKHKIPLISSKQFSVTSKRSQNGSSGSNSDANGRKNGQKNDDSKKKGLNGNDPKKVFEIALNGNTILGGILVAYILYNVLSPNANMQEITWQDFRQQFLDKGLVERLVVVNRNMVRVILRGGVASGSGQYYFSIGSIDSFDRKLEDAQRQLGIPPSEFVPVAYHDEVSVLATLLSFAPTLLIIGSVIYLSRRASGAAGGGQGGIFGIGKSRAKMFNHETDIKIKFADVAGVDEAKEEIMEFVKFLKNPKFYERLGAKIPRGAILSGPPGTGKTLLAKATAGEANVPFLSVSGSEFLEMFVGVGPSRVRDLFATARKNAPCIIFIDEIDAIGKARGRGGQFGSNDERESTLNQLLVEMDGFTSSEHIVVFAGTNRPDVLDPALLRPGRFDRQITIDRPDIGGREQIFKVHLKHIKAADNIDLIAKRLAVLTSGFTGADIMNVCNEGALIAARSNSNEVQMVHFEQAIERVTAGLEKKSRVLSPEEKNTVAHHEAGHAVAGWFMEYVDPLLKVSIIPRAQALGYASYLPKDQYLMSRGQILDQMGMALAGRVSEEIFFGPEKITSGASDDFQKVTRMAQAYVTQYGMSPTVGTIAYPIDTRETVQKPFSEATAQMIDEEIRKLVKHAYERTKKLLLEHKQGLENIAQRLLQKEVITYNEVETILGPRPYAYKHLNISELMRQSEYKNDHDPRNPPIPPSPQQPSA", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MIIRKQIFPFLSQNRPWTIINRIQSERYSASYEPSPELLKQSSRRLEQAGYSVKNAETITNLMRTITGEALTELEKNIGFKAKQESVSFQQKRTFLQIRKYLETIEENEFDKVRKSSDKLINEIEKTKSSLREDVKTALSEVRLNLNLEKGRMKDAATSRNTNIHENETKILNEVDILHKEINDMKIQTNQWFTGFVGVVSSVVLIILFYF", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNYRQLFLLQNVNLESNYLLKRVCLSLKLSPCKLTRKFHHACPSSSKVLKYFRITGCLINFGKQPANDHHLWGHCGARLKHTQSDLIDRFKNANLKSVNEENLKKFFSELENHGNIRQWLKDKFEKDKRAAVCPTTTKSEEEKLENDFEYSFDLEDFSSENFRQYDRGDLLVFYRGSEGIELAACTGTNVWNYSNVLTSINENGTIKEFRTSRVLLRCPNVFKKLKEVQQPLSDVSVPSDVLPFTIKLLKKISQRAQDLSRSHRNEFLRILTEFNIPNKLDNSASFSDLLKFVYKTSKPSPYAKISLLHFLLTESKHFLISDHIFSEIQKVYFLPSSQNDSFDDVVACLRQKSTPYLSFIKKARHLIQVSRDKYKLPISTEEIKPVVYSQVTWTEFEKKLLRYLVQEMIAKSIQSLPNTHLCQVYKEVGLQTHERGLTSDQFAKFLTDIGVWASWQPPRLFQQEYSIAGLGTNPQLDAVYERECNHFKKFVKNELKDSLESQRVDLRHLKAFAFDSSSTKEIDDAISVEELGMSNSWLHIHVANPTSTVDIRSPLGTFAERNFQTIYHPNKIVYMLPLNITQKYWSLDSSSTAQRALTFSAKISKNGDILDYKVRPSFISSVIKYTPQQLDKALHSNRSIAKDIVSGPVDEETKGVSNDHMKDILRIYELSKQACFSRLQKFAFVIAQPTPTVELLPNNVPYNLGDLNHPVYWSSFPTISLNVSEGYSLAESVISECMILAGRVSSLFFQEHKLPGIFRGQPYPIMDGVRRKAFETLLSNRSSWGLVETKYSLSVMPLFESSHLASTPVSHFSLGLKDGYIQSTSPLRRFTDFFTHHQIQSVLLKTPKNTIPDGILRGKLNLYNQKEKSIKTIGRYINRFWALKYIERLPKVQKNIYHGYLMVSELSTPQVMLEELGVKAHIDILPDEAFRLANTRQAFTIKDVFPESNILLVALAT", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTNYPFFRQGTIFVDNSAIQRNSENKNSLSIENIFGRFPKEFFQFFSINVSKSTTKKSSVVIKPSTITAPWLENEYLDSNTSLLSVHSIQPSFIGMSDFAIGLDPSLKRILPEIRFRLDFQHLKSIAKGATSTIKVVTHRDKITDAKIYYAAKVYRKTKTSHKKRLNTMVYFLREWSIQPKLDHPNILKVICPCVTLTSVFNKSAGFCLVQEYCPQGDLFKQIEEKVLTLEDKCCYLKQILQAVAYLQSQRIAHRDLKPENILIGRDGLLKLTDFGTSEIVGNPGDNESIRFVSGAVGSLAYLAPEAFHENEYCGLLADRWSCGILLKVLFTGYFPFKTSVKTDLYYSKYMSILTDTCGISSTDESSFQTEVIKQIPTLQPLRYIPEGAKKIILSLLNPDSQNRPSLDSILGTAWVRKLDCCSNFSTDHENKSLQEVDFDASKPITRKSLIPRIHNHQTLV", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MYYFSRVAARTFCCCIFFCLATAYSRPDRNPRKIEKKDKKFFGASKNTNPANAMGNLFKAPTIEYVVEEVTRTHQPEQYDIPTDMSPLMTIAASESADKFTDKFFVDQSSIMKEKTSSKGNARTLL", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVVINSLRRLARTTQVHLHSKYATCMSGNSTSRRIFTTEAAPEKKNTVGSKGHDMLAPFTAGWQSADLDPLVIAKSEGSYVYDDTGKKYLDSLAGLWCTALGGNEPRLVSAAVEQLNTLPFYHSFWNRTTKPSLDLAKVLLEMFTANKMAKAFFTSGGSDANDTQVKLVWYYNNALGRPEKKKFIARKKSYHGSTLISASLSGLPPLHQNFDLPAPFVLHTDCPHYWRFHLPGETEEEFSTRLAKNLEDLIIKEGPETIGAFIAEPVMGAGGVIPPPATYFEKVQAVVKKYDILFIADEVICAFGRLGTMFGCDKYNIKPDLVTLAKALSSAYMPIGAILMSQEVADVINSHSSKLGVFSHGFTYSGHPVSCAVAIEALKIYKERNIPEYVAKVAPRFQDGVKAFASGSPIIGETRGTGLILGTEFVDNKSPNEPFPPEWGVGAFFGAECQKHGMLVRVAGDGILMSPPLIISPEEIDELISIYGKALKATEEKVKELKAQHKK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSTKFLKPLCRIRAFHTSIARSFTIPFLPKIPQKPGGVSGTANDSSYMPPESRAQGSYHWIVERGLSLAVLPLIAVPLVTTGPISTFTDTFLSLVLLGHCHIGFQSCIIDYISERVYGKVHHYAMYLLSLGSFLSFVGIYKLESQEAGLIASLKSLWDNKPVEKKRQ", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDDISGRQTLPRINRLLEHVGNPQDSLSILHIAGTNGKETVSKFLTSILQHPGQQRQRVLIGRYTTSSLLNAKEEDISINNEAISLIEYSRIEKELIEADSSLKLQCNNLELLTSVALVYFAKKNCQWCIIETGLAGKQDPGSIIAGQSRVCCAITNVGISDEAFLCKFLSQITESSTNKAIFLLDGSNDEFVRNTITKRCHDVGCPLEITDPSLRDYNVHTDTWGTLEVRLPYSEEEYQIFNLRVAIAVLDFLSKEKKVCISKDQLSQGLISVDWPRSLHRLDYCYESTSGKKIALLLDNANNAKAARNLACHLRTTYGDTPLTFVIAITTGKKVSPLLDPLIRPQDYVIVTRFGSVVGMPWIQSLEPVNLLAFIKNRYTRNVNMQPDLQSVWTFLETSGLKTIVPVIVCGSLYICKELLRLHNCHLPV", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEGGFGSDFGGSGSGKLDPGLIMEQVKVQIAVANAQELLQRMTDKCFRKCIGKPGGSLDNSEQKCIAMCMDRYMDAWNTVSRAYNSRLQRERANM", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAIVAALRGSSGRFRPQTRVLTRGTRGAAGAASAAGGQQNFDLLVIGGGSGGLACAKEAAQLGRKVAVADYVEPSPRGTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAQHYGWEVAQPVQHNWKAMAEAVQNHVKSLNWGHRVQLQDRKVKYFNIKASFVNEHTVHGVDKAGKVTQLSAKHIVIATGGRPKYPTQVKGALEHGITSDDIFWLKESPGKTLVVGASYVALECAGFLTGIGLDTTVMMRSVPLRGFDQQMASLVTEHMESHGTRFLKGCVPSLIRKLPTNQLQVTWEDLASGKEDVGTFDTVLWAIGRVPETRNLNLEKAGVNTNPKNQKIIVDAQEATSVPHIYAIGDVAEGRPELTPTAIKAGKLLAQRLFGKSSTLMNYSNVPTTVFTPLEYGCVGLSEEEAVALHGQEHIEVYHAYYKPLEFTVADRDASQCYIKMVCMREPPQLVLGLHFLGPNAGEVTQGFALGIQCGASYAQVMQTVGIHPTCSEEVVKLHISKRSGLDPTVTGCUG", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSRYLARYMVSRYFSSASSRPLHVCIVGSGPAGFYTADKVLKAHEGAHVDIIDRLPTPFGLVRSGVAPDHPETKIAINQFSRVAQHERCSFIGNVKLGSDLSLSELRDLYHVVVLAYGAESDKDLGIPGESLSGIYSAREFVWWYNGHPDYSSLKPDLKTSDSAVILGQGNVALDVARILLRPTTELASTDIATHALSALKESSIRKVYLIGRRGPVQAALTAKELREVLGIKNLHIRIKQTDLSVTPADEEEMKTSRARKRIYELLSKAAAAAKTSEADPDQRELHFVFFRQPDQFLESDERKGHVSGVNLQKTILESVGTGKQIAVGTGEFEDLNCSMVLKAIGYKSVPVNGLPFDHKKGVVPNVKGRVVSHTSGDISQTEPGLYVCGWLKRGPVGIIATNLYCAEETVGSISEDIEEGVWKSSKAGSKGLMQLLEKRKVKKVEFSGWEKIDAKEKQMGIERNKPREKLVTWEDLLAAAAN", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASWAEPSEPAALRLPGAPLLEGFEVLDGVDDAEEEDDLSGLPPLEDMGQPTVEEAEQPGALAREFLAATEPEPAPAPAPEEWLDILGNGLLRMKTLVPGPKGSSRPLKGQVVTVHLQMSLENGTRVQEEPELAFTLGDCDVIQALDLSVPLMDVGETAMVTADSKYCYGPQGRSPYIPPHAALCLEVTLKTAEDGPDLEMLSGQERVALANRKRECGNAHYQRADFVLAANSYDLAIKAITSNTKVDMTCEEEEELLQLKVKCLNNLAASQLKLDHYRAALRSCSQVLEHQPDNIKALFRKGKVLAQQGEYSEAIPILRAALKLEPSNKTIHAELSKLVKKRAAQRSTETALYRKMLGNPSRLPAKCPGKGAWSIPWKWLFGATAVALGGVALSVVIAARN", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVKLSKEAKQRLQQLFKGSQFAIRWGFIPLVIYLGFKRGADPGMPEPTVLSLLWG", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGASARLLRAAIMGAPGSGKGTVSSRITKHFELKHLSSGDLLRDNMLRGTEIGVLAKTFIDQGKLIPDDVMTRLVLHELKNLTQYNWLLDGFPRTLPQAEALDRAYQIDTVINLNVPFEVIKQRLTARWIHPGSGRVYNIEFNPPKTMGIDDLTGEPLVQREDDRPETVVKRLKAYEAQTEPVLEYYRKKGVLETFSGTETNKIWPHVYAFLQTKLPQRSQETSVTP", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MECLRSLPCLLPRAMRLPRRTLCALALDVTSVGPPVAACGRRANLIGRSRAAQLCGPDRLRVAGEVHRFRTSDVSQATLASVAPVFTVTKFDKQGNVTSFERKKTELYQELGLQARDLRFQHVMSITVRNNRIIMRMEYLKAVITPECLLILDYRNLNLEQWLFRELPSQLSGEGQLVTYPLPFEFRAIEALLQYWINTLQGKLSILQPLILETLDALVDPKHSSVDRSKLHILLQNGKSLSELETDIKIFKESILEILDEEELLEELCVSKWSDPQVFEKSSAGIDHAEEMELLLENYYRLADDLSNAARELRVLIDDSQSIIFINLDSHRNVMMRLNLQLTMGTFSLSLFGLMGVAFGMNLESSLEEDHRIFWLITGIMFMGSGLIWRRLLSFLGRQLEAPLPPMMASLPKKTLLADRSMELKNSLRLDGLGSGRSILTNR", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MARSRGSSRPISRSRPTQTRSASTMAAPVHPQQQQQPNAYSHPPAAGAQTRQPGMFAQMASTAAGVAVGSTIGHTLGAGITGMFSGSGSDSAPVEQQQQNMANTSGQTQTDQQLGRTCEIDARNFTRCLDENNGNFQICDYYLQQLKACQEAARQY", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVRYSLRKAHEYARDGENQEVLGEISQAIMYYGLAKSQFEKICQNTAQPLIKRCANNQIEELMVRIRELRESLPNKQTPISMSMSTRLSPMYTSSLTPRFTSNSMVLPGDHNTTVRLKPSFREALMEDSEDDLYQMYSKFEMQVKKLSTNYGLAVAFSIVPMDDDQPSPSIFSSDESFLIVEGDDFSLGNSVEEDANATSKEDPAYQNTNEQIQPLSNFDISQQEYLNNTRIPYENEDLHLQHITQGTTDDNNVSKFLIPSYNDAKELSEEEMGRSHKREESFKRAFGHASSSESSIGEITDSREDIQSNRLVNGSWENNDFTKEINNNFPDRSETPTLQTIEAPTKLMKYTRRKSLFRFPFFRSISGKKKEEPMESGTDSLESSTAQITVDSQLKIKQLETQIATLQKQLEQFQTSTLDQDLH", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRDRLKDPVKIFEINKGKRPVHIAAPMVRYSKLPFRQLVRDYNTDIVYTPMILAKEFLHPKGRYFDFSTNDADASLILQFGVDDPVILEKAAQLVGPYVDGIGINCGCPQTWAIQEGIGSALLDEPEKVHKLVRAVKSTLGESFCTEVKIRIAKDLNKTRHLMQVIEKSGADIITVHGRTRQDRSSFPVNLDAIREVRPCVQIPVVANGDVKSLRKGLEIAKYTETQGIMSARGLLENPALFAGYEETPWGCVERFLWYSTSYSLNFHLFYHHLTTMMGQMTTKRERMTIPKDSFASVMDWLDEHFVVRRPDEPMFGESVLPCRRY", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MYSVLKQSIRPRLLATHNQFRTMITAQAVLYTQHGEPKDVLFTQSFEIDDDNLAPNEVIVKTLGSPVNPSDINQIQGVYPSKPAKTTGFGTTEPAAPCGNEGLFEVIKVGSNVSSLEAGDWVIPSHVNFGTWRTHALGNDDDFIKLPNPAQSKANGKPNGLTINQGATISVNPLTAYLMLTHYVKLTPGKDWFIQNGGTSAVGKYASQIGKLLNFNSISVIRDRPNLDEVVASLKELGATQVITEDQNNSREFGPTIKEWIKQSGGEAKLALNCVGGKSSTGIARKLNNNGLMLTYGGMSFQPVTIPTSLYIFKNFTSAGFWVTELLKNNKELKTSTLNQIIAWYEEGKLTDAKSIETLYDGTKPLHELYQDGVANSKDGKQLITY", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPKFNANGLLRSFAAEMKKPWTAESLWYETVAKHPPTFQYARRIVPLYDPNKVKSRGKRLRKSMYQPQEIQWPEDKLRKRFYRDHPWELARPQIIAENDGNDQQYCDWSHMDQPRKALSGESVVQRTLWLIENSNMPVENAYDQARKEFYHLRAEQEIQQRVAHDQAQALGAVFTKSDLELGYEMDQNALNSWFDNASQYAEANRTKFTDPSVDISKTTQ", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRNCVSPLLFAWTKHLRLREFKIPFPNRLVVRSLNQLSVHHEKVTGCRPRQASSDELHKYSKSASNEAFLSSFAENQLFHKCLPSSAGAVLSENDLMYIIRKVSEVYADNKTEKVTVPFDKHKNPFLIYVKKRFAECFDKNPDLCLIVYSKLEVETLAKITPIWINVAKKNKKEDFLVELCLRFLERFRSCNLTEQAILYQNFRENWWSNIKLPQNLKSLYVELCLVYHFHNSHLGMDSSTVSNLKRFCFSESLGHIFAPLRFQNQQLPLQHVYAFLFSIAYRDNQVDTAHFLYKQWSRAGMGPLPKDAFIKFVQLLSKNRNWVLMRDIVQLEEYNSYLLDHRIVSAFLKPLSEKGNYKDILSLISVWQHSVWRPSLAYLQVVYSFSMRALLVNRQYSSAFAFFWKIDPYIRNERLVSQMLQAASQLHYHDLILYVINTYYSGNIMKNLGNKDLICITQSSPPCNISGIKPGSLKLSPTTNTVLAKSICYWLNDAMALLFLLENQLNCKHSLFQRKSLIILLNGILNCPHSSYDLQFRAVSLLTGRIRLNVDFEVSVLASQLVFFVKHRDFKRTLITMKAISKLQKNFDVRIWNYWLVALIQQKLYSRAVKVYSKLICSSAVRNDTTRSLIRLIPKSYFKSYPLLKESETEKKTNSAL", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLSRLSAVWRPSRVALRIQRVDFTTCGNRLNRSTQPNEPPLVSGIAARSRTAKAEPVEKRGRAAIKIDSPPPPLEPPRISEEHMTRRRKVGGGKTKAAAVTKSKQRRSGRTVGASAFNTARRANGIEGSAPGKGGASDVAIDDDDDEKLVDEESKQLMQLLKEEALRREEEKKKRLRAKAAAEPTEVTDDKEYLSKLGVAERTQPVGTEAKISAQGKAEGASEGQTHFSDADADSQLPILTSLSPEQQRALRLALKGRNLFITGGAGSGKSLLIREIVYQLRHNKRRCVYVTATTGVAALNVRGSTVNSFAGVKFGDGDARQLLKWVRRSRRAAGRWRYCQTLIIDEISMMDPLLLDKLDVIARAIRRRNEPFGGIQVILCGDFLQLPPIPPRNKPQQKTEENAEAQEGGDPTDGTPAPSKLQYCFETSTWTSLNLITVILHKKFRQHDDLAFQQVLDELRVGSLSPESYELLLSRTVASKSSAKSRKKKDEDAGNDGVLPLTDAETTPAAAEKDRHVRLCATNKEVEMRNAKYFAALEPKGLPIYPSPNDGSSQQTGSSNGANSVTEEDTMRPLQVYRAYDAYSTHETEPETTEETTTGTQPSQPWVRFEDSTLPTDLALKVGTRVMVLQNISLRLGLVNGSVGEVVGFLHPLELVELVLRAPRERHFPSARGQELLERAGLPTLQDAFRCVDTALGQSLFYYLRERGIRRPEDASYGCVYGNTHCRDILRLVGLGKTESANAVHPLEMYLGGIAPQHVRLTRLPIVRLDLREGNHTSSDSGAVEDGGFANGSKRLPKHVYAFISPSSHQWYMGDQPVATRTQLPLRQAWAMTVHKAQGLTISHVEVAIHRFFSPGQAYVALSRSTRLDNIRLLDFNNASVHACPRAKEFYTVLEEEELDNEIEDDGTEGDEEALEGDGEYEGEVEE", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MFMARQVLRNGLFLRSLAPIKITARTVASANAGIKRKSRFDKTMIKPLLLVMIFGSILNAVIAEKRNIIDMERKYKLKLDKLKELIRRVHDNNGKVDFDADDELKLVNLRLGIVGKNATGMKEDETDIVVPKEESLEEIWQSIIDEAKKEVIEKTPDAGVKNKEGIVTDLNVLKDLEKSKKEDEKVYLSGDVHMMMNQPGDLNEIAKEHDKIPKFL", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFHLQGPQLLQMLEKSLRKSLPESLKVYGTVFHMNQGNPFNLKALVDKWPDFKTVVIRPQEQEMADDFDHYTNTYQIYSKDLNNCQESLATSDVINWKQHLQIQSSQSSLNEVVQNLAATKFVKVEHTQCILYVMPETARKLLPSLPETKNLPVGYGAPKAINQEMFKLSSMDPTHAALVNKFWHFGGNERSQRFIERCIRAFPTFCLLGPEGTPASWSLMDQTGEIRMGATLPEYRGHGLISHMLAVHTRALDQLGIPVYNHTDKANKIVQKVSHNLHHIAIPHGWNQWNCEPL", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MIKRSLASLVRVSSVMGRRYMIAAAGGERARFCPAVTNKKNHTVNTFQKRFVESSTDGQVVPQEVLNLPLEKYHEEADDYLDHLLDSLEELSEAHPDCIPDVELSHGVMTLEIPAFGTYVINKQPPNKQIWLASPLSGPNRFDLLNGEWVSLRNGTKLTDILTEEVEKAISKSQ", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSASEQGPKIKYGESAPKLDKAQLQFMKLIEEQNLDRVQKLKRIRRNNLLTAGALGVSVLAIYGYSIFSVQQEKFLDDFEEPKKVSS", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGRTVVVLGGGISGLAASYYLSRAPCPPKVVLVEGSERLGGWIRSVRGPDGAIFELGPRGIRPAGALGARTLLLVSELGLDSEVLPVRGDHPAAQNRFLYVGGALHALPSGIRGLLRPSPPFSKPLFWAGLRDLTTPRGKDPDETVHSFAQRRLGPEVASLAMDSLCRGVFAGNSRELSIRSCFPSLFQAEQTHRSILLGLLLGAGRGPQLDSALIRQAQAERWSQWSLRGGLETLPQALHAHLTSRGVSVLQGQPVCGLSLQAEGRWKVSLEDSSLEADHIISAIPASVLSKLLPAEATPLARALSTITAVSVAVVNLQYRGARLPVQGFGHLVPSSEDPVILGIVYDSVAFPEQDGSLPGLRLTVMLGGSWLQTLEARGCVLSQELLQQEAEKAAATQLGLNEPPSHCLVHLHKNSIPQYTLGHWQKLESAAQFLAAQKLPLTLAGASYEGVAVNDCIESGRQAAARVLGTEPNS", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MFGLGRPQPTSAEKIAAVENELKVVAEMHSRMVKICTLKCIDKSYREGDLSKGESVCLDRCAAKFFETHQKISDQLQKETQARGGGGFGM", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAVSAFQLWRAGGLLRRNFLTHSSSWKIPPRVLKSSQPEALLSVTNNALCFAPLQTFTDEDIMMQKAVKKFAQEQIAPLVSTMDENSKMEKSVIQGLFQQGMMGIEVEAKYGGTEASFLCSVLVIEELAKVDASVALLCDIQNTVINKLFRKHGTEEQKATYLPKLVTEKLGSFCLSEAGAGSDSFALKTRADKSGNYYVINGSKMWISNAEHAELFLVFANVDPPSGYRGITCFLVDRDTEGFQIGRRENKMGIRASSTCQLTFENVKVPETSVLGKIGHGYKYAIGSLNEGRIGIAAQMLGLAQGCFDYTIPYIKERMQFGKRIFDFQGLQHQVAHVATQLEAARLLTYNAARLVEAGRPFIKEASMAKYYASEVAGLTTSKCIEWMGGVGYTKDYPVEKFFRDAKIGTIYEGTSNIQLNTIAKHIDAEY", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLRNTIALRSFIRTQSTRPYPVNVEAVYYAPLKLPIKYGDLVADIQLRSYDNENLDFYSDFILRTGYYLGIPLTGPKPLPTRRERWTVIKSPFVHAKSKENFERHTHKRLIRAWDTNPEVLQMLIAYITKHSMAGVGMKCNFFQRSEISLDLGSDANGLEKSLSNIDELYSLRNDDKAQTSAVGQKVLELLDSPDFKKHLEKK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQLHYVFSHLQNSFRARKSLASVPNCNSVLELCAVLYHQGFLSSIQRGDIHGPDALPTITTRQNVATRRLWLGLKYFEGKPVLHYIRAVSKPSRKVNLTPSELLQFAKGRKVSFVNGLEPAEVGIVETKHGIMSIDDAIKNNLGGNVICRVK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MALRLGQLGSGPWWRAVRGDYAQLRAPSPRSASACVCRLPGTAGTQPRRGLGHGSSAGGGSRLGTGLAAALAGMAGLAAAVLGHVQRAEMVPKSSGARSPSPGRLEEDGDELARRCSTFMSSPVTELRELGRRPDDMKTKMELMIMETQAQVCRALAQVDGVADFSVDRWERKEGGGGITCVLQDGRVFEKAGVNISVVHGNLSEEAANQMRSRGKALKKKDGKLPFTAMGISSVIHPKNPYAPTMHFNYRYFEVEEADGKMHWWFGGGCDLTPTYLNREDAVHFHRTLKEACDQHGPDIYPKFKKWCDDYFFIAHRGERRGIGGIFFDDLDSPSKEEAFRFVKTCAEAVVPSYVPIVKKHCDDSYTPQDKLWQQLRRGRYVEFNLVYDRGTKFGLFTPGSRIESILMSLPLTARWEYMHSPPENSKEAEILEVLRHPKDWVH", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGPAPRILELFYDVLSPYSWLGFEVLCRYQHLWNIKLQLRPTLIAGIMKDSGNQPPAMVPRKGQYIFKEIPLLKQFFQVPLNIPKDFFGETVKKGSINAMRFLTTVSMEQPEMLEKVSREIWMRVWSRDEDITEYQSILAAAVKAGMSTAQAQHFLEKISTQQVKNKLIENTDAACKYGAFGLPTTVAHVDGKTYMLFGSDRLELLAYLLGEKWMGPVPPTANARL", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MATSFFRRLARSAPITFPVAFGSQSKSGSGAFRFSTGAIAALSGGFSYYYLTSGNNLVYLDQAKEETGPKTALNPDKWLEFKLQDTARVSHNTQLFRFSFDPSAELGLHVASCLLTRAPLGYNAEGKTKYVIRPYTPISDPEAKGYFDLLIKVYPDGKMSQHFASLKPGDVLEVKGPVEKFKYSPNMKKHIGMIAGGSGITPMLQVIDAIVKNPEDNTQISLLYANVSPDDILLKQKLDVLQANHPNLKIFYTVDNPTKNWKGGVGYISKDMALKGLPLPTDDTLILVCGPPGMMEHISGGKAPDWSQGEVKGILKELGYTEEMVFKF", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAYASRIINHSKKLKDVSTLLRRENAATIRYYSNTNRAPLNREDTFNSRLGYPPLERISICSTSTLPVSIIFSTTRSNLSSAMGRPIFGKEFSCLMQSARGFSSGSDLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVAPGEVLCEVETDKATVEMECMEEGYLAKIVKAEGSKEIQVGEVIAITVEDEEDIGKFKDYTPSSTADAAPTKAEPTPAPPKEEKVKQPSSPPEPKASKPSTPPTGDRVFASPLARKLAEDNNVPLSDIEGTGPEGRIVKADIDEYLASSGKGATAKPSKSTDSKAPALDYVDIPHSQIRKVTASRLAFSKQTIPHYYLTVDTCVDKLMALRSQLNSFKEASGGKRISVNDLVVKAAALALRKVPQCNSSWTDDYIRQFKNVNINVAVQTENGLYVPVVKDADRKGLSTIGEEVRLLAQKAKENSLKPEDYEGGTFTVSNLGGPFGIKQFCAVVNPPQAAILAVGSAEKRVVPGNGPDQFNFASYMPVTLSCDHRVVDGAIGAEWLKAFKGYIENPKSMLL", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRLTPRALCSAAQAAWRENFPLCGRDVARWFPGHMAKGLKKMQSSLKLVDCIIEVHDARIPLSGRNPLFQETLGLKPHLLVLNKMDLADLTEQQKIMQHLEGEGLKNVIFTNCVKDENVKQIIPMVTELIGRSHRYHRKENLEYCIMVIGVPNVGKSSLINSLRRQHLRKGKATRVGGEPGITRAVMSKIQVSERPLMFLLDTPGVLAPRIESVETGLKLALCGTVLDHLVGEETMADYLLYTLNKHQRFGYVQHYGLGSACDNVERVLKSVAVKLGKTQKVKVLTGTGNVNIIQPNYPAAARDFLQTFRRGLLGSVMLDLDVLRGHPPAETLP", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MMLPLQGAQMLQMLEKSLRKSLPASLKVYGTVFHINHGNPFNLKAVVDKWPDFNTVVVCPQEQDMTDDLDHYTNTYQIYSKDPQNCQEFLGSPELINWKQHLQIQSSQPSLNEAIQNLAAIKSFKVKQTQRILYMAAETAKELTPFLLKSKILSPNGGKPKAINQEMFKLSSMDVTHAHLVNKFWHFGGNERSQRFIERCIQTFPTCCLLGPEGTPVCWDLMDQTGEMRMAGTLPEYRLHGLVTYVIYSHAQKLGKLGFPVYSHVDYSNEAMQKMSYTLQHVPIPRSWNQWNCVPL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPGKSTRPLPAPRPCCAPCPFWSPRLAGMQGTGSTRSTGSESWRSWAWTSRPSLLVTGRLHFPQLALRRRLGQLSCMSKPALKLRSWPLTVLYYLLPLGALRPLSRVGWRPVSRVALYKSVPTRLLSRAWGRLNQVELPHWLRRPVYSLYIWTFGVNMKEAAVEDLHHYRNLSEFFRRKLKPQARPVCGLHSVISPSDGKILNFGQVKNCEVEQVKGVTYSLESFLGPRTPSEDLPFPPATPHSSFRSQLVTREGNELYHCVIYLAPGDYHCFHSPTDWTVSHRRHFPGSLMSVNPGMARWIKELFCHNERVVLTGDWKHGFFSLTAVGATNVGSIRIYFDRDLHTNSPRYSKGSYNDFSFVTHANKEGIPMRKGEHLGEFNLGSTIVLIFEAPKDFNFRLQAGQKIRFGEALGSL", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVGRNSAIAAGVCGALFIGYCIYFDRKRRSDPNFKNRLRERRKKQKLAKERAGLSKLPDLKDAEAVQKFFLEEIQLGEELLAQGEYEKGVDHLTNAIAVCGQPQQLLQVLQQTLPPPVFQMLLTKLPTISQRIVSAQSLAEDDVE", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSIMPVKNALAQGRTLLMGRMPAVKFSTRMQLRNRTAVLWNRKFSTRLFVQQRRSSGEIVDRAKAAAANSGRKQVSMKWVVLTSFTIVLGTILLVSRNDSTEEDATEGKKGRRTRKIKIFNNNWLFFCYSTLPLNAMSRLWGQVNSLTLPIWVRPWGYRLYSFLFGVNLDEMEDPDLTHYANLSEFFYRNIKPGTRPVAQGEDVIASPSDGKILQVGIINSETGEIEQVKGMTYSIKEFLGTHSHPLMSKSASSLDLTSDEEKHREFARVNRIQLAGSEDTEQPLLNFKNEGDQSVREFKPSVSKNIHLLSQLSLNYFSNGFSCSEPHDTELFFAVIYLAPGDYHHFHSPVDWVCKVRRHFPGDLFSVAPYFQRNFPNLFVLNERVALLGSWKYGFFSMTPVGATNVGSIKLNFDQEFVTNSKSDKHLEPHTCYQAVYENASKILGGMPLVKGEEMGGFELGSTVVLCFEAPTEFKFDVRVGDKVKMGQKLGIIGKNDLK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSRFRSLLQASVNATKKALTWNVEEWVPPAEKHIFKFHSKEDLKKWHLYSDSEYGGLSSASLEIPDKGDGSDCTGVFSGNLSVDLSEGSKWNISRSGFCGMRSKKFDGFIDLDGYDAIALRIRGDGRCYISTIYTENWVNSPGQSEDNSWQAFVFAPKDSWYTAKIPLARYLPTWRGNVIDVEMEMNPGRVLGMSLSVNAEGGAVGAKSGAGDFRVEIDWIKALRLP", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAAPTAKVSRGWSGLALGVRIAVLRLPGLTQVRWSRYGPEYQDPQIDKEYYRKPLAQLTEEETYERELRKTQVIKAAPATKTSSVFEDPVISKFTNMMMKGGNKILARSLMTQTLEAVKRKQFEKYHAASAEEQATVERNPYTIFHQALKNCEPVIGLVPILKGGHFYQVPVPLAERRRRFLAMKWMITECREKKPRRMLMPEKLSQELLEAFCNRGPVIKRKHDMHKMAEANRALAHYRWW", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVPSNGAKVLRLLSRRCLSSSLIQDLANQKLRGVCIGSYRRLNTSVGNHANVIGDYASKSGHDRKWINFGGFNTNFGSTRSFHGTGSSFMSAKDYYSVLGVSKNAQEGEIKKAYYGLAKKLHPDMNKDDPEAETKFQEVSKAYEILKDKEKRDLYDQVGHEAFEQNASGGFPNDQGFGGGGGGGFNPFDIFGSFNGDIFNMYRQDIGGQDVKVLLDLSFMEAVQGCSKTVTFQTEMACNTCGGQGVPPGTKREKCKACNGSGMTSLRRGMLSIQTTCQKCGGAGQTFSSICKSCRGARVVRGQKSVKVTIDPGVDNSDTLKVARVGGADPEGDQPGDLYVTLKVREDPVFRREGSDIHVDAVLSVTQAILGGTIQVPTLTGDVVVKVRPGTQPGHKVVLRNKGIRARKSTKFGDQYVHFNVSIPANITQRQRELLEEFSKAEQGEYEQRTATGSSQ", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSKLPKKSEENLELPTFPASEESLSRSEKLKYVFVRNPFIPLGCLMTVGTFLASGYYIRRENHLMANKFMRYRVMSQGFTLAALAFSVLFIGPPRREAPSNSSGSINSEIKK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRLTNRRFSTFLGNALPSKKKGFIFMSQLLYLRTFSTHTSYLRSSWQAILNYKYIYQNAEAVQRNCINRNLQAIAETVPKIRSLIDEKESLKNEFFPLLSLKKEITLQIERCSDPNERGKLVNEAKGLKKKTEEYNKIISKVTNDLYQYCLAVPNTTLPTVPVGPEDKAVVVQKIGSPLVKKTGSLKDHLQIANEGINLEDAAQASGHSFCYTTGDIALLEMAITNYAMDFAISKGWCPVIPPTIVRTDIALACGFQPRDEEGQQIYELDSYTSPLVSSPKQCLIGTAEISLAALGFKKTFNNFTERKVVGVSRAYRREAGARGKENRGLYRLHEFTKVELFAWTHPSRSSEMFNEIVNFQKEFVETLKIPARILNMPTAELGSSASQKYDIEAWMPARQSYGEITSASNCLEYQARRLLTRYRNDKDSGFVHTLNGTAAAIPRLIIAILENHQQEDGTVKVPETLVPYIHKEYLFKAK", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLKLFTSSASRVHHLTPVSRVVGSSPVESPLFKALSQITGWNRRSTSLGHRAFFCSEPTNGEAAAEAETKAVESDSEVSDSKSSSAIVPTNPRPEDCLTVLALPVPHRPLFPGFYMPIYVKDPKVLAALQESRRRQAPYAGAFLLKDDPSADSSSSTDAEKNINELKGKELLNRLHEVGTLAQISSIQGDQVILVGHRRLRIKEMVSEEPLTVKVDHLKDNPFDMDDDVVKATSFEVISTLRDVLKTSSLWRDHVQTYTQHIGDFTYPRLADFGAAICGANRHQAQEVLEELDVHKRLRLTLELMKKEMEISKIQETIAKAIEEKISGEQRRYLLNEQLKAIKKELGVETDDKSALSAKFKERIEPNKEKIPAHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTILPWGNYSNENFDVARAQTILDEDHYGLSDVKERILEFIAVGRLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVTIDLSKVLFVCTANVIDMIPNPLLDRMEVISIAGYITDEKVHIARDYLEKTARGDCGVKPEQVEVSDAALLSLIENYCREAGVRNLQKQIEKIYRKIALKLVREGAVPEEPAVASDPEEAEIVADVGESIENHTVEENTVSSAEEPKEEAQTEKIAIETVMIDESNLADYVGKPVFHAEKLYEQTPVGVVMGLAWTSMGGSTLYIETTVVEEGEGKGGLNITGQLGDVMKESAQIAHTVARKIMLEKEPENQFFANSKLHLHVPAGATPKDGPSAGCTMITSLLSLATKKPVRKDLAMTGEVTLTGRILPIGGVKEKTIAARRSQIKTIIFPEANRRDFDELAENVKEGLNVHFVDDYGKIFELAFGYDKQED", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MERVVKLAAKHCPFVSKADPSALRRMAGAGLIRAGARCPVVRHALPVAAATGADVSRGFKSDSKQMAMEPSLDEIHLKAGVVNTGSRTCRHADAVKAAAEAATTTPVTKKHQMPKHYASDLNGVGPATTPRFDYDTFYREELDKKHRDKSYRYFNNINRLAKEYPLAHLADPNTRVEVWCSNDYLNMGGHKKIREAMHQCIETYGGGAGGTRNIAGHNQHAVRLEKSLADLHQKPAALVFGSCYVANDATLSTLGRKLPNCIFLSDEMNHASMINGIRNSRCEKIIFKHNDLVDLEAKLASLPLNRPKIIAFESVYSMSGNVAPISEICDLAKKYGAITFLDEVHAVGMYGPRGAGVAEETPGLLSRVDIITGTLAKSYGCVGGYIAASSTLVDMIRSLAPGFIFTTSLPPHVMVGALTAVEHLKVSNVEREQQRSAVRRVKQSLSEIGIPVLSNDTHIVPAMVGDAHLAKLASDSLLHDHNIYVQSINFPTVSVGTERLRITPTPAHNTEHYVQSLTNAMNDVWSKFNINRIDGWEKRGIDVGRLCKFPVLPFTTTH", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRRFNWVLRHVQARRTFDSAIGLRQGSQKPLFERYIHATGINNSSARNYYDVLGVSPKATREEIKKSFHELAKKFHPDTNRNNPSAKRKFQEIREAYETLGNSERREEYDKLQYRNSDYVNNDGGDSERFRRAYQSNFSDTFHKIFSEIFENNQIKPDIRVELSLSLSEAAEGCTKRLSFDAYVFCDSCDGLGHPSDAAMSICPTCRGVGRVTIPPFTASCQTCKGTGHIIKEYCMSCRGSGIVEGTKTAELVIPGGVESEATITIVGAGNVSSRTSQPGNLYIKLKVANDSTFTRDGSDIYVDANISFTQAILGGKVVVPTLSGKIQLDIPKGTQPDQLLVLRGKGLPKQGFFVDHGDQYVRFRVNFPTEVNERQRAILEEFAKEEINNELSDSAEGSWLYQKLSTG", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAAVVGVSLRRGVPARFLRAGLRPVRGLEAVHGICRGAQTAAAATSRIKKFSIYRWDPDKPGDKPRMQTYEVDLNKCGPMVLDALIKIKNELDSTLTFRRSCREGICGSCAMNIAGGNTLACTKKIDPDLSKTTKIYPLPHMYVVKDLVPDLSNFYAQYKSIEPYLKKKDESKQGKEQYLQSIEDRQKLDGLYECILCACCSTSCPSYWWNGDKYLGPAVLMQAYRWMIDSRDDYTEERLAQLQDPFSLYRCHTIMNCTRTCPKGLNPGKAIAEIKKMMATYKEKAAAA", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSVRVARVAWVRGLGASYRRGASSFPVPPPGAQGVAELLRDATGAEEEAPWAATERRMPGQCSVLLFPGQGSQVVGMGRGLLNYPRVRELYAAARRVLGYDLLELSLHGPQETLDRTVHCQPAIFVASLAAVEKLHHLQPSVIENCVAAAGFSVGEFAALVFAGAMEFAEGLYAVKIRAEAMQEASEAVPSGMLSVLGQPQSKFNFACLEAREHCKSLGIENPVCEVSNYLFPDCRVISGHQEALRFLQKNSSKFHFRRTRMLPVSGAFHTRLMEPAVEPLTQALKAVDIKKPLVSVYSNVHAHRYRHPGHIHKLLAQQLVSPVKWEQTMHAIYERKKGRGFPQTFEVGPGRQLGAILKSCNMQAWKSYSAVDVLQTLEHVDLDPQEPPR", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MWRAGRAAVACEVCQSLVKHSSGIQRNVPLQKLHLVSRSIYRSHHPALKLQRPQLRTPFQQFSSLTHLSLHKLKLSPIKYGYQPRRNFWPARLAARLLKLRYIILGSAVGGGYTAKKTFDEWKDMIPDLSDYKWIVPDFIWEIDEYIDLEKIRKALPSSEDLASLAPDLDKITESLSLLKDFFTAGSPGETAFRATDHGSESDKHYRKVSDKEKIDQLQEELLHTQLKYQRILERLEKENKELRKLVLQKDDKGIHHRKLKKSLIDMYSEVLDVLSDYDASYNTQDHLPRVVVVGDQSAGKTSVLEMIAQARIFPRGSGEMMTRSPVKVTLSEGPHHVALFKDSSREFDLTKEEDLAALRHEIELRMRKNVKEGCTVSPETISLNVKGPGLQRMVLVDLPGVINTVTSGMAPDTKETIFSISKAYMQNPNAIILCIQDGSVDAERSIVTDLVSQMDPHGRRTIFVLTKVDLAEKNVASPSRIQQIIEGKLFPMKALGYFAVVTGKGNSSESIEAIREYEEEFFQNSKLLKTSMLKAHQVTTRNLSLAVSDCFWKMVRESVEQQADSFKATRFNLETEWKNNYPRLRELDRNELFEKAKNEILDEVISLSQVTPKHWEEILQQSLWERVSTHVIENIYLPAAQTMNSGTFNTTVDIKLKQWTDKQLPNKAVEVAWETLQEEFSRFMTEPKGKEHDDIFDKLKEAVKEESIKRHKWNDFAEDSLRVIQHNALEDRSISDKQQWDAAIYFMEEALQGRLKDTENAIENMIGPDWKKRWMYWKNRTQEQCVHNETKNELEKMLKVNDEHPAYLASDEITTVRKNLESRGVEVDPSLIKDTWHQVYRRHFLKTALNHCNLCRRGFYYYQRHFIDSELECNDVVLFWRIQRMLAITANTLRQQLTNTEVRRLEKNVKEVLEDFAEDGEKKVKLLTGKRVQLAEDLKKVREIQEKLDAFIEALHQEK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAAFGRQVLDWHRLIPLTWACMARQTPHLGEQRRTTASLLRKLTTASNGGVIEELSCVRSNNYVQEPECRRNLVQCLLEKQGTPVVQGSLELERVMSSLLDMGFSNAHINELLSVRRGASLQQLLDIISEFILLGLNPEPVCVVLKKSPQLLKLPIMQMRKRSSYLQKLGLGEGKLKRVLYCCPEIFTMRQQDINDTVRLLKEKCLFTVQQVTKILHSCPSVLREDLGQLEYKFQYAYFRMGIKHPDIVKSEYLQYSLTKIKQRHIYLERLGRYQTPDKKGQTQIPNPLLKDILRVSEAEFLARTACTSVEEFQVFKKLLAREEEESESSTSDDKRASLDEDEDDDDEEDNDEDDNDEDDDDEDDDEAEDNDEDEDDDEEE", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVRDSMAAAFRPSNRVLLQALQILVYPGVGGSGSVSCRCPLGAKRYLLTDNVVKLKEFQQKKVAVACNLSGTKETYFRNLKKKLTQNKLILKGELITLLHLCESRDHVELAKNVIYRYHAENKNFTLGEYKFGPLFVRLCYELDLEESAVELMKDQHLRGFFSDSTSFNILMDMLFIKGKYKSALQVLIEMKNQDVKFTKDTYVLAFAICYKLNSPESFKICTTLREEALLKGEILSRRASCFAVALALNQNEMAKAVSIFSQIMNPESIACINLNIIIHIQSNMLENLIKTLKNAAEGNLSKFVKRHVFSEEVLAKVREKVKDVPALVAKFDEIYGTLHITGQVTTDSLDAVLCHTPRDRKSHTLLLNKRMVSRRTFQPLSQSLLAE", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASRIINHSKKLKHVSALLRRDHAVAVRCFSNSTHPSLVGREDIFKARLNYSSVERISKCGTGNVTMLSGISTTSTKLSSPMAGPKLFKEFISSQMRSVRGFSSSSDLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVAPGEVLCEVETDKATVEMECMEEGFLAKIVKEEGAKEIQVGEVIAITVEDEDDIQKFKDYTPSSDTGPAAPEAKPAPSLPKEEKVEKPASAPEAKISKPSSAPSEDRIFASPLARKLAEDNNVPLSSIKGTGPEGRIVKADVEDFLASGSKETTAKPSKQVDSKVPALDYVDIPHTQIRKVTASRLAFSKQTIPHYYLTVDTCVDKMMGLRSQLNSFQEASGGKRISVNDLVIKAAALALRKVPQCNSSWTDEYIRQFKNVNINVAVQTENGLYVPVVKDADKKGLSTIGEEVRFLAQKAKENSLKPEDYEGGTFTVSNLGGPFGIKQFCAVINPPQAAILAIGSAEKRVVPGTGPDQYNVASYMSVTLSCDHRVIDGAIGAEWLKAFKGYIETPESMLL", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKRYMFGTLPRVQPKRCFQTAIGKESPKGNSEKDQLFENPFFQQLPSNVAAVYLNPVKFNPSENDVLCASLKIKSFETPKLDTFTDFICRTAYYMKIPIKGPRPLPNKVESWTLLRSPFIHKSSQENFERITHSRLIQLYSVNPVTLETFFSYLRKCNMWDLKLQAKAYEYESIDDALKNFESQSKSTDNFKELLNGPSKKDIITQNAEKLLRNDPIYKDLLKNSSRK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAPGSCALWSYCGRGWSRAMRGCQLLGLRSSWPGDLLSARLLSQEKRAAETHFGFETVSEEEKGGKVYQVFESVAKKYDVMNDMMSLGIHRVWKDLLLWKMHPLPGTQLLDVAGGTGDIAFRFLNYVQSQHQRKQKRQLRAQQNLSWEEIAKEYQNEEDSLGGSRVVVCDINKEMLKVGKQKALAQGYRAGLAWVLGDAEELPFDDDKFDIYTIAFGIRNVTHIDQALQEAHRVLKPGGRFLCLEFSQVNNPLISRLYDLYSFQVIPVLGEVIAGDWKSYQYLVESIRRFPSQEEFKDMIEDAGFHKVTYESLTSGIVAIHSGFKL", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MYRVLSKLSSSIGSSTSRKLVSGRIISSRNYAAKDISFGIGARAAMLQGVSEVAEAVKVTMGPKGRNVIIESSYGGPKITKDGVTVAKSISFQAKAKNIGAELVKQVASATNKVAGDGTTCATVLTQAILIEGCKSVAAGVNVMDLRVGINMAIAAVVSDLKSRAVMISTPEEITQVATISANGEREIGELIARAMEKVGKEGVITVADGNTLDNELEVVEGMKLARGYISPYFITDEKTQKCELENPIILIHEKKISDINSLLKVLEAAVKSSRPLLIVAEDVESDALAMLILNKHHGGLKVCAIKAPGFGDNRKASLDDLAVLTGAEVISEERGLSLEKIRPELLGTAKKVTVTRDDTIILHGGGDKKLIEERCEELRSANEKSTSTFDQEKTQERLSKLSGGVAVFKVGGASESEVGERKDRVTDALNATRAAVEEGIIPGGGVALLYATKALDNLQTENEDQRRGVQIVQNALKAPAFTIAANAGYDGSLVVGKLLEQDDCNFGFDAAKGKYVDMVKAGIIDPVKVIRTALTDAASVSLLLTTTEASVLVKADENTPNHVPDMASMGM", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MMWQKYAGSRRSMPLGARILFHGVFYAGGFAIVYYLIQKFHSRALYYKLAVEQLQSHPEAQEALGPPLNIHYLKLIDRENFVDIVDAKLKIPVSGSKSEGLLYVHSSRGGPFQRWHLDEVFLELKDGQQIPVFKLSGENGDEVKKE", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MFKRSIIQQSRLFSNSASRLGKKVFFDPAVNGTKIGRIEFELYDNVVPKTAENFRALCTGEKGWGYKGVPFHRIIPDFMIQGGDTDLTNGFGGKSIYGSKFADENFVKKHDKAGLLSMANAGPNTNGSQFFITTVPCPWLDGKHVVFGEVTKGMDIVKAIESYGTASGKPRAEIVIEEAGEL", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGRLSWASPIQRFRFFSYLSQLNGRRSVLACSGYENRYLSSLVEASDCELDEVPDDRKVAEKDTALHLALSQLSGDFDKDSKLSLQRFYRKRRVSVISTGSLNLDLALGVGGLPKGRMVEVYGKEASGKTTLALHIIKEAQKLGGYCAYLDAENAMDPSLAESIGVNTEELLISRPSSAEKMLNIVDVLTKSGSVDVIVVDSVAALAPQCELDAPVGERYRDTQSRIMTQALRKIHYSVGYSQTLIVFLNQVRSHVKSNMHFPHAEEVTCGGNALPFHAAIRLKMIRTGLIKTANKISGLNVCVQVVKNKLAPGKKKSELGIHFGHGFYVEREVLELACEHGVILREGTSYFIEGEVIEGKDAAEKYLVENKEALDTVVAILRNQLFKM", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDCEKMGKLPVPRTDSVRVAVRVRPFSQREKNSGSQCVISMHARSITIRDPKDAELVKTFTFDLAYWSHDGFQKDEDGVLIPSDPTSKFAGQSDVFHDIGRGILDSAWQGYNATLLAYGQTGSGKSYSMIGYGANKGIIPRVCEELFQAIEKQKESLEAQVMFSMLEIYNEQIRDLLSRTKAPGGLRVREDQRLGFFVEGLKWVPCEDSVQIEKLVEQGSKIRMTASTNMNASSSRSHMLIAIRFKQVFLDTALTKQSSINMVDLAGSERQRSSGSEGDRLREGSRVNLSLTNLGNVISALADLAMGKKVLHIPYRDSVLTKLLQSALGGNSRTTLIAALSPADICYEETLSTLRYAERAKKVRNRAVINTCPLVRASRAENALLLGFGGAGAAEHSACFWAEQQLGTWGTWAQLLEQARREWEQQYMALAQEQQMVKILPHLLNVNEDPQLTGVLKFFIHNGSCEVGRAASNAICLQSLGISDKHASFTNMDGKVTVAPHITGKVIVNGVPVSSRTKLQHLDRIILGSNSAFLYIGFPSERGAEDLGRFDYDFFQMERAAAEGVSVDMLGTASPGDDQADPSILAVFQDYIKLMPLVVEANQMSEELKKGLKMELKVKNLASSDSRGCDLQKEVMVKVTKQGTHEVWIWSKAKFINRKFLMEELYQRFLESRDSHVAQEDDPFWDPVEVLHLGSAHVWLQSLAHRMMLEEQVEFLNCEGLEEAVLHIQITPCSPEGWAHGEEDTVIDPLELLGKRIDFQIHIVQCLGVKWLKEDATRGIQLGYKVYDLPNTLYTKPVWQSVNPRVEESVHFAALGVSREFLNYLLTNALVVDLWGLQEGCAHLGVSQPDVLFTGEGYIMVDTKTFSSVKDITSNQVPELYQKLLKLEQETELLRDINRALRGENVYLKAALENAGSAPQGERRYHKPDNPEGATVTAAGEAKQMCAQRASSDSQLARALKVFYGGMSVARGQLLRLRQCRPPEDDQMLRPFVHQQSQMLKDLEDLLESSLQKLKSDVAFIVKKKKEYLLPRGR", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSVFRRSVQCVGVLPSILAQRSSLLARPANLQFLKTNSSKFVPQVTANVSRRMYHKNVLDHYNNPRNVGTLPKGDPDVGIGLVGAPACGDVMRLAIRVNKDGVIEDVKFKTFGCGSAIASSSYVTTMVKGMTLEEASKIKNTQIAKELCLPPVKLHCSMLAEDAIKSAVKHYRSKQLTPVGTTAGAIESATA", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRKMLAAVSRVLAGAAQKPASRVLVASRNFANDATFEIKKCDLHRLEEGPPVTTVLTREDGLKYYRMMQTVRRMELKADQLYKQKIIRGFCHLCDGQEACCVGLEAGINPTDHLITAYRAHGFTFNRGHAVRAILAELTGRRGGCAKGKGGSMHMYAKNFYGGNGIVGAQVPLGAGIALACKYNGKDEVCLTLYGDGAANQGQIFEAYNMAALWKLPCIFICENNRYGMGTSVERAAASTDYYKRGDFIPGLRVDGMDILCVREATKFAAAYCRSGKGPILMELQTYRYHGHSMSDPGVSYRTREEIQEVRSKSDPIMLLKDRMVNSNLASVEELKEIDVEVRKEIEDAAQFATADPEPPLEELGYHIYSSDPPFEVRGANQWIKFKSVS", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MALLQRASYLRLYYLRLMGSRPRLFSSSLSPALHRHSSTLSSPPFSSPSPSFRLKFQLTSVLSQRLIQRNAISSRFLSTEASQETTTSKGYSSEQIQVLEGLDPVRKRPGMYIGSTGSRGLHHLVYEILDNAIDEAQAGYASKVDVVLHADGSVSVVDNGRGIPTDLHPATKKSSLETVLTVLHAGGKFGGTSSGYSVSGGLHGVGLSVVNALSEALEVSVWRDGMEHKQNYSRGKPITTLTCRVLPLESKGTKGTSIRFWPDKEVFTTAIEFDHNTIAGRIRELAFLNPKVTISLKKEDDDPEKTQYSEYSFAGGLTEYVSWLNTDKNPIHDVLGFRREINGATVDVALQWCSDAYSDTMLGYANSIRTIDGGTHIEGVKASLTRTLNTLAKKSKTVKEKDISLSGEHVREGLTCIVSVKVPNPEFEGQTKTRLGNPEVRKIVDQSVQEYLTEFLELHPDILESIISKSLNAYKAALAAKRARELVRSKSVLKSSSLPGKLADCSSTDPEVSEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERKDEAAMYKNEEIQNLILGLGLGVKGEDFKKENLRYHKIIILTDADVDGAHIRTLLLTFFFRYQRALFDAGCIYVGVPPLFKVERGKNAQYCYDDADLKKITSNFPANASYNIQRFKGLGEMMPEQLWETTMNPETRILKQLVVDDIAEANMTFSSLMGARVDVRKELIKNAATRINLQRLDI", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MILASVLRSGPGGGLPLRPLLGPALALRARSTSATDTHHVEMARERSKTVTSFYNQSAIDAAAEKPSVRLTPTMMLYAGRSQDGSHLLKSARYLQQELPVRIAHRIKGFRCLPFIIGCNPTILHVHELYIRAFQKLTDFPPIKDQADEAQYCQLVRQLLDDHKDVVTLLAEGLRESRKHIEDEKLVRYFLDKTLTSRLGIRMLATHHLALHEDKPDFVGIICTRLSPKKIIEKWVDFARRLCEHKYGNAPRVRINGHVAARFPFIPMPLDYILPELLKNAMRATMESHLDTPYNVPDVVITIANNDVDLIIRISDRGGGIAHKDLDRVMDYHFTTAEASTQDPRISPLFGHLDMHSGAQSGPMHGFGFGLPTSRAYAEYLGGSLQLQSLQGIGTDVYLRLRHIDGREESFRI", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MISRGLLSKGILSIIKRKNTGNLIPHVYYSEYHADIEERRKALGRLGISLYPSVTSDASTTTIPVIIEKWRNKITKSEIAMVRYTVCGRISSIRYSGSKLAFFDVLYGNKKLQVVFNKKNIGTEEEMKGKFIPRLKALQKGDCIQCSGNVGRSGSGELSIYATELPKLLSPCLHPIPVKLTNYEKRFEKRFVDMMSNTKSLELLEKRYRIIESIRKFFSERGFLEVETPILSHHFGGATARPFITSDIHKLPLTLRCAPELWLKQLVIGGMNRVFELGKNFRNEGIDATHNPEFTSCEAYCAYLNLEGMKKLTEELIRFICLTINGNLQISGQTVDLEKGFEVIEFIPALQKELNVELSPLDNSENCRKQLISIFKRCEIMLPKTCTVAHLLDKLFDSLVLKYNTSSPKFVINHPEVMSPLAKSDIKLYGAVEQRISKRFELYIGGYEICNAYEEENDPVAQYHKFQAQKYDRLQLGDDETPAPDSDFVHALEYGLPPTAGWGMGVDRLVMLMTGQSKISEILPFGSLRYV", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLRSTTFTRSFHSSRAWLKGQNLTEKIVQSYAVNLPEGKVVHSGDYVSIKPAHCMSHDNSWPVALKFMGLGATKIKNPSQIVTTLDHDIQNKSEKNLTKYKNIENFAKKHHIDHYPAGRGIGHQIMIEEGYAFPLNMTVASDSHSNTYGGLGSLGTPIVRTDAAAIWATGQTWWQIPPVAQVELKGQLPQGVSGKDIIVALCGLFNNDQVLNHAIEFTGDSLNALPIDHRLTIANMTTEWGALSGLFPVDKTLIDWYKNRLQKLGTNNHPRINPKTIRALEEKAKIPKADKDAHYAKKLIIDLATLTHYVSGPNSVKVSNTVQDLSQQDIKINKAYLVSCTNSRLSDLQSAADVVCPTGDLNKVNKVAPGVEFYVAAASSEIEADARKSGAWEKLLKAGCIPLPSGCGPCIGLGAGLLEPGEVGISATNRNFKGRMGSKDALAYLASPAVVAASAVLGKISSPAEVLSTSEIPFSGVKTEIIENPVVEEEVNAQTEAPKQSVEILEGFPREFSGELVLCDADNINTDGIYPGKYTYQDDVPKEKMAQVCMENYDAEFRTKVHPGDIVVSGFNFGTGSSREQAATALLAKGINLVVSGSFGNIFSRNSINNALLTLEIPALIKKLREKYQGAPKELTRRTGWFLKWDVADAKVVVTEGSLDGPVILEQKVGELGKNLQEIIVKGGLEGWVKSQL", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVLPIIIGLGVTMVALSVKSGLNAWTVYKTLSPLTIAKLNNIRIENPTAGYRDALKFKSSLIDEELKNRLNQYQGGFAPRMTEPEALLILDISAREINHLDEKLLKKKHRKAMVRNHPDRGGSPYMAAKINEAKEVLERSVLLRKR", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKPRFPQNVYFLARYSYLRRFQHSQRRTFSSFLNNIRSNYSGARASPLGGSSGAGAGAGGGGTGDSKGNAFLVPGATMATILMLGALHARRLYEDKKIEEKREKGIELEFHPDIKASFLGVLPLRSISRAWGSFMSLEIPVWMRPYAYKAWARAFHSNLEEAALPLEEYTSLQDFFVRSLKEGCRPIDPDPCCLVSPVDGTVLRFGELKGNRGMIEQVKGHSYSVPALLGNNSLLPMEPEGKNESKEEAVGDKSDKSWLRVSLASPKLRENVSASPMKGLYYCVIYLKPGDYHRIHSPADWNATVRRHFAGRLFPVNERATRTIRNLYVENERVVLEGIWKEGFMALAAVGATNIGSIELFIEPELRTNKPKKKLFPTEPPEERVYDPEGLGLRLEKGKEVAVFNMGSTVVLIFQAPTANTPEGSSSSSDYRFCVKQGDRVRVGQALGRWKEE", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLFQRRLHFHQFFGKTRVTGSLSRQWYSKLPSKLADIKKLRSETNASMDLVKQSVEEAGVGNLELAREILKKKIVQRGGKLAEKSKNRTAKEGWIIQCISEDGRKAVMAEINCESDFVAQTTPFQDLARRIASTFLHYLPTNHSSYSVEATLKNEILKHQAYVSKNHEANEKDVSSNVSLEEEIVKMTSFTGEKVQVQRLHCMNARVPSTAIGIFSHGAKQSSPLQQLGRIGSMVQINSDLSTRKGLSNQIAKEIVAQDPSSTSELLSFRSLVDSEKTIKDVLGQSTILEWVRWERGGN", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MMMRAVIRRAASNGSSPSLFAKSLQSSRVAASSPSLLSGSETGAYLHRGNHAHSFHNLALPAGNSGISRSASLVSSTLQRWVRPFSAETGDTVEAVVPHMGESITDGTLATFLKKPGERVQADEAIAQIETDKVTIDIASPASGVIQEFLVNEGDTVEPGTKVAIISKSEDTASQVTPSQKIPETTDTKPSPPAEDKQKPRVESAPVAEKPKAPSSPPPPKQSAKEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSQYKDAFYEKHGVKLGLMSGFIKAAVSALQHQPVVNAVIDGDDIIYRDYVDISIAVGTSKGLVVPVIRGADKMNFAEIEKTINSLAKKANEGTISIDEMAGGSFTVSNGGVYGSLISTPIINPPQSAILGMHSIVSRPMVVGGSVVPRPMMYVALTYDHRLIDGREAVYFLRRVKDVVEDPQRLLLDI", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQESQYKEKAIIGTGLITTSIGGFFFLRRFRRISNASTIPKNYLNNSTVENRKYKTMFGYVTRVGDGDNFRFYHTPGGRLLGWHWLRKVPCSRSDLSNETISVRLAGIDAPESAHFGKQEQPYALEAKEFLHNKLYHKSVRIIPLKIDRYARLVAGVQYYPIPHFFWKKDIGPQMIRKGLAVVYEGSDGVFCPTKKECLLALEIVAKKKKLSLWSQGKKLILPSVYKRGV", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MISRCIKRCYRSGYMIFPPNRLQSTIRSKEEINSSRHKFNIADTSVRKQYNEELVFTKDAKENAEAKKVSSKVKKDRKNHSAAMEGVNINVPGIYRDVYPLPNEDVEMHEAKKKENICKKENLSKTVLVRQLAEIYFANSNKYVVDENGLDDLIQQAFKNPNDVFQPLPSKRDGDNMRLFIETEEEADMTSIKQHAIEVVLQDFQEEQPLEDKRDTIE", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSNSAKLIDVITKVALPIGIIASGIQYSMYDVKGGSRGVIFDRINGVKQQVVGEGTHFLVPWLQKAIIYDVRTKPKSIATNTGTKDLQMVSLTLRVLHRPEVLQLPAIYQNLGLDYDERVLPSIGNEVLKSIVAQFDAAELITQREIISQKIRKELSTRANEFGIKLEDVSITHMTFGPEFTKAVEQKQIAQQDAERAKFLVEKAEQERQASVIRAEGEAESAEFISKALAKVGDGLLLIRRLEASKDIAQTLANSSNVVYLPSQHSGGGNSESSGSPNSLLLNIGR", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAPVQLKNKESQTARIVGSASAGILELSLFHPVDTISKRLMSNHGKITSLTQLNTVIFRDAASAPLLQKATSLFPGLGYAACYKIVQRIYKYSGQPIVKDFLNENYRHTFDKTFGKGSGKAIMHATAGSIVGIGEIFLLPLDVLKIKRQTNPAAFKGRGVFRILADEKFALYRGWGWTAARNAPGSFALFGGNAFAKEYIFKLKDYSQATFFQNFFTSIAGASASLIVSAPLDVIKTRIQNKNFDNPQSGFTILKNMLKFEGPTSFFKGLTPKLLTTGPKLVFSFTMAQTLIPFFDKLLK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAVHACGAAAAVVALLSAAIALQWSPLYAVLQRALSLHTAHATKDMENLFQLVRNIVPALTSKKHKGQDGRIGIVGGCQEYTGAPYFAGISALKVGADLTHVFCAREAAPVIKSYSPELIVHPVLDSSNAVEEVEKWLPRLHALVVGPGLGRDDLLLNNVRGILESTKARDIPVVIDADGLWLVAQQPALIHSYHKAILTPNHVEFSRLWEAVLSSPMDSNDLKGSTLKLSQALGNITVVQKGEQDLISNGQQVLVCNQEGSSRRCGGQGDLLSGSLGVMVHWALRAGPEKTNGSSPLLVAAWGACTLTRECNRQAFQKYGRSTTTTDMITEVGTAFSRLFTT", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MITMINNKTFNRKTTGTLKKLVLSSDKSLRRSFNGASSTKDFVFSESSKVEEWWESARFKNISRPYSATDVVKHRGSLPANTSIYPSSYQARKLFNLLEENFKNGTPLHTLGVIDPVQMSQLARCRNIKVAYISGWACSSTLVGSTNEVSPDFGDYPYDTVPNQVERIFKAQQLHDRKAFLEASIKGSTPVDYLKPIIADADMGHGGPTTVMKVAKLFAEKGAAGIHLEDQMVGGKRCGHLSGAVLVPTATHLMRLISTRFQWDIMGTENLVIARTDSCNGKLLSSSSDPRDHEFIRGIIRDNVVPWSEKLIEMEDKKIPNSAIADMEKEWYHENELFTFEEALEKQFTASEFESYKEKKEDLMVNKLGRAYLSLREMKLLAQEVTPLKKIIFDWDAPRTKEGYYMFNGCIEAAIRRSLVFAPYSDMIWLETKTPDLEQARSFSRKIHKQLPATKLVYNLSPSFNWSAHGFDDKALKSFVWDLAKEGFTLQLVSLAGLHSDGVSFWELANSFQSDGMKAYVEKVQKREKETNCDIMTHQLWSGAEYVDSLMKVVQNGASSQTLSTSGESFTETQF", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MFLRNSVLRTAPVLRRGITTLTPVSTKLAPPAAASYSQAMKANNFVYVSGQIPYTPDNKPVQGSISEKAEQVFQNVKNILAESNSSLDNIVKVNVFLADMKNFAEFNSVYAKHFHTHKPARSCVGVASLPLNVDLEMEVIAVEKN", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASCAEPSEPSAPLPAGVPPLEDFEVLDGVEDAEGEEEEEEEEEEEDDLSELPPLEDMGQPPAEEAEQPGALAREFLAAMEPEPAPAPAPEEWLDILGNGLLRKKTLVPGPPGSSRPVKGQVVTVHLQTSLENGTRVQEEPELVFTLGDCDVIQALDLSVPLMDVGETAMVTADSKYCYGPQGRSPYIPPHAALCLEVTLKTAVDGPDLEMLTGQERVALANRKRECGNAHYQRADFVLAANSYDLAIKAITSSAKVDMTFEEEAQLLQLKVKCLNNLAASQLKLDHYRAALRSCSLVLEHQPDNIKALFRKGKVLAQQGEYSEAIPILRAALKLEPSNKTIHAELSKLVKKHAAQRSTETALYRKMLGNPSRLPAKCPGKGAWSIPWKWLFGATAVALGGVALSVVIAARN", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAGRRLNLRWALSVLCVLLMAETVSGTRGSSTGAHISPQFPASGVNQTPVVDCRKVCGLNVSDRCDFIRTNPDCHSDGGYLDYLEGIFCHFPPSLLPLAVTLYVSWLLYLFLILGVTAAKFFCPNLSAISTTLKLSHNVAGVTFLAFGNGAPDIFSALVAFSDPHTAGLALGALFGAGVLVTTVVAGGITILHPFMAASRPFFRDIVFYMVAVFLTFLMLFRGRVTLAWALGYLGLYVFYVVTVILCTWIYQRQRRGSLFCPMPVTPEILSDSEEDRVSSNTNSYDYGDEYRPLFFYQETTAQILVRALNPLDYMKWRRKSAYWKALKVFKLPVEFLLLLTVPVVDPDKDDQNWKRPLNCLHLVISPLVVVLTLQSGTYGVYEIGGLVPVWVVVVIAGTALASVTFFATSDSQPPRLHWLFAFLGFLTSALWINAAATEVVNILRSLGVVFRLSNTVLGLTLLAWGNSIGDAFSDFTLARQGYPRMAFSACFGGIIFNILVGVGLGCLLQISRSHTEVKLEPDGLLVWVLAGALGLSLVFSLVSVPLQCFQLSRVYGFCLLLFYLNFLVVALLTEFGVIHLKSM", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSNKQAVLKLISKRWISTVQRADFKLNSEALHSNATVFSMIQPTGCFHLGNYLGATRVWTDLCELKQPGQELIFGVADLHAITVPKPDGEMFRKFRHEAVASILAVGVDPEKASVIYQSAIPQHSELHWLLSTLASMGLLNRMTQWKSKSNIKQSTNGDYLVNDSDVGKVRLGLFSYPVLQAADILLYKSTHVPVGDDQSQHLELTRHLAEKFNKMYKKNFFPKPVTMLAQTKKVLSLSTPEKKMSKSDPNHDSVIFLNDEPKAIQKKIRKALTDSISDRFYYDPVERPGVSNLINIVSGIQRKSIEDVVEDVSRFNNYRDFKDYVSEVIIEELKGPRTEFEKYINEPTYLHSVVESGMRKAREKAAKNLADIHKIMGF", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTTRLLQLTRPHYRLLSLPLQKPFNIKRQMSAANPSPFGNYLNTITKSLQQNLQTCFHFQAKEIDIIESPSQFYDLLKTKILNSQNRIFIASLYLGKSETELVDCISQALTKNPKLKVSFLLDGLRGTRELPSACSATLLSSLVAKYGSERVDCRLYKTPAYHGWKKVLVPKRFNEGLGLQHMKIYGFDNEVILSGANLSNDYFTNRQDRYYLFKSRNFSNYYFKLHQLISSFSYQIIKPMVDGSINIIWPDSNPTVEPTKNKRLFLREASQLLDGFLKSSKQSLPITAVGQFSTLVYPISQFTPLFPKYNDKSTEKRTILSLLSTITSNAISWTFTAGYFNILPDIKAKLLATPVAEANVITASPFANGFYQSKGVSSNLPGAYLYLSKKFLQDVCRYRQDHAITLREWQRGVVNKPNGWSYHAKGIWLSARDKNDANNWKPFITVIGSSNYTRRAYSLDLESNALIITRDEELRKKMKAELDNLLQYTKPVTLEDFQSDPERHVGTGVKIATSILGKKL", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAVFTPPSGNSNSTDHTHTQDDHDKDDNDIKKFYIRPSLGLKLWGPLVPAPDNLPGLYTLITIQSAVGFFALWRLRRLYKLPPPRRIATGTHSDLSFGELPSEMIVNGKTKIKKDIADFPTLNRFSTTHGDIVLAPPPIIPRQSRFVSVRKLLWGLFGSLLLSQSLLELTRLNFLKYDPWCDEMKSVRDKKFFNNIVKYYHEGIDPTKIKVKDAMNGTPLSTNIPEVKQSVALARAQVEAQNPIIKWFGPLEYKPMSFNEYLNRMEFHLDMFEFFQNKRNIRENSIELINSISHNPQSSSTGLEGLSESKKLHLQNVEKRLHFLASSGDSISAPVKKRSSTTLSRGVILPHDTKGPQDIDLDTIRSLYDPWMTLALETSLSIKFIPTTMPSHTKTPTSTDQPLPGPTPKALTNEKTH", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MELEGRGAGGVAGGPAAGPGRSPGESALLDGWLQRGVGRGAGGGEAGACRPPVRQDPDSGPDYEALPAGATVTTHMVAGAVAGILEHCVMYPIDCVKTRMQSLQPDPAARYRNVLEALWRIIRTEGLWRPMRGLNVTATGAGPAHALYFACYEKLKKTLSDVIHPGGNSHIANGAAGCVATLLHDAAMNPAEVVKQRMQMYNSPYHRVTDCVRAVWQNEGAGAFYRSYTTQLTMNVPFQAIHFMTYEFLQEHFNPQRRYNPSSHVLSGACAGAVAAAATTPLDVCKTLLNTQESLALNSHITGHITGMASAFRTVYQVGGVTAYFRGVQARVIYQIPSTAIAWSVYEFFKYLITKRQEEWRAGK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSLNQKITKAVALWPKDELRPWLSFPKTLDTSIRARLQKMPPQQANKQLNALNNLLDNVYWNKYIPKQVVLKPQFKPSYYESLTQIRRKEEKAPLWKRLFKRK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MANRFLRPNLIHRFSTVSPVGPPTTIIPEILSFDQPKPEVDLDLSDQARLFASVPISTLLRSTAILHATSIGPMVDLGSWLMSSKLMDTTVTRDLVLRIVKGTFYDHFCAGEDAAAAARRVSSVYESTGLKGMLVYGVEHAEDGGACDENIQKFIETVEAAKTLPSSHLSSVVVKITAICPMNVLKRVSDLLRWQYKNPNFKLPWKLNSFPVFSGLSPLYHTTSEPEPLTVEEERELEKAHERLKSVCLRCQESNVPLLIDAEDTILQPAIDYMAYWSAIMFNSDKDRPIVYNTIQAYLKDAGERLHLALRESEKMNVPIGFKLVRGAYMSSEAKLADSLGYKSPVHDTIQNTHDCYNDCMSFLMEKASNGSGIAVILATHNTDSGKLGARKASELGINKENGKIEFAQLYGMSDALSFGLKRAGFNVSKYMPYGPVDTAIPYLIRRAYENRGMMSTGALDRQLMRKELKRRVMAW", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MATPGPVIPEVPFEPSKPPVIEGLSPTVYRNPESFKEKFVRKTRENPVVPIGCLATAAALTYGLYSFHRGNSQRSQLMMRTRIAAQGFTVAAILLGLAVTAMKSRP", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSNKLLSPHPHSVVLRSEFKMASSPAVLRASRLYQWSLKSSAQFLGSPQLRQVGQIIRVPARMAATLILEPAGRCCWDEPVRIAVRGLAPEQPVTLRASLRDEKGALFQAHARYRADTLGELDLERAPALGGSFAGLEPMGLLWALEPEKPLVRLVKRDVRTPLAVELEVLDGHDPDPGRLLCQTRHERYFLPPGVRREPVRVGRVRGTLFLPPEPGPFPGIVDMFGTGGGLLEYRASLLAGKGFAVMALAYYNYEDLPKTMETLHLEYFEEAMNYLLSHPEVKGPGVGLLGISKGGELCLSMASFLKGITAAVVINGSVANVGGTLHYKGETLPPVGVNRNRIKVTKDGYADIVDVLNSPLEGPDQKSFIPVERAESTFLFLVGQDDHNWKSEFYANEACKRLQAHGRRKPQIICYPETGHYIEPPYFPLCRASLHALVGSPIIWGGEPRAHAMAQVDAWKQLQTFFHKHLGGHEGTIPSKV", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPLESSSSMPLSFPSLLPSVPHNTNPSPPLMSYITSQEMKCILHWFANWSGPQRERFLEDLVAKAVPEKLQPLLDSLEQLSVSGADRPPSIFECQLHLWDQWFRGWAEQERNEFVRQLEFSEPDFVAKFYQAVAATAGKD", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSDSTSETVKKAIIKQVLIESQSANARTLMEKIGENCFTSCVPKPGSSLSNSEKTCVTQCTEKYMAAWNVVNTTYLRRIQQEMGNQ", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGFYEGDDNDANTKAFNDKYIKDQKFATAPFWNLFPKLRDIDEYDNPLLPLPFNFNFRDLGDSALAMASGIPTVKQFDKCEELKGQSAWTTQGIWKCLVPSKAIPPLPQLDFLLPLEEIKSDKSHSHGLFFNDFNLFLKWRSHMNRLQKQRIKTRSTAVEPLARTPEDLMLNWDDLHLGNDAEYASADGSKKIVGRAQSISTTKDSNDAKPSTVKTEKIYFDDGTVDITTTTTSKGSSPQVKHKVVSVDEDN", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MWRRIFAHELKYDQPNASSKNLILSVLNTTATKREAKDYLSKYTNDSGQHNHCLFFIRDLHKVAPAILSQFSSVIKRLGMLGLRPMFVIPPSPTHVNIQAELLDSIVTEADLKPLHLKEGLTKSRTGLYHSVFSQESRFFDIGNSNFIPIVKPYVYNEETASEFMTKDVVKFMDCLCQGNIPHIDKFFILNNAGGIPSGERNDNAHVFINLSQELEHLSSSLSHNISTLTKREPRSQNLLHRMEVYVKKDEISSLECEYHDHLENLLLMDKVLSNLAATATGLITTVKAAALSSDRKNPLVYNLLTDRSLISSSLPRFKKKDGEIDSPANMFDDHAWYELPSQQVNAAPSNSDAVLVTTVLKKGVHIKTYDYKTLTQFNSIGLPKKFHVPEKGAKPSSNSPKLDINKFKSIIDQSFKRSLDLHDYIKRINGKIATIIVIGDYEGIAILTYEGSEENSFVYLDKFAVLPHLKGSLGISDIIFNLMFKKFPNEILWRSRKDNVVNKWYFQRSVAVLDLSIDLDPEHCDEKQSQFKLFYYGNPQYAKRALRDKKRLREFMRSVRDIKPSWENEKNIS", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLKLSRSANLRLVQLPAARLSGNGAKLLTQRGFFTVTRLWQSNGKKPLSRVPVGGTPIKDNGKVREGSIEFSTGKAIALFLAVGGALSYFFNREKRRLETQKEAEANRGYGKPSLGGPFHLEDMYGNEFTEKNLLGKFSIIYFGFSNCPDICPDELDKLGLWLNTLSSKYGITLQPLFITCDPARDSPAVLKEYLSDFHPSILGLTGTFDEVKNACKKYRVYFSTPPNVKPGQDYLVDHSIFFYLMDPEGQFVDALGRNYDEKTGVDKIVEHVKSYVPAEQRAKQKEAWYSFLFK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAGSRLETVGSIFTRTRDLIRAGVLKEKPLWFDVYNAFPPLREPVFRRPRLRYGKAKSPTQDIYYHEDQIRAKFYAAYGSGPKAFDLFNPNFKSTCQRFVEKYIELQKLGETDEEKLFVEAGKALLAEGVILRRVEKARTQQEGSQVSRKSESMGVESQTALEENPPLKEVPQAQHLESPGEESKGLSPP", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPHTDKKQSGLARLLGSASAGIMEIAVFHPVDTISKRLMSNHTKITSGQELNRVIFRDHFSEPLGKRLFTLFPGLGYAASYKVLQRVYKYGGQPFANEFLNKHYKKDFDNLFGEKTGKAMRSAAAGSLIGIGEIVLLPLDVLKIKRQTNPESFKGRGFIKILRDEGLFNLYRGWGWTAARNAPGSFALFGGNAFAKEYILGLKDYSQATWSQNFISSIVGACSSLIVSAPLDVIKTRIQNRNFDNPESGLRIVKNTLKNEGVTAFFKGLTPKLLTTGPKLVFSFALAQSLIPRFDNLLSK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFANFRNCFKIKNSRLIYDNINKCLLTKEETNQLLKFIHLKWKPAKDQVQISFSRSSGPGGQNVNKLNTKVIVNLPFKQLESCIPMFLINHFKTCEMLRNYRIQNGIKIYSQKTRSQHKNIEDALNKISDLLNKSAETLYVPDTPPEKIARISILKKESNEKRLSEKKYKQKKKTQRRITMD", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLRVSVRSLVRKASSKAGSKAAVPAAAAAASAPLSPATSAASARAVPPVGESRVSIPPIPGVAAPRSHRAAAAPAKKAAAPKAAKAKTPAKASRKIKKAASKPSAPKQAAGKMRKAAGKAQRKIKAAARKAAPKKMAKSFGKKGAAKKAHK", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLALRCGSRWLGLLSVPRSVPLRLPAARACSKGSGDPSSSSSSGNPLVYLDVDANGKPLGRVVLELKADVVPKTAENFRALCTGEKGFGYKGSTFHRVIPSFMCQAGDFTNHNGTGGKSIYGSRFPDENFTLKHVGPGVLSMANAGPNTNGSQFFICTIKTDWLDGKHVVFGHVKEGMDVVKKIESFGSKSGRTSKKIVITDCGQLS", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MMFPINVLLYKWLIFAVTFLWSCKILLRKLLGINITWINLFKLEICGLSLEDGTVRLKSVRFAVFERKLFIKGLRIDSKKSSTNDLHKELPREEERTFIETPEDNGGGFISKILSLSQYWLNGVTIILEDTQLVNNDITIEKFGFFLSIDNSKHIKSLRFDSFLRKLLWNGQTIIADAIFIVNTNLLIGEIMNPLKDGLQVGLDLKLGDLNIPMNLLNLFINKENVDLMSNEKLLQRLADTTKANEELKDEDIAKMKDDLVYAMEKFVDRIKPLKEMNVTVDKLQIKDFPLTNHPELLGMNKYISYNVLVSNINFNTNRFRNEMPGYTLIFEERDSPFKFSIIMARFNIYLNLNRKHQSHAKQLKIIEIPNVSIFGETNLFSQKFRLSNNLHAKELENAIFNIKGNISSLTIDMDPVNISFIKCFLSNIKVFTSSCPKNKILKENSHVKFLTRRRVLFDYFKCFLPLINMKFTLDDPKFVINDKDDLIIGKFSVFMISHHSKRYTLGNNLMEEKEETQHIFYESHWNVELLDMKLQHIIKHQKYEHTILRVDSIAIEEKVQLLPDILCSANADIDTLMLDLSELPTMVMLSELVHNLDSQLANVEENYFKEFYEKFASNLQNMKAECSNMAKCLRQKEILPSDFMFQQLPDFFDYIKINIRDISSTLGARSVFMPRDVFSSVDSQSSKDLIDGKLRKYCNTVEKLQIALFGDKTQWHNKIGSNHATMVRSGQLTNFSKDNKQNPNHKSSIADLDDISTSDATEVNHLWNINLLVNDITTSIIGETPEVSEELSTKTVSKVSNLSIKLFPDTESFSSNESDSKIILQINHSRGTSVVSLMSIFLAVSGIHTLNQIFGHCIHQKMRQSKTKQYFLALSESKKKSCIKSIKWGQLKELLEINFSSEYISQIIALPNGLRTKFEPTSTFITVKNCNTISVSGQYFRMMVESPTQPNFWERMICINGFKVMIHIDLLKQQMKKLNSLQNWEKLPSAITLENDSWHFSIPHHFEMFKIIDSIPTIFKSIKQMLYSLKTSKDDLIIFPHKIETPLSLPKIKLKSKRWLFSISDDPLEAELNTIFQIGLQEQRERLAKLQEFNKRISEDLIKSQKNAKEMKDDFEAIDNAILKHRTGLWAKDGKKRLRKSATDSEIPLTPAALNINGKRDDRPDRTQFISPEIENAYNTLLANFSDSWIKRVKEYKVKERREFDKNFSFLWGFIDYTKLPKDINKKVLPFSTNPFLMNLIIENIDIDIIRPSCGIENIPNFIHDVGKGVPKNTEYSIMIPMHLDAKFSEVRWHLRDYPLPFVSIPPLSSTQSKETIPMRIYGDFMITEDMLQSDRELRTLFVPLIPSVTVENTDRYYSLFVPRTMTSAKIFTDLNFEINSNHTTRVTWGGSYQPAIQQTMQCLDNFSKPPLDPSVKLGFWDKTRYLFHGKINIVWKKRGKFEISLKGAKSPYMLGGESAGFIVGFDGNVNLKCNEDNDPKKFLSCSADKVHFSIPNYFAKPLLVWSRPSTNTMFIPNQDDTNMQRYASFYYLLNTTSSKNEKADKEIMGKSFIEKTGIKLSGGMTLDMGILFERLGPSLNERTFESKKHYLTRLCNPIYVQDLSKHDSYAGFRSDFIHMSFGLSSNSNSAYNAMQLSPNGFKAFFVWWKSFSGNFPVRRGPLFGLQSISPKFGEHLYTISYHADVSPLFINYMYHNADADQILRKNYLEVAEFAGLKAKSSHFIMDLHQRKEVLTEYQAGLNVRRRVMKLKFLAGDVVCQDVDIRTVSGEFSKLNYIEEKEDAEYDIFDNDMSWLDITDFQDAFFINPDNYLPKIKIMPFAFSPQFAYQKRASYGDKYQVDPKTCKPITPFDNRVSHGCTLGHNVSLRTDLVEKRVTVLKKFREKLQEGIRKNKSAGVSEENLNDLLSKANSSVENAELLLKDFQKIFKQHEAGQTEQPFHFDSLNLLKNTKKTLKQFEHRFFIFNVLLKWNEDARSAIFKFFYYANLSNEFASLASGKGLREFEDVIKQREMTDDTTSMEAIPEGTDKANTTKQCHSCDDTEFTTENLLNIFEKNITQLSCDIKNKIHHKFFVQFITPQIQLTSLENPEACVLVSSPFFMLKTLEFDANTTSNTYMQDIFLKRHGILFGNANAFLFNKKDYQEFFELYFGSSSYGQDKKEQWPPWLGLELGFEPSALKKKAVVRNISALLHHQKLAPFSAKYDSLKDKIEDNICGYVPQVNVQVNSDEYLMLTKMALKLFLYVEPEDEELKKYIEKLIIGYDIYDTAQTRKFVNDLHDSEQILAVVEKELLFKRSLLDDIGKLDLSNIHNERMHQLLRLYILRKVFTSNGNNYINRTLVWNIKVNETILHLLDKIDKPFLDIAVAKLNFQRIQHTMGLRKNTVTVKMMQIFDLGENVNYHCILGPLITSSGNDTVGLASDVPLVQITWDVDKPVGGIKVVKNVETTLSSLTIKLEEDRLNKLFEWLSLKELIYDGNGDDDDGASSIFDMVSSESEEGKIEFSEDISSDFNEMLKRSSDYMIVEDLKLNSFKLCISYKGKGKMRLANVTNFVFNFPTLRLSNQTLRVTDLLLALKKVLIKVLIKHTGRFIGNKLKRNSKENKIADDTSPLKQLTTYNSYTEPEELR", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRSYLRFSDRHRQRPTRPRKRVICSSAHFICSRMSPAATAQSPFGISLHSLSFRLLLIFRGSIVAMSRRFVYKLDQAVTAALGPNGRYIAMVGMTASAVLLTFHYKFREVIAATDNVAEIQSPSKLFYLRLLFGRTRSRITGSVMNINIMPALRDPIYRTLASVGGIDTEEIRYPLRSYKCIGHLFARTLKDKEREIEDIGTQSLASPADGVVTALGDVSSERVEQVKGATYSLRAFLGLMPKVTNPEKNTLKFVVLHLKPKNYHHFHAPAKFDVNVLRHMTGETLPVFSSFLKRFNDIFSVNERVVMSGNWKYGCMHMVAVAAYNVGNIRIDKEPSLRTNELRVVLRHLGGDVETRTYSRQPFEYSVGQHVGEFRLGSTIVLIFEAPHNFTWDMKPGQEVRVGQRLGGVGPIRRAQTEDERLFAFY", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGVLKTCVLRRSACAAACFWRRTVIPKPPFRGISTTSARSTVMPAWVIDKYGKNEVLRFTQNMMLPIIHYPNEVIIKVHAASVNPIDVNMRSGYGATALNMKRDPLHMKTKGEEFPLTLGRDVSGVVMECGLDVKYFQPGDEVWAAVPPWKQGTLSEFVVVSGNEVSHKPKSLTHTQAASLPYVALTAWSAINKVGGLSDKNCKGKRALILGASGGVGTFAIQVMKAWGAHVTAVCSKDASELVRKLGADEVIDYTLGSVEEQLKSLKLFDFILDNVGGSTETWALNFLKKWSGATYVTLVTPFLLNMDRLGVADGMLQTGVTVGTKALKHLWQGVHYRWAFFMASGPYLDEIAELVDAGKIRPVIERTFPFSEVPEAFLKVERGHARGKTVVNVV", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSLKPVKLAFEKYSATVAKHPPVLIFHGLLGSKRNWRSLAKKFSCKLDRDIYAIDQRCHGDSPCVAPLSYSAMALDAFQFMKDHKLDKASIIGHSMGAKTAMVTALKWPDKVEKLVVVDNSPWYQDLPRDYGAYFRKMIQIDEANITKYSEADKMMSTVEKDILVRSFLLSNLKKDSNNSNTFKFRVPIELISKSLKTIEGFPASLNDLVYDSPTLVIRALKAPFIPDSALPVFKKFFPKYELVSLDCGHWVHFEKPKEFSESIINFLNN", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSSQVVRDSAKKLVNLLEKYPKDRIHHLVSFRDVQIARFRRVAGLPNVDDKGKSIKEKKPSLDEIKSIINRTSGPLGLNKEMLTKIQNKMVDEKFTEESINEQIRALSTIMNNKFRNYYDIGDKLYKPAGNPQYYQRLINAVDGKKKESLFTAMRTVLFGK", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTETDKKQEQENHAECEDKPKPCCVCKPEKEERDTCILFNGQDSEKCKEFIEKYKECMKGYGFEVPSAN", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASSTPKTLMDFFQPAKRLKASPSSSSFPAVSVAGGSRDLGSVANSPPRVTVTTSVADDSSGLTPEQIARAEFNKFVAKSKRNLAVCSERVTKAKSEGNCYVPLSELLVEESWLKALPGEFHKPYAKSLSDFLEREIITDSKSPLIYPPQHLIFNALNTTPFDRVKTVIIGQDPYHGPGQAMGLSFSVPEGEKLPSSLLNIFKELHKDVGCSIPRHGNLQKWAVQGVLLLNAVLTVRSKQPNSHAKKGWEQFTDAVIQSISQQKEGVVFLLWGRYAQEKSKLIDATKHHILTAAHPSGLSANRGFFDCRHFSRANQLLEEMGIPPIDWQL", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLGAFNSTLARFGGLVHKVPWRLSQRRKYRHRQRLRAVDEVVDVLRTALQEKNQSCKRIESFVANHQPESQMSPKDKYTMFTRKTQGAGLQGFRKGVHKSPKWTRSTNRVNPTGF", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVDNRRTFTAPQSLLETNLTFPNDEPSLTTITVTRERCVDPSLIDSFLRFLRHGSDDIIRQKLNNYRKGSINGKNKCKEFLKQELYPNWQIRNNIISFCEKEAAEMKNETDQQCGNNKKTTAEPLIDARIDPYAARERAEKQEAQYKDWTKVTEWVANNRKIEQILTSTTEGILRQNCEQNNDYLKEFTQFCKDNS", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSESVAIIGAGLVGCLAALAFSKEGYNVTLYDFRQDPRLDTTKNKNLKSINLAISARGIDALKSIDPDACEHILQDMIPMKGRMIHDLKGRQESQLYGLHGEAINSINRSVLNNSLLDELEKSTTELKFGHKLVKIEWTDDKQICHFAIGEDLKTPHTEKYDFVIGCDGAYSATRSQMQRKVEMDFSQEYMNLRYIELYIPPTEEFKPNYGGNFAIAPDHLHIWPRHKFMLIALANSDGSFTSTFFGSKDQISDLITSKSRVREFLIENFPDIINIMDLDDAVKRFITYPKESLVCVNCKPYDVPGGKAILLGDAAHAMVPFYGQGMNCGFEDVRILMALLKKHSGDRSRAFTEYTQTRHKDLVSITELAKRNYKEMSHDVTSKRFLLRKKLDALFSIIMKDKWIPLYTMISFRSDISYSRALERAGKQTRILKFLESLTLGMLSIGGYKLFKFLTRERS", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMLKGITRLISRIHKLDPGRFLHMGTQARQSIAAHLDNQVPVESPRAISRTNENDPAKHGDQHEGQHYNISPQDLETVFPHGLPPRFVMQVKTFSEACLMVRKPALELLHYLKNTSFAYPAIRYLLYGEKGTGKTLSLCHVIHFCAKQDWLILHIPDAHLWVKNCRDLLQSSYNKQRFDQPLEASTWLKNFKTTNERFLNQIKVQEKYVWNKRESTEKGSPLGEVVEQGITRVRNATDAVGIVLKELKRQSSLGMFHLLVAVDGINALWGRTTLKREDKSPIAPEELALVHNLRKMMKNDWHGGAIVSALSQTGSLFKPRKAYLPQELLGKEGFDALDPFIPILVSNYNPKEFESCIQYYLENNWLQHEKAPTEEGKKELLFLSNANPSLLERHCAYL", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLLLPRCPVIGRIVRSKFRSGLIRNHSPVIFTVSKLSTQRPLLFNSAVNLWNQAQKDITHKKSVEQFSSAPKVKTQVKKTSKAPTLSELKILKDLFRYIWPKGNNKVRIRVLIALGLLISAKILNVQVPFFFKQTIDSMNIAWDDPTVALPAAIGLTILCYGVARFGSVLFGELRNAVFAKVAQNAIRTVSLQTFQHLMKLDLGWHLSRQTGGLTRAMDRGTKGISQVLTAMVFHIIPISFEISVVCGILTYQFGASFAAITFSTMLLYSIFTIKTTAWRTHFRRDANKADNKAASVALDSLINFEAVKYFNNEKYLADKYNGSLMNYRDSQIKVSQSLAFLNSGQNLIFTTALTAMMYMGCTGVIGGNLTVGDLVLINQLVFQLSVPLNFLGSVYRDLKQSLIDMETLFKLRKNEVKIKNAERPLMLPENVPYDITFENVTFGYHPDRKILKNASFTIPAGWKTAIVGSSGSGKSTILKLVFRFYDPESGRILINGRDIKEYDIDALRKVIGVVPQDTPLFNDTIWENVKFGRIDATDEEVITVVEKAQLAPLIKKLPQGFDTIVGERGLMISGGEKQRLAIARVLLKNARIMFFDEATSALDTHTEQALLRTIRDNFTSGSRTSVYIAHRLRTIADADKIIVLDNGRVREEGKHLELLAMPGSLYRELWTIQEDLDHLENELKDQQEL", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSRIIVGSAALAAAITASIMVREQKAKGQRREGNVSAYYNGQEYGSSAPPQLGKLHNIKQGIKEDALSLKDALLGVSQKAREEAPKVTKRVISPEEDAQTRKQLGQKAKDSSSQSIFNWGFSEAERRKAIAIGEFDTAKKRFEEAVDRNEKELLSTVMREKKAALDRASIEYERYGRARDFNELSDKLDQQERNSNPLKRLLKNNTGDANTEEAAARSVQGWGDTAQEFGREELEEAKRNASSEPSEAQKRLDELKKIKEKGWFGYNKGEQSEQQIAERVARGLEGWGETAAQLSKDEMDDLRWNYENSKKQLDKNVSDAMDSLSKAKEDLKQYGSHWWSGWTSKVDNDKQALKDEAQKKYDEALKKYDEAKNKFKEWNDKGDGKFWSSKKD", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLVCGKGFLKCRAPGVPFFCDKRKSFFTKTKRGFHSLPLGTGVRVYFNNNLRYSSNSIEVVEKAAINMGSKEDKADNPALSSYDDAMEALSTLISRRNRGDRTPTKGNRDKLEQVVTYLKILDLEDKIKELKVIHVAGTKGKGSTCVFSEAILRNCGFRTGMFTSPHLIDVRERFRIDGLDISEEKFLQYFWECWKLLKEKAVDGLTMPPLFQFLTVLAFKIFVCEKVDVAVIEVGLGGKLDSTNVIQKPVVCGIASLGMDHMDILGNTLADIAFHKAGIFKPQIPAFTVPQLSEAMDVLQKTANNLEVPLEVVAPLEPKKLDGVTLGLSGDHQLVNAGLAVSLSRCWLQRTGNWKKIFPNESKETEIPVAFCRGLATARLHGRAQVVHDVVSDPQDSSDSMETPCGDLIFYLDGAHSPESMEACGRWFSSAVRGDKSLSTAVNGYMRHGEYGTDLNRVSKQILLFNCMEVRDPQVLLPKLVTTCASSGTHFSRALFVPSMSTYNKVISGASAIPSDTRRKDLTWQFRLQRLWEKSIQGTDAGLDHTLKPDGITALPPHDFLCGDAPQCGGPAGTPVTSSAVMPSLPLTINWLRDCVRRNPSLKLEVLVTGSLHLVGDVLRLLKR", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSEGKQQFKDSNKPHKDSTDQDDDAATIVPQTLTYSRNEGHFLGSNFHGVTDDRTTLFDGEEGRREDDLLPSLRSSNSKAHLISSQLSQWNYNNNRVLLKRSILKTQAFMDQLQEENNIRPIFIAANDEREKLHVLQLNIKLDGQYNTKEKNGFNIEKKALSKLFHSQIVSVTNHLNALKKRVDDVSSKVFITGDVNTGKSALCNSLLKQRLLPEDQLPCTNVFSEILEARENDGIEEVHAIPLNIAPTLKEAIDMYSIQNPKTYEIHTLKELPDLVPQNGKYALLKIYIKDDKRPASTSLLRNGTVDISLIDSPGLNMDSLQTAEVMSRQEEIDLVIFVVNAENQLTLSAKEFISLASREKKLMFFVVKKFDKIRDKQRCKELILKQIRDLSPETYKRAADFVHFVSKNGDELPHYHNENDNEDHGDRKPDDDPYSSSDPDPDFDSLEDSLRNFVLKKRSLSKLLPAKTYLSKLLSDIIMISKSNMKMYSEEEIKINEQLETLRPEILSARAKCNDLTTSVDQMAEQTITMTYNNTKEALLNALDVPLHEYPKYQGLGQIYDFIFSTEAFIANQIDESIGSSELFAKQKTDLLVKKIYEIGKNELGDDFMCERVFRSELMFRKRKHLIGKRLKVSLSITDLFAPTWKGFLSYLSWQKPVTAPLPDIEGQTNEGQIGLMKYLGLKNYPLTQYWSRPSLLFTSKIPTLTLYFLGSTKVVGNIILNGIKLSSWSSLKKLSVPVIVVGSLLGLTYLIHDLPRALPMNLSIKYKRKLQELDYIHLNAQRTSNEVRDVLRVPTREILRSCEIIMDKKQITKKELENKKESNLLSIKFFQSLYEGTVAQKLMVEEINLDID", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPSLLSTPKLAPVLARLRGLSGCMSCLQRRYSLQPAPVKKIPNRYLGQPSPVTHPHLLRPGEVTPGLSQVEYALRRHKLMALVHKEAQGHSGTDHTVVVLSNPTYYMSNDIPYTFHQDNNFLYLCGFQEPDSILVLQSFSGKQLPSHKAMLFVPRRDPGRELWDGPRSGTDGAIALTGVDEAYPLEEFQHLLPKLRAETNMVWYDWMKPSHAQLHSDYMQPLTEAKARSKNKVRSVQQLIQRLRLVKSPSEIKRMQIAGKLTSEAFIETMFASKAPIDEAFLYAKFEFECRARGADILAYPPVVAGGNRSNTLHYVKNNQLIKDGEMVLLDGGCESSCYVSDITRTWPVNGRFTAPQAELYEAVLEIQRACLTLCSPGTSLENIYSMMLTLIGQKLKDLGITKTSKESAFKAARKYCPHHVGHYLGMDVHDTPDMPRSLPLQPGMVITVEPGIYIPEDDRDAPEKFRGLGVRIEDDVVVTQDSPLILSADCPKEMNDIEQICSRTS", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MMMLRQTSRKAYLGLQASPLGLGRRLYHENVIDHFENPRNVGSFNRNDPNVGTGLVGAPACGDLMSLQIKVDDSGQIIDTRFKTFGCGSAIASSSVASEWIKGKTLDEVMTIKNAEIAKHLRLPPVKLHCSMLAEDAIKSAVRDYKEKQAKTNAAAAEETVKA", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAPAAGPVFWRRLLGLLPGRPGLAALLGRLSDRLGRSRERRRRRSPWLLLAPLLSPTVPQVTSPPCCLCPEGVHRFQWIRNLVPEFGVSSSHVRVLSSPAEFFELLKGQIKMAKRRVVMASLYLGTGPLEQELVDCLESSLEKSLQSKFPSDLKVSILLDFTRGSRGRKNSRTMLLPLLQRFPEHVRVSLFHTPNLRGLLRLLIPERFNETIGLQHIKVYLFDNNVVLSGANLSDSYFTNRQDRYVFLQDCAEIADFFTELVDAVGDVSLQLQGDDTVDVVDGMVHPYKGDRAAYCRAANKRVMDVIHSARTRQQLLHAQTFHSDSLLSQEEAAAAGDRRPAPDTWIYPLIQMKPFEIQIDEIVTETLLTEAERGAKVFLTTGYFNLTQAYMDLVLGTRAEYQILLASPEVNGFFGAKGVAGAIPAAYVHIERQFYGEVCGLGQQDRVQLQEYWRTGWTFHAKGLWLYLAGSSLPCLTLIGSPNFGYRSVHRDLEAQIAIVTESRALQQQLHQEQEQLYLRSSVVTSATFEQPGRQVKLWVKMVTPLIKNFF", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLRKTNLSNITTLLRSARCMNRMPQLRFEHTKGDLKRVNRSYETRDAMLARLKYQSRKRGILETDLLLSNFAKDQIDKYPVSLLREYDQLLDEPDWDILYWCSGEREAPEKWKSSQVFKELSKYCRSQRNHTLRMPELF", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MELRTDAVLASLEMSEPVKNDEDYESLPAHASLGTHMTAGAVAGILEHTVMYPVDSVKTRMQSLQPDPKAQYRSVYGALKRIVRTEGLLRPLRGLNITVLGAGPAHALYFACYERIKRSLSDVIQNGGNSHIANGVAGSVATVLHDAVMNPAEVVKQRMQMYNSPYRSLYDCVLMVSRKEGLAAFYRSYSTQLTMNIPFQAVHFITYEFMQEHFNPHRQYRPETHIISGAAAGAVSAAVTTPLDVCKTLLNTQENVALSSAHVSGHLSGMVNALRTVYRLGGVPAFFKGIQARVIYQMPSTAIAWSVYEFFKYFLTQHESHVQEVSHKTSPT", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSLLFSRCNSIVTVKKDKRHMAEVNASPLKHFVTAKKKINGIFEQLGAYIQESAGFLEDTHRNTELDPVTTEEQVLDVKGYLSKVRGISEVLARRHMKVAFFGRTSNGKSTVINAMLWDKVLPSGIGHTTNCFLRVGGTDGHEAFLLTEGSEEKKSVKTVNQLAHALHQDEQLHAGSLVSVMWPNSKCPLLKDGLVLMDSPGIDVTTELDSWIDKFCLDADVFVLVANSESTLMQTEKQFFHKVSERLSRPNIFILNNRWDASASEPEYMEEVRRQHMERCTSFLVDELGVVDRAQAGDRIFFVSAKEVLSARVQKAQGMPEGGGALAEGFQVRMFEFQNFERRFEECISQSAVKTKFEQHTVRAKQIAEAVRLIMDSLHIAAQEQRVYCLEMREERQDRLRFIDKQLELLAQDYKLRIKQMTEEVERQVSTAMAEEIRRLSVLVDEYQMDFHPSPVVLKVYKNELHRHIEEGLGRNMSDRCSTAIASSLQTMQQDMIDGLKPLLPVSVRNQIDMLVPRQCFSLSYDLNCDKLCADFQEDIEFHFSLGWTMLVNRFLGPKNSRRALLGYNDQVQRPLPLTPANPSMPPLPQGSLTQEELMVSMVTGLASLTSRTSMGILVVGGVVWKAVGWRLIALSFGLYGLLYVYERLTWTTRAKERAFKRQFVEYASEKLQLIISYTGSNCSHQVQQELSGTFAHLCQQVDITRDNLEQEIAAMNKKVEALDSLQSKAKLLRNKAGWLDSELNMFIHQYLQPSR", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSGVSTAAYFARRAAQKERVRILYRRALKDTLNWAVHRHIFYRDASDLREKFNVNQDVEDVDRIDKLIAHGEAEYNKWRHPDPYIVPWAPGGSKFCRNPTPPAGIEIVYNYGLEDNP", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLSVAARSGPFAPYLSAAAHAVPGPLKALAPAALRAEKVVLDLKRPLLCRESMSGRSARRDLVAGISLNAPASVRYVHNDVTVPDFSAYRREDVMDATTSSQTSSEDRKGFSYLVTATACVATAYAAKNVVTQFISSLSASADVLALSKIEIKLSDIPEGKNVAFKWRGKPLFVRHRTQAEINQEAEVDVSKLRDPQHDLDRVKKPEWVILVGVCTHLGCVPIANSGDFGGYYCPCHGSHYDASGRIRKGPAPYNLEVPTYQFVGDDLVVVG", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVARVWSLMRFLIKGSVAGGAVYLVYDQELLGPSDKSQAALQKAGEVVPPAMYQFSQYVCQQTGLQIPQLPAPPKIYFPIRDSWNAGIMTVMSALSVAPSKAREYSKEGWEYVKARTK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLGRSLREVSAALKQGQITPTELCQKCLSLIKKTKFLNAYITVSEEVALKQAEESEKRYKNGQSLGDLDGIPIAVKDNFSTSGIETTCASNMLKGYIPPYNATVVQKLLDQGALLMGKTNLDEFAMGSGSTDGVFGPVKNPWSYSKQYREKRKQNPHSENEDSDWLITGGSSGGSAAAVSAFTCYAALGSDTGGSTRNPAAHCGLVGFKPSYGLVSRHGLIPLVNSMDVPGILTRCVDDAAIVLGALAGPDPRDSTTVHEPINKPFMLPSLADVSKLCIGIPKEYLVPELSSEVQSLWSKAADLFESEGAKVIEVSLPHTSYSIVCYHVLCTSEVASNMARFDGLQYGHRCDIDVSTEAMYAATRREGFNDVVRGRILSGNFFLLKENYENYFVKAQKVRRLIANDFVNAFNSGVDVLLTPTTLSEAVPYLEFIKEDNRTRSAQDDIFTQAVNMAGLPAVSIPVALSNQGLPIGLQFIGRAFCDQQLLTVAKWFEKQVQFPVIQLQELMDDCSAVLENEKLASVSLKQ", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASTLFSRTFLAASHRLITPSLPQKIFNPATFLSRSLHSQLGSASTAYKSTTWARRAMASTGVETKAGYSTSSVSTSEPVVSVDWLHANLREPDLKILDASWYMPDEQRNPIQEYQVAHIPRALFFDLDGISDRKTSLPHMLPTEEAFAAGCSALGIDNKDEVVVYDGKGIFSAARVWWMFRVFGHEKVWVLDGGLPRWRASGYDVESSASGDAILKASAASEAIEKIYQGQTVSPITFQTKFQPHLVWTLDQVKNNMEDPTYQHIDARSKARFDGTAPEPRKGIRSGHIPGSKCIPFPQMFDSCNTLLPAEELKKRFDQEDISLDKPIMASCGTGVTACILAMGLHRLGKTDVPIYDGSWTEWATQPDLPIESVESSS", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDKVCAVFGGSRGIGRAVAQLMARKGYRLAVIARNLEGAKAAAGDLGGDHLAFSCDVAKEHDVQNTFEELEKHLGRVNFLVNAAGINRDGLLVRTKTEDMVSQLHTNLLGSMLTCKAAMRTMIQQQGGSIVNVGSIVGLKGNSGQSVYSASKGGLVGFSRALAKEVARKKIRVNVVAPGFVHTDMTKDLKEEHLKKNIPLGRFGETIEVAHAVVFLLESPYITGHVLVVDGGLQLIL", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKLGVFVYRLYRALTYGVSPLIHLHIRWRRLRGLEHFSRWPERFGHPSAVRPPGSLIWFHAVSLGEGMAAIPVIRHCNEVKSDLTILMTTTTVSAFEVIKNQLPVGVLHQFAPLDTPLAIDRFLGHWKPNAIIIMENELWPNLIMAASGLLIPLGLLNARMSTKSFKRWSSPLLLPLVSLLLSKFSLIAPLSTLQGIRFQLLHAPPFVINYSGDLKYVVNKFHVSSGTSESIRDLKVELAEMKVWIASSLHRGEEEVILGVHNMLLESHPDSVVIIVPRHPHHGQQIAHKLRKDGQSVALRSQNEKLTPRKTNIYVVDTLGELRELYSVAPIAVIGGSFIPGLTGHNLSEAAAAGCAVITGCHVGHFSHMVKAMQQANPLSVTQVSTKLELKEAVDLLLSNPEILETHQRASKDVYESLSSCIITNIWKLLNLHIFRGKSRNHIECK", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSFIQRRLLSQTLFLRSQVGSLPLYISPEVQVSINALSMPRIIRKGRTSMNISQNITVKGPKGELSVEVPDFLHLDKDEKHGKINVTVQNSEDKHQRSMWGTVRSLINNHIIGVTEGHLAVLRFVGTGYRAQLENDGKFVNVKVGASIKQGLDVPEGIVVKTPAPTSLIIEGCNKQQVLLFAAKLRKFHPPEPYKGKGIYVNDETIKLKDKKIK", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MHWLRKVQGLCTLWGTQMSSRTLYINSRQLVSLQWGHQEVPAKFNFASDVLDHWADMEKAGKRLPSPALWWVNGKGKELMWNFRELSENSQQAANILSGACGLQRGDRVAVMLPRVPEWWLVILGCIRAGLIFMPGTIQMKSTDILYRLQMSKAKAIVAGDEVIQEVDTVASECPSLRIKLLVSEKSCDGWLNFKKLLNEASTTHHCVETGSQEASAIYFTSGTSGLPKMAEHSYSSLGLKAKMDAGWTGLQASDIMWTISDTGWILNILGSLLESWTLGACTFVHLLPKFDPLVILKTLSSYPIKSMMGAPIVYRMLLQQDLSSYKFPHLQNCLAGGESLLPETLENWRAQTGLDIREFYGQTETGLTCMVSKTMKIKPGYMGTAASCYDVQVIDDKGNVLPPGTEGDIGIRVKPIRPIGIFSGYVENPDKTAANIRGDFWLLGDRGIKDEDGYFQFMGRADDIINSSGYRIGPSEVENALMKHPAVVETAVISSPDPVRGEVVKAFVILASQFLSHDPEQLTKELQQHVKSVTAPYKYPRKIEFVLNLPKTVTGKIQRTKLRDKEWKMSGKARAQ", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNNKLIYRSVRFATHNSQLLLPPLVLYRRILRQHKLLPGPQREMGDQYVRNEFKLHKDIDNPLHIVGFLASWQDYLHMISNGKWKDATLSSETLEKLSPEQTVQLYELMKETQKLHQDNEIESSKDVKRNNKD", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEDEDKTAECQHSKPPTGITHEAPPHHELQEERVMSLRGTDRSEPTEGSNLLTSGEKKPQDSPTEPNGLQSLRRFLACPPRGCLARVITNGTMVVLLWAMVWSVTGPECLPGGNLFGIIILFYCSITGGKLFGLIKFPTLPPLPPLLGMLLAGFLLRNIPVINDSVRIQHKWSSSLRSIALSVILVRAGLGLDSKALRKLKGVCVRLAMGPCIVEACASAILSHFLMGLPWQWGFILGFVVGAVSPAVVVPSMLLLQEGGYGVGKGIPTLLMAAGSFDDILAITGFNTCLGVAFSTGSTVFNIFRGILEVVIGVAAGSFLGFFIQYFPSRDQDNLVWKRAFLVLGFAVLAVFSSVYFSFPGSGGLCTLVMAFLAGMRWTDKKSEVEKVIAVTWDVFQPLLFGLIGAEVSIVSLRAETVGLCVATLSIAVLIRILTTFLMVCFAGFNIKEKIFISFAWLPKATVQAAIGSVALDTARSHGEKQLEDYGMDVLTVAFLAILITAPIGSLLIGLLGPRVLQKSEHRTEEEVQGETSAHIQRKPEDSITEA", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSGLTFRPTKGRWITHLSRPRSCGPSGLFVPPSPPLDPEKIKELQRFISLSKKLLVMTGAGISTESGIPDYRSEKVGLYARTDRRPIQHIDFVRSAPVRQRYWARNFVGWPQFSSHQPNPAHWALSNWERLGKLHWLVTQNVDALHSKAGSQRLTELHGCMHRVLCLNCGEQTARRVLQERFQALNPSWSAEAQGVAPDGDVFLTEEQVRSFQVPCCDRCGGPLKPDVVFFGDTVNPDKVDFVHRRVKEADSLLVVGSSLQVYSGYRFILTAREQKLPIAILNIGPTRSDDLACLKLDSRCGELLPLIDPRRQHSDVQRLEMNFPLSSAAQDP", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAAVDSFQLLYREIARSCSGYVETLALVGACYMASKTVIFMRDCYSLIRLYFVPRLVRHRDLSQQYGQWAIICGASEAIAKAYAEELARHGICVILISKDLSSVSDTARLISNNYGVEAICIEADFNQGPSACKPIKDAISSKDIGFLVNSFDGTLEISQNFLELSESVLWGTINRNIAATTLVTRLALPAMMEKGRGAVVNISSGHCFHPIPRKAAFSASTAFLDNFSRSLHYEYGDQGVFVQSLLPFRVASQRPEGSAPPASWLVPSPQVYASHALSTLGISHRTTGYWPHSMQLGLVKMMPEWVWMLGSRVFTMAT", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLPSATSLLRGPCLGLRAAALRLVRQQVPHVCAVRLMRCSSHRRGEALTGAPLDNAPKEYPPKIQQLVQDIASLTLLEISDLNELLKKTLKIQDVGLMPMGGMVPGAAPAPTAPEAAEEDVPKQKERTHFTVRLTEAKPVDKVKLIKEIKNYVQGINLVQAKKLVESLPQEIKANVAKAEAEKIKAALEAVGGTVVLE", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVFFRSVSAFTRLRSRVQGQQSSLSNSVRWIQMQSSTDLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNITVDMVIGGMRGMTGLLWETSLLDPEEGIRFRGLSIPECQKVLPTAQSGAEPLPEGLLWLLLTGKVPSKEQVEALSKDLANRAAVPDYVYNAIDALPSTAHPMTQFASGVMALQVQSEFQKAYENGIHKSKFWEPTYEDCLNLIARVPVVAAYVYRRMYKNGDSIPSDKSLDYGANFSHMLGFDDEKVKELMRLYITIHSDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVEECGEDISKEQLKEYVWKTLNSGKVIPGYGHGVLRNTDPRYVCQREFALKHLPDDPLFQLVSKLYEVVPPVLTELGKVKNPWPNVDAHSGVLLNHYGLTEARYYTVLFGVSRSLGICSQLIWDRALGLALERPKSVTMDWLEAHCKKASSA", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRLPVTVKATKPSFLVIWIRYSSAASSPTVSLNPSGRLQQTLAGSVEVKGKSLHSGKFSTVKLNPEIAGAGRFFEFRSRFIPASIEFAQESPLCTTLLKDELKIRTVEHLLSALEAKGVDNCRIQIESESSDDREVEVPIFDGSAKEWVDAIQGVGINAAQNHDGESVEKMVAHVNKPVYVCKNDTFVAAFPALETRITCGIDFPQVPAIGCQWFSWRPIHESSFAKDIASSRTFCVYEEVERMREAGLIKGGSLDNAIVCSAEHGWMNPPLRFDDEACRHKILDLIGDLSLVSRGGNGGLPVAHIVAYKAGHALHTDLARHLTMD", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "KGKTITHGQSWGARRIHSHFYITIFTITCIRIGQYKLALYLDPYRFYNITGSQIVRLKGQRPEYRKRIFAHSYRHSSRIGLNFPSRRRYSNYVDRGNIHKHTRLPPQFIGLNTVESAQPSILRDFVDLRGGHTVISKILIANNGIAAVKEMRSIRKWAYETFNDEKIIQFVVMATPDDLHANSEYIRMADQYVQVPGGTNNNNYANIDLILDVAEQTDVDAVWAGWGHASENPCLPELLASSQRKILFIGPPGRAMRSLGDKISSTIVAQSAKIPCIPWSGSHIDTIHIDNKTNFVSVPDDVYVRGCCSSPEDALEKAKLIGFPVMIKASEGGGGKGIRRVDNEDDFIALYRQAVNETPGSPMFVMKVVTDARHLEVQLLADQYGTNITLFGRDCSIQRRHQKIIEEAPVTITKPETFQRMERAAIRLGELVGYVSAGTVEYLYSPKDDKFYFLELNPRLQVEHPTTEMISGVNLPATQLQIAMGIPMHMISDIRKLYGLDPTGTSYIDFKNLKRPSPKGHCISCRITSEDPNEGFKPSTGKIHELNFRSSSNVWGYFSVGNNGAIHSFSDSQFGHIFAVGNDRQDAKQNMVLALKDFSIRGEFKTPIEYLIELLETRDFESNNISTGWLDDLILKNLSSDSKLDPTLAIICGAAMKAYVFTEKVRNKYLELLRRGQVPPKDFLKTKFPVDFIFDNNRYLFNVAQSSEEQFILSINKSQCEVNVQKLSSDCLLISVDGKCHTVYWKDDIRGTRLSIDSNTIFLEAELNPTQVISPTPGKLVKYLVRSGDHVFAGQQYAEIEIMKMQMPLVAKSDGVIELLRQPGSIIEAGDVIAKLTLDSPSKANESSLYRGELPVLGPPLIEGSRPNHKLRVLINRLENILNGYHENSGIETTLKELIKILRDGRLPYSEWDSQISTVRNRLPRQLNEGLGNLVKKSVSFPAKELHKLMKRYLEENTNDHVVYVALQPLLKISERYSEGLANHECEIFLKLIKKYYAVEKIFENHDIHEERNLLNLRRKDLTNLKKILCISLSHANVVAKNKLVTAILHEYEPLCQDSSKMSLKFRAVIHDLASLESKWAKEVAVKARSVLLRGIFPPIKKRKEHIKTLLQLHIKDTGAENIHSRNIYSCMRDFGNLIHSNLIQLQDLFFFFGHQDTALSSIASEIYARYAYGNYQLKSIKIHKGAPDLLMSWQFSSLRNYLVNSDGESDEFTKLSKPPSTSGKSSANSFGLLVNMRALESLEKTLDEVYEQIHIPEERLSSGENSLIVNILSPIRYRSENDLIKTLKIKLHENERGLSKLKVNRITFAFIAANAPAVKFYSFDGTTYDEISQIRNMDPSYEAPLELGKMSNYKIRSLPTYDSSIRIFEGISKFTPLDKRFFVRKIINSFMYNDQKTTEENLKAEINAQVVYMLEHLGAVDISNSDLNHIFLSFNTVLNIPVHRLEEIVSTILKTHETRLFQERITDVEICISVECLETKKPAPLRLLISNKSGYVVKIETYYEKIGKNGNLILEPCSEQSHYSQKSLSLPYSVKDWLQPKRYKAQFMGTTYVYDFPGLFHQAAIQQWKRYFPKHKLNDSFFSWVELIEQNGNLIKVNREPGLNNIGMVAFEIMVQTPEYPEGRNMIVISNDITYNIGSFGPREDLFFDRVTNYARERGIPRIYLAANSGAKLGIAEELIPLFRVAWNDPSDPTKGFQYLYLAPKDMQLLKDSGKGNSVVVEHKMVYGEERYIIKAIVGFEEGLGVECLQGSGLIAGATSKAYRDIFTITAVTCRSVGIGSYLVRLGQRTIQVEDKPIILTGASAINKVLGTDIYTSNLQIGGTQIMYKNGIAHLTASNDMKAIEKIMTWLSYVPAKRDMSPPLLETMDRWDRDVDFKPAKQVPYEARWLIEGKWDSNNNFQSGLFDKDSFFETLSGWAKGVIVGRARLGGIPVGVIAVETKTIEEIIPADPANLDSSEFSVKEAGQVWYPNSAFKTAQTINDFNYGEQLPLIILANWRGFSGGQRDMYNEVLKYGSFIVDALVDYKQPILIYIPPFGELRGGSWVVIDPTINPEQMEMYADVESRGGVLEPDGVVSIKYRKEKMIETMIRLDSTYGHLRRTLTEKKLSLEKQNDLTKRLKIRERQLIPIYNQISIQFADLHDRSTRMLVKGVIRNELEWKKSRRFLYWRLRRRLNEGQVIKRLQKKTCDNKTKMKYDDLLKIVQSWYNDLDVNDDRAVVEFIERNSKKIDKNIEEFEISLLIDELKKKFEDRRGNIVLEELTRLVDSKRKR", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MYRRSVGVLFVGRNTRWISSTIRCGTSATRPIRSNALNTDSDNASVRVPVGNSTEVENATSQLTGTSGKRRKGNRKRITEFKDALNLGPSFADFVSGKASKMILDPLEKARQNTEEAKKLPRWLKVPIPKGTNYHKLKGDVKELGLSTVCEEARCPNIGECWGGKDKSKATATIMLLGDTCTRGCRFCSVKTNRTPSKPDPMEPENTAEAIKRWGLGYVVLTTVDRDDLVDGGANHLAETVRKIKQKAPNTLVETLSGDFRGDLKMVDIMAQCGLDVYAHNLETVESLTPHVRDRRATYRQSLSVLERAKATVPSLITKTSIMLGLGETDEQITQTLKDLRNIQCDVVTFGQYMRPTKRHMKVVEYVKPEKFDYWKERALEMGFLYCASGPLVRSSYKAGEAFIENVLKKRNMK", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAMASLARRKAYFLTRNLSNSPTDALRFSFSLSRGFASSGSDENDVVIIGGGPGGYVAAIKASQLGLKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKHSFANHGIKVSSVEVDLPAMLAQKDNAVKNLTRGIEGLFKKNKVTYVKGYGKFISPNEVSVETIDGGNTIVKGKHIIVATGSDVKSLPGITIDEKKIVSSTGALSLSEVPKKLIVIGAGYIGLEMGSVWGRLGSEVTVVEFAGDIVPSMDGEIRKQFQRSLEKQKMKFMLKTKVVSVDSSSDGVKLTVEPAEGGEQSILEADVVLVSAGRTPFTSGLDLEKIGVETDKAGRILVNDRFLSNVPGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKHGHVDYDKVPGVVYTHPEVASVGKTEEQLKKEGVSYRVGKFPFMANSRAKAIDNAEGLVKILADKETDKILGVHIMAPNAGELIHEAVLAINYDASSEDIARVCHAHPTMSEALKEAAMATYDKPIHI", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAMASLARRKAYFLTRNISNSPTDAFRFSFSLTRGFASSGSDDNDVVIIGGGPGGYVAAIKAAQLGLKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKHVFANHGVKVSSVEVDLPAMLAQKDTAVKNLTRGVEGLFKKNKVNYVKGYGKFLSPSEVSVDTIDGENVVVKGKHIIVATGSDVKSLPGITIDEKKIVSSTGALSLTEIPKKLIVIGAGYIGLEMGSVWGRLGSEVTVVEFAADIVPAMDGEIRKQFQRSLEKQKMKFMLKTKVVGVDSSGDGVKLIVEPAEGGEQTTLEADVVLVSAGRTPFTSGLDLEKIGVETDKGGRILVNERFSTNVSGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKHGHVDYDKVPGVVYTYPEVASVGKTEEQLKKEGVSYNVGKFPFMANSRAKAIDTAEGMVKILADKETDKILGVHIMSPNAGELIHEAVLAINYDASSEDIARVCHAHPTMSEAIKEAAMATYDKPIHM", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSRIIGRKGINYIHRLNSASFTSVSASSIEKGQNRVIDASLTLIRERAKLKGELVRLLGGAKASTSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSATEEGKELKDPRVLTDVGDVPVQEIRDCGVDDDRLMNVISESVKLVMEEEPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNKYSHASSFARIMEGGYARRLLQVGIRSINQEGREQGKRFGVEQYEMRTFSKDRPMLENLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQADVVGADVVEFNPQRDTVDGMTAMVAAKLVRELAAKISK", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLSRRQLIPIATKSVSPFLRSSITCRRISMGRKELSEKDSNILDSVIRVDQAGELGANQIYKGQHFILQFTDPKVAPTIQHMWDQEKYHLATFDNYVLKNRVRPTFLRPFWDIAGFALGAGTALLGTKAAMACTEAVETVIGGHYNDQLRETAHLENKAPEFKEIRSHLAEFRDDELEHLNTAVEGWNAKEAPAHALLTNAIQMGCKAAIWMCKRF", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MMRGASKRSISSAAVLLIKKNKLPPRPKFTPEMEAQCTEKFLHGGRGPGGQKINKCNSKVQLRHEPTGIVVECQETRSREQNRKLARLKLARELAASYDTMPSREEALLQWHRQQKRSQRRRSVAKYEQREEAARVEKEEREARDREMVRELFRR", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVAAIIAGAAVAAAAYAGKYGIEAWQAFKLRPVRPRMRKFYEGGFQATMNRREAALILGVRESVAAEKVKEAHRRVMVANHPDAGGSHYLASKINEAKDMMLGKTKNSGSAF", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNNVKVPKIPGGGAISTLLKVGIIGGLGLYGATHSLYNVEGGHRAIMFNRLVGIKDKVYPEGTHLMIPWFERPVIYDVRARPYLVESTSGSRDLQMVKIGLRVLTRPMADQLPEIYRSLGENYSERVLPSIINETLKAVVAQYNASQLITQREAVSREIRKILTERAANFNVALDDVSITNLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKRSAVIRAQGEAKSAQLIGQAIANNQAFITLRKIEAAREIAQTIANSANKVYLSSDDLLLNLQGMNLDVDAKN", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAFYSYNSFLAIFWTRLPGHSVHPPCSHFPPLAFFHLPDSHLRTAYMKNCGSRKYSYPGLTGNNKVHPLRTRLPQKLHTTCWLQNHPGKPQPEQIPEEPKATDPQPTKDDQTEVAEGKWSLRQKIIDEVKYYYNGFSLLWIDTKVAARIVWRLLHGQVLTRRERRRLLRTCADVFRLVPFVVFIIVPFMEFLIPVFLKLFPDMLPSTFESESKKEEKQKKMMGAKLEIAKFLQETMTEMAKRNRAKLDDDSSDSSQLSSYVKQVQTGHKPSTKEIVRFSKLFEDQLALEHLRRPQLVALCKLLELQAFGTNNLLRFQLLMTLRSIKADDEVIAKEGVKALSVSELQAACRARGMRSLGLTEEQLRQQLTEWLDLHLKENVPPSLLLLSRTFYLIDVKPKPIELPPSIETPKTNLGIPSSPPPESKEDITDPAPQLNGTKILQAKSQETSQNSKANSKGA", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGWSQDLFRALWRSLSREVKEHVGTDQFGNKYYYIPQYKNWRGQTIREKRIVEAANKKEVDYEAGDIPTEWEAWIRRTRKTPPTMEEILKNEKHREEIKIKSQDFYEKEKLLSKETSEELLPPPVQTQIKGHASAPYFGKEEPSVAPSSTGKTFQPGSWMPRDGKSHNQ", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGSPSLTKTINASLSLHSITQPITLLKTMHYVLQLTILPSAMQHYATLRNFTHPACSVNKQAEQGKEQQQYAMKSQFQFRSGICSKVRSDELGFKGNSLQLNDLPKNPY", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSAWAAASLSRAAARCLLARGPGVRAAPPRDPRPSHPEPRGCGAAPGRTLHFTAAVPAGHNKWSKVRHIKGPKDVERSRIFSKLCLNIRLAVKEGGPNPEHNSNLANILEVCRSKHMPKSTIETALKMEKSKDTYLLYEGRGPGGSSLLIEALSNSSHKCQADIRHILNKNGGVMAVGARHSFDKKGVIVVEVEDREKKAVNLERALEMAIEAGAEDVKETEDEEERNVFKFICDASSLHQVRKKLDSLGLCSVSCALEFIPNSKVQLAEPDLEQAAHLIQALSNHEDVIHVYDNIE", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MALVKYSTVFFPLRSLRLFVSIKKAYYHSEPHSIDLFHDKDWIVKRPKFLNLPKNEHSKLDIFQFNFNKSESNNVYLQDSSFKDNLDKAMQFIYNDKLSSLDAKQVPIKNLAWLKLRDYIYQQLKDPKLQAKTYVPSVSEIIHPSSPGNLISLLINCNKISNLVWKSVLKYSLSNNITTLDKFIHVLQQTFDHVYEQEILPMMTNTDDTDGAHNVDITNPAEWFPEARKIRRHIIMHIGPTNSGKTYRALQKLKSVDRGYYAGPLRLLAREVYDRFHAEKIRCNLLTGEEVIRDLDDRGNSAGLTSGTVEMVPINQKFDVVVLDEIQMMSDGDRGWAWTNALLGVVSKEVHLCGEKSVLPLVKSIVKMTGDKLTINEYERLGKLSVEEKPIKDGIKGLRKGDCVVAFSKKKILDLKLKIEKDTNLKVAVIYGSLPPETRVQQAALFNNGEYDIMVASDAIGMGLNLSIDRVVFTTNMKYNGEELMEMTSSQIKQIGGRAGRFKSRSASGGVPQGFITSFESKVLKSVRKAIEAPVEYLKTAVTWPTDEICAQLMTQFPPGTPTSVLLQTISDELEKSSDNLFTLSDLKSKLKVIGLFEHMEDIPFFDKLKLSNAPVKDMPMVTKAFTKFCETIAKRHTRGLLSYRLPFNLLDYNCIPNESYSLEVYESLYNIITLYFWLSNRYPNYFIDMESAKDLKYFCEMIIFEKLDRLKKNPYAHKPFGSTRGHLSSSRRRLRT", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLNLYMWKLSRSQVYQASLLFGSRVISALAFTNTGLVLHGPRRWYTTDNGFLLHRDSKVSLADYIHESTFDPSKGYYSRLWTGSTNNLSHSVHVLRKEGHKCSKEFDPFLHGIPIPQKALNIYEKQRSLFSESISNYLVLQYKLRYFPVFDLKIYDFHSGTGIIALDILDYLYKNHLEVYGRTTYNIVLHNSWQASWFKSMLTSVRYAKHGDHIDIYVSDPLTWNHTDTNPCFVLALQVISSFGHDLFRQSNGAMMMERCWLGPEHFLNEFFTLNTHQKVSSLNYHLAFQQARINVQQGFSDSRAKRYFSGVKQVFWSFFSTQKLTYYPTKAIRFFERLSKQFPHHSLLLMDVCHVDKSLPGINAPSVLSMENDFSTKKMSSNIGHVFQNETVKYVFPTPLYLVSDILQLATHNRSFICSLPHFLRRWSNEHGRKFFVPVEPSSKNLKVPYSFNNYYVVSSMPTYYY", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQHTRRMLNPLLTGLRNLPARGATTTTAAAPAKVEKTVNTVTILGRVGADPQLRGSQEHPVVTFSVATHTNYKYENGDWAQRTDWHRVVVFKPNLRDTVLEYLKKGQRTMVQGKITYGEITDQQGNQKTSTSIIADDVLFFRDANN", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MADLLPPLTAAQVDAKTKVDEKVDYSNLPSPVPYEELHREALMSLKSDNFEGLRFDFTRALNQKFSLSHSVMMGPTEVPAQSPETTIKIPTAHYEFGANYYDPKLLLIGRVMTDGRLNARLKADLTDKLVVKANALITNEEHMSQAMFNFDYMGSDYRAQLQLGQSALIGATYIQSVTNHLSLGGEIFWAGVPRKSGIGYAARYETDKMVASGQVASTGAVVMNYVQKISDKVSLATDFMYNYFSRDVTASVGYDYMLRQARVRGKIDSNGVASALLEERLSMGLNFLLSAELDHKKKDYKFGFGLTVG", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLLIKRYLMDPESLRRQIMNVYKCYMWKRAFHSNRSLLEVKRREKSLQRKILERILRPKEENAVKKSGFKLWSSHLNNPHKTYMRLEELQRRIMEEVHVEGIKKNDKLFNEINQWHFQNENTSTVRTPTLLIHGYAASSMSFFRNYPGLSKHIRNLYSIDMPASGLSSVPSLEINTTTPLPLDIKFIGENKFKVPYTINANHNKFVIQMYEDFYLDRIEQWRIDNKLGKMNVVGHSFGGYLSFKYAVKYPNSVNKLCLVSPLGVERNIWSVNNNFHSNTLYTIDFKNPNSKFYSKRNMIPKYLFEQQFHILRMMGPLGAKLCWNYIMAAYSRVPSLAYKEYIFELFYGKGGIPEVTTDIFKALFSRCILAKDPLMDSLQYLNVKKLLIVYGQYDWMNKKAGMFMVKELNNLKNCLEGASYLEIPSSGHNLFLDNPESFNQSIVSFLSDETKSP", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MANSLRTLFSVSTHGVFLNKRSSYRVRKVFVGMPLRICSEIPRFVSVSCIRSDMCGIMMLGKDVHDLLETSSGGNVEKGFLRWRNGGGMYHRSALIDSSALVEFGAVVHQEAILGAEVHIGSNTVIGSSVKIGPSTKIGNCSIGDLCVIHNGVCIGQDGFGFYVDDNGNMVKKPQTLNVKIGNRVEIGANTCIDRGSWRDTVIGDDTKIDNLVQIGHNVIIGKCCLFCGQVGIAGSAEIGDFVALGGRVAVRDHVSIVSKVRLAANSCVTKNITEPGDYGGFPAYKKTEPDSAFASDKHCFILQKILIQVPIHQWRRQIVEAQISSKRKP", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLRRTVSNFAMSPYMLFISDLAKTGKLKGIRTPGKFVGKKYRQLSAKEKAALQQRAKQASTPAMTAYRRMAHREMSNKSVPIEQRRANLTKKWNETKQAQREKAQKAQKKTKSAKSKVKKAAKKSKKSKK", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNTFFKLGSLIQRTASQISSSFPKSRFFSDGESAVYHHARLFKKPLSTKLKFNLVNSVSLMGFVDRSIQVMNTGPDRFGVFTILRVKDPLNPNRSFRISLRMWDAMARTCIAHLKLNDHILVSGRLESYSKSSSDVYSGLNLDYQVKVAEVNYVAAPPSHVLDSQISKNPKTKTEDDIEESKKDEIYLWQVFFSNPYDWWDNRRNKKNPKQPDFKHKDTGEALWLCSDLPDWITRRLELFDQKNRFYDEEKTRRDRLSDYI", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLRRTVSNFAMSPYMLFISDLAKTGKLKGIRTPGKFVGKKYRQLSAKEKAALQQRAKQASTPAMTAYRRMAHREMSNKSVPIEQRRANLTKKWNETKQAQRAKAQKAQKKPKSAKSKVKKAAKKAKKSKK", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MATSMLGSLLRIVRQVVPSSASGQARSYYVDWRMLRDVKRRKMAYEYADERLRINSLRKNTILPKHLQEVADEEIAALPRDSCPVRIRNRCVMTSRPRGVKRRWRLSRIVFRHLADHGQLSGIQRAIW", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MATHGQTCARPMCIPPSYADLGKAARDIFNKGFGFGLVKLDVKTKSCSGVEFSTSGSSNTDTGKVTGTLETKYKWCEYGLTFTEKWNTDNTLGTEIAIEDQICQGLKLTFDTTFSPNTGKKSGKIKSSYKRECINLGCDVDFDFAGPAIHGSAVFGYEGWLAGYQMTFDSAKSKLTRNNFAVGYRTGDFQLHTNVNDGTEFGGSIYQKVCEDLDTSVNLAWTSGTNCTRFGIAAKYQLDPTASISAKVNNSSLIGVGYTQTLRPGVKLTLSALVDGKSINAGGHKVGLALELEA", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALCATTQRTIRIAATLRRVARPFATDAVVESDYKRGEIGKVSGIPEEHLSRKVIIYSPARTATQSGSGKLGKWKINFVSTLKWENPLMGWTSTGDPYANVGDSALAFDSEEAAKSFAERHGWDYKVKKPNTPLLKVKSYSDNFKWKGNPQPEN", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLRTRTTKTLSTVARTTRAIQYYRSIAKTAAVSQRRFASTLTVRDVENIKPSHIIKSPTWQEFQHQLKDPRYMEHFAQLDAQFARHFMATNSGKSILAKDDSTSQKKDEDVKIVPDEKDTDNDVEPTRDDEIVNKDQEGEASKNSRSSASGGGQSSSSRSDSGDGSSKQKPPKDVPEVYPQMLALPIARRPLFPGFYKAVVISDERVMKAIKEMLDRQQPYIGAFMLKNSEEDTDVITDKNDVYDVGVLAQITSAFPSKDEKTGTETMTALLYPHRRIKIDELFPPNEEKEKSKEQAKDTDTETTVVEDANNPEDQESTSPATPKLEDIVVERIPDSELQHHKRVEATEEESEELDDIQEGEDINPTEFLKNYNVSLVNVLNLEDEPFDRKSPVINALTSEILKVFKEISQLNTMFREQIATFSASIQSATTNIFEEPARLADFAAAVSAGEEDELQDILSSLNIEHRLEKSLLVLKKELMNAELQNKISKDVETKIQKRQREYYLMEQLKGIKRELGIDDGRDKLIDTYKERIKSLKLPDSVQKIFDDEITKLSTLETSMSEFGVIRNYLDWLTSIPWGKHSKEQYSIPRAKKILDEDHYGMVDVKDRILEFIAVGKLLGKVDGKIICFVGPPGVGKTSIGKSIARALNRKFFRFSVGGMTDVAEIKGHRRTYIGALPGRVVQALKKCQTQNPLILIDEIDKIGHGGIHGDPSAALLEVLDPEQNNSFLDNYLDIPIDLSKVLFVCTANSLETIPRPLLDRMEVIELTGYVAEDKVKIAEQYLVPSAKKSAGLENSHVDMTEDAITALMKYYCRESGVRNLKKHIEKIYRKAALQVVKKLSIEDSPTSSADSKPKESVSSEEKAENNAKSSSEKTKDNNSEKTSDDIEALKTSEKINVSISQKNLKDYVGPPVYTTDRLYETTPPGVVMGLAWTNMGGCSLYVESVLEQPLHNCKHPTFERTGQLGDVMKESSRLAYSFAKMYLAQKFPENRFFEKASIHLHCPEGATPKDGPSAGVTMATSFLSLALNKSIDPTVAMTGELTLTGKVLRIGGLREKAVAAKRSGAKTIIFPKDNLNDWEELPDNVKEGLEPLAADWYNDIFQKLFKDVNTKEGNSVWKAEFEILDAKKEKD", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAASTGYVRLWGAARCWVLRRPMLAAAGGRVPTAAGAWLLRGQRTCDASPPWALWGRGPAIGGQWRGFWEASSRGGGAFSGGEDASEGGAEEGAGGAGGSAGAGEGPVITALTPMTIPDVFPHLPLIAITRNPVFPRFIKIIEVKNKKLVELLRRKVRLAQPYVGVFLKRDDSNESDVVESLDEIYHTGTFAQIHEMQDLGDKLRMIVMGHRRVHISRQLEVEPEEPEAENKHKPRRKSKRGKKEAEDELSARHPAELAMEPTPELPAEVLMVEVENVVHEDFQVTEEVKALTAEIVKTIRDIIALNPLYRESVLQMMQAGQRVVDNPIYLSDMGAALTGAESHELQDVLEETNIPKRLYKALSLLKKEFELSKLQQRLGREVEEKIKQTHRKYLLQEQLKIIKKELGLEKDDKDAIEEKFRERLKELVVPKHVMDVVDEELSKLGLLDNHSSEFNVTRNYLDWLTSIPWGKYSNENLDLARAQAVLEEDHYGMEDVKKRILEFIAVSQLRGSTQGKILCFYGPPGVGKTSIARSIARALNREYFRFSVGGMTDVAEIKGHRRTYVGAMPGKIIQCLKKTKTENPLILIDEVDKIGRGYQGDPSSALLELLDPEQNANFLDHYLDVPVDLSKVLFICTANVTDTIPEPLRDRMEMINVSGYVAQEKLAIAERYLVPQARALCGLDESKAKLSSDVLTLLIKQYCRESGVRNLQKQVEKVLRKSAYKIVSGEAESVEVTPENLQDFVGKPVFTVERMYDVTPPGVVMGLAWTAMGGSTLFVETSLRRPQDKDAKGDKDGSLEVTGQLGEVMKESARIAYTFARAFLMQHAPANDYLVTSHIHLHVPEGATPKDGPSAGCTIVTALLSLAMGRPVRQNLAMTGEVSLTGKILPVGGIKEKTIAAKRAGVTCIVLPAENKKDFYDLAAFITEGLEVHFVEHYREIFDIAFPDEQAEALAVER", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MFRIEGLAPKLDPEEMKRKMREDVISSIRNFLIYVALLRVTPFILKKLDSI", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGTLGRAFYSVGFWIRETGQALDRLGCRLQGKNYFREQLSRHRTLMNVFDKAPIVDKEAFVAPSASVIGDVHIGRGSSIWYGCVLRGDVNTVSVGSGTNIQDNSLVHVAKSNLSGKVHPTIIGDNVTIGHSAVLHGCTVEDETFIGMGATLLDGVVVEKHGMVAAGALVRQNTRIPSGEVWGGNPARFLRKLTDEEIAFISQSATNYSNLAQAHAAENAKPLNVIEFEKVLRKKHALKDEEYDSMLGIVRETPPELNLPNNILPDKETKRPSNVN", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAASTSTRFLVLLKDFSAFRKISWTCAATNFHRQSRFLCHVAKEDGSLTLASLDLGNKPRKFGKGKAMKLEGSFVTEMGQGKVRAVKNDKMKVVKEKKPAEIVSPLFSAKSFEELGLPDSLLDSLEREGFSVPTDVQSAAVPAIIKGHDAVIQSYTGSGKTLAYLLPILSEIGPLAEKSRSSHSENDKRTEIQAMIVAPSRELGMQIVREVEKLLGPVHRRMVQQLVGGANRMRQEEALKKNKPAIVVGTPGRIAEISKGGKLHTHGCRFLVLDEVDELLSFNFREDIHRILEHVGKRSGAGPKGEVDERANRQTILVSATVPFSVIRAAKSWSHEPVLVQANKVTPLDTVQPSAPVMSLTPTTSEADGQIQTTIQSLPPALKHYYCISKHQHKVDTLRRCVHALDAQSVIAFMNHSRQLKDVVYKLEARGMNSAEMHGDLGKLGRSTVLKKFKNGEIKVLVTNELSARGLDVAECDLVVNLELPTDAVHYAHRAGRTGRLGRKGTVVTVCEESQVFIVKKMEKQLGLPFLYCEFVDGELVVTEEDKAIIR", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLSSRAEAAMTAADRAIQRFLRTGAAVRYKVMKNWGVIGGIAAALAAGIYVIWGPITERKKRRKGLVPGLVNLGNTCFMNSLLQGLSACPAFIRWLEEFTSQYSRDQKEPPSHQYLSLTLLHLLKALSCQEVTDDEVLDASCLLDVLRMYRWQISSFEEQDAHELFHVITSSLEDERDRQPRVTHLFDVHSLEQQSEITPKQITCRTRGSPHPTSNHWKSQHPFHGRLTSNMVCKHCEHQSPVRFDTFDSLSLSIPAATWGHPLTLDHCLHHFISSESVRDVVCDNCTKIEAKGTLNGEKVEHQRTTFVKQLKLGKLPQCLCIHLQRLSWSSHGTPLKRHEHVQFNEFLMMDIYKYHLLGHKPSQHNPKLNKNPGPTLELQDGPGAPTPVLNQPGAPKTQIFMNGACSPSLLPTLSAPMPFPLPVVPDYSSSTYLFRLMAVVVHHGDMHSGHFVTYRRSPPSARNPLSTSNQWLWVSDDTVRKASLQEVLSSSAYLLFYERVLSRMQHQSQECKSEE", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLINIEGIQAFCQTIRNPRRIIPHATFPTFSQIPCNINYFLEQKFQVPVDIRALVLDKDNCITLPNETTIAEAELKKIREFQNIYGEKNVILLSNSIGTRKLDPTGELAAHFQQKWNIPVVRHSKLKPLCTEELYTYLSNNSHVSSASQILFIGDRLLTDITLANIMGSWGVWLTRGVGNTTNMMMEVESWLYKRIHTQNPYIPTNRKS", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASVKKLAGKVAIVTGGASGIGEVTARLFAERGARAVVIADMQPEKGGTVAESIGGRRCSYVHCDITDEQQVRSVVDWTAATYGGVDVMFCNAGTASATAQTVLDLDLAQFDRVMRVNARGTAACVKQAARKMVELGRGGAIICTASATVHHAGPNLTDYIMSKCGVLGLVRSASLQLGVHGIRVNSVSPTALATPLTATIGLRTAADVESFYGQVTSLKGVAITAEHVAEAVAFLASDEAAFVTGHDLAVDGGLQCLPFVAVAK", - "output": "Mitochondrion" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSKLLNNNNHKNLTNYLKFGKGIINNLNNKSKQVGIISFISQSSIQSQSSIQSQSFLSINNNSNNKYFSTKLNKNEKISEKTTTRKIEDIYQKKTPTEHVLLRPDSYIGTIEKIEDDMWVLSNSMFNKEKKTIELNNDNNEKNVESTTTTTTKTNKKPLTYIHPIKATYIPGLLKIYDEILVNAADNKKRDSKMSFIKVEINPNENSISIMNDGKGIPVVMHQTENCYVVEMVMGNLMSGSNFNDSELKVVGGRNGFGAKLTNIFSKEFTVETVDKSSGKKYFQRWSNNMGDRSEPIITPIGEGESDYTKITFKPDLEKFKIKSLWDDNILQLMERRLYDIAGCNTELMVTLNGKRLNYNFQSYVKLYEHHLNNSTKREDNEEQYREESFEFGEISPRWKIGIGLSETGQFTQVSFVNSINTVKGGTHVNFLADQIVRYVGEKLKKKHSDLEIRPMNIKHHLALFVNCLVDNPSFDSQSKETLTTKPMLFGSTPEIPESLLAQFVKNSKIIERVAGWALMKQKADLIHSTSGRQSKTTLIKSISKLDDANWAGGLKSKECTLIITEGDSAKSLALAGLSVVGRNSYGVFPLRGKLLNVRDVASKQLLSNEEINNLTTILGLSHKNSYDTDESMEDLRYGRVMIMADQDHDGSHIKGLVMNFIHYFWPNLLKRGFLVEFVTPIIKATKSSTQKKSFFTIKDYEKWRETISSDQLKQYTIKYYKGLGTSTSAEAKEYFSNLDKHVIKFIWGDEADDLIKMAFAKDLSSLRQRWIKETDMSQGIDHSIKEITYPDFINKELIHYSWAANLRSIPSLIDGLKPGQRKILFASFKRRLTNEIKVSQLSGYVAEQTSYHHGEQSLNSTIVKMAHNFVGSNNLPLLTPSGQFGTRLQGGSDSASARYIFTKLEPVARYLFNELDDPLLNYLEEEGESIQPDYYIPIIPMLLVNGSEGIGVGMSTSIPLFSPIDIIDQLMLRLNNQVALKKLIPWYRGFKGTISPDRHTYRTNGVIKLVGRNLEITELPIGRWTSDYKEVLNDLIDKDVIKSFQESNTENSVHFTILLNNNQLEQMEDLTENELIKLFKLSASLNFHLTCFDENSKIQKLESVEEIIDQFYKVRLQFYGKRREYLLKSLDNQIKRLTTTIQFLEVIASGKLKIQGRSKQDLIKELESGEIVGFENFGTHPPEVYQHLFSLSILDITKERIDNLTNQLTKRKSEHQSISSSDPKSLWTADLQQLKEYLEKSDKEFQKKPLKTSSSSSFDVSSSSESAKLSSTRKSKTDKIKSK", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASERPTGSESGDAGVVLEGNREASQDIKMALYKHGRLIPSLGDAKFGRPMIAEILEKKFESYRNDQTLNIRGTLFFGVSSSLSGVMANLVFRYSFKVKYEALRTYASLTTLPFVATAVTYKLFVTDALQSGNISQESCVLRSSLIGVACGVSYPSALAFYKNGRLAVKYHTVPVPPKGRVMLHWLLLCQTGMKAMAVPLLFQIIFGVFNGLYHYAVCEKAYARIVPDD", - "output": "Mitochondrion" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MMLRTTASRKIVLRRGLASINTGTTVASKKASHKFRNTLWTIALSATAFYAGGIIYSQKNDKFGDFFSNNVPFAEDLLETYEHYHDRPTLFLEDSWDGLKAKSNDLLSGLTGSSQTRRSNRENIEVKKILSLEPLNIETENSDPQLKEIIGSLNDLINSLNDSNLSIPESEFNSIKKSNQNMLTNLSQLNETLKEALSNYMIQRTSEVITELNTQYENSKREFEKNLQKNLLQEVDEFKENLTKQKDKELEEKLKANEELLQAKHANEVGLLSITQVKEFNKIIKDKIEKERNGRLAHLEEINSEVNDLSKSIDRSSKILSKNEALVQLTFQVDEIKSRINNNNLPDVNIDKELSRLKLLSNLLSTFNKKSCCDDGDCCSCKKGNKNEGKEGKISCKCKPKTNPPSLLSVALDELESTCSGKKILSNEQIYNRWNLLADDFKTASLLPPNSGILGQLTAKVFSLFLFTKTGNPSNATDFDSVYARVGDNLRVSNLNDAVEEVVSLKGWPHKVCESWIEDARRKLEVQRLVEILDCEIRTL", - "output": "Mitochondrion" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAIRCVASRKTLAGLKETSSRLLRIRGIQTFTLPDLPYDYGALEPAISGEIMQIHHQKHHQAYVTNYNNALEQLDQAVNKGDASTVVKLQSAIKFNGGGHVNHSIFWKNLAPSSEGGGEPPKGSLGSAIDAHFGSLEGLVKKMSAEGAAVQGSGWVWLGLDKELKKLVVDTTANQDPLVTKGGSLVPLVGIDVWEHAYYLQYKNVRPEYLKNVWKVINWKYASEVYEKENN", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFRSMLVRSSASAKQAVIRRSFSSGSVPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIANTPGVAADVGHINTRSEVVGYMGDDNLAKALEGADLVIIPAGVPRKPGMTRDDLFNINAGIVKNLCTAIAKYCPHALINMISNPVNSTVPIAAEIFKKAGMYDEKKLFGVTTLDVVRARTFYAGKANVPVAEVNVPVIGGHAGVTILPLFSQATPQANLSSDILTALTKRTQDGGTEVVEAKAGKGSATLSMAYAGALFADACLKGLNGVPDVIECSYVQSTITELPFFASKVRLGKNGVEEVLDLGPLSDFEKEGLEALKPELKSSIEKGVKFANQ", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNKTIIINNVSKYIKFKNYYSSSSTILKKKTSEIYKIKGLDIKDRKELYSLNNDSIKKKLNQLKDETNQLLKERGEELMKDLSKTLNLTSDNLKYNITKSPFTLRAYLLAANQGISFYHHELRKQINPTDDYERQKRKDLVYKLQSQEIDSLTSGGANKKKSPFLEDNNNKKSMSIEREMEIIREQQQEQQHFDQQMSNSTKWEMSQLEIQPRIDTFRQDELFVSFNPYESMSTNSQSYQLVSRLSKFVWNKELTNWEMFSSSKLNQLIPQLQLHILDKINLNNDNDNDNSIIINQFLESIKFISNEINSTDLNIFKTLNSSNNNNNSSENIIEVENGGDFKKIINNSIVDSLIYMKGHHFRITSDPFILFFQQLKENQDYFTSNEDYKQFLEKLLDTLLFETIEFDPTSTTTINGNRQFEYKLKLDLLQREAFRQFKVYQVFNTSPDAKRHEESDLRKLAHLCKTLQKRSTSDFIQESKKYLSPQILSNGLLNSNKLLVATSSSNELNQSKTSISISQLTDGLHSCIPATMSLLEFSIKNSSKDNILFDTFDGKLKNINSLDDLITKFANSKYIYLRESLSQRFSMFIQSSNNTTDNNTTTDTNDNDIIIGLNNPIYKLLIDLEDKVLELSQNNFEENPIENWIGCLSIELGDEDDNIEYKDTDQFNVIASQNFNLVRINNQMLAQLHKTFSEDLDFQIEELELMIKNKKILEREIKAHNEKISKIIKDSRDSTTPTFYFIGIQDDQVYTLEIPNEWEPVWNLLKSYPNGLLLKDFIDKQTFVTKEAFLSTLTDLNKYGVVGLFPKN", - "output": "Mitochondrion" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLSAARLQFAQGSVRRLTVSARDAPTKISTLAVKVHGGSRYATKDGVAHLLNRFNFQNTNTRSALKLVRESELLGGTFKSTLDREYITLKATFLKDDLPYYVNALADVLYKTAFKPHELTESVLPAARYDYAVAEQCPVKSAEDQLYAITFRKGLGNPLLYDGVERVSLQDIKDFADKVYTKENLEVSGENVVEADLKRFVDESLLSTLPAGKSLVSKSEPKFFLGEENRVRFIGDSVAAIGIPVNKASLAQYEVLANYLTSALSELSGLISSAKLDKFTDGGLFTLFVRDQDSAVVSSNIKKIVADLKKGKDLSPAINYTKLKNAVQNESVSSPIELNFDAVKDFKLGKFNYVAVGDVSNLPYLDEL", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASSDTEGKRVVVIGGGLVGALNACFLAKRNFQVDVYEAREDIRVANFMRGRSINLALSYRGRQALKAVGLEDQIVSKGVPMKARMIHSLSGKKSAIPYGNKSQYILSISREKLNKDLLTAVESYPNAKVHFGHKLSKCCPEEGILTMLGPNKVPRDITCDLIVGCDGAYSTVRAHLMKKPRFDYSQQYIPHGYMELTIPPKNGEYAMEPNCLHIWPRNAFMMIALPNMDKSFTCTLFMSFEEFEKLPTHSDVLDFFQKNFPDAIPLMGEQALMRDFFLLPAQPMISVKCSPFHLKSRCVLMGDAAHAIVPFFGQGMNAGFEDCLVFDELMDKFNNDLSVCLPEFSRFRIPDDHAISDLSMYNYIEMRAHVNSRWFLFQRLLDKFLHALMPSTFIPLYTMVAFTRIRYHEAVLRWHWQKKVINRGLFVLGSLVAIGSAYILVHHLSPRPLELLRSAWTGTSGHWNRSADISPRVPWSH", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKLLTFPGQGTSISISILKAIIRNKSREFQTILSQNGKESNDLLQYIFQNPSSPGSIAVCSNLFYQLYQILSNPSDPQDQAPKNMTKIDSPDKKDNEQCYLLGHSLGELTCLSVNSLFSLKDLFDIANFRNKLMVTSTEKYLVAHNINRSNKFEMWALSSPRATDLPQEVQKLLNSPNLLSSSQNTISVANANSVKQCVVTGLVDDLESLRTELNLRFPRLRITELTNPYNIPFHNSTVLRPVQEPLYDYIWDILKKNGTHTLMELNHPIIANLDGNISYYIHHALDRFVKCSSRTVQFTMCYDTINSGTPVEIDKSICFGPGNVIYNLIRRNCPQVDTIEYTSLATIDAYHKAAEENKD", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAVVAASRSLAKCWLRPAVRAWPAACQTHARNFHFTVDGKKNASTKVSDSISTQYPVVDHEFDAVVVGAGGAGLRAAFGLSEAGFNTACVTKLFPTRSHTVAAQGGINAALGNMEDDNWRWHFYDTVKGSDWLGDQDAIHYMTEQAPAAVIELENYGMPFSRTEEGKIYQRAFGGQSLQFGKGGQAHRCCCVADRTGHSLLHTLYGRSLRYDTSYFVEYFALDLLMENGECRGVIALCIEDGTIHRFRAKNTVIATGGYGRTYFSCTSAHTSTGDGTAMVTRAGLPCQDLEFVQFHPTGIYGAGCLITEGCRGEGGILINSQGERFMERYAPVAKDLASRDVVSRSMTIEIREGRGCGPEKDHVYLQLHHLPPQQLATRLPGISETAMIFAGVDVTKEPIPVLPTVHYNMGGIPTNYKGQVITHVNGEDKVVPGLYACGEAASASVHGANRLGANSLLDLVVFGRACALTIAETCKPGEPVPSIKPNAGEESVANLDKLRFADGTIRTSEARLNMQKTMQSHAAVFRTGSILQEGCEKLSQIYCDLAHLKTFDRGIVWNTDLVETLELQNLMLCALQTIYGAEARKESRGAHAREDYKFRIDDFDYSKPLQGQQKRPFEEHWRKHTLSYVDVKSGKVTLKYRPVIDRTLNEEDCSSVPPAIRSY", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRRCFSKITDCHLGFKNSNFLLVGSEVGSGSVTRTITTTTSERLFSSSYAAHQVDQIKDNPVSDMLIDKFGRLHTYLRISLTERCNLRCQYCMPSEGVELTPKPQLLSQSEIVRLAGLFVSAGVNKIRLTGGEPTVRKDIEEICLQLSSLKGLKNLAITTNGITLAKKLPRLKECGLDSLNISLDTLVPAKFEFLTRRKGHDRVMKSIDTAIELGYNPVKVNCVIMRGLNDDEICDFVELTRDKPINVRFIEFMPFDGNVWNVKKLVPYAEVMDKVVKRFPSIKRMQDHPTETAKNFTIDGHCGSVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRSGADDEALREIIGAAVKRKKAAHAGMLDIAKTANRPMIHIGG", - "output": "Mitochondrion" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAAKVALTKRADPAELRTIFLKYASIEKNGEFFMSPNDFVTRYLNIFGESQPNPKTVELLSGVVDQTKDGLISFQEFVAFESVLCAPDALFMVAFQLFDKAGKGEVTFEDVKQVFGQTTIHQHIPFNWDSEFVQLHFGKERKRHLTYAEFTQFLLEIQLEHAKQAFVQRDNARTGRVTAIDFRDIMVTIRPHVLTPFVEECLVAAAGGTTSHQVSFSYFNGFNSLLNNMELIRKIYSTLAGTRKDVEVTKEEFVLAAQKFGQVTPMEVDILFQLADLYEPRGRMTLADIERIAPLEEGTLPFNLAEAQRQKASGDSARPVLLQVAESAYRFGLGSVAGAVGATAVYPIDLVKTRMQNQRSTGSFVGELMYKNSFDCFKKVLRYEGFFGLYRGLLPQLLGVAPEKAIKLTVNDFVRDKFMHKDGSVPLAAEILAGGCAGGSQVIFTNPLEIVKIRLQVAGEITTGPRVSALSVVRDLGFFGIYKGAKACFLRDIPFSAIYFPCYAHVKASFANEDGQVSPGSLLLAGAIAGMPAASLVTPADVIKTRLQVAARAGQTTYSGVIDCFRKILREEGPKALWKGAGARVFRSSPQFGVTLLTYELLQRWFYIDFGGVKPMGSEPVPKSRINLPAPNPDHVGGYKLAVATFAGIENKFGLYLPLFKPSVSTSKAIGGGP", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASFVKFANTTFLDARFPLFKNVSFELARKQNWAIIGNTGSGRTTFLRCIQGSFTPSPSTSFSYPFLKGKSDSPWQAIQLLDFKSSGQQRAAYYSERYHSFRDKEHDTTLEKWLLGAYRGNEKFASQHVQEAASMTQLSHLLPSSLINLSNGQSRRAMLASKLVQRPQLLLLDEPYAGLDVTSRSVLSSLLGEMSNHCSPKIVLSLRPQDKIPDFITHVLELKNKKITYQGPKEQYIPMTSHSTNIPVKPQMKKSKPITIGKPLISMEHLNCVYWGRKVLSDINWTIREGERWALTGSNGSGKTTLLAYVVGDHPKLFASNIKFFGKSIGPGTGISIFDIQENIGHCSPEIHNHFPKQHTCFEALLSAWSTTFTIPKLTETRLAAISSILEEFELKDIKDKPLSSISVGMQRFILFCRAIVKQPRLVVLDEPFQGVDTKYVHMAHNYLNEKLSPSQAMVIISHYEDELPACVNRRAHIDNGKLVIHA", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDGKQGGMDGSKPAGPRDFPGIRLLSNPLMGDAVSDWSPMHEAAIHGHQLSLRNLISQGWAVNIITADHVSPLHEACLGGHLSCVKILLKHGAQVNGVTADWHTPLFNACVSGSWDCVNLLLQHGASVQPESDLASPIHEAARRGHVECVNSLIAYGGNIDHKISHLGTPLYLACENQQRACVKKLLESGADVNQGKGQDSPLHAVARTASEELACLLMDFGADTQAKNAEGKRPVELVPPESPLAQLFLEREGPPSLMQLCRLRIRKCFGIQQHHKITKLVLPEDLKQFLLHL", - "output": "Mitochondrion" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MIRAANGFRISVRNTAVCLAPNFRQLKGFSIINLGSLQYFRYNSVYSKSIRLVNTLENRIVPVYKECASPQSIGGKSNLKQLQWPKPPKNILILKKRMDERVDHCFETLVQHLQQTYPDICIITETDVAKKFSYLNLYTWTEISDLEQKVDAIITVGGDGTILHAASLFARSGMPPILSFSLGTLGFLLPFDFGSFQTAFADFYNSRSFVLMRMRLRVAMKTKLYNESIYAMNEMHIHRGLSPHMAVLKVFVNDKFLTEAVADGLIISTPTGSTAYSLSSGGPIVHPSINALLLTPICPNSLSFRPVLFPDTFKISIETSNKSRVRPQLSIDGRPLGLTDIGQRIDITSVKDNAIPCIIRSHKEDDWVSDIVSLLRWNHPFHRKGW", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAGSRRLAMRLLSGCAWVRGSDSAVLGRLRDEAVVHPGFLSQEEEDTLTRELEPQLRRRRYEYDHWDAAIHGFRETEKSCWSDASQVILQRVRAAAFGPDQSLLSPVHVLDLEPRGYIKPHVDSVKFCGSTIAGLSLLSPSVMKLVHTQEPEQWLELLLEPGSLYILRGSARYDFSHEILRDEESFFGEHRVPRGRRISVICRSLPEGMGPGRPEEPPPAC", - "output": "Mitochondrion" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKPIRFFKPENLQLAKAILARYPLRFQSAALVPLLDLAQRQHGTWIPPTAMYEIASLAGVSIDYVHSLILAYPNDFFWRPKKPRVRICNSWMCQQAAEEQGNSNWDSQCRSVATKYGFDVENTGCLGNCFQGPAMWINDKIYGVNTKEKLVDIMEALTQKKN", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLFRNIEVGRQAAKLLTRTSSRLAWQSIGASRNISTIRQQIRKTQLYNFKKTVSIRPFSLSSPVFKPHVASESNPIESRLKTSKNVAYWLIGTSGLVFGIVVLGGLTRLTESGLSITEWKPVTGTLPPMNQKEWEEEFIKYKESPEFKLLNSHIDLDEFKFIFFMEWIHRLWGRAIGAVFILPAVYFAVSKKTSGHVNKRLFGLAGLLGLQGFVGWWMVKSGLDQEQLDARKSKPTVSQYRLTTHLGTAFFLYMGMLWTGLEILRECKWIKNPVQAISLFKKLDNPAIGPMRKISLALLAVSFLTAMSGGMVAGLDAGWVYNTWPKMGERWFPSSRELMDENFCRREDKKDLWWRNLLENPVTVQLVHRTCAYVAFTSVLAAHMYAIKKKAVIPRNAMTSLHVMMGVVTLQATLGILTILYLVPISLASIHQAGALALLTSSLVFASQLRKPRAPMRNVIITLPHSSKVTSGKILSEASKLASKPL", - "output": "Mitochondrion" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MATSNLRRHLSASRLRLNRFISTSSSYHSHRRVVVTGLGMVTPLGRGVETTWRRLIDGECGIRGLTLDDLKMKSFDEETKLYTFDQLSSKVAAFVPYGSNPGEFDEALWLNSKAVANFIGYAVCAADEALRDAEWLPTEEEEKERTGVSIGGGIGSICDIVEAAQLICEKRLRRLSPFFIPKILVNMASGHVSMKYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSVAGFSRSRALSTKFNSSPQEASRPFDCDRDGFVIGEGSGVIVLEEYEHAKRRGAKIYAELCGYGMSGDAHHITQPPEDGKGAVLAMTRALRQSGLCPNQIDYVNAHATSTPIGDAVEARAIKTVFSEHATSGTLAFSSTKGATGHLLGAAGAVEAIFSILAIHHGVAPMTLNVKNPDPIFDKRFMPLTTSKKMLVRTAMSNSFGFGGTNASLLFASI", - "output": "Mitochondrion" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAGALVRKAADYVRSKDFRDYLMSTHFWGPVANWGLPIAAINDMKKSPEIISGRMTFALCCYSLTFMRFAYKVQPRNWLLFACHVTNEVAQLIQGGRLINYEMSKRPSA", - "output": "Mitochondrion" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAKKNKARLLVKLLSTAGTGFFYVRSRPKAAPKLAFIKYDPKIHKRVLFEESKMK", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQPEQLAGCAVVLTVTVLTLRWMFRVDKGGEVSESRTSSSGVDNEPPVNSEHVHLVKTVFPHLESSAIAYDLQKTKNVDATIENALRGQPLPLPPRNSSLYARFPLSAGAGASSHSEETTPSHEVTSNVSSGSSASSLASNEHRSLIETYNLSSRISSSDNSSSSTGNEEVRNRSKLPSSKKEREELFRKRKEEMILAARKRMEGKIKGEKQDKN", - "output": "Mitochondrion" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQRSIFARFGNSSAAVSTLNRLSTTAAPHAKNGYATATGAGAAAATATASSTHAAAAAAAAANHSTQESGFDYEGLIDSELQKKRLDKSYRYFNNINRLAKEFPLAHRQREADKVTVWCSNDYLALSKHPEVLDAMHKTIDKYGCGAGGTRNIAGHNIPTLNLEAELATLHKKEGALVFSSCYVANDAVLSLLGQKMKDLVIFSDELNHASMIVGIKHANVKKHIFKHNDLNELEQLLQSYPKSVPKLIAFESVYSMAGSVADIEKICDLADKYGALTFLDEVHAVGLYGPHGAGVAEHCDFESHRASGIATPKTNDKGGAKTVMDRVDMITGTLGKSFGSVGGYVAASRKLIDWFRSFAPGFIFTTTLPPSVMAGATAAIRYQRCHIDLRTSQQKHTMYVKKAFHELGIPVIPNPSHIVPVLIGNADLAKQASDILINKHQIYVQAINFPTVARGTERLRITPTPGHTNDLSDILINAVDDVFNELQLPRVRDWESQGGLLGVGESGFVEESNLWTSSQLSLTNDDLNPNVRDPIVKQLEVSSGIKQ", - "output": "Mitochondrion" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGTTRVCSEVSSGSSKSLSQSLTVSTSTTETVNGFHEFKICGYSLAKGVGVGKYVASDTFMVGGYSWAIYFYPDGKSPEDNSSYVSLFIALASEGADVRALFELTLVDQSGNGKHKVHSHFGRALDSGPYTLKYRGSMWGYKRFFRRSSLESSDYLKENSLLVRCRVGVVKSVTEGPRYYNIPVPVSNLGQQLGNLLESGKGCDVVFQVDGETFNAHKLVLATRSPVFNAQLFGPLGDRNTKCITIEDMEAPIFKVLLHFIYWDELPDMQELIGTDSTLASTLVAQHLLAAADRYALERLKAICESKLCEGVAINTVATTLALAEQHHCLQLKAVCLKFVALPENLKAVMQTDGFDYLKESCPSLLTELLQYVARLSEHSVIVSGHRKEIFADGCDASGRRVKPRLH", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSRKGTRSSRIVTLERSANSSLSNNGGGDDSFGSTLLETSRLQEKDHLTSLNSRLATYIDKVRQLEQENNRLQVQIRDIEVVEKKEKSNLADRFEAEKARLRRALDSAQDELAKYRIEYDAAKVEVKKLKPQVEKLERELAGAEEQALHAQSIADQSQAKQKTLQARNDKLVVENDDLKKQNITLRDTVEGLKKAVEDETLLRTAANNKIKALEEDLAFALQQHKGELEEVRHKRQVDMTTYAKQINDEYQSKLQDQIEEMRAQFKNNLHQNKTAFEDAYKNKLNAARERQEEAVSEAIHLRARVRDLETSSSGNASLIERLRSELDTLKRSFQEKLDDKDARIAELNQEIERMMSEFHDLLDVKIQLDAELKTYQALLEGEEERLNLTQEAPQNTSVHHVSFSSGGASAQRGVKRRRVVDVNGEDQDIDYLNRRSKLNKETVGPVGIDEVDEEGKWVRVANNSEEEQSIGGYKLVVKAGNKEASFQFSSRMKLAPHASATVWSADAGAVHHPPEVYVMKKQQWPIGDNPSARLEDSEGDTVSSITVEFSESSDPSDPADRCSIM", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGKGQPKEPKIEQSVVDLCKRTVAMNLLQCYPTTTVDEMNCEEWGNGTESTQSVAACQGCIELRKEVTDLRQAVNLILPMLPLYPTIGNGFNATGLAAQPTLQHVIQQSLLRKRPVAQTPTVPQPECPGQIRPVLSSPAAALQNVIMLNPWIMGSSLKPASPTLPNGQIPTTIGETSLQGTDDQTVKWIGPSSVDSNGQKTDSSAASAGDNQNIDVIGDGSESPTSSNHSAQEIALMTSQQTFLNALKDSSFLFTNPVPTVETAPPLRVAPPINGTTNGTAKAGGPERKPRKPVNDDIVKIVRNQDLSEENISMFEIPVPKAIASDPTFRPVSEQQIIQQIIQGKKYEEMEVGECMIQLCKKLAEKRVFGPRLMSQTTVAGLNHSNYANLPIKGICYIQHVCRKVLYDKFENEEDFWDKFREAMRKLAARCRRVRHAKKTKHNREEAQAEMLSKRYGEDMPFNLNGAGLIRPKVETVSPEANILNSDQIKSQLESLFAHIPKTESETPLIEIIQQNISLFTHLIRTKVESQSPPLQGPQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEKDALEVRLKSIRHSLDKNTKLLPGKYRNTLGERLITKWRYKKKSHNGSSMLPEKCKSHVQLYDDLVQESSKHFVGFRLHDLRALLKRICSIQNYTRHVLIEWDVRWVNPLTLASKGWEPYQSASQSQVPFKCCCCHAIMTIPLLKNGDDVADYTMKLNEKIWNSNIIGNHLQKCPWRENQVDLNKEYYLSSQNLIREIERIHTEIDRIVSGSNEFSLKRNSSRIFHYLSEKEIQKLAFFFDCKDYSLVGLLLLGYTKFQKDDLVQCTACFHRASLKKLEYTEFNGHALWCRYYNKELLPTMLLELIGKEDKLITKLGVGERLNKLEAVLQTL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLAMDTCKHVGQLQLAQDHSSLNPQKWHCVDCNTTESIWACLSCSHVACGRYIEEHALKHFQESSHPVALEVNEMYVFCYLCDDYVLNDNTTGDLKLLRRTLSAIKSQNYHCTTRSGRFLRSMGTGDDSYFLHDGAQSLLQSEDQLYTALWHRRRILMGKIFRTWFEQSPIGRKKQEEPFQEKIVVKREVKKRRQELEYQVKAELESMPPRKSLRLQGLAQSTIIEIVSVQVPAQTPASPAKDKVLSTSENEISQKVSDSSVKRRPIVTPGVTGLRNLGNTCYMNSVLQVLSHLLIFRQCFLKLDLNQWLAMTASEKTRSCKHPPVTDTVVYQMNECQEKDTGFVCSRQSSLSSGLSGGASKGRKMELIQPKEPTSQYISLCHELHTLFQVMWSGKWALVSPFAMLHSVWRLIPAFRGYAQQDAQEFLCELLDKIQRELETTGTSLPALIPTSQRKLIKQVLNVVNNIFHGQLLSQVTCLACDNKSNTIEPFWDLSLEFPERYQCSGKDIASQPCLVTEMLAKFTETEALEGKIYVCDQCNSKRRRFSSKPVVLTEAQKQLMICHLPQVLRLHLKRFRWSGRNNREKIGVHVGFEEILNMEPYCCRETLKSLRPECFIYDLSAVVMHHGKGFGSGHYTAYCYNSEGGFWVHCNDSKLSMCTMDEVCKAQAYILFYTQRVTENGHSKLLPPELLLGSQHPNEDADTSSNEILS", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPPLRRQALTLAEKKAIRKHYFESATKPSQQELISWFEEHFHKKLAQSTVSSILSSKNEFLDNLDAENSQIRRNRQGKYPILENALIDWQTRLQKQDGAITGNAIKKSAAELWRRIPEYSELPIPEFSNGWLEKFKKRCLKHGLKLQGESTSVNQGTYEENMVQIQELISLFDPKDIFNMDETGLCWKLIPNQSPASERVKGITRDKARVTVTICCNATGSEKAPLWVIGYAKSPRAFRQANAHPDSMDFHWRYNGTARMTTSIMEEWLRWFDDLMKGRKVLLILDKFVAHECALENIRNSERKLVNTTVVFLPVNSTEIYHPCGQEIVYAFKSYYRKYWLNYMLEEIRLGKNPSKTMNVLKAVRWMIRSWNVDFEPSIIYNCFLRSGLFQNQQPLTGPSPETIRIAVNLQELIGKYLGDKDIFQIENFINPIEENSADTNDDIVNQVAAQFLDEREFETDEEEEESQYLLSTKDAINAINTLLNFQEQSEDGNVLFTRTLLQFQKVLESRSIV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSLTDVLELNKTELFAKIRNGLPVVQRTQNLLDCKDDLLFAWHAKDSCLLVRNWRSSLAAKVNIQFQTLIPSSLVSLEVDRVLASNEGSLVALSGPRGVVIMELPRRWGPDGYYKDGKPVITCRTFGLDTQLFLKNPHLEVRQVRWHPHSVSDSTLLVLLNNNTIRVYNHSKLRHVWQVGPPVLRSGANNSLCDFGELAVDFDIAPAAKPRVTEPETAGNNETTLDKSNKTLVAAKSLPKQERIEWPMVVLRENGNIYILMTGVDSENTRLQGPVTITPQAHDNYGLESCALMIIPSLPPTIVIAESNGKLHHALLMEAEATEHSFNEVDDSVLIEPAEYVVHVLETVELELGISAPATGKEGGNCPIYLKRDLINELRYFAYHNAGLHAVTVSFIAELQRYLESESDEDRLELAVSASAEYILCTKFDSSETVNAVFGLALLQIPAGIVLLLGSGQVISLKLVIDAQLLVTPNENKPVDSEVSQQESGPPFVDTIKSLLQRSVNQPILADKLSSPSAQESFELLNQAIEVLREQYLKRHDLVRAAFTRHINQIQLKKEQQLQEIQDLEQERELISERAHKLAERFEEISYNQELLVRKCNALMQRANASLPNSVIAEREFSQEVIRLNKVTQSLAAGLETAKKTFNKQRYHIAQSQEDLKKNAYELPEKQHRTITEILTQLTGEIDRQITDVKRINKIVGI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSRIIVKGLPVYLTDDNLREHFTKRLRQKHSHQAVNGSGPDLITDVKILRDRNGESRRFGFIGYRNEEDAFDAVEYFNGSFINTSKIEVSMAKSFADPRVPQPMKEKRREALKRFREKEEKLLQEENRKKKKVDENKHSNIDDEIRKNKQLQEFMETMKPSSQVTSWEKVGIDKSIEDEKLKREEEDSSVQGNSLLAHALALKEENNKDEAPNLVIENESDDEYSALNRNRDEDQEDAGEEEKMISISNLKDTDIGLVNDDANSDEKENEKRRNLAQDEKVSDLDWFKQRRVRIKESEAETREKSSSYATEQNESLDTKKEEQPERAVPQKTDEELAIEKINQTGRLFLRNILYTSKEEDFRKLFSPFGELEEVHVALDTRTGQSKGFAYVLFKDSKNAVNAYVELDKQIFQGRLLHILPGEEKKSHRLDEFDLKNMPLKKQKELKRKAAASRQTFSWNSLYMNQDAVLGSVAAKLGLEKSQLIDAENSSSAVKQALAEAHVIGDVRKYFESKGVDLTKFSQLKSTNQRDDKVILVKNFPFGTTREELGEMFLPYGKLERLLMPPAGTIAIVQFRDTTSARAAFTKLSYKRFKDGIIYLERGPKDCFTKPAEADDLINNTSAKEEENPVEVKPSSNDLMEANKDVTEGSSNAHDEDVIDGPTVSIFIKNLNFSTTNQNLTDRFKVFTGFVVAQVKTKPDPKHQGKTLSMGFGFVEFRTKEQANAVIAAMDGTVIDGHKIQLKLSHRQASQSGNTKTKSNKKSGKIIVKNLPFEATRKDVFELFNSFGQLKSVRVPKKFDKSARGFAFVEFLLPKEAENAMDQLHGVHLLGRRLVMQYAEEDAVDAEEEIARMTKKVRKQVATNEMAALRNGGGRKKLDVDDEENEGF", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPSMAQGELKSFVQNSRPNPKSPTVSPFSMRQKIAEHRRSLPIASVEKRLVEEVQKNDILIIVGETGSGKTTQLPQFLYNAGFCREGKMIGITQPRRIAAVTVAKRVAEECEVQLGQKVGYSIRFDDTTSGSTRLKYMTDGLLLREALLDPHLSRYSVIIVDEAHDRSVHTDVLLALLKKIQRTRSQPVSEKTEFGNVASQVQTTTRDANGPQQNGVLKGYQGRKLSPLKLIIMSASLDARVFSEYFGGAKAVHVQGRQFPVDILYTVHPESDYVDATLVTIFQIHFEEKPGDILVFLTGQDEIESVERLVQERLQNIPEDKRKLLPLAIFSALPSEQQMKVFAPAPTGFRKVILATNIAETSITIPGIRYVIDPGFVKARSYDPSKGMESLDVVPASKAQTLQRSGRAGREGPGKSFRLYPEREFEKLEDSTKPEIKRCNLSNIILQLKALGIDDIVGFDFIDKPSRGAIIKALAELHSLGALADDGKLENPVGYQMSRLPLEPVYSKALILANQFNCLEEMLITVAVLSVESIFYDPREKREEARTSKNHFASVEGDHLTYLSVYRESDEFLEKRKAAGSGNNIDKIMKKWCKENYVNSRSLKHARDIYRQIREHVEQIGFNVSSCGNDMLAFRRCLAASFFLKAAQRQLDGTYRALESGEVVHIHPTSVLFRAKPECVIFNELMQTSKKYIKNLTIIDSLWLSELAPHHFQTAE", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSSDKVKNGNEPEESEESCGDESASYTTNSTTSRSKSPSSSTRSKRRGRRSTKSKPKSRAAYKYDTHVKENHGANIFGVAFNTLLGKDEPQVFATAGSNRVTVYECPRQGGMQLLHCYADPDPDEVFYTCAWSYDLKTSSPLLAAAGYRGVIRVIDVEQNEAVGNYIGHGQAINELKFHPHKLQLLLSGSKDHAIRLWNIQSHVCIAILGGVEGHRDEVLSIDFNMRGDRIVSSGMDHSLKLWCLNTPEFHHKIELSNTFSQEKSTLPFPTVTKHFPDFSTRDIHRNYVDCVQWFGNFVLSKSCENAIVCWKPGQLHQSFEQVKPSDSSCTIIAEFEYDECEIWFVRFGFNPWQKVIALGNQQGKVYVWELDPSDPEGAHMTTLHNSRSVATVRQIAFSRDASVLVYVCDDATVWRWNRRQTTSI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTRLKAKYSPTKGKRKEDKHTKRMRKSSFTRTQKMLEVFNDNRSHFNKYESLAIDVEDDDTFGNLVLMENDKSDVDIPVIEEVTSSEDEQRAESSKRNNSLEDNQDFIAFSDSSEDETEQIKEDDDERSSFLLTDEHEVSKLTSQQSLNTESACNVEYPWIRNHCHSKQRRIADWLTSEIKDFVHYISPSKNEIKCRNRTIDKLRRAVKELWSDADLHVFGSFATDLYLPGSDIDCVVNSRNRDKEDRNYIYELARHLKNKGLAIRMEVIVKTRVPIIKFIEPQSQLHIDVSFERTNGLEAAKLIREWLRDSPGLRELVLIIKQFLHSRRLNNVHTGGLGGFTVICLVYSFLNMHPRIKSNDIDVLDNLGVLLIDFFELYGKNFGYDDVAISISDGYASYIPKSCWRTLEPSRSKFSLAIQDPGDPNNNISRGSFNMKDIKKAFAGAFELLVNKCWELNSATFKDRVGKSILGNVIKYRGQKRDFNDERDLVQNKAIIENERYHKRRTRIVQEDLFINDTEDLPVEEIYKLDEPAKKKQKAKKDKREGEIKKSAIPSPPPDFGVSRSKLKRKVKKTDQGSLLHQNNLSIDDLMGLSENDQESDQDQKGRDTPSGQDEKSPLETKTVDAQTRRDYWLSKGQAL", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVQQAESLEAESNLPREALDTEEGEFMACSPVALDESDPDWCKTASGHIKRPMNAFMVWSKIERRKIMEQSPDMHNAEISKRLGKRWKMLKDSEKIPFIREAERLRLKHMADYPDYKYRPRKKPKMDPSAKPSASQSPEKSAAGGGGGSAGGGAGGAKTSKGSSKKCGKLKAPAAAGAKAGAGKAAQSGDYGGAGDDYVLGSLRVSGSGGGGAGKTVKCVFLDEDDDDDDDDDELQLQIKQEPDEEDEEPPHQQLLQPPGQQPSQLLRRYNVAKVPASPTLSSSAESPEGASLYDEVRAGATSGAGGGSRLYYSFKNITKQHPPPLAQPALSPASSRSVSTSSSSSSGSSSGSSGEDADDLMFDLSLNFSQSAHSASEQQLGGGAAAGNLSLSLVDKDLDSFSEGSLGSHFEFPDYCTPELSEMIAGDWLEANFSDLVFTY", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MMKGLIGYRFSPTGEEVINHYLKNKLLGKYWLVDEAISEINILSHKPSKDLPKLARIQSEDLEWYFFSPIEYTNPNKMKMKRTTGSGFWKPTGVDREIRDKRGNGVVIGIKKTLVYHEGKSPHGVRTPWVMHEYHITCLPHHKRKYVVCQVKYKGEAAEISYEPSPSLVSDSHTVIAITGEPEPELQVEQPGKENLLGMSVDDLIEPMNQQEEPQGPHLAPNDDEFIRGLRHVDRGTVEYLFANEENMDGLSMNDLRIPMIVQQEDLSEWEGFNADTFFSDNNNNYNLNVHHQLTPYGDGYLNAFSGYNEGNPPDHELVMQENRNDHMPRKPVTGTIDYSSDSGSDAGSISTTSYQGTSSPNISVGSSSRHLSSCSSTDSCKDLQTCTDPSIISREIRELTQEVKQEIPRAVDAPMNNESSLVKTEKKGLFIVEDAMERNRKKPRFIYLMKMIIGNIISVLLPVKRLIPVKKL", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MMTSRSIVPQQSTDDVVVVDGKNVAKGRNRQVLGDIGNVVRGNYPKNNEPEKINHRPRTRSQNPTLLVEDNLKKPVVKRNAVPKPKKVAGKPKVVDVIEISSDSDEELGLVAAREKKATKKKATTYTSVLTARSKAACGLEKKQKEKIVDIDSADVENDLAAVEYVEDIYSFYKSVESEWRPRDYMASQPDINEKMRLILVEWLIDVHVRFELNPETFYLTVNILDRFLSVKPVPRKELQLVGLSALLMSAKYEEIWPPQVEDLVDIADHAYSHKQILVMEKTILSTLEWYLTVPTHYVFLARFIKASIADEKMENMVHYLAELGVMHYDTMIMFSPSMVAASAIYAARSSLRQVPIWTSTLKHHTGYSETQLMDCAKLLAYQQWKQQEEGSESSTKGALRKKYSKDERFAVALIPPAKALLTGTESA", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAGSVGLALCGQTLVVRGGSRFLATSIASSDDDSLFIYDCSAAEKKSQENKGEDAPLDQGSGAILASTFSKSGSYFALTDDSKRLILFRTKPWQCLSVRTVARRCTALTFIASEEKVLVADKSGDVYSFSVLEPHGCGRLELGHLSMLLDVAVSPDDRFILTADRDEKIRVSWAAAPHSIESFCLGHTEFVSRISVVPTQPGLLLSSSGDGTLRLWEYRSGRQLHCCHLASLQELVDPQAPQKFAASRIAFWCQENCVALLCDGTPVVYIFQLDARRQQLVYRQQLAFQHQVWDVAFEETQGLWVLQDCQEAPLVLYRPVGDQWQSVPESTVLKKVSGVLRGNWAMLEGSAGADASFSSLYKATFDNVTSYLKKKEERLQQQLEKKQRRRSPPPGPDGHAKKMRPGEATLSC", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSALKMDDEQSLNVYMQEFRTRFINKSSDEMTSQALKESYKESAATDAWFKKYRDGELMIEKVLEFRNEITLQNKRIEEKQKNILQEAAKQDDHEQLKGELTERIQRLREELNQKREVALANRKANKERIKELQKSATLFKERLGLEIRKLRGDKLQFVFRCINPKDLDQPYSCIISLNAEGDYEVAGCDPPLECIAEFQEKIRETRNFSALLANLRKSFTALGSQVK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPMFMDGASQVLQQVLQTVLVTSEPAIVIPGSFLGELDVIVDEAKNHGMKLVSIPKGGITILPPIPMSESSLTRLCKDYYGLKTDAERLALFSNLEETFPTAPGVSLPCRLLYHPRDYICRIVHLCAELVTASDEEYQKAYDIVPLLHIRPVQNVCEELRRQFRAGALTQRLPLGQRVDVQFKRTVVHLDGSMDPFPRNAAEAAVNIAPVALDAVDDIYEGFDVTGTEVVDIPTGKVSEYLSEKDFELVTEDSVLLDPTGKRVQAIFIRGGIDKDICRRAAADVEGVATKQNMRRLTNGGVRNPDTGILGYYDYLNNPTKRKCRMTEFTRRNWGKIIGPCGELLQLLDQLYKENAPDHYELQRRVIPPEYMLFNTVFSTVSVNKNFRTAVHRDKGDFRGGLTALCVLDGNYEGCYLALKSARKAFCLQVGDVLFFDSSLEHGNTEVHNREGSWRRISIVCYLRCGLMSHTCETERSMRLRNQIMSDRLHADSADSVVNLNGVTGHLPPLCIPFKIAKTLSLTQHAALRFVSRRIKEGDGCVLALTMGLGKTLVSLTICYSYIYNNGPCDILIVAPKTLLQHWMQEAKKWKDYGLVFPGFIVLNNVDSSSFEDDLSNYEQQGTTTNPKKSYVFVINPGYIKSFLSRVKGFRPALIVVDEGHCISSKESKLREVLDSLYCSARVVLTGTPVQNNAEELYRLVGWVDDKVHSTLPQRDFNEFSNSINRYVNGDDSAFCDALFAQRYIHEWMSPYVFTVMKVDLPPLHDYIIICNFSAVQQKMFEERIKVDATDNLLCLKASEHRPYHLSTHPLCFLGFLTGIWRTGQVDIEEEPGEFEELGTYRLSRDDDALAKDCSSLLENGKLADFVALSGKLTALISILHSIFEKMEKAVIFSQYIGSQDFIARTLTAYKISVVTIRGKDCQQRRRRVVEMFRDDKNVLCLVVSTQIGAYGLDLTAANHVILWDTWWNPQVESQAIARCYRQNQSKAVIAYKLASGFEDATVLKAQARKRALFKCLINEETSQVVPGHDLVDYTSSEEDDDRRHLWETLKTCTLEGGKPAVTKIIRNIDTVKSERWI", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTTFKEAMTFKDVAVVFTEEELGLLDLAQRKLYRDVMLENFRNLLSVGHQAFHRDTFHFLREEKIWMMKTAIQREGNSGDKIQTEMETVSEAGTHQEWSFQQIWEKIASDLTRSQDLMINSSQFSKEGDFPCQTEAGLSVIHTRQKSSQGNGYKPSFSDVSHFDFHQQLHSGEKSHTCDECGKNFCYISALRIHQRVHMGEKCYKCDVCGKEFSQSSHLQTHQRVHTGEKPFKCVECGKGFSRRSALNVHHKLHTGEKPYNCEECGKAFIHDSQLQEHQRIHTGEKPFKCDICGKSFCGRSRLNRHSMVHTAEKPFRCDTCDKSFRQRSALNSHRMIHTGEKPYKCEECGKGFICRRDLYTHHMVHTGEKPYNCKECGKSFRWASCLLKHQRVHSGEKPFKCEECGKGFYTNSQCYSHQRSHSGEKPYKCVECGKGYKRRLDLDFHQRVHTGEKLYNCKECGKSFSRAPCLLKHERLHSGEKPFQCEECGKRFTQNSHLHSHQRVHTGEKPYKCEKCGKGYNSKFNLDMHQKVHTGERPYNCKECGKSFGWASCLLKHQRLHSGEKPFKCEECGKRFTQNSQLHSHQRVHTGEKPYKCDECGKGFSWSSTRLTHQRRHSRETPLKCEQHGKNIVQNSFSKVQEKVHSVEKPYKCEDCGKGYNRRLNLDMHQRVHMGEKTWKCRECDMCFSQASSLRLHQNVHVGEKP", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSSTMLDDVDNNMMGIKSISLYELLSDVVKQGDKTRLVTAGPEQVLPDLIRHITETIPFDLFINLKNEMNDARNLVTRLNWLGKFLNDNFLQNHTFPFTILRICELCYDPFKYYKINELEKFVNALEKCCMVTSSWQVFDKTHGEKQEDDKEKDINFIKNQEDVSLMKIPWMTENNTRELAPFIREIDSIMSVNLGYDDEDEEEGFFDGDEDREMGNKSKRNVLLKDENFMVEEYYEDDCGINDDNSDNKGQNCQSDVTKNNSDDEDDDDNDDDYREDGADEDDEDDDHMGSTDDDEDDDEDRQAGESTKVQNFDKKNETPRKRKPTDLDNFEYDESPSFTNMDLTTPKKYKHTATGRFSIIESPSSSLLNAMDGSNEISSSQEEEKEDAHENHEGRSEGLLPGDELVSPSMSSSQEDKMVAIAGITYRENISSPLGKKSR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MQVQDDGVNLIPFAKCSRVVSRSPPPRLPSQSLRPMPQRYGDVFWKNLNQRPTPTWLEEQHIPPMLRATGCSQLGLYPPEQLPPPEMLWRRKKRRPCLEGMQQQGLGGVPARVRAVTYHLEDLRRRQSIINELKKAQWGSSGAASEPVVLGEEGCGFPSTNEYPDLEEERATYPQEEDRFLTPGRAQLLWSPWSPLDQEEACASRQLHSLASFSTVTARRNPLHNPWGMELAASEE", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MFGAAGRQPIGAPAAGNSWHFSRTMEELVHDLVSALEESSEQARGGFAETGDHSRSISCPLKRQARKRRGRKRRSYNVHHPWETGHCLSEGSDSSLEEPSKDYRENHNNNKKDHSDSDDQMLVAKRRPSSNLNNNVRGKRPLWHESDFAVDNVGNRTLRRRRKVKRMAVDLPQDISNKRTMTQPPEGCRDQDMDSDRAYQYQEFTKNKVKKRKLKIIRQGPKIQDEGVVLESEETNQTNKDKMECEEQKVSDELMSESDSSSLSSTDAGLFTNDEGRQGDDEQSDWFYEKESGGACGITGVVPWWEKEDPTELDKNVPDPVFESILTGSFPLMSHPSRRGFQARLSRLHGMSSKNIKKSGGTPTSMVPIPGPVGNKRMVHFSPDSHHHDHWFSPGARTEHDQHQLLRDNRAERGHKKNCSVRTASRQTSMHLGSLCTGDIKRRRKAAPLPGPTTAGFVGENAQPILENNIGNRMLQNMGWTPGSGLGRDGKGISEPIQAMQRPKGLGLGFPLPKSTSATTTPNAGKSA", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAPVSGSRSPDREASGSGGRRRSSSKSPKPSKSARSPRGRRSRSHSCSRSGDRNGLTHQLGGLSQGSRNQSYRSRSRSRSRERPSAPRGIPFASASSSVYYGSYSRPYGSDKPWPSLLDKEREESLRQKRLSERERIGELGAPEVWGLSPKNPEPDSDEHTPVEDEEPKKSTTSASTSEEEKKKKSSRSKERSKKRRKKKSSKRKHKKYSEDSDSDSDSETDSSDEDNKRRAKKAKKKEKKKKHRSKKYKKKRSKKSRKESSDSSSKESQEEFLENPWKDRTKAEEPSDLIGPEAPKTLTSQDDKPLNYGHALLPGEGAAMAEYVKAGKRIPRRGEIGLTSEEIASFECSGYVMSGSRHRRMEAVRLRKENQIYSADEKRALASFNQEERRKRENKILASFREMVYRKTKGKDDK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MHCGLLEEPDMDSTESWIERCLNESENKRYSSHTSLGNVSNDENEEKENNRASKPHSTPATLQWLEENYEIAEGVCIPRSALYMHYLDFCEKNDTQPVNAASFGKIIRQQFPQLTTRRLGTRGQSKYHYYGIAVKESSQYYDVMYSKKGAAWVSETGKREVTKQTVAYSPRSKLGTLLPDFPNVKDLNLPASLPEEKVSTFIMMYRTHCQRILDTVIRANFDEVQSFLLHFWQGMPPHMLPVLGSSTVVNIVGVCDSILYKAISGVLMPTVLQALPDSLTQVIRKFAKQLDEWLKVALHDLPENLRNIKFELSRRFSQILRRQTSLNHLCQASRTVIHSADITFQMLEDWRNVDLSSITKQTLYTMEDSRDEHRRLIIQLYQEFDHLLEEQSPIESYIEWLDTMVDRCVVKVAAKRQGSLKKVAQQFLLMWSCFGTRVIRDMTLHSAPSFGSFHLIHLMFDDYVLYLLESLHCQERANELMRAMKGEGSTAEAQEEIILTEATPPTPSPGPSFSPAKSATSVEVPPPSSPVSNPSPEYTGLSTAGAMQSYTWSLTYTVTTAAGSPAENSQQLPCMRSTHMPSSSVTHRIPVYSHREEHGYTGSYNYGSYGNQHPHPLQNQYPALPHDTAISGPLHYSPYHRSSAQYPFNSPTSRMEPCLMSSTPRLHPTPVTPRWPEVPTANACYTSPSVHSTRYGNSSDMYTPLTTRRNSEYEHMQHFPGFAYINGEASTGWAK", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSEELLSTRPSKRDYNDIEEPEDSHVTVHSVEQDSQHSGEESSTVDALQETEGDVDVIGEDEDEHDIPVMPTVTSSGEVLDESQVTPTKQASSSQPREEIIHGKGESVYSSFPCQVIPETLSRMTRTPPDGEHLEVYRMSNGRLRIYVVDHFKKFSPYSNLTHKPCTVCNRVMKSGEMHLNFPADLDRRRIWANLLGFKYKDILRSKMGPVSFSIAAGPICTEHFAEECFRNHNFNKSAIEAFGVPVAISPDVKTTPSKKSSRVPWVCTVCEFHSCSVVELQTHLLNHTEEMLKKKDNVLDVPEAGFMCPFCRKCTYGYKTISGYRRHLNAGPIHHCHLRRIYEFAKMNCRATELDPAESWDNWTRRNVYVAYHGCEPPANEIVLTPSPTKKAYVQNPEERTKMVHDEEKRKKAVRTLSFVGKEGGTSVNDLNVMQRQVFLQLRREAEINTKAEESAQGTKEQESSQKKHAEEESDDVSELTSHQSPQAPMGSGERRKATRLATSATNSPIKKVAKHEVPATAPSTPAKKRKISHEEEHDPTPESVEREPTVSPNDPRERLRLKERDEQFAKMVQKRSQQVKRLINAKQFKKQEAATKKPRKALAYNLAKGIAATSSTEPEDKVTSSEQTPEPTTSQKFIARNTRSKTKESAVQKVEKPATPVAKPAPVEKEPEERPLKSMLARSFVAGVRPSMAKYQIPLESFTATASLGGGRSLSSGVISRPPTSSSPGGIFSQRVMGAVAQEKGPAKRPSVLSRRPLILSPRKKTSTPRPTLSHHESSPNFSASSPVVVSDEYLIPATDMEVEMVEEVIDSMGNSSSEDVATSSTSSERQPMLTLAEALEMDGSSGTVKDQDMEEISKEMMKDAHYYRAMEDAIKCRTVTKMRADMRLSRHCIRQIEAARARARLFGERTEDYQIFYSNDGAQVLTKKDPKWRELQQQQQQQQQQQEQFPGQGSSDSQQ", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKVAAKKLATSRMRKDRAAAASPSSDIENSENPSSLASHSSSSGRMTPSKNTRSRKGVSVKDVSNHKITEFFQVRRSNRKTSKQISDEAKHALRDTVLKGTNERLLEVYKDVVKGRGIRTKVNFEKGDFVVEYRGVMMEYSEAKVIEEQYSNDEEIGSYMYFFEHNNKKWCIDATKESPWKGRLINHSVLRPNLKTKVVEIDGSHHLILVARRQIAQGEELLYDYGDRSAETIAKNPWLVNT", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVSVGSKSLPSRRHRTIEEDSLMGERGKSSNNHSERNKGMRRKDHKGNRGFDVDSSKKNQSGGAPNVKPASKKHSEFEHQNQFVRKEIDPETSKYFSEIANLFDSNEVELEERSVICGNALEETRGREYEIATDYIISHVLQTLLEGCELDQLCSFIRNSASVFPAIAMDRSGSHVAESALKSLATHLENPDAYSVIEEALHSICKVIVDNPLDMMCNCYGSHVLRRLLCLCKGVSLDSPELYGAKSSKALAKRLNLKMSQLDDNNLEIPHQGFPGMLTYLLSGLLSCSREDMKYLQVDQYSSLVLQTALRLMLKQDEQLLEIIPLILRCNSTNKKVEGFHIETNVAKEILESMKDNSFSHLVEVILEVAPESLYNEMFNKVFKNSLFELSVDRCANFVIQALISHARDQEQMGIMWEELAPRFKDLLEQGKSGVVASLIAVSQRLQSHENKCCEALVGAVCSTNESRISILPRLLFLDYYFGCRDKSTWEWAPGAKMHVMGCLILQGIFKFSSDHIQPYITSLTSMKAEYITETAKDSSGARVIEAFLASDAATKQKRRLIIKLRGHFGELSLHTSGSFTVEKCFDACNLTLREAIASELLDVKVDLSKTKQGPYLLRKLDIDGYASRPDQWKSRQEAKQSTYNEFCSAFGSNKSNFPKNTFVSDASEDAAQEIEVKNTRKEIDHHPTSGFKRHREKHAKDKDEPFAGEKRSKQKKNKTSEATDKPKLAGSKRPFLSGEMTGKNRHSNKMRI", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVLNMESTGEAVRSTTGNDGGITVVRSDAPSDFHVAQRSESSNQSPTSVTPPPPQPSSHHTAPPPLQISTVTTTTTTAAMEGISGGLMKKKRGRPRKYGPDGTVVALSPKPISSAPAPSHLPPPSSHVIDFSASEKRSKVKPTNSFNRTKYHHQVENLGEWAPCSVGGNFTPHIITVNTGEDVTMKIISFSQQGPRSICVLSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPNDSGGTRSRTGGMSVSLASPDGRVVGGGLAGLLVAASPVQVVVGSFLAGTDHQDQKPKKNKHDFMLSSPTAAIPISSAADHRTIHSVSSLPVNNNTWQTSLASDPRNKHTDINVNVT", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTTPANAQNASKTWELSLYELHRTPQEAIMDGTEIAVSPRSLHSELMCPICLDMLKNTMTTKECLHRFCSDCIVTALRSGNKECPTCRKKLVSKRSLRPDPNFDALISKIYPSREEYEAHQDRVLIRLSRLHNQQALSSSIEEGLRMQAMHRAQRVRRPIPGSDQTTTMSGGEGEPGEGEGDGEDVSSDSAPDSAPGPAPKRPRGGGAGGSSVGTGGGGTGGVGGGAGSEDSGDRGGTLGGGTLGPPSPPGAPSPPEPGGEIELVFRPHPLLVEKGEYCQTRYVKTTGNATVDHLSKYLALRIALERRQQQEAGEPGGPGGGASDTGGPDGCGGEGGGAGGGDGPEEPALPSLEGVSEKQYTIYIAPGGGAFTTLNGSLTLELVNEKFWKVSRPLELCYAPTKDPK", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSNAPEIVQRLIKMIMRAFYETRHIIFMDAILRHSALTDEQTALLMGIPIKECRFIAGKLREDRLLAIQSRTEMKEGQQRQYHTTYFYIDFCSTIDSIKWRMHQLVKTVEDRMRNDFDSKGYVCPFCNKKFSSLDVLSLVTNEGTFACNVCGTELKDDEESAEMMSSQKRLGKLMGQVNGIIDALKRVDEIVVPQNNFQSALEHAVPVSLDTQNLSQQNLSKSNSDVRLSTSSPSITVDFSADKETDEKRERNCDKQVKAAQNILPEWHATSTISGSITRAGAKDAALHSFRTETVNEVQDTKTDITSEKSALDAYYATLRAKQKEESEFMDSENVDDEEDDDFLDVTTATSLQNKSTDYGSVKRKTENLNSDSDIQNKRTKSIEENNSLPPIVSTNGITDGDTEMQESKKNVIINGFNEDDEDDEDEADFEDV", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPHCGTTNSEINLNSNELISYQKKKSNEDLQKKHDKKCSINLKTSQVPDGGFNNQYTQLTANKIEQYNCNDLDKVCIVPSSRSDGMEASFSELLLSPNKLISQPWQTAEEIENWQNDSFRQRNEMFSCIYTNSMLNQQPCSQQQLLATQLLYARLLRSQLAEREFHSNKFNMVHYSGSKKTMLREDELLSTPSSQDNNNNIKLIKDIENSISCVDPPLFEFSNVHQRAEQKNKQDDKNCYSPKLKSNKEALDGYDLQHTCDFIREQKNILIDIKKKLDNLSDSSGKFRKRLSVRQSHIEVNNGSNSALEESVRRQLNGNRKSIENLLEEVKRLYNQWSSAELYYVRSLQRLGLPSEEDGEYTSTPTHTIMALAAIALSNESILPQKTNAVHSKIPDVESESDFFLTSAKPKTLVEIEDIILQLASSVNMHQSSSASTPHESYSDSVKSDCEESNSAPTCIWHSTRQTFRHKKDVEPCSTAAEIILEYASLSSSSNIETSRLLTSASNLTDVTENYNVTTQLPIVFNYNRESSAESIVTDPLLVPEFSTAPSTPSTGSNSGCSTGMVSGIFGLSQNRRKQRLARHIETLTTNSFKSNAIRGNVDNEITNQLKTSPSIRALPTENVSHLITKTLSSANASLTAQELSITNLFKERLCALQGNAGSMKTEIFPMIDAPYDLSIGSKTKHMNLEAKHTSNAQSNESKETTNDKKKPHIKKPLNAFMLYMKEMRAKVVAECTLKESAAINQILGRRWHELSREEQSKYYEKARQERQLHMELYPGWSARDNYGYVSKKKKRKKDRSTTDSGGNNMKKCRARFGLDQQSQWCKPCRRKKKCIRYMEALNGNGPAEDGSCFDEHGSQLSDDDEDDYDDDKLGGSCGSADETNKIEDEDSESLNQSMPSPGCLSGLSSLQSPSTTMSLASPLNMNANSATNVIFPASSNALLIVGADQPTAQQRPTLVSTSGSSSGSTSSISTTPNTSSTVSPVTCMTGPCLGSSQERAMMLGNRFSHLGMGLSPPVVSTSTSKSEPFFKPHPTVCNNPIFALPSIGNCSLNISSMPNTSRNPIGANPRDINNPLSINQLTKRREYKNVELIEASESKTIVAHAATSIIQHVAVNGYHANHSLLNSNLGHLHHQLNNRTENPNRSEQTMLSVSNHSVNSSECHKESDSQAIVSSNPPNAGSSDNGVISVS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAPSRKRGGGRAAAASSARREWKVGDLVLAKVKGFPAWPAVVDEPEKWGHSADSKKVTVHFFGTQQIAFCNHGDVESFTEEKKQSLLTRRHAKGSDFVRAVKEITESYEKLKQQDQASGPKYAEETTAGSSGNTSQLPQACENLIGSRLDTQIESSSSHGRDELTLLSEDASAAEQMLALRHNTLAHNGACDSAAAKDLCEIATYSSRRRNERVRALKYAPQSIILPVEHSKISSRLELDRVQRSMLQCSDGGPSVNSINGKAIRRRKRIRTSGQSESDDVVSSDLNLHGSDEDNASEIATVESNNNSRNEGNGVDSGSKVEYSDAVGEGCDGGHELNKGLDFHISTMVKRKKRKPTRKRETSDIIDPPAKVEAEGLGPNACDSCQRSQNSHERLNERPCEENGDEHLPLVKRARVRMSRAFYADEKVNASSQVEERSSKDTLLSAALQTSPSVNHENGIGSGHDTSAAEEFNSFELSAKLSGVMVDVVPSHMEKPSDRMSPSVACVQTVGDRQTAVNFHENEFTMTLDDEVTRAQSNQLSSLVETEARVPEVVQGCSEESQTGNCLISETDPIDIQCSHQSEKHETPLNPDIVDSSANKSPGLCSSLDMTTTVVPAQSPHQHKIQEYDSSDHSLVIVGDSLNGKCEKIDYCMTQVVQSQALEPPPPLFCSVVNYQEVENLQETENTLWKENQGSPGKELDSDKQAHMIQNPVLSATESEMIVDDAEPQYETVYSHCADAVENRELEKSCEVDEQKEQMQATNSISVSENFSREKLNSSPARGTPNCNSVCRISTAESENAMQNNSYYSTNVQYGENKSLNVDTVKEESKVETGTTQVKKVVSSDVQCTVESFETALDSLVRTKETIGRATRLAMDLAKFGVSAKAMEILAHTLESESNLQRRVDLFFLVDSIAQCSKGLNGDAGGVYLSSIQAMLPRLLTAAVPAGATTQENRKQCLKVLRLWLERRILPESIVRHHIRELDSLSNVPACLYSRRSARTERALDDPVRDMEGILVDEYGSNSTLQLHGFCIPPILRDEDEGSDSDGGDFESVTPEHESRSLEEHVTPSITERHTRILEDVDGELEMEDVAPPWEGGSSASAITDQADNRESANCLLVPGTSHQNVTSSSPPARPSQNAQLAMSNSYSNGFDYRRNPSMQGDYHAGPPRMNPPMHYGSPEPSYSSRVSLSKSMPRGEGSNFQHRPYPSSHPPPPPPSHHYSYMEPDHHIKSRREGLSYPHRSHYTLEFDERNYQDSYERMRPEPCENRDNWRYHPPSSHGPRYHDRHKGPHQSSSYSGHHRDSGRLQNNRWSDSPRAYNNRHSYHYKQHSEGPVPVGMRDPGTWHQR", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPRRKRNAGSSSDGTEDSDFSTDLEHTDSSESDGTSRRSARVTRSSARLSQSSQDSSPVRNLQSFGTEEPAYSTRRVTRSQQQPTPVTPKKYPLRQTRSSGSETEQVVDFSDRETKNTADHDESPPRTPTGNAPSSESDIDISSPNVSHDESIAKDMSLKDSGSDLSHRPKRRRFHESYNFNMKCPTPGCNSLGHLTGKHERHFSISGCPLYHNLSADECKVRAQSRDKQIEERMLSHRQDDNNRHATRHQAPTERQLRYKEKVAELRKKRNSGLSKEQKEKYMEHRQTYGNTREPLLENLTSEYDLDLFRRAQARASEDLEKLRLQGQITEGSNMIKTIAFGRYELDTWYHSPYPEEYARLGRLYMCEFCLKYMKSQTILRRHMAKCVWKHPPGDEIYRKGSISVFEVDGKKNKIYCQNLCLLAKLFLDHKTLYYDVEPFLFYVMTEADNTGCHLIGYFSKEKNSFLNYNVSCILTMPQYMRQGYGKMLIDFSYLLSKVEEKVGSPERPLSDLGLISYRSYWKEVLLRYLHNFQGKEISIKEISQETAVNPVDIVSTLQALQMLKYWKGKHLVLKRQDLIDEWIAKEAKRSNSNKTMDPSCLKWTPPKGT", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSREGFQIPTNLDAAAAGTSQARTATLKYICAECSSKLSLSRTDAVRCKDCGHRILLKARTKRLVQFEAR", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGEEGIKINDTHKRRIDEVEPSEKEDNVERQTKKYNFEIDEPEEQEKKDEKEDDKEESPSKSLEEISQSVSPVEEEPRDVRFKELSTPFSYPINDPPEGRPVRVYADGVFDLFHIGHMRQLEQAKKVFPNVHLIVGLPNDQLTHRLKGLTVMNDKERAEALRHCKWVDEVLENAPWVITPEFLEEHKIDFVAHDDIPYASDDSGDIYLPVKKVGKFIPTKRTEGVSTSDLITRIIRDYDQYVMRNLARGVNRKELNVSLFKKNELDLRHHIKVLRDTLRNHWVSTTRDLKADIKSFLSMATTDYQLQKNPLHGSSEPSSPGPTGFLGGINRWMQRRSSSHYDLPRVGNEIAASSSSATEENH", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEDPNRMLAHTGGMMAPQGYGLSGQDDGQNAGSENEVRKQKDIGEILQQIMSISEQSLDEAQARKHTLNCHRMKPALFSVLCEIKEKTVLSIRNTQEEEPPDPQLMRLDNMLIAEGVAGPEKGGGGAAAASAAAASQGGSLSIDGADNAIEHSDYRAKLAQIRQIYHQELEKYEQACNEFTTHVMNLLREQSRTRPITPKEIERMVQIIHKKFSSIQMQLKQSTCEAVMILRSRFLDARRKRRNFSKQASEILNEYFYSHLSNPYPSEEAKEELARKCGITVSQVSNWFGNKRIRYKKNIGKAQEEANLYAAKKAAGASPYSMAGPPSGTTTPMMSPAPPQDSMGYPMGSGGYDQQQPYDNSMGGYDPNLHQDLSP", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSKAKSPIKSSKKSVNQPKSVLREKKVKDAEKAEHISLQGHVDNSDEEGQDKEFFPGFGSSDDDEEDSPNALVNTSRQIMDLGEDAEKTIKKKVSENKNLQKKKGVLYVGRLPHGFYEKQMRMYFSQFGPVLRLRMSRNRKTGSSKHYAFIEFESLDVANVVAETMHNYLLYGKLLQCKVIPEDQVHENMFKGADVPFKRIPHATIARLQHEKPLSKEKADKLITRHNRKLKLKKRKLKELGITLESDVSHPKAASPVASKKSSKKKNKKVLAAHK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MMMMSLNSKQAFSMPHGGSLHVEPKYSALHSTSPGSSAPIAPSASSPSSSSNAGGGGGGGGGGGGGGGRSSSSSSSGSSGGGGSEAMRRACLPTPPSNIFGGLDESLLARAEALAAVDIVSQSKSHHHHPPHHSPFKPDATYHTMNTIPCTSAASSSSVPISHPSALAGTHHHHHHHHHHHHQPHQALEGELLEHLSPGLALGAMAGPDGAVVSTPAHAPHMATMNPMHQAALSMAHAHGLPSHMGCMSDVDADPRDLEAFAERFKQRRIKLGVTQADVGSALANLKIPGVGSLSQSTICRFESLTLSHNNMIALKPILQAWLEEAEKSHREKLTKPELFNGAEKKRKRTSIAAPEKRSLEAYFAIQPRPSSEKIAAIAEKLDLKKNVVRVWFCNQRQKQKRMKYSAGI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKQFEEQIERFKQALFEDSDASDSDSSIGEALTNRGLKRKKGSKNVYYGCVGNSSGSSIDIDYYNIGNTKRGVVSHFRRRIDPEWLDHDNPYNDINIAEIMSPLTKPQDLLTHPAISSIFEQNYLSILASSALEIISAEHKYTAHLEQLMVALLGDDPSLPGPPHEVFGISPEQCRELTITVQEALEKSKEFIRCWTNVRMDLLRAIRFKNKVIAYCQGEDYNGNTQVLSKNESDGKPNS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MYVTKYKNKLPTLQVDLIIMPHQTQACIYKQTLSLHQIFQQRKATKTLHTKKTMMSKKKESIGRQKIPMVKIKKESHRQVTFSKRRAGLFKKASELCTLCGAEIGIIVFSPAKKPFSFGHPSVESVLDRYVSRNNMSLAQSQQLQGSPAASCELNMQLTHILSEVEEEKKKGQAMEEMRKESVRRSMINWWEKPVEEMNMVQLQEMKYALEELRKTVVTNMASFNEAKDDVFGFLDNKVTVPPYVNMPSGPSNIYNFANGNGCF", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEHPLFGCLRSPHATAQGLHPFSQSSLALHGRSDHMSYPELSTSSSSCIIAGYPNEEGMFASQHHRGHHHHHHHHHHHHHQQQQHQALQTNWHLPQMSSPPSAARHSLCLQPDSGGPPELGSSPPVLCSNSSSLGSSTPTGAACAPGDYGRQALSPAEAEKRSGGKRKSDSSDSQEGNYKSEVNSKPRKERTAFTKEQIRELEAEFAHHNYLTRLRRYEIAVNLDLTERQVKVWFQNRRMKWKRVKGGQQGAAAREKELVNVKKGTLLPSELSGIGAATLQQTGDSIANEDSHDSDHSSEHAHL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MFGGELDDAFGVFEGKVPKSLKEESKNSQNSQNSQKIKRTLTDKNASNQEQGTKKLESSVGEQESATKRAKIENLKDNQDLIPNNDVNGIHINNSAVADTKHKPKIGDIAADDISNEVSIKNEGDTIPEATVADSFEQEASLQVAGKVGMTEAKSSTEEVVELRHQVRHQVSIPPNYDYVPISKHKSPIPPARTYPFTLDPFQAVSIACIERQESVLVSAHTSAGKTVVAEYAVAQSLRDKQRVIYTSPIKALSNQKYRELLAEFGDVGLMTGDVTINPDATCLVMTTEILRSMLYRGSEVMREVAWVIFDEIHYMRDKERGVVWEETIILLPDKSHFVFLSATIPNAMQFAEWITKIHRQPCHVVYTDFRPTPLQHYLFPSGSDGIHLVVDEKSNFREENFQRAMSALMEKQGDDPAAMATKGNAKKGKTGKGGVKGPSDIYKIVKMIMVKNYNPVIVFSFSKRECEALALQMSKLDMNDQTERDLVTTIFNNAVNQLSEKDRELPQIEHILPLLRRGIGIHHSGLLPILKEVIEILFQEGLLKVLFATETFSIGLNMPAKTVVFTNVRKFDGKTFRWISGGEYIQMSGRAGRRGLDDRGIVILMIDEKMDPPVAKSMLKGEADRLDSAFHLSYNMILNLLRVEGISPEFMLERCFFQFQNSLEVPKLEAKLEESQQHYDSFTILDERPLEEYHTLKTQLERYRTDVRTVVNHPNFCLSFLQGGRLVRVKVGNEDFDWGVVVNVSKRPLPKGQSNEYLPQESYIVHTLVMVASDTGPLRIRSGHLPEVHPPAAEDKGKFEVVPFLLSSLDGIAHIRVFLPNDLKSQGQKLTVGKALSEVKRRFPEGITLLDPVENMNIKEPTFIKLMKKVNILESRLLSNPLHNFSELEEKYAEYLRKLALLEEVKDLKKKLSKARSIMQLDELNSRKRVLRRLGFTTSDDVIEVKGRVACEISSGDGLLLTELIFNGMFNDLTPEQCAALLSCLVFQEKSEVENQRMKEELAGPLKILQEMARRIAKVSKESKQELNEEEYVNSFKPSLMEVVYAWAHGASFAQICKMTDVYEGSLIRMFRRLEELIRQMVDAAKVIGNTSLQQKMEDTIACIHRDIVFSASLYL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTTGNGDAPVIKNAHSDIDSTNKTLLKSDALYKYVLDTTVLPREPECMRDLRLITDKHQWGFMQSSADEAQLLGMLLKMAGAKRTIEVGVFTGYSLLATALALPEDGKVVAIDPDRESYEIGRPFLEKAGVAHKVDFREGKGLEKLDELLAEEAAAGREAAFDFAFVDADKPNYVKYHEQLLQLVRVGGHIVYDNTLWAGTVALPPDTPLSDLDRRFSVAIRDLNSRLAADPRIDVCQLAIADGITICRRLV", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEKYLTKMPIKSKANEVPKEEAGVKKETPKVARKATKKDTPKELKDKENAGDDNTPKQTKGRPGRPAAKRKNLDTPDVTEKLAMEEENPPKRRSSRLTRSTRSMAEDGSPSPEKEKPEKLPFIKYKGAIKYFTESQDIAASADDVLQWVEKQKDEVVPMAFDMEWPFSFQTGPGKSAVIQICVDEKCCYIYQLTNVKKLPAALVALINHPKVRLHGVNIKNDFRKLARDFPEVTAEPLIEKCVDLGLWCNEVCETGGRWSLERLTNFIAKKAMDKSKKVRMSKWHVIPLDENQLMYAAIDVYIGQVIYRELERREKVKIKNEEEFKEKNGDAAFKAMKALGETFLTKINEVTL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTTAHFYCQYCTASLLGKKYVLKDDSPYCVTCYDRVFSNYCEECKKPIESDSKDLCYKDRHWHEGCFKCTKCNHSLVEKPFAAKDERLLCTECYSNECSSKCFHCKRTIMPGSRKMEFKGNYWHETCFVCENCRQPIGTKPLISKESGNYCVPCFEKEFAHYCNFCKKVITSGGITFCDQLWHKECFLCSGCRKDLCEEQFMSRDDYPFCVDCYNHLYANKCVACSKPISGLTGAKFICFQDSQWHSECFNCGKCSVSLVGKGFLTQNKEIFCQKCGSGMDTDI", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTDLLIRKLPFQRLVREIAQDYKADLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEKLGVEPEEEGGGDDDEEDAEAWAMELADVGAAASSQGVHDQVLPTPNASSRVIVHVDLDCFYAQVEMISNPELKDKPLGVQQKYLVVTCNYEARKLGVKKLMNVRDAKEKCPQLVLVNGEDLTRYREMSYKVTELLEEFSPVVERLGFDENFVDLTEMVEKRLQQLQSDELSAVTVSGHVYNNQSINLLDVLHIRLLVGSQIAAEMREAMYNQLGLTGCAGVASNKLLAKLVSGVFKPNQQTVLLPESCQHLIHSLNHIKEIPGIGYKTAKCLEALGINSVRDLQTFSPKILEKELGISVAQRIQKLSFGEDNSPVILSGPPQSFSEEDSFKKCSSEVEAKNKIEELLASLLNRVCQDGRKPHTVRLIIRRYSSEKHYGRESRQCPIPSHVIQKLGTGNYDVMTPMVDILMKLFRNMVNVKMPFHLTLLSVCFCNLKALNTAKKGLIDYYLMPSLSTTSRSGKHSFKMKDTHMEDFPKDKETNRDFLPSGRIESTRTRESPLDTTNFSKEKDINEFPLCSLPEGVDQEVFKQLPVDIQEEILSGKSREKFQGKGSVSCPLHASRGVLSFFSKKQMQDIPINPRDHLSSSKQVSSVSPCEPGTSGFNSSSSSYMSSQKDYSYYLDNRLKDERISQGPKEPQGFHFTNSNPAVSAFHSFPNLQSEQLFSRNHTTDSHKQTVATDSHEGLTENREPDSVDEKITFPSDIDPQVFYELPEAVQKELLAEWKRAGSDFHIGHK", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAGLTVRDPAVDRSLRSVFVGNIPYEATEEQLKDIFSEVGPVVSFRLVYDRETGKPKGYGFCEYQDQETALSAMRNLNGREFSGRALRVDNAASEKNKEELKSLGTGAPVIESPYGETISPEDAPESISKAVASLPPEQMFELMKQMKLCVQNSPQEARNMLLQNPQLAYALLQAQVVMRIVDPEIALKILHRQTNIPTLIAGNPQPVHGAGPGSGSNVSMNQQNPQAPQAQSLGGMHVNGAPPLMQASMQGGVPAPGQMPAAVTGPGPGSLAPGGGMQAQVGMPGSGPVSMERGQVPMQDPRAAMQRGSLPANVPTPRGLLGDAPNDPRGGTLLSVTGEVEPRGYLGPPHQGPPMHHVPGHESRGPPPHELRGGPLPEPRPLMAEPRGPMLDQRGPPLDGRGGRDPRGIDARGMEARAMEARGLDARGLEARAMEARAMEARAMEARAMEARAMEVRGMEARGMDTRGPVPGPRGPIPSGMQGPSPINMGAVVPQGSRQVPVMQGTGMQGASIQGGSQPGGFSPGQNQVTPQDHEKAALIMQVLQLTADQIAMLPPEQRQSILILKEQIQKSTGAP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRKRNAGIKPSQRFAELRALRQAGKTRASTYESKENEELYDNVSEEEYRKIVRQRLDEDDFVVDDNGAGYVDNGYDEWDQSHYSDEDDENEKGSSGRKRKKSKNVLAEPNQQIGAFFKANAIQPASRIANRKNNEKEDEFMAEILGSIDQDIPERLSTKKGNRSHTTSNAKRRSQKAASSISTTNVVSSDPKKYIPETVPSTPQPASSLPIPSSPPAALETEENVDSQPMELDEPELPVGSDAPIIDVEESIAMKPLMSHDQVDDTASLSKATISQQPLSPMTPLSSELDVSAFSEINSKIKNVDVPASSYSSPISKVSPSDVTEEDGSLFFFWMDYTEMYGSLCLFGKVYDKATKQYVSCFLKVDGIMRSLYFLPRPSSSSVSEDSIAAQTKDVYDEVANLLSKRGVKEWKSRVSKYKYAFELEDVPRTADYLEVIYSYSYPALPTDLTGSSFSHVFGTNTALFEQFVLSRRVMGPCWLKIQQPNFDAVKNASWCRVEIGCSSPQNISVSFEKNEITSKTPPMTVMSLAFRTLINKEQNKQEVVMISARIFENVDIEKGLPANDMPSYSFSLIRPLKQIFPNGFEKLARQHKSSIFCERSEVSLLNNFLNKVRTYDPDVYFGHDFEMCYSVLLSRLKERKIHNWSSIGRLRRSEWPRSFNRSSQQFVEKQIIAGRLMCDLSNDFGRSMIKAQSWSLSEIVLKELDIKRQDINQEKALQSWTDTAHGLLDYLVHCEIDTFFIAAVAFKIQMLQLSKNLTNIAGNSWARTLTGTRAERNEYILLHEFKKNGYIVPDKQQSIRRHAEAFGAEDGLQEESLGKKKDKYKGGLVFEPQKGLYETCILVMDFNSLYPSIIQEYNICFTTVDRSPSNSDSDDQIPDTPSASANQGIFPRLIANLVERRRQIKGLLKDNSATPTQRLQWDIQQQALKLTANSMYGCLGYTKSRFYARPLAVLITYKGREALMNTKELADQMGLQVIYGDTDSVMLNTNVTDKNHALRIGNEFKEKVNERYSKLEIDIDNVYQRMLLHAKKKYAALQLDSQGKPNLDVKGLDMKRREFCTLAKEASKFCLDQILSGELTETVIENIHSYLMDFSEKMRNGKFPANKFIIFNRLGKNPEDYPNGKTMPFVQVALKKKARGENVRVGDVIPFIIAGSDADGHPADRAYSPQEIMNTNSTLVIDYNYYLSHQILPPIERVIAPIEGTNRARLAECLGLDARKYYSHETSESSAFQRYESTLTDDQCFINVSPLLLKCPSCNATSFSLRSVKSLKETLYANTVECDCGYEYSDFTIILQFSSQLRDFINLYYEGILVCDDSSCGNRTRQMSVYGKRCCNKSCRGSVHFEYNDEQLYNQIKFLLKAVQTTTGATRNGIIRCNAINKNISRIMNKNAREFVDMGLIFSS", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTVTKTNLNRHLDWFFRESPQKIENVTSPIKTLDFVKVKVSSSDIVVKDSIPHKSKNVFDDFDDGYAIDLTEEHQSSSLNNLKWKDVEGPNILKPIKKIAVPASESEEDFDDVDEEMLRAAEMEVFQSCQPLAVNTADTTVSHSTSSSNVPRSLNKIHDPSRFIKDNDVENRIHVSSASKVASISNTSKPNPIVSENPISATSVSIEIPIKPKELSNNLPFPRLNNNNTNNNNDNNAIEKRDSASPTPSSVSSQISIDFSTWPHQNLLQYLDILRDEKSEISDRIIEVMERYPFSSRFKEWIPKRDILSQKISSVLEVLSNNNNSNNNNGNNGTVPNAKTFFTPPSSITQQVPFPSTIIPESTVKENSTRPYVNSHLVANDKITATPFHSEAVVSPLQSNIRNSDIAEFDEFDIDDADFTFNTTDPINDESGASSDVVVIDDEEDDIENRPLNQALKASKAAVSNASLLQSSSLDRPLLGEMKDKNHKVLMPSLDDPMLSYPWSKEVLGCLKHKFHLKGFRKNQLEAINGTLSGKDVFILMPTGGGKSLCYQLPAVIEGGASRGVTLVISPLLSLMQDQLDHLRKLNIPSLPLSGEQPADERRQVISFLMAKNVLVKLLYVTPEGLASNGAITRVLKSLYERKLLARIVIDEAHCVSHWGHDFRPDYKQLGLLRDRYQGIPFMALTATANEIVKKDIINTLRMENCLELKSSFNRPNLFYEIKPKKDLYTELYRFISNGHLHESGIIYCLSRTSCEQVAAKLRNDYGLKAWHYHAGLEKVERQRIQNEWQSGSYKIIVATIAFGMGVDKGDVRFVIHHSFPKSLEGYYQETGRAGRDGKPAHCIMFYSYKDHVTFQKLIMSGDGDAETKERQRQMLRQVIQFCENKTDCRRKQVLAYFGENFDKVHCRKGCDICCEEATYIKQDMTEFSLQAIKLLKSISGKATLLQLMDIFRGSKSAKIVENGWDRLEGAGVGKLLNRGDSERLFHHLVSEGVFVEKVEANRRGFVSAYVVPGRQTIINSVLAGKRRIILDVKESSSKPDTSSRSLSRSKTLPALREYQLKSTTASVDCSIGTREVDEIYDSQMPPVKPSLIHSRNKIDLEELSGQKFMSEYEIDVMTRCLKDLKLLRSNLMAIDDSRVSSYFTDSVLLSMAKKLPRNVKELKEIHGVSNEKAVNLGPKFLQVIQKFIDEKEQNLEGTELDPSLQSLDTDYPIDTNALSLDHEQGFSDDSDSVYEPSSPIEEGDEEVDGQRKDILNFMNSQSLTQTGSVPKRKSTSYTRPSKSYRHKRGSTSYSRKRKYSTSQKDSRKTSKSANTSFIHPMVKQNYR", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGEALRRSTRIAISKRMLEEEESKLAPISTPEVPKKKIKTGPKHNANQAVVQEANRSSDVNELEIGDPIPDLSLLNEDNDSISLKKITENNRVVVFFVYPRASTPGCTRQACGFRDNYQELKKYAAVFGLSADSVTSQKKFQSKQNLPYHLLSDPKREFIGLLGAKKTPLSGSIRSHFIFVDGKLKFKRVKISPEVSVNDAKKEVLEVAEKFKEE", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MALEALTSPRLASPIPPLFEDSSVFHGVEHWTKGKRSKRSRSDFHHQNLTEEEYLAFCLMLLARDNRQPPPPPAVEKLSYKCSVCDKTFSSYQALGGHKASHRKNLSQTLSGGGDDHSTSSATTTSAVTTGSGKSHVCTICNKSFPSGQALGGHKRCHYEGNNNINTSSVSNSEGAGSTSHVSSSHRGFDLNIPPIPEFSMVNGDDEVMSPMPAKKPRFDFPVKLQL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MATPSNKRSRDSESTEEPVVDEKSTSKQNNAAPEGEQTTCVEKFEELKLSQPTLKAIEKMGFTTMTSVQARTIPPLLAGRDVLGAAKTGSGKTLAFLIPAIELLHSLKFKPRNGTGIIVITPTRELALQIFGVARELMEFHSQTFGIVIGGANRRQEAEKLMKGVNMLIATPGRLLDHLQNTKGFVFKNLKALIIDEADRILEIGFEDEMRQIIKILPNEDRQSMLFSATQTTKVEDLARISLRPGPLFINVVPETDNSTADGLEQGYVVCDSDKRFLLLFSFLKRNQKKKIIVFLSSCNSVKYYAELLNYIDLPVLELHGKQKQQKRTNTFFEFCNAERGILICTDVAARGLDIPAVDWIIQFDPPDDPRDYIHRVGRTARGTKGKGKSLMFLTPNELGFLRYLKASKVPLNEYEFPENKIANVQSQLEKLIKSNYYLHQTAKDGYRSYLQAYASHSLKTVYQIDKLDLAKVAKSYGFPVPPKVNITIGASGKTPNTKRRKTHK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPPVSKNTRTSSKTVKKPYDPPQGSSRPFFTVLKRAFSSVLHPFTSGLDEKASGTASKDRKSGRAGTKSLLTPELTPHYLGKSPRIIRVSNRSHVRTIDGIEEKVHTNTFEPRKPKQKQDYTNSPTLFKRHDELSLKSLNSLHPSSALSKKLGSTSQHQIATPKSSASLLNILRSLHDEQKNTLNISSVKQDRITEANPTCEKRKPSRSPSPMLSKKKSVARASENEPSAKQNKSFSGNDSHKSLTDIRDKENGETEVSAKNHVPHRSSRRRRRHQRLIPIIYETLEQMDLRKPVLVNAEVQTDSNPGNTMFIDKQDIYHRLSTPTSRKRQTLEKGHIKAFSAVDEDLDEIFACEDDVHYTALPKQNPKSERILEPIIASPKDNTSDKGLLTKSAPTFEELQASITPKPVKTSPNDTALTLANAEDNKTFEHQPLSKDTEAPKSQFSSSPTKESTTRKSEVEPPSPSKEIKSSHFSVPEFKFEPKTEATTDKKLNVPKFEFKPTATADVQTNRLKENEPKPTFFAQLPSKTQETPSITENKPSFFSQLSPKREETEKKDNAPSAPASTSGFSFGGFAPKTLEEKEETKAPTFNFSLNNASSTQDTTKPTLQFNFGSSFGKPTSNIFNDKKTSENGLASSTVASESKPSAPESKPSSGFGNTAGSSPFSFNLTKESKEVPPTNSFSFAKKGKDEANDSLSAKASTPFSFAKPNTENVTTTAPQFSFNFTKPNTDAKTNLLPEKTFNEEAVKQKETEKEVPPTGPKASEIKDSVSSNNAVPSSTFNFVSPFAAVSEKTNENNIPNDTTKTNGNATKRTLEQTEDAKPFAFSFGSTTEQANKKASTSNETTKPQLDTSSKTDGVTANAPFSFASAFNAPKPSTNTADGKDSASNLTTPSPAFSFGNNSGVKASSNNNPSTNSSTAPFSFGTSNKPAFSFGSATSKTTSEGTAPAASASAPAPTTSAFSFGASNSSMNKEENTPMAKDAGDTAPASGFKSGFSFGANNSPQPASMFGTSTPAPSSAFAFGNQSGTNPAAPAGFGGITNTATNNPPSTGFTFTPSNAGSTAAPMFGAGNTPNPSGSINNASQAFAFGSGEPSNPASNPPSTGFSFGAATPSAFNASASQSPAPNGIQFNLGSSNSQTNAPPGRKIAVPRSRRKR", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAIQTQLPCDGDGVCMRCQVNPPSEETLTCGTCVTPWHVSCLLPESLASSTGDWECPDCSGVVVPSAAPGTGISGPESSGSVLVTAIRAIQADVTLTEAEKAKKRQRLMSGGGDDGVDDEEKKKLEIFCSICIQLPERPVTTPCGHNFCLKCFEKWAVGQGKLTCMICRSKIPRHVAKNPRINLALVSAIRLANVTKCSGEATAAKVHHIIRNQDRPDKAFTTERAVKTGKANAASGKFFVTIPRDHFGPIPAANDVTRNQGVLVGESWEDRQECRQWGVHFPHVAGIAGQAAVGAQSVALSGGYDDDEDHGEWFLYTGSGGRDLSGNKRVNKIQSSDQAFKNMNEALRLSCKMGYPVRVVRSWKEKRSAYAPAEGVRYDGVYRIEKCWSNVGVQGLHKMCRYLFVRCDNEPAPWTSDEHGDRPRPLPDVPELENATDLFVRKESPSWGFDEAEGRWKWMKSPPVSRMALDTEERKKNKRAKKGNNAMKARLLKEFSCQICRKVLSLPVTTPCAHNFCKACLEAKFAGITQLRDRSNGVRKLRAKKNIMTCPCCTTDLSEFLQNPQVNREMMEIIENFKKSEEEAEVAESSNISEEEGEEESEPPTKKIKMDKNSVGGTSLSA", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEDSASASLSSAAATGTSTSTPAAPTARKQLDKEQVRKAVDALLTHCKSRKNNYGLLLNENESLFLMVVLWKIPSKELRVRLTLPHSIRSDSEDICLFTKDEPNSTPEKTEQFYRKLLNKHGIKTVSQIISLQTLKKEYKSYEAKLRLLSSFDFFLTDARIRRLLPSLIGRHFYQRKKVPVSVNLLSKNLSREINDCIGGTVLNISKSGSCSAIRIGHVGMQIEHIIENIVAVTKGLSEKLPEKWESVKLLFVKTEKSAALPIFSSFVSNWDEATKRSLLNKKKKEARRKRRERNFEKQKERKKKRQQARKTASVLSKDDVAPESGDTTVKKPESKKEQTPEHGKKKRGRGKAQVKATNESEDEIPQLVPIGKKTPANEKVEIQKHATGKKSPAKSPNPSTPRGKKRKALPASETPKAAESETPGKSPEKKPKIKEEAVKEKSPSLGKKDARQTPKKPEAKFFTTPSKSVRKASHTPKKWPKKPKVPQST", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAAAASAPQQLSDEELFSQLRRYGLSPGPVTESTRPVYLKKLKKLREEEQQQHRSGGRGNKTRNSNNNNTAAATVAAAGPAAAAAAGMGVRPVSGDLSYLRTPGGLCRISASGPESLLGGPGGASAAPAAGSKVLLGFSSDESDVEASPRDQAGGGGRKDRASLQYRGLKAPPAPLAASEVTNSNSAERRKPHSWWGARRPAGPELQTPPGKDGAVEDEEGEGEDGEERDPETEEPLWASRTVNGSRLVPYSCRENYSDSEEEDDDDVASSRQVLKDDSLSRHRPRRTHSKPLPPLTAKSAGGRLETSVQGGGGLAMNDRAAAAGSLDRSRNLEEAAAAEQGGGCDQVDSSPVPRYRVNAKKLTPLLPPPLTDMDSTLDSSTGSLLKTNNHIGGGAFSVDSPRIYSNSLPPSAAVAASSSLRINHANHTGSNHTYLKNTYNKPKLSEPEEELLQQFKREEVSPTGSFSAHYLSMFLLTAACLFFLILGLTYLGMRGTGVSEDGELSIENPFGETFGKIQESEKTLMMNTLYKLHDRLAQLAGDHECGSSSQRTLSVQEAAAYLKDLGPEYEGIFNTSLQWILENGKDVGIRCVGFGPEEELTNITDVQFLQSTRPLMSFWCRFRRAFVTVTHRLLLLCLGVVMVCVVLRYMKYRWTKEEEETRQMYDMVVKIIDVLRSHNEACQENKDLQPYMPIPHVRDSLIQPHDRKKMKKVWDRAVDFLAANESRVRTETRRIGGADFLVWRWIQPSASCDKILVIPSKVWQGQAFHLDRRNSPPNSLTPCLKIRNMFDPVMEIGDQWHLAIQEAILEKCSDNDGIVHIAVDKNSREGCVYVKCLSPEYAGKAFKALHGSWFDGKLVTVKYLRLDRYHHRFPQALTSNTPLKPSNKHMNSMSHLRLRTGLTNSQGSS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MADGNEDLRADDLPGPAFESYESMELACPAERSGHVAVSDGRHMFVWGGYKSNQVRGLYDFYLPREELWIYNMETGRWKKINTEGDVPPSMSGSCAVCVDRVLYLFGGHHSRGNTNKFYMLDSRSTDRVLQWERIDCQGIPPSSKDKLGVWVYKNKLIFFGGYGYLPEDKVLGTFEFDETSFWNSSHPRGWNDHVHILDTETFTWSQPITTGKAPSPRAAHACATVGNRGFVFGGRYRDARMNDLHYLNLDTWEWNELIPQGICPVGRSWHSLTPVSSDHLFLFGGFTTDKQPLSDAWTYCISKNEWIQFNHPYTEKPRLWHTACASDEGEVIVFGGCANNLLVHHRAAHSNEILIFSVQPKSLVRLSLEAVICFKEMLANSWNCLPKHLLHSVNQRFGSNNTSGS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGEVEAPGRLWLESPPGGAPPIFLPSDGQALVLGRGPLTQVTDRKCSRTQVELVADPETRTVAVKQLGVNPSTTGTQELKPGLEGSLGVGDTLYLVNGLHPLTLRWEETRTPESQPDTPPGTPLVSQDEKRDAELPKKRMRKSNPGWENLEKLLVFTAAGVKPQGKVAGFDLDGTLITTRSGKVFPTGPSDWRILYPEIPRKLRELEAEGYKLVIFTNQMSIGRGKLPAEEFKAKVEAVVEKLGVPFQVLVATHAGLYRKPVTGMWDHLQEQANDGTPISIGDSIFVGDAAGRPANWAPGRKKKDFSCADRLFALNLGLPFATPEEFFLKWPAAGFELPAFDPRTVSRSGPLCLPESRALLSASPEVVVAVGFPGAGKSTFLKKHLVSAGYVHVNRDTLGSWQRCVTTCETALKQGKRVAIDNTNPDAASRARYVQCARAAGVPCRCFLFTATLEQARHNNRFREMTDSSHIPVSDMVMYGYRKQFEAPTLAEGFSAILEIPFRLWVEPRLGRLYCQFSEG", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MATFGAPATANSNPNKSYEVTPSPADSISSLSFSPRADILVATSWDNQVRCWEISRSGASLASAPKASISHDQPVLCSAWKDDGTTVFSGGCDKQAKMWPLLSGGQPVTVAMHEGPIAAMAWIPGMNLLATGSWDKTLKYWDTRQQNPVHTQQLPDKCYTLSVKHPLMVVGTADRNLIVFNLQNPQTEFKRIQSPLKYQTRCVTAFPDQQGFLVGSIEGRVGVHHLDDSQQSKNFTFKCHRDGNDIYSVNSLNFHPVHGTFATAGSDGAFNFWDKDSKQRLKAMSRCNQPIPCSSFNHDGSIYAYAACYDWSKGAENHNPATAKSSIFLHLPQESEVKAKPRVGATGRK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKPFQGITFCPTAINNEILAKKISKKIIKLGGIFSKDLTRQVNVLVVGSTTNTNKFKFAVKHRFDIIFIDIQAIDDIYQLWLSGENILPDSNTATMTGSTYEMLKILYRRFSFKYLHNFNIFIGRITDTNITSIDSLVRSIKKLGCSSYNYQNFVIKDTSSHNDDDDQGQNGQISIFVTDTLLGARVNAAIEQNIPIVHFKWILDCQKRSALLPYDPYYLLPNIKDLPYDSIGSNSCDCWDKINTTFPTNIDAQSSLQRQQSSSTLTPSLPKTSSLLNKFKPKGEKIWDKAMSLQQHSKTNFSVLGQSPLSINNKQEDLSDNSTLIFKNCAFIIHHIFPGNHRSILTKIVVQNGGKIETSYLSGIYDHSYYIIPSNKALDSFNDLPEIIDDNDGIVTEFFIERCLYYQKLLHPIDLWSKPFLSTIEFQVSSSSKLLHHEFSSSPFLNVTITGFSGVELLHLTKVLNLLKPMGINYVEYLNKSTDILLINLAALPSIPKTHPLWSNEFSDLFTQFCINNNNDDPGDNNRKDFQNNSILRNSMKRKIEYIKKFHSIPVVTPAFIFKLLSAASGENNEIFLNNIKWCIICPRGHKDDFKCKIKKPYYTSISSEKKYQNNDPKIDKTILLKRNNSSLSEHSMKDTKNELLQKIRETDSGRKKRSVSSSIMDVSSERQMPDTKRIKLESLPKNFVPKQIKRTTSWGTIMSENVPTEQPTAISNPEEIPRTEEVSHTQVTYGSIQDKKRTASLEKPMRRQTRNQTKELDS", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MHTPDFAGPDDARAVDIMDICESILERKRHDSERSTCSILEQTDMEAVEALVCMSSWGQRSQKGDLLRIRPLTPVSDSGDVTTTVHMDAATPELPKDFHSLSTLCITPPQSPDLVEPSTRTPVSPQVTDSKACTATDVLQSSAVVARALSGGAERGLLGLEPVPSSPCRAKGTSVIRHTGESPAACFPTIQTPDCRLSDSREGEEQLLGHFETLQDTHLTDSLLSTNLVSCQPCLHKSGGLLLTDKGQQAGWPGAVQTCSPKNYENDLPRKTTPLISVSVPAPPVLCQMIPVTGQSSMLPAFLKPPPQLSVGTVRPILAQAAPAPQPVFVGPAVPQGAVMLVLPQGALPPPAPCAANVMAAGNTKLLPLAPAPVFITSSQNCVPQVDFSRRRNYVCSFPGCRKTYFKSSHLKAHLRTHTGEKPFNCSWDGCDKKFARSDELSRHRRTHTGEKKFVCPVCDRRFMRSDHLTKHARRHMTTKKIPGWQAEVGKLNRIASAESPGSPLVSMPASA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGEKNGDAKTFWMELEDDGKVDFIFEQVQNVLQSLKQKIKDGSATNKEYIQAMILVNEATIINSSTSIKGASQKEVNAQSSDPMPVTQKEQENKSNAFPSTSCENSFPEDCTFLTTENKEILSLEDKVVDFREKDSSSNLSYQSHDCSGACLMKMPLNLKGENPLQLPIKCHFQRRHAKTNSHSSALHVSYKTPCGRSLRNVEEVFRYLLETECNFLFTDNFSFNTYVQLARNYPKQKEVVSDVDISNGVESVPISFCNEIDSRKLPQFKYRKTVWPRAYNLTNFSSMFTDSCDCSEGCIDITKCACLQLTARNAKTSPLSSDKITTGYKYKRLQRQIPTGIYECSLLCKCNRQLCQNRVVQHGPQVRLQVFKTEQKGWGVRCLDDIDRGTFVCIYSGRLLSRANTEKSYGIDENGRDENTMKNIFSKKRKLEVACSDCEVEVLPLGLETHPRTAKTEKCPPKFSNNPKELTVETKYDNISRIQYHSVIRDPESKTAIFQHNGKKMEFVSSESVTPEDNDGFKPPREHLNSKTKGAQKDSSSNHVDEFEDNLLIESDVIDITKYREETPPRSRCNQATTLDNQNIKKAIEVQIQKPQEGRSTACQRQQVFCDEELLSETKNTSSDSLTKFNKGNVFLLDATKEGNVGRFLNHSCCPNLLVQNVFVETHNRNFPLVAFFTNRYVKARTELTWDYGYEAGTVPEKEIFCQCGVNKCRKKIL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MMVLDKEDGVPMLSVQPKGKQKGCAGCNRKIKDRYLLKALDKYWHEDCLKCACCDCRLGEVGSTLYTKANLILCRRDYLRLFGTTGNCAACSKLIPAFEMVMRARDNVYHLDCFACQLCNQRFCVGDKFFLKNNMILCQVDYEEGHLNGTFESQVQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDDGDLGNNHHNFLGGAGNRLSAESLPLIDTRLLSQSELRALSQCSSLSPSSSASLAASAGGDDDLTPKIDRSVFNESAGSRKQTFLRLRLARHPQPPEEPPSPQRQRDDSSREEQTQVASLLRSLFNVDSNQSKEEEDEGEEELEDNEGQIHYNSYVYQRPNLDSIQNVLIQGTSGNKIKRKRGRPRKIRNPSEENEVLDLTGEASTYVFVDKTSSNLGMVSRVGSSGISLDSNSVKRKRGRPPKNKEEIMNLEKRDSAIVNISAFDKEELVVNLENREGTIVDLSALASVSEDPYEEELRRITVGLKTKEEILGFLEQLNGEWVNIGKKKKVVNACDYGGYLPRGWRLMLYIKRKGSNLLLACRRYISPDGQQFETCKEVSTYLRSLLESPSKNQHYYLQSDNKTLGQQPVIANESLLGNSDSMDSETMQYLESGRTSSEVFEEAKAVENGNEADRVKTSLMQKDDNADFLNGVEDNDDDMKKRDGNMENLATLSNSEMTKSLPTTTNELQQYFSSQINRVQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSTSFTDLLGSSGVDCYEDDEDLRVSGSSFGGYYPERTGSGLPKFKTAQPPPLPISQSSHNFTFSDYLDSPLLLSSSHSLISPTTGTFPLQGFNGTTNNHSDFPWQLQSQPSNASSALQETYGVQDHEKKQEMIPNEIATQNNNQSFGTERQIKIPAYMVSRNSNDGYGWRKYGQKQVKKSENPRSYFKCTYPDCVSKKIVETASDGQITEIIYKGGHNHPKPEFTKRPSQSSLPSSVNGRRLFNPASVVSEPHDQSENSSISFDYSDLEQKSFKSEYGEIDEEEEQPEMKRMKREGEDEGMSIEVSKGVKEPRVVVQTISDIDVLIDGFRWRKYGQKVVKGNTNPRSYYKCTFQGCGVKKQVERSAADERAVLTTYEGRHNHDIPTALRRS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGKFCCFTSASEVVGGQSSSRSGKGRSDEGMIKYGFSLVKGKANHPMEDYHVANFINIQDHELGLFAIYDGHMGDSVPAYLQKRLFSNILKEGEFWVDPRRSIAKAYEKTDQAILSNSSDLGRGGSTAVTAILINGRKLWIANVGDSRAVLSHGGAITQMSTDHEPRTERSSIEDRGGFVSNLPGDVPRVNGQLAVSRAFGDKGLKTHLSSEPDIKEATVDSQTDVLLLASDGIWKVMTNEEAMEIARRVKDPQKAAKELTAEALRRESKDDISCVVVRFR", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAHSQVAYLIPLKADLKEDNSSPRITLSEGPNIIGRGNVSIVDKRLSRKHITIIVSTSGSASLSVDGTNPVVIRSSGDGERKKVKPSEEVSVCNDDLIELIPGHHFFKLVLLNGRAAKKARKAEDDVEAIRRFCPPNEKLPSTFRLLSVDALPDWANTSCVSINDVIEGDVVAAILSNYMVDIDWLMSACPKLANIPQVMVIHGEGDGRQEYIQRKKPANWILHKPRLPISFGTHHSKAIFLVYPRGVRVVVHTANLIHVDWNNKSQGLWMQDFPWKDDDKDPPKGCGFEGDLIDYLNVLKWPEFTANLPGRGNVKINAAFFKKFDYSDATVRLIASVPGYHTGFNLNKWGHMKLRTILQECIFDREFRRSPLIYQFSSLGSLDEKWLAEFGNSLSSGITEDKTPLGPGDSLIIWPTVEDVRCSLEGYAAGNAIPSPLKNVEKPFLKKYWARWKADHSARGRAMPHIKTFTRYNDQKIAWFLLTSSNLSKAAWGALQKNNSQLMIRSYELGVLFLPSPIKTQGCVFSCTESNPSVMKAKQETKDEVEKRSKLVTMTWQGDRDLPEIISLPVPYQLPPKPYSPEDVPWSWDRGYSKKDVYGQVWPR", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVSPKDLVAIVHSSLLGTSRPTPTQRIELTHAIRNSFPSLQNLLSFPPPKPSDRAQVQSKEIRLPDSLPISLDDQDIAISLKLSDELHLNEIDSVRLLVSSNQEWGLMGRDPLEIQRLATGLWYTGRRDLTSTLYTLLRAVVLDEGLEPDLIADIQGLLEELIEAGLRQRLITLIKELNREDPTGLGGPLCERYLIDSRGALVERRAVVQRERLILGHCLVLSILVDRPGSKDVKDIYYILKDNAAQLTEGNDTISSQITFSLLFSLIITFVSDAISRLSDKSSMISQDASFRTDFQDIVMASGSDPTADGFIGGIRLAWAVHLMLIHDGISGMDTISTASTTDMGHICSCLESIFSKNVFQFLLDNVLRTAAYQNDEEDIIYIYNAYLHKLASCFLSHPIARDKVKESKDMAMSVLNSYRTSDPLDGSMQTEESDRPLPFISLMEFKEPELLSGNDVLWTFVNFAGEDHTNFKTLVAFLEMLCTLASTQEGASKVYELLRGTSFRSIGWPTLFDCIRIYDEKFKQSLQTAGAMMPEFLEGDAKALVAYLNVLQKVVENGNPTERKNWFPDIEPFFKLLGYENIPPYLKGALRKTIAAFVNVFPEMRDSIWAFLEQYDLPVVVGSQVGKSDQSSQVYDMQFELNEVEARREQYPSTISFLNLINALIAGEKDVNDRGRRAYSDPCEKWQLVVACLQHFHMILSMYDIQEEDLDGFTEHPHFLVSLETSSLQTQLPIIELLKDFMSGKALYRNLMGILQVGVNSIISERLSKTYGKILEKAVQLSLEILLLVFEKDLLVSDVWRPLYQPLDIILSQDHNQIIALLEYVRYDSLPQIQRSSIKIMNILRCSRLVGLVPMLIKIDAANSLIEDYAACLEGRLEEGEVVENSCDDLGVLIMQLLVDNINRPAPSITHLLLKFDLDAPVEGTVLQPKFHYSCLKVILEMLEKLPNPDINFLLFEFGFQLLCELNLDPLTSGPTMDLLSSKKYQFFLQHLDTIGVATLPKRSGSQALRISSLHQRAWLLKLLAIALHTGSGSSSAHLEACQSILSHLFGREVTEAANEPFSSSTYPQDGLDYAGTSSISKSKALALLEILQFRSPDASMQLPQIVSSLKYDSLVEDILGNRDTSVSGSIYYYSERGDRLIDLSSFSNKLWQKLHSGFPLVDSFPNVAELSEVRETIQQLLKWGWKYNRNLEEQAAQLHMLAGWSQIVEVSACRRISSLDNRSEILYRILDASLSASASPDCSLKMAFVLTQVALTCIAKLRDDRFSFQGALSSDTVTCLDVMMVKHLSTGACHSVLFKLVMAILRHESSESLRRRQYALLLSYFQYCQHMIALDVPTSVVQFLLLNEQDGEDLDIQKIDKEQADLARANFFIIKKEAQGILDLVIKDASQGSEFGKTISLYVLEALVCIDHERYFLSQLQSRGFIRSCLGSISNISYQDGTHLLESQQRACTLEAELALLLRISHKYGKSGGQVLFSMGALEHIASCRAISFKGNMRRVDMKLQSDVGYNVQKQRTIITAVLRLVFALTSLVETSEFFEGRNKIVRDVVEFIKGHQSLFDQLLREDFTQADDLLMEQIILAVGILSKVWPFEENDGYGFVQGLFDMMSKLFIASPIKSILSQGSELKLSQLRFSLTSYLYFLVTKNSLRLQVSDDSLDSSTKLRQPTLLLLASLLSHVTDSLERAAEKKSLLLHKIRDINELSRQDVDAIIKICDSQEYVTPSDNIHKRRYIAMVEMCQIVGNRDQLITLLLQLAEHVLNIILIHLQDRSVSSNERGSYGSKSHIQQEVTDLCGKLSPTIDRLALLNEGKVGHNLKVFQRLATTVKEMAIQKCV", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MARKLKGKIGSKGLKGALLRHKAKVKLVRNIESKQKHELRKKNSSANNKTVKRNQEFQKLNQGKVMPFEKDETLMLCGEGDFSFARSIVEQNYIESDNLIITSYDNSVNELKLKYPHTFEENYQYLKDLNIPIFFQIDVTKLVKSFKISKNNTWFKIINRLSDHRWGNKPLQNIVFNFPHNGKGIKDQERNIREHQDLIFNFFQNSLQLFNLINTKIQNDTLRYTQGYDLNEDTPQAKKLTAEGYGNIILSLFDGEPYDSWQIKLLAKKNGLTLSRSSKFQWENFPGYHHRRTNSEQDTTKPAKERDARFYIFSKYVSNSSKHNRKSKKDTDSDSD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASLFSGRILIRNNSDQDEVETEAELSRRLENRLVLLFFGAGACPQCQAFAPVLKDFFVRLTDEFYVLRAAQLALVYVSQDPTEEQQDLFLRDMPEKWLFLPFHDELRRDLGRQFSVRQLPAVVVLKPGGDVLTSDATEEIQRLGPACFANWQEAAELLDRSFLQPEDLDEPARRSITEPLRRRKYRVDRDVGRERGRNGRDSGDPQGDAGTRAELW", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGRGDHLLMKNSNAAAAAAAVNGGGTSLDAALRPLVGSDGWDYCIYWRLSPDQRFLEMTGFCCSSELEAQVSALLDLPSSIPLDSSSIGMHAQALLSNQPIWQSSSEEEEADGGGGAKTRLLVPVAGGLVELFASRYMAEEQQMAELVMAQCGGGGAGDDGGGQAWPPPETPSFQWDGGADAQRLMYGGSSLNLFDAAAADDDPFLGGGGGDAVGDEAAAAGAWPYAGMAVSEPSVAVAQEQMQHAAGGGVAESGSEGRKLHGGDPEDDGDGEGRSGGAKRQQCKNLEAERKRRKKLNGHLYKLRSLVPNITKMDRASILGDAIDYIVGLQKQVKELQDELEDNHVHHKPPDVLIDHPPPASLVGLDNDDASPPNSHQQQPPLAVSGSSSRRSNKDPAMTDDKVGGGGGGGHRMEPQLEVRQVQGNELFVQVLWEHKPGGFVRLMDAMNALGLEVINVNVTTYKTLVLNVFRVMVRDSEVAVQADRVRDSLLEVTRETYPGVWPSPQEEDDAKFDGGDGGQAAAAAAAAGGEHYHDEVGGGYHQHLHYLAFD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSAEDYKNLPVTVEKPIPVVYDLGNLAAFDSNVLDKNDLDSSNARREEKIKSLTRDNVQLLINQLLSLPMKTTTESVGGTGGQSSVMTLLQLPDPTTDLPREKPLPKAKAMTKWEKFAAKKGIKPKERAGKMIYDEASGEWVPKWGYKGANKKLDDQWLVEVDDKVKGTDNELIDPRTLNRAERKRLVKKNEKQQRRNMKNAL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAELNDYSTMIDILLSDMDLETVTTKKVRMALKEVYAIDVESQGKAINKLIRKHLDLVKERPRFERSLEDLLKENATLAIELTKEITVSKRSSGEEKNDSETKGTHVEKKKGTVSKSPISTRKVTLSKSLASLLGEHELTRTEVVRRLWAYIKAHNLQNPNNKKEILCDEKLELILGKSTNMFEMHKILASHMTEPKKISDCPPLIQEVRRKEKPIVSDSEQSDTKGI", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDHVYKGQLQAYALQHNLELPVYANEREGPPHAPRFRCNVTFCGQTFQSSEFFPTLKSAEHAAAKIAVASLTPQSPEGIDVAYKNLLQEIAQKESSLLPFYATATSGPSHAPTFTSTVEFAGKVFSGEEAKTKKLAEMSAAKVAFMSIKNGNSNQTGSPTLPSERQEDVNSNVKSSPQEIHSQPSSKVVMTPDTPSKGIKVNEDEFPDLHDAPASNAKEINVALNEPENPTNDGTLSALTTDGMKMNIASSSLPIPHNPTNVITLNAPAANGIKRNIAACSSWMPQNPTNDGSETSSCVVDESEKKKLIMGTGHLSIPTGQHVVCRPWNPEITLPQDAEMLFRDDKFIAYRLVKP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAPEEHDSPTEASQPIVEEEETKTFKDLGVTDVLCEACDQLGWTKPTKIQIEAIPLALQGRDIIGLAETGSGKTGAFALPILNALLETPQRLFALVLTPTRELAFQISEQFEALGSSIGVQSAVIVGGIDSMSQSLALAKKPHIIIATPGRLIDHLENTKGFNLRALKYLVMDEADRILNMDFETEVDKILKVIPRDRKTFLFSATMTKKVQKLQRAALKNPVKCAVSSKYQTVEKLQQYYIFIPSKFKDTYLVYILNELAGNSFMIFCSTCNNTQRTALLLRNLGFTAIPLHGQMSQSKRLGSLNKFKAKARSILLATDVASRGLDIPHVDVVVNFDIPTHSKDYIHRVGRTARAGRSGKAITFVTQYDVELFQRIEHLIGKKLPGFPTQDDEVMMLTERVAEAQRFARMELREHGEKKKRSREDAGDNDDTEGAIGVRNKVAGGKMKKRKGR", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEDNRKRNMERRRETSRHAARDRRSKESDIFDDLKMCVPIVEEGTVTHLDRIALLRVAATICRLRKTAGNVLENNLDNEITNEVWTEDTIAECLDGFVMIVDSDSSILYVTESVAMYLGLTQTDLTGRALRDFLHPSDYDEFDKQSKMLHKPRGEDTDTTGINMVLRMKTVISPRGRCLNLKSALYKSVSFLVHSKVSTGGHVSFMQGITIPAGQGTTNANASAMTKYTESPMGAFTTRHTCDMRITFVSDKFNYILKSELKTLMGTSFYELVHPADMMIVSKSMKELFAKGHIRTPYYRLIAANDTLAWIQTEATTITHTTKGQKGQYVICVHYVLGIQGAEESLVVCTDSMPAGMQVDIKKEVDDTRDYIGRQPEIVECVDFTPLIEPEDPFDTVIEPVVGGEEPVKQADMGARKNSYDDVLQWLFRDQPSSPPPARYRSADRFRTTEPSNFGSALASPDFMDSSSRTSRPKTSYGRRAQSQGSRTTGSSSTSASATLPHSANYSPLAEGISQCGLNSPPSIKSGQVVYGDARSMGRSCDPSDSSRRFSALSPSDTLNVSSTRGINPVIGSNDVFSTMPFADSIAIAERIDSSPTLTSGEPILCDDLQWEEPDLSCLAPFVDTYDMMQMDEGLPPELQALYDLPDFTPAVPQAPAARPVHIDRSPPAKRMHQSGPSDLDFMYTQHYQPFQQDETYWQGQQQQNEQQPSSYSPFPMLS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASANFIRQFELGNDSFSYQKRPEDEPSQPLSNRNINKLNDSSTLKDSSSRIFINSQVLRDGRPVELYAVECSGMKYMELSCGDNVALRRCPDSYFNISQILRLAGTSSSENAKELDDIIESGDYENVDSKHPQIDGVWVPYDRAISIAKRYGVYEILQPLISFNLDLFPKFSKQQQIESSSISKNLNTSSFNTRSPLRNHNFSNPSKSSKNGVHTINNMQSSPSPSSSFLLPLTQIDSQNVKRSNNYLSTSPPILEQRLKRHRIDVSDEDLHPSSQLNDNEASSLFPDTPRLNHSLSFVSLVSSLPPLDQNIMQDYHTSKDILTSIFLDVNFADSSALEAKLSDSLDLDVPIDELGHAALHWAAAVAKMPLLQALIHKGANPLRGNLTGETALMRSVLVTNHLNQNSFGDLLDLLYASLPCTDRAGRTVVHHICLTAGIKGRGSASRYYLETLLNWAKKHASGNNGYMLKDFINYLNHQDKNGDTALNIAARIGNKNIVEVLMQAGASAYIPNRAGLSVANFGIFVENALKQPEDSKQTKVSLMSENLSSKEKTAVPPRQKSRDIIASVTDVISSLDKDFQDEMAAKQSMIDSAYTQLRESTKKLSDLREQLHVSETQRTLFLELRQRCKNLMTSIEEQKSELSNLYESFDPNGIHDSLSLDADAPFTVNENNNKNLSIAELKFQVAAYERNEARLNELANKLWQRNSNIKSKCRRVVSLCTGVDESRVDSLLESLLQAVESDGQQGEVDMGRVAGFLRVVKEHQA", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKKPSKKSEIEFCTVCRFHHDQGSRHKYFPRHKSSLSSLLDRFRSKIADVRFFLKNPSVLRPQEQSQNRVWCVFCDEDIVELGSSFACSKAINHFASSDHLKNIKQFLSKNGPAMDCIDEFRISEADVAKWEKKCQSFGNEDASFEGSCGQLSGTSNDIHTKLAFETMDRIKKVPAHHINSYKSNDVMPLQYNTNEYQISLSEIPGVIHNGSYLNMDDSQFPLCDESGNGFGEHSIPCRSKDYSGNGNYCTQENYQVSQDKKQIDGSYNPPGVVGMTSISSSHSTDAGGNVHSGAPPPWLDANDGDFSSVQLNQSDVARFQAKVPGKNRKLNPNRVGAAWAERRKIEIEMEKSGHVTKSNIDPDWLPNFGRVWQSGTRKESRKEFEKEKRKLVKTESISTESEPVKIQPYISKRARRESGE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MATKKRALSFSEKHQKLVDEKFRKSLNIQVMNKLERQAKNQVVQNENDEKVERQRFLRVLQNEQFELDMEEAIQKAEANKMLRDRQLEQEERLANELARLKHESLKDKKMRQQVRENSIELRELEQKLKAAYMNKERAAQIVEKDAMKYEQMKRDAEIERIMMEEHDRLLKEESAKQERRNKERAQYYLDLEKQLEDQERRKQEAYEQLLKEKLMIDEIVRKIYEEDQVERQQKLEKKNAIQKYIEEFQRAQDFWRQKKREEMEEENRKIIEFANIQEQREGERMARVHEIEEKRVQRQNLLMKQLEETLRQRDDLEQVRQELYQEEQAEIIKLKVKEEAELRLRRQREMKQDFEDQMALKELILQAAKEEEETFKKAMLAKFAEDDRIELMNAQKQRMKQLEHKRAVEKLIEERRSQFLADKQRELEELQLQQRRQGCINEIIEEERLRLLKEHAAKLLGYLPKGVFKREDDVDMLGEEFRKAYQKRDGV", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAQLPPKIPTMTTPNWPDFSSQKLPSIAATAAAAATAGPQQQNPSWMDEFLDFSATRRGTHRRSISDSIAFLEPPSSGVGNHHFDRFDDEQFMSMFNDDVHNNNHNHHHHHSINGNVGPTRSSSNTSTPSDHNSLSDDDNNKEAPPSDHDHHMDNNVANQNNAAGNNYNESDEVQSQCKTEPQDGPSANQNSGGSSGNRIHDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSVLSPRVAFLDHQRLLLNVDNSAIKQRIAALAQDKIFKDAHQEALKREIERLRQVYHQQSLKKMENNVSDQSPADIKPSVEKEQLLNV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSYYFKNLKPDLNSDVEEDDGNLLESIMANKSKREIDEQESSDDELKTLSFGSLKKAETVIDEEDFKDTKPVHKKPITTTYREESFDEDEDSEDQSDEDAGFFEEDSEDETHHGQKVPKKKSKHAPVEQSSKKRVPRVRNIPGLEIPRNKRSNLYQDIRFDKSTGKALDSSIIRKRYQFLDEYREKEIDELQKLLQERKFLSKIDQGEREEMEQRLKSMKSRLQSMKNKDLEREILKEYENDMNKNNNTRYHLKKSEKRKVVQKWKFDHMKAKQREKVMERKRKKRLGKEFKQFEFHNRR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEAATTLHPGPRPALPLGGPGPLGEFLPPPECPVFEPSWEEFADPFAFIHKIRPIAEQTGICKVRPPPDWQPPFACDVDKLHFTPRIQRLNELEAQTRVKLNFLDQIAKYWELQGSTLKIPHVERKILDLFQLNKLVAEEGGFAVVCKDRKWTKIATKMGFAPGKAVGSHIRGHYERILNPYNLFLSGDSLRCLQKPNLTTDTKDKEYKPHDIPQRQSVQPSETCPPARRAKRMRAEAMNIKIEPEETTEARTHNLRRRMGCPTPKCENEKEMKSSIKQEPIERKDYIVENEKEKPKSRSKKATNAVDLYVCLLCGSGNDEDRLLLCDGCDDSYHTFCLIPPLHDVPKGDWRCPKCLAQECSKPQEAFGFEQAARDYTLRTFGEMADAFKSDYFNMPVHMVPTELVEKEFWRLVSTIEEDVTVEYGADIASKEFGSGFPVRDGKIKLSPEEEEYLDSGWNLNNMPVMEQSVLAHITADICGMKLPWLYVGMCFSSFCWHIEDHWSYSINYLHWGEPKTWYGVPGYAAEQLENVMKKLAPELFVSQPDLLHQLVTIMNPNTLMTHEVPVYRTNQCAGEFVITFPRAYHSGFNQGFNFAEAVNFCTVDWLPLGRQCVEHYRLLHRYCVFSHDEMICKMASKADVLDVVVASTVQKDMAIMIEDEKALRETVRKLGVIDSERMDFELLPDDERQCVKCKTTCFMSAISCSCKPGLLVCLHHVKELCSCPPYKYKLRYRYTLDDLYPMMNALKLRAESYNEWALNVNEALEAKINKKKSLVSFKALIEESEMKKFPDNDLLRHLRLVTQDAEKCASVAQQLLNGKRQTRYRSGGGKSQNQLTVNELRQFVTQLYALPCVLSQTPLLKDLLNRVEDFQQHSQKLLSEETPSAAELQDLLDVSFEFDVELPQLAEMRIRLEQARWLEEVQQACLDPSSLTLDDMRRLIDLGVGLAPYSAVEKAMARLQELLTVSEHWDDKAKSLLKARPRHSLNSLATAVKEIEEIPAYLPNGAALKDSVQRARDWLQDVEGLQAGGRVPVLDTLIELVTRGRSIPVHLNSLPRLETLVAEVQAWKECAVNTFLTENSPYSLLEVLCPRCDIGLLGLKRKQRKLKEPLPNGKKKSTKLESLSDLERALTESKETASAMATLGEARLREMEALQSLRLANEGKLLSPLQDVDIKICLCQKAPAAPMIQCELCRDAFHTSCVAVPSISQGLRIWLCPHCRRSEKPPLEKILPLLASLQRIRVRLPEGDALRYMIERTVNWQHRAQQLLSSGNLKFVQDRVGSGLLYSRWQASAGQVSDTNKVSQPPGTTSFSLPDDWDNRTSYLHSPFSTGRSCIPLHGVSPEVNELLMEAQLLQVSLPEIQELYQTLLAKPSPAQQTDRSSPVRPSSEKNDCCRGKRDGINSLERKLKRRLEREGLSSERWERVKKMRTPKKKKIKLSHPKDMNNFKLERERSYELVRSAETHSLPSDTSYSEQEDSEDEDAICPAVSCLQPEGDEVDWVQCDGSCNQWFHQVCVGVSPEMAEKEDYICVRCTVKDAPSRK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MMTAKAVDKIPVTLSGFMHQLPDSLYPVEDLAASSVTIFPNGELGGPFDQMNGVAGDGMINIDMTGEKRPLDLPYPSSFAPISAPRNQTFTYMGKFSIDPQYPGASCYPEGIINIVSAGILQGVTPPASTTASSSVTSASPNPLATGPLGVCTMSQTQPELDHLYSPPPPPPPYSGCTGDLYQDPSAFLSPPSTTSTSSLAYQPPPSYPSPKPAMDPGLIPMIPDYPGFFPSPCQRDPHGAAGPDRKPFPCPLDSLRVPPPLTPLSTIRNFTLGGPGAGVTGPGASGGGEGPRLPGSGSAAVTATPYNPHHLPLRPILRPRKYPNRPSKTPVHERPYPCPAEGCDRRFSRSDELTRHIRIHTGHKPFQCRICMRNFSRSDHLTTHIRTHTGEKPFACDYCGRKFARSDERKRHTKIHLRQKERKSSAPSAPPSAQSSASGPGGSQAGGSLCGNSAIGGPLASCTSRTRTP", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MQFNGSNGIDESSVIDLTRSPSPPVETSISSTNIIDLDAIPDDSFPSSPVLSPRRRRMNRRRNERSRNFPSNHLSYLEDMIYLGPQVSTRRSSSRRDLMGMIARTFPEFSSVNSLSPSLFQLIVNRMRFDAIHPEWTNGSDDEYFSNHFEESYDDFTSSLENIKQSYKPPGPPKSGFTRSFNNDTLMVCPRCQEPLGTSKSKEKSALWATKCGHVYCGSCAKVLKTSKRSQSKCLVNDCGRYLNTKNAMWELFY", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSHLPMKLLRKKIEKRNLKLRQRNLKFQGASNLTLSETQNGDVSEETMGSRKVKKSKQKPMNVGLSETQNGGMSQEAVGNIKVTKSPQKSTVLTNGEAAMQSSNSESKKKKKKKRKMVNDAEPDTKKAKTENKGKSEEESAETTKETENNVEKPDNDEDESEVPSLPLGLTGAFEDTSFASLCNLVNENTLKAIKEMGFTNMTEIQHKSIRPLLEGRDLLAAAKTGSGKTLAFLIPAVELIVKLRFMPRNGTGVLILSPTRELAMQTFGVLKELMTHHVHTYGLIMGGSNRSAEAQKLGNGINIIVATPGRLLDHMQNTPGFMYKNLQCLVIDEADRILDVGFEEELKQIIKLLPTRRQTMLFSATQTRKVEDLARISLKKEPLYVGVDDDKANATVDGLEQGYVVCPSEKRFLLLFTFLKKNRKKKLMVFFSSCMSVKYHYELLNYIDLPVLAIHGKQKQNKRTTTFFQFCNADSGTLLCTDVAARGLDIPEVDWIVQYDPPDDPKEYIHRVGRTARGLNGRGHALLILRPEELGFLRYLKQSKVPLSEFDFSWSKISDIQSQLEKLIEKNYFLHKSAQEAYKSYIRAYDSHSLKQIFNVNNLNLPQVALSFGFKVPPFVDLNVNSNEGKQKKRGGGGGFGYQKTKKVEKSKIFKHISKKSSDSRQFSH", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAKVSVLNVAVLENPSPFHSPFRFEISFECSEALADDLEWKIIYVGSAESEEFDQILDSVLVGPVPAGRHMFVFQADAPNPSLIPETDAVGVTVVLITCTYHGQEFIRVGYYVNNEYLNPELRENPPMKPDFSQLQRNILASNPRVTRFHINWDNNMDRLEAIETQDPSLGCGLPLNCTPIKGLGLPGCIPGLLPENSMDCI", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDAILPVPVDGFRFDTGSGSCCKPRNNLESGTTNRFTCFNESESQSNPSPTESKVCSDYLPVFKYINDMLMEEDLEGQSCMLEDSLALQAAERSFFEVLQDQTPISGDLEDGSLGNFSSITSLHQPEVSEESTRRYRHRDDDEDDDLESGRKSKLPAISTVDELAEKFEEVLLVCQKNDQGEATEKKTRHVKGSSNRYKQQKSDQPVDMRNLLMQCAQAVASFDQRRAFEKLKEIREHSSRHGDATQRLGYHFAEALEARITGTMTTPISATSSRTSMVDILKAYKGFVQACPTLIMCYFTANRTINELASKATTLHIIDFGILYGFQWPCLIQALSKRDIGPPLLRVTGIELPQSGFRPSERVEETGRRLKRFCDKFNVPFEYSFIAKNWENITLDDLVINSGETTVVNCILRLQYTPDETVSLNSPRDTALKLFRDINPDLFVFAEINGTYNSPFFLTRFREALFHCSSLFDMYETTLSEDDNCRTLVERELIIRDAMSVIACEGSERFARPETYKQWQVRILRAGFRPAKLSKQIVKDGKEIVKERYHKDFVIDNDNHWMFQGWKGRVLYAVSCWKPAKK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSRPLITRSPASPLNNQGIPTPAQLTKSNAPVHIDVGGHMYTSSLATLTKYPESRIGRLFDGTEPIVLDSLKQHYFIDRDGQMFRYILNFLRTSKLLIPDDFKDYTLLYEEAKYFQLQPMLLEMERWKQDRETGRFSRPCECLVVRVAPDLGERITLSGDKSLIEEVFPEIGDVMCNSVNAGWNHDSTHVIRFPLNGYCHLNSVQVLERLQQRGFEIVGSCGGGVDSSQFSEYVLRRELRRTPRVPSVIRIKQEPLD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFGQNKSFGSSSFGGGSSGSGLFGQNNQNNQNKGLFGQPANNSGTTGLFGAAQNKPAGSIFGAASNTSSIFGSPQQPQNNQSSLFGGGQNNANRSIFGSTSSAAPASSSLFGNNANNTGTSSIFGSNNNAPSGGGLFGASTVSGTTVKFEPPISSDTMMRNGTTQTISTKHMCISAMSKYDGKSIEELRVEDYIANRKAPGTGTTSTGGGLFGASNTTNQAGSSGLFGSSNAQQKTSLFGGASTSSPFGGNTSTANTGSSLFGNNNANTSAASGSLFGAKPAGSSLFGSTATTGASTFGQTTGSSLFGNQQPQTNTGGSLFGNTQNQNQSGSLFGNTGTTGTGLFGQAQQQPQQQSSGFSFGGAPAATNAFGQPAAANTGGSLFGNTSTANTGSSLFGAKPATSTGFTFGATQPTTTNAFGSTNTGGGLFGNNAAKPGGLFGNTTNTGTGGGLFGSQPQASSGGLFGSNTQATQPLNTGFGNLAQPQIVMQQQVAPVPVIGVTADVLQMQANMKSLKSQLTNAPYGDSPLLKYNANPEIDGKSSPASTQRQLRFLAAKKGALSSSSDAQDSSFIIPPISKVMSDLSPAVTRSADVTKDLNYTSKEAPPSLARGLRNSTFNPNMSLTNRSVHESSALDKTIDSALDASMNGTSNRLGVRGSVRRSNLKQLDMSLLADSSRVGRESRVADPDALPRISESERRQDVVTSTPAVDPVQAVIQRHNDRNRDPPSLNLDTTCDEHTGLEPVSAATSSAASVVSTPSEETVNVNSAAGVKLTKPDYFSLPTINEMKNMIKNGRVVLEDGLTVGRSSYGSVYWPGRVELKDVALDEIVVFRHREVTVYPNEEEKAPEGQELNRPAEVTLERVWYTDKKTKKEVRDVVKLSEIGWREHLERQTIRMGAAFKDFRAETGSWVFRVDHFSKYGLADDDEPMDGSPPQQALQASSPLQVIDMNTSARDVNNQVQRKKVHKATDAHHQEIILERVPAPAALGDVVPIIRRVNRKGLGGGTLDDSREESCIGNMTTEFNESGHDSIIEEGQQPEKKPKLELLADLEYESSRFIRNLQELKVMPKANDPAHRFHGGGHSAKMIGYGKSKLIDIGIVKGRSSHVGWSETGCLVWSAQPRHNQVLFGTIDRTSDVNENTLISMLDVNVHVSETSRKGPSSQSNSVKSSLTSNFVTYSDSYSSMFAKYIDVAQAGGYDGHVSVWKLISALFPYERREGWSFERGEEIGEWLRTEAVKSVPDDRSADTSSNGVWNQLCLGDIDKAFQIAIDNNQPQLATMLQTSAVCPEATVHCFKAQLDNWKKCETLHLIPKETLKCYVLMSGLSHYEWDQDGKNHSINCLDGLNWIQALGLHVWYLRAWTGLEESYDAYQKDVNAGRAASNRGDLPGELIKLACESQHSVEVVLDCAAGENPNDYFLQWHVWSLLYSVGYRTMSKTSETRLHRNYSSQLEASSLSKYALFVLQHIDDDEERSTAVRSLLDRIARFTDNDMFDSISEQFDIPSEWIADAQFSIAKSVDDSTQLFELAVAAKNYLEICRLFVDDIAPTAVVAGDHDALKAACAMVRPFENQIPEWGATGMVYTDYCRLINLIENDAEEELLQDVLESLETRLHAPTISKNSLQKLSLQTIGRVLFEYRADKNTLPEWTKLLGHRQMFKIFRDRSSWGIERFTIEFD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSSESTTFIVDVSPSMMKNNNVSKSMAYLEYTLLNKSKKSRKTDWISCYLANCPVSENSQEIPNVFQIQSFLAPVTTTATIGFIKRLKQYCDQHSHDSSNEGLQSMIQCLLVVSLDIKQQFQARKILKQIVVFTDNLDDLDITDEEIDLLTEELSTRIILIDCGKDTQEERKKSNWLKLVEAIPNSRIYNMNELLVEITSPATSVVKPVRVFSGELRLGADILSTQTSNPSGSMQDENCLCIKVEAFPATKAVSGLNRKTAVEVEDSQKKERYVGVKSIIEYEIHNEGNKKNVSEDDQSGSSYIPVTISKDSVTKAYRYGADYVVLPSVLVDQTVYESFPGLDLRGFLNREALPRYFLTSESSFITADTRLGCQSDLMAFSALVDVMLENRKIAVARYVSKKDSEVNMCALCPVLIEHSNINSEKKFVKSLTLCRLPFAEDERVTDFPKLLDRTTTSGVPLKKETDGHQIDELMEQFVDSMDTDELPEIPLGNYYQPIGEVTTDTTLPLPSLNKDQEENKKDPLRIPTVFVYRQQQVLLEWIHQLMINDSREFEIPELPDSLKNKISPYTHKKFDSTKLVEVLGIKKVDKLKLDSELKTELEREKIPDLETLLKRGEQHSRGSPNNSNN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAEPSQAPTPAPAAQPRPLQSPAPAPTPTPAPSPASAPIPTPTPAPAPAPAAAPAGSTGTGGPGVGSGGAGSGGDPARPGLSQQQRASQRKAQVRGLPRAKKLEKLGVFSACKANETCKCNGWKNPKPPTAPRMDLQQPAANLSELCRSCEHPLADHVSHLENVSEDEINRLLGMVVDVENLFMSVHKEEDTDTKQVYFYLFKLLRKCILQMTRPVVEGSLGSPPFEKPNIEQGVLNFVQYKFSHLAPRERQTMFELSKMFLLCLNYWKLETPAQFRQRSQAEDVATYKVNYTRWLCYCHVPQSCDSLPRYETTHVFGRSLLRSIFTVTRRQLLEKFRVEKDKLVPEKRTLILTHFPKFLSMLEEEIYGANSPIWESGFTMPPSEGTQLVPRPASVSAAVVPSTPIFSPSMGGGSNSSLSLDSAGAEPMPGEKRTLPENLTLEDAKRLRVMGDIPMELVNEVMLTITDPAAMLGPETSLLSANAARDETARLEERRGIIEFHVIGNSLTPKANRRVLLWLVGLQNVFSHQLPRMPKEYIARLVFDPKHKTLALIKDGRVIGGICFRMFPTQGFTEIVFCAVTSNEQVKGYGTHLMNHLKEYHIKHNILYFLTYADEYAIGYFKKQGFSKDIKVPKSRYLGYIKDYEGATLMECELNPRIPYTELSHIIKKQKEIIKKLIERKQAQIRKVYPGLSCFKEGVRQIPVESVPGIRETGWKPLGKEKGKELKDPDQLYTTLKNLLAQIKSHPSAWPFMEPVKKSEAPDYYEVIRFPIDLKTMTERLRSRYYVTRKLFVADLQRVIANCREYNPPDSEYCRCASALEKFFYFKLKEGGLIDK", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTIHQLSPESQWKIVSSSFIYGPVAAVRELLDNSIDSGAKKVFIDVDSTTGGCEYISVKDDGSGVDIIDRPSMCLEYTTSKMSSLGDISILTTLGFRGEALFLLSNLCNQKGSMQVETKTADDVIGEKWLVDSKGGITNGKRYKVSCPVGTTVILRKLLGGLRARYLEISSRPRKTFDELIYLINHYSLIHRNIRFYFSLVSLQKNGAIERKQMQETLDPKISRARSLSLLARLKKPVPLNFIVEENFVIDEKINLDLILPRMVPESDVINIKRRFKFLSVNERALSLNLETGKTISKLLSSIYRDFSLLDPMVWFINLNCDTKLLDVNIEPEKNDVMIKSFEVIFKKIENKLKLLLEERIGIETNMLGDKHVQPSINEKTSPALVIPTPDAENEISKGCGAVSGKDKTDIPQKNSDLIVPTFYDEANLENTTIVAATPSPTKFSEDKALDEQTQLTISSYRSSSSGSMASEDSTNWRHNFQQELSENSEVAGAGSSTLPSSLTYNYIETIPENEDLELSKDASISNPFMITKIRNVNKKLSENLLEAKRTSCGDANKRGMPNERQLSNTEKKELIKLQQTYGKRNNTVDMTIPRNSKKKVTDNYIKKASCMHKTRPKLMHFSEYTNNYVYTLKNEKIVKHDSDNFAKETLWLRSRDDATSPSSSLLQALRAHVKKPGHIEATTNEWCLFTPDSP", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVSKRRLSKSEDKESLTEDASKTRKQPLSKKTKKSHIANEVEENDSIFVKLLKISGIILKTGESQNQLAVDQIAFQKKLFQTLRRHPSYPKIIEEFVSGLESYIEDEDSFRNCLLSCERLQDEEASMGASYSKSLIKLLLGIDILQPAIIKTLFEKLPEYFFENKNSDEINIPRLIVSQLKWLDRVVDGKDLTTKIMQLISIAPENLQHDIITSLPEILGDSQHADVGKELSDLLIENTSLTVPILDVLSSLRLDPNFLLKVRQLVMDKLSSIRLEDLPVIIKFILHSVTAMDTLEVISELREKLDLQHCVLPSRLQASQVKLKSKGRASSSGNQESSGQSCIILLFDVIKSAIRYEKTISEAWIKAIENTASVSEHKVFDLVMLFIIYSTNTQTKKYIDRVLRNKIRSGCIQEQLLQSTFSVHYLVLKDMCSSILSLAQSLLHSLDQSIISFGSLLYKYAFKFFDTYCQQEVVGALVTHICSGNEAEVDTALDVLLELVVLNPSAMMMNAVFVKGILDYLDNISPQQIRKLFYVLSTLAFSKQNEASSHIQDDMHLVIRKQLSSTVFKYKLIGIIGAVTMAGIMAADRSESPSLTQERANLSDEQCTQVTSLLQLVHSCSEQSPQASALYYDEFANLIQHEKLDPKALEWVGHTICNDFQDAFVVDSCVVPEGDFPFPVKALYGLEEYDTQDGIAINLLPLLFSQDFAKDGGPVTSQESGQKLVSPLCLAPYFRLLRLCVERQHNGNLEEIDGLLDCPIFLTDLEPGEKLESMSAKERSFMCSLIFLTLNWFREIVNAFCQETSPEMKGKVLTRLKHIVELQIILEKYLAVTPDYVPPLGNFDVETLDITPHTVTAISAKIRKKGKIERKQKTDGSKTSSSDTLSEEKNSECDPTPSHRGQLNKEFTGKEEKTSLLLHNSHAFFRELDIEVFSILHCGLVTKFILDTEMHTEATEVVQLGPPELLFLLEDLSQKLESMLTPPIARRVPFLKNKGSRNIGFSHLQQRSAQEIVHCVFQLLTPMCNHLENIHNYFQCLAAENHGVVDGPGVKVQEYHIMSSCYQRLLQIFHGLFAWSGFSQPENQNLLYSALHVLSSRLKQGEHSQPLEELLSQSVHYLQNFHQSIPSFQCALYLIRLLMVILEKSTASAQNKEKIASLARQFLCRVWPSGDKEKSNISNDQLHALLCIYLEHTESILKAIEEIAGVGVPELINSPKDASSSTFPTLTRHTFVVFFRVMMAELEKTVKKIEPGTAADSQQIHEEKLLYWNMAVRDFSILINLIKVFDSHPVLHVCLKYGRLFVEAFLKQCMPLLDFSFRKHREDVLSLLETFQLDTRLLHHLCGHSKIHQDTRLTQHVPLLKKTLELLVCRVKAMLTLNNCREAFWLGNLKNRDLQGEEIKSQNSQESTADESEDDMSSQASKSKATEDGEEDEVSAGEKEQDSDESYDDSD", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEPYSLGEEGALPSEGHLPSFSESQVLNCSDTLNRDLGPSTRDLLYAGLSGLDLDPSLSTSDMPSEVLEDNLDTLSLYSGKDSDSVKLLEEYADSESQTSLQDLGLGALKVPKEADEGGRATGSTRKGKRQHSSPQNPLLDCSLCGKVFSSASSLSKHYLTHSQERKHVCKVCSKAFKRQDHLTGHMLTHQKTKPFVCIEQGCSKSYCDYRSLRRHYEVQHGVCILKETPPEEEAYGDPTHNHDVANQPPPSGLRSLGPPEARSPGSVLPNRDLLRCIVSSIVHQKIPSPGPAVGPSDTEARSSACACPTSLGSSSCTPASTPVALGTLGSEIPEETHPPRKEAATEVFTPVQSRAAENGVPDPPESELESESPRLQRPSSLEGWPEGSSLPACLPLFRGHSVPSGSQPSSHNFQWLRNLPGCPKNKGSNVFMVHKPPAVASREGSEGGGSGPSSTPTSVEPSPSLGTTQEELLPFPPALLKAPGEASSEVRQAAGEDETWAPKKCKPDCESFPWQSPTELGLQDAQNPGGLPSDATPLFRQLFMKSQESLVSHEQMQVLQMIAKSQRIFSHTQVATASAQRPGPEGKQSTLKPLQGPWPPQTLPPAPTVDSFQIGPGHSEPEGSPVRRRKTMPAVSRETSPGGPRRDTKGGPKVASAPPSLTGPGLLPSRNPDSSSLAKGTLDLGDIIPNAGSRQSQLGGDEPAGTQLVGKQGQGENGLASGAMRGEKGPACPRGGGYRLFSGHPRAQRFSGFRKEKVKMDVCCAASPSQVAMASFSSAGPLADPPRDMKSKLTIFNRIQGGNIYRLPHPVKEESLAGGCHQPNGGPTDWMESKSTFVCKNCSQMFYTEKGLSSHMCFHSDQWPSPRGKQEQQVKGQMVASVKRKAGREEGAVEDMKRHYDCSSSEPQDVTILSMLVSSGSCGVTPVVLSSLLQGQEKDGEERDSKESCQYRKRKKRPQPKALFAPPAPSALGEPGPGGCHQSCLHSPVFLVDHLLKGLFQCSPYTPPPMLSPIREGSGLYFNTLCSTSRAGPHLISPVLDQVDSSFGICVVKDDTKISIEPHINVGSRFQAEIPELQERLLARVDENVASLVWKPWGDVMTNPETQDRVMELCNVACSSVMPGGGTNLELALHCLHDAQGSVQVALETLLLRGPQKPRTHPLADYRYTGSDIWTPMEKRLFKKAFCAHKKDFYLIHKMIQTKSVAQCVEYYYIWKKMVKFDCGRAPGLEKRGRRELDEVERTEDKVTCSPRERPTHRPTPELKIKTKSYRRESILHSSPSAAPKRTPEPPGSVESQGVFPCRECERVFDKIKSRNAHMKRHRLQEHVEPVRVKWPVKPYPLKEEEEEEEEELGADMGPLQW", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEVEASGTEDNGDGGAKEPEAVVSIDTETKEAADEAKSQETVPQTPTTSSDSSSSGGGGEATDGTPNAESADPPPPPPPPPPPPPSTPADSTAAAASPAVLTEMSPPPPASTSSSSSTPAAPINLLDTCAVCKQSLQNRDCEPKLLPCLHSFCLKCIPQPDRKITMPVQGPHGQDTRIVNVMRCTVCHQDYKQIDMVDNYFVKDTSEATNTSVENSTQVCTSCEDNASAIGFCVECGEWLCKTCIEAHQRVKFTKDHKIRKKEEVSPESVGTSGQRPVFCPVHKQEALKLFCETCDTLTCRDCQLLEHKEHRYQFLDEACQNQKGIIATFMTKLQEKRGLVEYSASEVQKRLKEVAETHKKVEHEIKIAVFTLINEINKKGKSLLQQLESVTKDRSMKLLSQQRDISVLAQQIIHVLNFTNWAITNGSSTALLYSKRLITYQLRLIMKARVDAVPPANGAVRFFCDPTFWAKNVVNLGNLVIEKVAPTAPPSNMMVNQQISPGHNHPGKHSGQINLAQLRLQHMQQAAIAQKHQQQHQHHQQQQHQHQHQQQQQQQQQQQQQQQQQQQQQQHQQQIQQQMRIASQMSQHPRQGAPQMIQQPPPRLISMQALHRGGVNGSSHMFPPHHLRMVSAQNRMPSAQPRLNGQPYPMMQPQLQRQHSNPGHAGPFPVASLHNISAANPTSPTSASMANAHMHRGPSSPVITPIELIPSVTNPENLPCLPDIPPIQLEDAGSSTLDNILSRYISANAYPTVGPTNPSPGPSTHSPGSSGLSNSHTPARPSSTSSTGSRGSSGTTVDQVKVKQEPGVEEECSYSGANVKTERTKDGRRSACMMSSPEGSLTPPLPILGSVSTGSVQDILRTLGENVKSEPQSDNLSSCTNPNSRATLTNGTSGSNGGQRGGATNANSQTTAGKEDDPNEDWCAVCQNGGELLCCDHCPKVFHITCHIPTLKSSPSGDWMCTFCRNLANPEIEYNCDDDPPRNKEKNEMAMSPEEQRRCERLLLHVFCHELSTEFQEPVPTSVPNYYKIIKHPMDLTLVKRKLQRKHPLHYKSPKEFVSDVRLVFSNCAKYNEMSRIIQVYDEEKQSNVQADSEVAEAGKAVSLYFEERLLEIFPEQTFPVVMEKETQIEAEKEDSDDSDDDIIQPKRKRLKVDTEMLLHIK", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MQRLKIKRCILSQKEPKVAYDCSMAKKRRAEEQALGVPVNKRKSLLMKPRHYSPDMDCKENPDNRNEDDGLETNDHSTADEIVVKPMDKTLHLPAQESSLPKEDQYACYPELMVKSLMHLGKFEESESVQTVGENLNGNGIQSLKAECDEANECFMVHSDDGRDKVHHSQPPFCSSGDSESDSDNTENGWGSGSNSSEDTDTHKGPKRKLTYNRKDLLEVPEIKAEDDKFIPCENRCDSDTSGRDPQNSHMEPLAVKVQPSFPEVEESESLATVIAESAEVEKAKGSLSLLEQAIALQAERGSVFHHTYKELDRFLLDHLARQRRQPKVTDASGRQIFNNKHSPRPERREAKCPIPGCDGTGHVTGLYPHHRSLSGCPHKVRVPLEILAMHENVLKCPTPGCTGRGHVNSNRNTHRSLSGCPIAAAEKLAMTQDKSQLDSSQTGQGPEQAHRVNLVKQIEFNFRSQAITSPRASASKEQEKFGKVPFDYASFDAQVFGKRPLLQTGQGQKAPPFPESKHFSNPVKFSNGLPSAGAHTQSTVRASSYGHGQYSEDTHIAAAAAILNLSTRCREATDILSNKPQSLRAKGAEIEVDENGTLDLSMKKNRILDKSIPPTSSHTTIATPSSSPFKASSLLVNAAFYQALCDQEGWNVPINYSKSHGKTEEEKEKDPVNSLENLEEKKFAGEASIPSPKPKLHTRDLKKELITCPTPGCDGSGHVTGNYASHRSVSGCPLADKTLKSLMAANSQELKCPTPGCDGSGHVTGNYASHRSLSGCPRARKGGIKMTPTKEEKEDSELRCPVIGCDGQGHISGKYTSHRTASGCPLAAKRQKENPLNGTPLSWKLNKQELPHCPLPGCNGLGHVNNVFVTHRSLSGCPLNAQAIKKVKVSEELMTIKLKATGGIEGDEEIRHLDEEIKELNESNLKIEADMMKLQTQITSMESNLKTIEEENKLIEQSNESLLKELAGLSQALISSLADIQLPQMGPINEQNFEAYVNTLTDMYSNLERDYSPECKALLESIKQAVKGIHV", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAAAGAAAAAAAEGEAPAEMGALLLEKETRGATERVHGSLGDTPRSEETLPKATPDSLEPAGPSSPASVTVTVGDEGADTPVGATPLIGDESENLEGDGDLRGGRILLGHATKSFPSSPSKGGSCPSRAKMSMTGAGKSPPSVQSLAMRLLSMPGAQGAAAAGSEPPPATTSPEGQPKVHRARKTMSKPGNGQPPVPEKRPPEIQHFRMSDDVHSLGKVTSDLAKRRKLNSGGGLSEELGSARRSGEVTLTKGDPGSLEEWETVVGDDFSLYYDSYSVDERVDSDSKSEVEALTEQLSEEEEEEEEEEEEEEEEEEEEEEEEDEESGNQSDRSGSSGRRKAKKKWRKDSPWVKPSRKRRKREPPRAKEPRGVNGVGSSGPSEYMEVPLGSLELPSEGTLSPNHAGVSNDTSSLETERGFEELPLCSCRMEAPKIDRISERAGHKCMATESVDGELSGCNAAILKRETMRPSSRVALMVLCETHRARMVKHHCCPGCGYFCTAGTFLECHPDFRVAHRFHKACVSQLNGMVFCPHCGEDASEAQEVTIPRGDGVTPPAGTAAPAPPPLSQDVPGRADTSQPSARMRGHGEPRRPPCDPLADTIDSSGPSLTLPNGGCLSAVGLPLGPGREALEKALVIQESERRKKLRFHPRQLYLSVKQGELQKVILMLLDNLDPNFQSDQQSKRTPLHAAAQKGSVEICHVLLQAGANINAVDKQQRTPLMEAVVNNHLEVARYMVQRGGCVYSKEEDGSTCLHHAAKIGNLEMVSLLLSTGQVDVNAQDSGGWTPIIWAAEHKHIEVIRMLLTRGADVTLTDNEENICLHWASFTGSAAIAEVLLNARCDLHAVNYHGDTPLHIAARESYHDCVLLFLSRGANPELRNKEGDTAWDLTPERSDVWFALQLNRKLRLGVGNRAIRTEKIICRDVARGYENVPIPCVNGVDGEPCPEDYKYISENCETSTMNIDRNITHLQHCTCVDDCSSSNCLCGQLSIRCWYDKDGRLLQEFNKIEPPLIFECNQACSCWRNCKNRVVQSGIKVRLQLYRTAKMGWGVRALQTIPQGTFICEYVGELISDAEADVREDDSYLFDLDNKDGEVYCIDARYYGNISRFINHLCDPNIIPVRVFMLHQDLRFPRIAFFSSRDIRTGEELGFDYGDRFWDIKSKYFTCQCGSEKCKHSAEAIALEQSRLARLDPHPELLPELGSLPPVNT", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEVTSQSTLPPGFRFHPTDEELIVYYLRNQTMSKPCPVSIIPEVDIYKFDPWQLPEKTEFGENEWYFFSPRERKYPNGVRPNRAAVSGYWKATGTDKAIHSGSSNVGVKKALVFYKGRPPKGIKTDWIMHEYRLHDSRKASTKRNGSMRLDEWVLCRIYKKRGASKLLNEQEGFMDEVLMEDETKVVVNEAERRTEEEIMMMTSMKLPRTCSLAHLLEMDYMGPVSHIDNFSQFDHLHQPDSESSWFGDLQFNQDEILNHHRQAMFKF", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTSVEKASKACELCRRKKIRCNRELPSCQNCIVYQEECHYSKRLKRSYSATKKKNGNPVLESAIPSLSPSPSIENGSAMLNSDITSLSNRIFKVEEKLDLILSLLKNSSEPLDRTERKDFPSLAMQIRDANSLVNTKLKEYSRRFELPSQKTSFDDLFSSTFPNFDAAFKDIPDKEWAFENVQWYFRYINCWWPVFYEKDFMDEYECLYRDRNQVKGAWLVSFYSVLALAASRSKAGKDQKLAESFFSTSWYLIQKPGFFLTPQLEKIQALLIMIQFAAHVSLHTLCKALCGQACLMIRDLNLHRESANADFSNKDAELRRRVFWICYIFEITTSLVFGTPSVLSDMDIDCEHPNYEYGRYFSEMPTGDLIFSSEVSLTILKNEVRTKVYSRTNTSNARNREKAIWQIHEKLLCWERALPIELRQYFIALTENAQIYEELDFEKQRLFSACIEVYLSYCNTLIFLHRLNESVEGANICLDTARRAINVLKFFFIIPIAKNVCYLWVFLYCPFTPFLVLFSNIVNGKEPSTDIAFEDLNRMYSVNRFFVKLRDIGGDLAEKLASVTENFIHAAENYFAVQPAFMADAFDFASFLT", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSQESSVLSESQEQLANNPKIEDTSPPSANSRDNSKPVLPWDYKNKAIEIKSFSGYKVNFTGWIRRDVREERQRGSEFTASDVKGSDDKATRKKEPADEDPEVKQLEKEGEDGLDS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGTYKKEKSRIGREGANEKKPGNLRVKGENFYRNAKDVARVNMYRGGKAKYNAAGELVRAAEFQSSEVPKARIQPDRRWFNNTRVIAQPTLTQFREAMGQKLNDPYQVLLRRNKLPMSLLQENTEIPKVRVLESEPFENTFGPKSQRKRPKISFDSVAELAKESDEKQNAYEEKIEERILANPDESDDVMLAARDAIFSKGQSKRIWNELYKVIDSSDVLIQVLDARDPVGTRCGTVERYLRNEASHKHMILVLNKVDLVPTSVAAAWVKILAKEYPTIAFHASINNSFGKGSLIQILRQFASLHSDKKQISVGLIGFPNAGKSSIINTLRKKKVCNVAPIPGETKVWQYVALMKRIFLIDCPGIVPPSSNDSDAELLLKGVVRVENVSNPEAYIPTVLSRCKVKHLERTYEISGWNDSTEFLAKLAKKGGRLLKGGEPDEASVAKMVLNDFMRGKIPWFIGPKGLSSSNDEINSSQKVATQQTEGSDQDGEEAEEEWHGISDDGKADESESTKPVAEGSASESTDESAVDDNKNRS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSDTMPEIVSPLVAENSQEQEESVLISLDIEEDKLFLLHFIIGTYFGPDLRKQHHRPKQSAFQIQALKNVVVDELSGSLMKRAELERVYYHIIRNVDPSLVMKPKKLREYFNAKRNDSNRDYPLFVDLFPRKLHPETHVRHKFKFIRSIVFINDPDTSCMREECVARFKRLTGLDSFALSLSVDVTKSNGVVAANEVKVEIDESVEPVKEDNAGTCTSGEESDVAAKPEVKSEAHGGLMVGLMDIGECDDAYLFRVSLPGVKRDERYFSCEVEDNGKVLVRGVTTTGGKRVKRYSHVFEMQTRSLCPPGNFSVSFRLPGPVHPHEFSGNFGTDGILEGVVMKNLQKQTV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MERAENARIIQKPMTRRTVDYGSGLSKYIVNRHLRSNRYHIHVPRPNPNQIINLYPPYEYKYNNTSSLCTKYIHTSANKARHVINVVRWTPDGRRLLTGSSTGEFTLWNGLTFNFELINQSHDYAVRCAEWSTDGRWLISGDGGGMVKYFEPNLNNVKIVQAHEMEVRDVAFSPNDSKFVTASDDGSLKVWNFHMSTEELKLTGHGWDVKTVDWHPSKGLLASGSKDNLVKFWDPRTGTCIATLHGHKNTIMQASFQKNFGSNYLATVSRDSTCRVFDLRAMKDVRVLRGHEKDVNCVTWHPLYPNLLTTGGSDGSVNHYSLDEPPLLSQQKYHEKHPNVTLSASSYLLYPTAEIPFAHDLGIWSMQYHPLGHLLCTGSNDKTTRFWSRSRPDDKESTMDRHHLGEEQSEAMLSQRKAAIEEDDNYEPDENPLTETLANAHNPQFSGVLNLPGLGTMPSFPSPYQHGQPQIPGMLHASLSNSYAEPSTQNSFIPGLTSKSQDGYPQNYR", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRAEKQYTRNEVEETIVRWKKLKESGATEHDNTEYAQLCDVLRSAQSEIEARRDLKGHIKRCFSSVDKNTEKLILKQQVLAYKKLSQNLPAPDDCILSVLLRLSKDEQLLQSIVKQPLQNSKVDGKVRRDFGSCQITPSAKQQRKYLQYQISEDDAIKNRMFRRMSDLESYPAVMRDVAELKDDNERLNLDTIKRNALVELKKLRLIKQQESLRHQVMHCQPHLRTIVNAVERMSCRRPKLVPQATRLTEVLERQQRSDRERRLKQKQCDYLQTVCAHGREINVRTKNAQARAQKANRAVLAYHSHIEKEEQRRAERNAKQRLQALKENDEEAYLKLIDQAKDTRITHLLRQTDHYLDSLAAAVKVQQSQFGESAYDEDMDRRMNPEDDRKIDYYNVAHNIREVVTEQPSILVGGKLKEYQLRGLQWMISLYNNHLNGILADEMGLGKTIQTISLITHLIEKKRQNGPFLVIVPLSTLTNWTMEFERWAPSIVKIVYKGPPQVRKALHPQVRHSNFQVLLTTYEYIIKDRPLLSRIKWIYMIIDEGHRMKNTQSKLTNTLTTYYSSRYRLILTGTPLQNNLPELWALLNFVLPRIFNSIKSFDEWFNTPFANTGGQDKMELTEEESLLVIRRLHKVLRPFLLRRLKKDVEAELPDKVEKVIRCQMSGLQQKLYYQMKKHGMLYVEDAKRGKTGIKGLQNTVMQLKKICNHPFVFEDVERSIDPTGFNYDMLWRVSGKFELLDRILPKLFRSGHRILMFFQMTQIMNIMEDYLHYRQWRYLRLDGSTKADDRSKLLGVFNDPTAEVNLFLLSTRAGGLGLNLQTADTVIIFDSDWNPHQDLQAQDRAHRIGQTKEVRIYRLITEKSVEENILARAQYKLDIDGKVIQAGKFDNKSTPEEREAFLRSLLENENGEEENDEKGELDDDELNEILARGDDELRLFKQMTEDLERESPYGKNKEKERLIQVSELPEFYQREEPEKTTDLLQEEPLGRGARRRTPVVYDEAVRDAQWMAEMDMESEARPTRGRPKRNIASVDETPALTLNGKPKKKRGPAPDTLTSEHRSLLRRVCLEIYKAVNELEDDNGRPLNKLFLELPSKKLYPDYYMIIKSPIALDAIRKHINGTFYKTLEAMKSDLMTMFNNARTYNEEGSFVYEDANKMQTAMETKIEELEEDGTLATLRGMEAEATSQLEDRIENEA", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAALEEEFTLSSVVLSAGPEGLLGVEQSDKTDQFLVTDSGRTVILYKVSDQKPLGSWSVKQGQIITCPAVCNFQTGEYVVVHDNKVLRIWNNEDVNLDKVFKATLSAEVYRILSVQGTEPLVLFKEGAVRGLEALLADPQQKIETVISDEEVIKWTKFFVVFRHPVLIFITEKHGNYFAYVQMFNSRILTKYTLLLGQDENSVIKSFTASVDRKFISLMSLSSDGCIYETLIPIRPADPEKNQSLVKSLLLKAVVSGNARNGVALTALDQDHVAVLGSPLAASKECLSVWNIKFQTLQTSKELPQGTSGQLWYYGEHLFMLHGKSLTVIPYKCEVSSLAGALGKLKHSQDPGTHVVSHFVNWETPQGCGLGFQNSEQSRRILRRRKIEVSLQPEVPPSKQLLSTIMKDSEKHIEVEVRKFLALKQTPDFHTVIGDTVTGLLERCKAEPSFYPRNCLMQLIQTHVLSYSLCPDLMEIALKKKDVQLLQLCLQQFPDIPESVTCACLKIFLSIGDDSLQETDVNMESVFDYSINSVHDEKMEEQTEILQNGFNPEEDKCNNCDQELNKKPQDETKESTSCPVVQKRAALLNAILHSAYSETFLLPHLKDIPAQHITLFLKYLYFLYLKCSENATMTLPGIHPPTLNQIMDWICLLLDANFTVVVMMPEAKRLLINLYKLVKSQISVYSELNKIEVSFRELQKLNQEKNNRGLYSIEVLELF", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAKKSLMAEPGDPIPRPRKKRYRPGTTALREIRKYQRSTDLLIQRLPFSRIVREISSEFVANFSTDVGLRWQSTALQCLQEAAEAFLVHLFEDTNLCAIHAKRVTIMQRDMQLARRIRGA", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGKKSVSFNRNNYKKRKNERTEPLPRRIFKNDKPSKFKSKRKEKDKNSDAYDEMLLNNNFTLLDQEEPMVEIGSKKSRNDNDSEGIRDKGGVEISNKNDPYIQFGKADPLEPLEKPDLPEEAIKRGEPTILLGIPKREGRKTNPVHDKAVENNSDFIKFDWNSDEDEDSVSNDKSKNNESLKKSSKNEIPGFMRQRGRFFHEANEKSDSNRKRKRQAYELDSQSCPWHRQYKVEREVSRIFHQDILHFIDYITPTPEEHAVRKTLVSRINQAVLQKWPDVSLYVFGSFETKLYLPTSDLDLVIISPEHHYRGTKKDMFVLAHHLKKLKLASEVQVITTANVPIIKFVDPLTKVHVDISFNQPGGLKTCLVVNGFMKKYPALRPLVIIIKHFLNMRALNEVFLGGLSSYAIVCLVVSFLQLHPRLSTGSMREEDNFGVLLLEFLELYGKQFYYDAVGIAVHNGGFYFSKKKMGWLKPNQPYLLSIQDPVDFQNDVSKSSRGLLRVKATFANGFDLLTSKLYALASRIEREGVNRVKDFPSILSTILSVDEGVRQHREHMLKCYKNNPVPLEPLVEVDALASIDVDKLPLQDVGLQYVEDESDSDETDAAKDDLFKVNESIETNGHENFQKQALTSTGEQSSSNSRANPSKLFNISSDDSEDEVPIIEDTTASDEESRAKKIRKRF", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSDRLKERKRTPVSHKVIEKRRRDRINRCLNELGKTVPMALAKQSSGKLEKAEILEMTVQYLRALHSADFPRGREKELLAEFANYFHYGYHECMKNLVHYLTTVERMETKDTKYARILAFLQSKARLGAEPTFPPLSLPEPDFSYQLHAASPEFPGHSPGEATMFPQGATPGSFPWPPGAARSPALPYLSSATVPLPSPAQQHSPFLAPMQGLDRHYLNLIGHGHPNGLNLHTPQHPPVL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDPAMASPGYRSVQSDRSNHLTELETRIQNLADNSQRDDVKLKMLQEIWSTIENHFTLSSHEKVVERLILSFLQVFCNTSPQFIAENNTQQLRKLMLEIILRLSNVEAMKHHSKEIIKQMMRLITVENEENANLAIKIVTDQGRSTGKMQYCGEVSQIMVSFKTMVIDLTASGRAGDMFNIKEHKAPPSTSSDEQVITEYLKTCYYQQTVLLNGTEGKPPLKYNMIPSAHQSTKVLLEVPYLVIFFYQHFKTAIQTEALDFMRLGLDFLNVRVPDEDKLKTNQIITDDFVSAQSRFLSFVNIMAKIPAFMDLIMQNGPLLVSGTMQMLERCPADLISVRREVLMALKYFTSGEMKSKFFPMLPRLIAEEVVLGTGFTAIEHLRVFMYQMLADLLHHMRNSIDYEMITHVIFVFCRTLHDPNNSSQVQIMSARLLNSLAESLCKMDSHDTFQTRDLLIEILESHVAKLKTLAVYHMPILFQQYGTEIDYEYKSYERDAEKPGMNIPKDTIRGVPKRRIRRLSIDSVEELEFLASEPSTSEDADESGGDPNKLPPPTKEGKKTSPEAILTAMSTMTPPPLAIVEARNLVKYIMHTCKFVTGQLRIARPSQDMYHCSKERDLFERLLRYGVMCMDVFVLPTTRNQPQMHSSMRTKDEKDALESLANVFTTIDHAIFREIFEKYMDFLIERIYNRNYPLQLMVNTFLVRNEVPFFASTMLSFLMSRMKLLEVSNDKTMLYVKLFKIIFSAIGANGSGLHGDKMLTSYLPEILKQSTVLALTAREPLNYFLLLRALFRSIGGGAQDILYGKFLQLLPNLLQFLNKLTNLQSCQHRIQMRELFVELCLTVPVRLSSLLPYLPLLMDPLVCAMNGSPNIVTQGLRTLELCVDNLQPEYLLENMLPVRGALMQGLWRVVSKAPDTSSMTAAFRILGKFGGANRKLLNQPQILQVATLGDTVQSYINMEFSRMGLDGNHSIHLPLSELMRVVADQMRYPADMILNPSPAMIPSTHMKKWCMELSKAVLLAGLGSSGSPITPSANLPKIIKKLLEDFDPNNRTTEVYTCPRESDRELFVNALLAMAYGIWNKDGFRHVYSKFFIKVLRQFALIGVLEYIGGNGWMRHAEEEGVLPLCLDSSVMVDALIICLSETSSSFIIAGVMSLRHINETLSLTLPDIDQMSKVPMCKYLMEKVFKLCHGPAWYARSGGINAIGYMIESFPRKFVMDFVIDVVDSIMEVILGTVEEISSGSADSAYDCLKKMMRVYFIKEEGQEEENLTLATIFVSAISKHYFHSNERVREFAIGLMDHCMVHSRLAPSLDKFYYRFKEFFEPELMRVLTTVPTMSLADAGGSLDGVQNYMFNCPDGFDFEKDMDMYKRYLSHLLDIAQTDTFTLNQRNAFKKCETCPSHFLPPFPITTHIDSMRASALQCLVIAYDRMKKQYIDKGIELGDEHKMIEILALRSSKITVDQVYESDESWRRLMTVLLRAVTDRETPEIAEKLHPSLLKVSPISTIIIATFGASYIRNISGAGDDSDSDRHISYNDIMKFKCLVELNPKILVTKMAVNLANQMVKYKMSDKISRILSVPSSFTEEELDDFEAEKMKGIRELDMIGHTVKMLAGCPVTTFTEQIIVDISRFAAHFEYAYSQDVLVNWIDDVTVILNKSPKDVWKFFLSRESILDPARRSFIRRIIVYQSSGPLRQEFMDTPEYFEKLIDLDDEENKDEDERKIWDRDMFAFSIVDRISKSCPEWLISPNSPIPRIKKLFSETEFNERYVVRALTEVKKFQEEIIVKRMTEHKYKVPKLILNTFLRYLRLNIYDYDLFIVIASCFNGNFVTDLSFLREYLETEVIPKVPLQWRRELFLRIMQKFDTDPQTAGTSMQHVKALQYLVIPTLHWAFERYDTDEIVGTAPIDDSDSSMDVDPAGSSDNLVARLTSVIDSHRNYLSDGMVIVFYQLCTLFVQNASEHIHNNNCKKQGGRLRILMLFAWPCLTMYNHQDPTMRYTGFFFLANIIERFTINRKIVLQVFHQLMTTYQQDTRDQIRKAIDILTPALRTRMEDGHLQILSHVKKILIEECHNLQHVQHVFQMVVRNYRVYYHVRLELLTPLLNGVQRALVMPNSVLEKFSWQTRRHAVEICEMVIKWELFRTLKTDHIISDEEALEVDKQLDKLRTASSTDRFDFEEAHNKRDMPDAQRTIIKEHADVIVNMLVRFCMTFHQNSGSSSTSQSGNHGVELTKKCQLLLRAALRPSMWGEFVSFRLTMIEKFLSIPNDNALRNDISSTAYANTIQNAQHTLDMLCNIIPVMPKTSLMTMMRQLQRPLIQCLNNGAQNFKMTRLVTQIVSRLLEKTNVSVNGLDELEQLNQYISRFLHEHFGSLLNCRNLSGPVLGVLGAFSLLRTICGHEPAYLDHLMPSFVKVMERAAKEHLAYVANSQDGNMVKNFFPDVAELLCACMELVRPRVDHISMEIKRSIVGGIIAELIIKSNHDKIIQTSVKLLGAMISTQDMEFTILTVLPLLVRIQSIIVTKFKNCKDLIADYLVVVITVFENSEYRNSEAGSRLWEGFFWGLKSSDPQTREKFSIVWEKTWPHMATVDIAHRMKYIMQNQDWSKFKHAFWLKFALWGMLRTIAKRPTDPNNKRKKVILLNCATPWRTIEYAAKLKDQPMEVETEMKREEPEPMEVDEKDSQDDSKDAGEPKEKEKLTLELLLAGQQELLDEASNYDFADALDTVSQITFALNENQVTSKMWVVLFKSFWSSLSQSEIEDFTALVVPFMSSGVHNNYQTGVQDSVLAVWLEAVGDAVHLPSRLIEFISSKHECWHTGIRLLENHIWTIPKQLNNTLLREMKVAPGLAGDIETLESLGTLYNEISEFDQFAAIWERRAVFPDTMRAMSAMQLGDMELAQSYLEKSMSSTYETLAPTINPNNTSNSEKHVSPIIDKEYDHWMEMYITNCSELLQWQNVADVCNGKDMQHVRGLINAASHIPDWNVVEECKSQIAGCIPPSFHLDYTLFNLMSTVMRMNENSSPTHMKERCKIAIQECTEAHISRWRALPSVVSYGHVKILQAMNLVREIEESTDIRIALLEAPSNKVDQALMGDMKSLMKVFRNRTPTTSDDMGFVSTWYDWRNQIHGMMLQRFEYWDKVGLNVAATGNQSIVPIHSMAQAQLAVAKHAKNLGFHNLTKDLLNKLAGLTAIPMMDAQDKVCTYGKTLRDMANSAADERVKNELLCEALEVLEDVRIDDLQKDQVAALLYHRANIHSVLDQAENADYTFSAASQLVDLQNSVTTTGIKLMKNWGHHLYKRFFSTTVCKETGNNFGRQALACYFIAARVDNDIKARKPIAKILWLSKHLNACGSHEVMNRVIKKQLHSLNLFNWLYWLPQLVTDVRYKPNSNFVLILCKMAAAHPLQVFYHIREAVSVDDIDSVLEEDYTDEQMSMDVSDEDCFADDPPFDRILKICLKYRPTDIRVFHRVLKELDEMNETWVERHLRHAICLKDQMFKDFSEQMDATFNEMQYSEDVTMMTLRWRKQLEEDLVYFQQNYNLDFLEIRNKRKMIVTKGCMGVEKSQIMFEKELSQVFTEPAGMQDEFDFVTNMTNMMVSQLDIHAVDAPRPQGYIRIVLDWIRAIRRRFDRLPRRIPLESSSPYLARFSHRTGCIEMPYDLLNVLRAKNHTLMASNQTGQYISMLSRFEPNFEIVIKGGQVIRKIYIRGQTGKSAAFYLKKSVQDEPTNRVPQMFKHLDHVLQTDRESARRHLHAPTVLQMRVGQKTTLYEVASVQPYAMPPDCTRNYPASQIDIVHPYDVLTATFNGSYYPDDMVLHFFERFAQSSSSIGQPLPTPTNQDGTVAPPRLTEAHHIKNIIYEDFARDMIPFRLLYDYLTARYPDPVMYYAMKKQLLHSLAVLSTIEYHCNLTPMGPDQMMMTMNTGVLSNPSYRFEIRGGRSLHDIQHFGHEVPFRLTPNLSILVGVAQDGDLLWSMAAASKCLMKKEPEVIMRPLVWDEFANNTDCDKSRLQVFACHASNSYINGVASKLRNTNSADAKLRKDDCVSLISRAKDSDNLARMPPTYHAWF", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGKDVALDFLPSSLYSCTCSCDGKLAFCDSEKVQILVPSTEANTPKFMKALAYLDDVEDELPNCQMLAPNELRLGDLTPSVISRYVVWSPTGLADNYDPLLTVLTNRHRVYFFSSSSRVLHQKWTCVAQMSTHLPSDPLELCRIHSVAWSPLVSLPSSSPWGVCLFALGAESGHVHLSIMSHSSTPFFKSFDLNCSWVVQLSFSSWNVVGDSATCLLSCSSRNGEIRILKIAISSHSDTFDTAMQELPFSLDYRPFSPLLAWSSPLANVEYLALVYPGHLFAFRYDKSLGKFCSFLNHNLLSLCSPSGVLFGHNDIDTIYVYILTHSGTLETFSLLDNSISMLDSPERHVLEKFLNNHLQNYGSVSDDSIKTLKIHGFCPSPYLSSAALHFSISYPASFTYVVTAAERSYFNFIPSLFSKSVFSHMITSSLNNLCVAPSAGCLLEISLMNDTLKEKTDIFTMLTNSLSSFLVTDYDFFLELKHLIDISSLSNLYLDSSLNAMRLLYGWSVYKQKALDATLLNSLRYRLTLYIMLYTLSQISLDPSYLTSDCKAVLRNFVSFTYKELAEVPIAMEVANEIAKSLEVSSDFSETCPACEATVQFNNTSLATCDNGHVWRRCSVTMLLLSQKAAKYCAVCNSIVAIFNPSQTKCLLADLQNELSICFYCGGHFLVS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MQQALELALDRAEYVIESARQRPPKRKYLSSGRKSVFQKLYDLYIEECEKEPEVKKLRRNVNLLEKLVMQETLSCLVVNLYPGNEGYSLMLRGKNGSDSETIRLPYEEGELLEYLDAEELPPILVDLLEKSQVNIFHCGCVIAEIRDYRQSSNMKSPGYQSRHILLRPTMQTLICDVHSITSDNHKWTQEDKLLLESQLILATAEPLCLDPSIAVTCTANRLLYNKQKMNTRPMKRCFKRYSRSSLNRQQDLSHCPPPPQLRLLDFLQKRKERKAGQHYDLKISKAGNCVDMWKRSPCNLAIPSEVDVEKYAKVEKSIKSDDSQPTVWPAHDVKDDYVFECEAGTQYQKTKLTILQSLGDPLYYGKIQPCKADEESDSQMSPSHSSTDDHSNWFIIGSKTDAERVVNQYQELVQNEAKCPVKMSHSSSGSASLSQVSPGKETDQTETVSVQSSVLGKGVKHRPPPIKLPSSSGNSSSGNYFTPQQTSSFLKSPTPPPSSKPSSIPRKSSVDLNQVSMLSPAALSPASSSQRTTATQVMANSAGLNFINVVGSVCGAQALMSGSNPMLGCNTGAITPAGINLSGLLPSGGLLPNALPSAMQAASQAGVPFGLKNTSSLRPLNLLQLPGGSLIFNTLQQQQQQLSQFTPQQPQQPTTCSPQQPGEQGSEQGSTSQEQALSAQQAAVINLTGVGSFMQSQAAVLSQLGSAENRPEQSLPQQRFQLSSAFQQQQQQIQQLRFLQHQMAMAAAAAQTAQLHHHRHTGSQSKSKMKRGTPTTPKF", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEAKIVKVSDSSYKDGLGKKRKHPGNYTPYDSGRSYAKLQWVLSPNSSTQKLEKRRNLDGENKVIVSENHVEKSLVRYFSYYKKTGVPKRVMFHENGEWIDLPDHILCDIRNDLEAKRATIEFNWCGRHFLLDFLHMYRLDLETGVKTQLAWIDIAGKCFFPETFDTLERDGCHHIRGEDPEQHDQREIKLHIEIDVNSGELPRLNLNVVTDESGDNMDDFQAVQRSSNGPNDEASEDSCSRELDDAVEKWDKTETDRFSGVKPAEEELDKDAVKQMFALGAATLGHVESLDVYQFSSEIAKARLSLFQKQADITKKHRGDANIRYAWVPAKKEVLSAVMMHGLGVGGAFIKKSMYGVGVHAANCPYFSARYCDIDDNGVRHMVLCRVIMGNMEPLRGDNTQYFTGGEEYDNGVDDVESPKHYLIWNMNMNTHIYPEFVVSFKLSIPNAEGNILPTTQSRHESSGLTLEGPKGSPSNEPGRVSNGGSGSEKNSSSSRRPRSPIMPFPLLFKAISSKIARKDMDLIIAGYQELREKKVSRKEFYKTLSMIVGDDDLLISTITGLQRSLG", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MALLCGLGQVTLRLWVPLPFQSENRIGFLAAGAFLRSGGMEALTTQLGPGREGSSSPNSKQELQPYSGSSALKPNQVGETSLYGVPIVSLVIDGQERLCLAQISNTLLKNYSYNEIHNRRVALGITCVQCTPVQLEILRRAGAMPISSRRCGMITKREAERLCKSFLGEHKPPKLPENFAFDVVHECAWGSRGSFIPARYNSSRAKCIKCGYCSMYFSPNKFIFHSHRTPDAKYTQPDAANFNSWRRHLKLSDKSATDELSHAWEDVKAMFNGGTRKRTFSLQGGGGGGANSGSGGAGKGGAGGGGGPGCGSEMAPGPPPHKSLRCGEDEAAGPPGPPPPHPQRALGLAAAASGPAGPGGPGGSAGVRSYPVIPVPSKGFGLLQKLPPPLFPHPYGFPTAFGLCPKKDDPVLVAGEPKGGPGTGSSGGAGTAAGAGGPGAGHLPPGAGPGPGGGTMFWGHQPSGAAKDAAAVAAAAAAATVYPTFPMFWPAAGSLPVPPYPAAQSQAKAVAAAVAAAAAAAAAAAGGGGPESLDGAEPAKEGSLGTEERCPSALSRGPLDEDGADEALPPSLGPLPPPPPPPARKSSYVSAFRPVVKDAESIAKLYGSAREAYGSGPARGPVPGTGTGGGYVSPDFLSEGSSSYHSASPDVDTADEPEVDVESNRFPDEEGAQDDTEPRAPSTGGGPDGDQPAGPPSVTSSGADGPTDSADGDSPRPRRRLGPPPAIRSAFGDLVADDVVRRTERSPPSGGYELREPCGPLGGPGAAKVYAPERDEHVKSTAVAAALGPAASYLCTPETHEPDKEDNHSTTADDLETRKSFSDQRSVSQPSPANTDRGEDGLTLDVTGTQLVEKDIENLAREELQKLLLEQMELRKKLEREFQSLKDNFQDQMKRELAYREEMVQQLQIVRDTLCNELDQERKARYAIQQKLKEAHDALHHFSCKMLTPRHCTGNCSFKPPLLP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKTAKGKDKVKTTKEALKPVDDRKVGKRKAPAEKPTKRETRKEKKAKKDPNKPKRAPSAFFVFLEDFRVTFKKENPNVKAVSAVGKAGGQKWKSMSQAEKAPYEEKAAKRKAEYEKQMDAYNKNLEEGSDESEKSRSEINDEDEASGEEELLEKEAAGDDEEEEEEEDDDDDDDEEED", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSAKKRPSGNAAFELPKRRRTYQACISCRSRKVKCDLGPVDNPHDPPCARCKRELKKCIFSSNKGTSNDLPPNSINAISLPSLGKSKQEIQNDSTSPILSDVPLSRKGISSEKSFKSEGMKWKLELSSMQNALEFLAQAAGTVAKEGAKEIIKEKSTTPKPLKSSLDATNKSATDEGLKRLSKSDSTNTLYENTADMLNHTLNTNRKTSQLMEEIGKVRPPPTRKIDDFDYIGPDSLLTKEEAIELIEAFFLTMHPFFPNIPLQLHDPKELAEYPILFCAILTVSARYHPFDTLGLDNGEDGMRHIEVHDKLWVYCQKLISQTIWAEASTRSIGTVLAFIIFTEWNPRSIHYKWSDYANDPELNNVNARGSKNISTRKDEEGLTGVGAIRRSDRMSWMLTGSAVRLAQDMGFIENSSKVFIVTHISETTSAMNMNQRSLLAESFSVLNLNLGKIENDGNESNEDYLGNEKFYLNEILPDEESKLRWKRVFENSENDHDNEKNFLTDWEREFLNDEYVLYYSNKKDDTNLAQNHIPPFPLRFSFAQRAKIEIIRILSIAYETIYCEKNKRKLATTDQRHNLSVLSVFSPLIEGWLSNYRELLVPLSDVPFSLADRKNKKQIFDNIDRINGESIITDFNYCQLYIFSLALQVDGKTSRLNMNEIVTSARYVELAYRSAKEILSSAKRVSRQGMLKYMPVRWVIRIIRSIAFIVKCYLTLTGSELATNPDARNILKLSAISVDETFDIIRDTAVTLKEATPDELHLCQRYAAILMYLCTEMKLRKKSYLERPPLLRDGTTPLESNRESSLEGQDLTKKPIFSKRIGYNKTETTFEPSERPLTEEINSNSQNSNDTSSKGIVDPFVEQNNDITTALLNNELFQGPSLSDEVTDWFGASEDIGLEFVEPWTELIEQRYMQCGDGDNNNFENLYNLFVNSNNINNDINNSRPITRK", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGKSKSATKVVAEIKATKPLKKGKREPEDDIDTKVSLKKQKKDVIAAVQKEKAVKKVPKKVESSDDSDSESEEEEKAKKVPAKKAASSSDESSDDSSSDDEPAPKKAVAATNGTVAKKSKDDSSSSDDDSSDEEVAVTKKPAAAAKNGSVKAKKESSSEDDSSSEDEPAKKPAAKIAKPAAKDSSSSDDDSDEDSEDEKPATKKAAPAAAKAASSSDSSDEDSDEESEDEKPAQKKADTKASKKSSSDESSESEEDESEDEEETPKKKSSDVEMVDAEKSSAKQPKTPSTPAAGGSKTLFAANLSFNIERADVENFFKEAGEVVDVRFSTNRDDGSFRGFGHVEFASSEEAQKALEFHGRPLLGREIRLDIAQERGERGERPAFTPQSGNFRSGGDGGDEKKIFVKGFDASLSEDDIKNTLREHFSSCGEIKNVSVPIDRDTGNSKGIAYLEFSEGKEKALELNGSDMGGGFYLVVDEPRPRGDSSGGGGFGRGNGRFGSGGGRGRDGGRGRFGSGGGRGRDGGRGRFGSGGGRGSDRGRGRPSFTPQGKKTTFGDE", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MATRVLSMSARLGPVPQPPAPQDEPVFAQLKPVLGAANPARDAALFPGEELKHAHHRPQAQPAPAQAPQPAQPPATGPRLPPEDLVQTRCEMEKYLTPQLPPVPIIPEHKKYRRDSASVVDQFFTDTEGLPYSINMNVFLPDITHLRTGLYKSQRPCVTHIKTEPVAIFSHQSETTAPPPAPTQALPEFTSIFSSHQTAAPEVNNIFIKQELPTPDLHLSVPTQQGHLYQLLNTPDLDMPSSTNQTAAMDTLNVSMSAAMAGLNTHTSAVPQTAVKQFQGMPPCTYTMPSQFLPQQATYFPPSPPSSEPGSPDRQAEMLQNLTPPPSYAATIASKLAIHNPNLPTTLPVNSQNIQPVRYNRRSNPDLEKRRIHYCDYPGCTKVYTKSSHLKAHLRTHTGEKPYKCTWEGCDWRFARSDELTRHYRKHTGAKPFQCGVCNRSFSRSDHLALHMKRHQN", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MYRSTKGASKARRDQINAEIRNLKELLPLAEADKVRLSYLHIMSLACIYTRKGVFFAGGTPLAGPTGLLSAQELEDIVAALPGFLLVFTAEGKLLYLSESVSEHLGHSMVDLVAQGDSIYDIIDPADHLTVRQQLTMPSALDADRLFRCRFNTSKSLRRQSSGNKLVLIRGRFHAHPPGAYWAGNPVFTAFCAPLEPRPRPGPGPGPGPGPASLFLAMFQSRHAKDLALLDVSESVLIYLGFERSELLCKSWYGLLHPEDLAQASSQHYRLLAESGDIQAEMVVRLQAKHGGWTWIYCMLYSEGPEGPFTANNYPISDTEAWSLRQQLNSEDTQAAYVLGTPAVLPSFSENVFSQEQCSNPLFTPSLGTPRSASFPRAPELGVISTPEELPQPSKELDFSYLPFPARPEPSLQADLSKDLVCTPPYTPHQPGGCAFLFSLHEPFQTHLPPPSSSLQEQLTPSTVTFSEQLTPSSATFPDPLTSSLQGQLTESSARSFEDQLTPCTSSFPDQLLPSTATFPEPLGSPAHEQLTPPSTAFQAHLNSPSQTFPEQLSPNPTKTYFAQEGCSFLYEKLPPSPSSPGNGDCTLLALAQLRGPLSVDVPLVPEGLLTPEASPVKQSFFHYTEKEQNEIDRLIQQISQLAQGVDRPFSAEAGTGGLEPLGGLEPLNPNLSLSGAGPPVLSLDLKPWKCQELDFLVDPDNLFLEETPVEDIFMDLSTPDPNGEWGSGDPEAEVPGGTLSPCNNLSPEDHSFLEDLATYETAFETGVSTFPYEGFADELHQLQSQVQDSFHEDGSGGEPTF", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSQVKRANENRETARFIKKHKKQVTNPIDEKNGTSNCIVRVPIALYVSLAPMYLENPLQGVMKQHLNPLVMKYNNKVGGVVLGYEGLKILDADPLSKEDTSEKLIKITPDTPFGFTWCHVNLYVWQPQVGDVLEGYIFIQSASHIGLLIHDAFNASIKKNNIPVDWTFVHNDVEEDADVINTDENNGNNNNEDNKDSNGGSNSLGKFSFGNRSLGHWVDSNGEPIDGKLRFTVRNVHTTGRVVSVDGTLISDADEEGNGYNSSRSQAESLPIVSNKKIVFDDEVSIENKESHKELDLPEVKEDNGSEIVYEENTSESNDGESSDSD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSGLYSPGFSPARNLSPQIRSNPTDVDSQYLAELLAEHQKLGPFMQVLPICSKLLSQEIMRVSSIVHNHGFGDFDRHRFRSPSPMSSPNPRSNRSGNGFSPWNGLHQERLGFPQGTSMDWQGAPPSPSSHVVKKILRLDVPVDSYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKEDKLRGKPGYEHLSDPLHILIEAEFPASIIDARLRHAQEVIEELLKPVDESQDFYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKTGQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVAATKRRKTHIHKHVKDEAFDDLLKPVYKGKKLTDEINTAQDKWHLLPAFLKVKGLVKQHLDSFNYFVDTDLKKIIKANQLILSDVDPEFYLKYVDIRVGKKSSSSTKDYLTPPHECRLRDMTYSAPIYVDIEYTRGRNIIMHKDVEIGRMPIMLRSNKCILYDADESKMAKLNECPLDPGGYFIVNGTEKVILVQEQLSKNRIIVEADEKKGIVQASVTSSTHERKSKTYVITKNGKIYLKHNSIAEEIPIAIVLKACGILSDLEIMQLVCGNDSSYQDIFAVNLEESSKLDIYTQQQALEYIGAKVKTMRRQKLTILQEGIEAIATTVIAHLTVEALDFREKALYIAMMTRRVVMAMYNPKMIDDRDYVGNKRLELAGQLISLLFEDLFKKFNNDFKLSIDKVLKKPNRAMEYDALLSINVHSNNITSGLNRAISTGNWSLKRFKMERAGVTHVLSRLSYISALGMMTRISSQFEKSRKVSGPRALQPSQFGMLCTADTPEGEACGLVKNLALMTHITTDDEEEPIKKLCYVLGVEDITLIDSASLHLNYGVYLNGTLIGSIRFPTKFVTQFRHLRRTGKVSEFISIYSNSHQMAVHIATDGGRICRPLIIVSDGQSRVKDIHLRKLLDGELDFDDFLKLGLVEYLDVNEENDSYIALYEKDIVPSMTHLEIEPFTILGAVAGLIPYPHHNQSPRNTYQCAMGKQAIGAIAYNQFKRIDTLLYLMTYPQQPMVKTKTIELIDYDKLPAGQNATVAVMSYSGYDIEDALVLNKSSIDRGFGRCETRRKTTTVLKRYANHTQDIIGGMRVDENGDPIWQHQSLGPDGLGEVGMKVQSGQIYINKSVPTNSADAPNPNNVNVQTQYREAPVIYRGPEPSHIDQVMMSVSDNDQALIKVLLRQNRRPELGDKFSSRHGQKGVCGIIVKQEDMPFNDQGIVPDIIMNPHGFPSRMTVGKMIELISGKAGVLNGTLEYGTCFGGSKLEDMSKILVDQGFNYSGKDMLYSGITGECLQAYIFFGPIYYQKLKHMVLDKMHARARGPRAVLTRQPTEGRSRDGGLRLGEMERDCVIAYGASQLLLERLMISSDAFEVDVCDKCGLMGYSGWCTTCKSAENIIKMTIPYAAKLLFQELLSMNIAPRLRLEDIFQQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVPPIIYKYKRRKDRRLGRDDDSSVMMTRRRPDSDFIEVSDENRSFALFKEDDEKNRDLGLVDDGSTNLVLQCHDDGCSLEKDNSNSLDDLFSGFVYKGVRRRKRDDFGSITTSNLVSPQIADDDDDSVSDSHIERQECSEFHVEVRRVSPYFQGSTVSQQSKEGCDSDSVCSKEGCSKVQAKVPRVSPYFQASTISQCDSDIVSSSQSGRNYRKGSSKRQVKVRRVSPYFQESTVSEQPNQAPKGLRNYFKVVKVSRYFHADGIQVNESQKEKSRNVRKTPIVSPVLSLSQKTDDVYLRKTPDNTWVPPRSPCNLLQEDHWHDPWRVLVICMLLNKTSGAQTRGVISDLFGLCTDAKTATEVKEEEIENLIKPLGLQKKRTKMIQRLSLEYLQESWTHVTQLHGVGKYAADAYAIFCNGNWDRVKPNDHMLNYYWDYLRIRYKL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLQEQSELMSTVMNNTPTTVAALAAVAAASETNGKLGSEEQPEITIPKPRSSAQLEQLLYRYRAIQNHPKENKLEIKAIEDTFRNISRDQDIYETKLDTLRKSIDKGFQYDEDLLNKHLVALQLLEKDTDVPDYFLDLPDTKNDNTTAIEVDYSEKKPIKISADFNAKAKSLGLESKFSNATKTALGDPDTEIRISARISNRINELERLPANLGTYSLDDCLEFITKDDLSSRMDTFKIKALVELKSLKLLTKQKSIRQKLINNVASQAHHNIPYLRDSPFTAAAQRSVQIRSKVIVPQTVRLAEELERQQLLEKRKKERNLHLQKINSIIDFIKERQSEQWSRQERCFQFGRLGASLHNQMEKDEQKRIERTAKQRLAALKSNDEEAYLKLLDQTKDTRITQLLRQTNSFLDSLSEAVRAQQNEAKILHGEEVQPITDEEREKTDYYEVAHRIKEKIDKQPSILVGGTLKEYQLRGLEWMVSLYNNHLNGILADEMGLGKTIQSISLITYLYEVKKDIGPFLVIVPLSTITNWTLEFEKWAPSLNTIIYKGTPNQRHSLQHQIRVGNFDVLLTTYEYIIKDKSLLSKHDWAHMIIDEGHRMKNAQSKLSFTISHYYRTRNRLILTGTPLQNNLPELWALLNFVLPKIFNSAKTFEDWFNTPFANTGTQEKLELTEEETLLIIRRLHKVLRPFLLRRLKKEVEKDLPDKVEKVIKCKLSGLQQQLYQQMLKHNALFVGAGTEGATKGGIKGLNNKIMQLRKICNHPFVFDEVEGVVNPSRGNSDLLFRVAGKFELLDRVLPKFKASGHRVLMFFQMTQVMDIMEDFLRMKDLKYMRLDGSTKTEERTEMLNAFNAPDSDYFCFLLSTRAGGLGLNLQTADTVIIFDTDWNPHQDLQAQDRAHRIGQKNEVRILRLITTDSVEEVILERAMQKLDIDGKVIQAGKFDNKSTAEEQEAFLRRLIESETNRDDDDKAELDDDELNDTLARSADEKILFDKIDKERMNQERADAKAQGLRVPPPRLIQLDELPKVFREDIEEHFKKEDSEPLGRIRQKKRVYYDDGLTEEQFLEAVEDDNMSLEDAIKKRREARERRRLRQNGTKENEIETLENTPEASETSLIENNSFTAAVDEETNADKETTASRSKRRSSRKKRTISIVTAEDKENTQEESTSQENGGAKVEEEVKSSSVEIINGSESKKKKPKLTVKIKLNKTTVLENNDGKRAEEKPESKSPAKKTAAKKTKTKSKSLGIFPTVEKLVEEMREQLDEVDSHPRTSIFEKLPSKRDYPDYFKVIEKPMAIDIILKNCKNGTYKTLEEVRQALQTMFENARFYNEEGSWVYVDADKLNEFTDEWFKEHSS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKDRENDGNLESRIFVGGLSWDVTERQLESTFDRYGKITECQIMVGRDTGRPRGFGFITFTDRRGADDAIKHMHGRELGNKVISVNKAEPKVGGEDVDQLKKGGGYSSRGKGTEDECFKCRRPGHWARDCPSTGDDRERFRVPLAMRSRIGDIDGHRDRYGDRDLEREREREREFDRYMDGRRDRDGGRYSYRDRFDSGDKYEPRDHYPFERYAPPGDRFVSDRYGMPEHHLENEYRGRERSYDRDRYARDTSDRYGDMGPIRDEGRPYRSRPGPYDRPSRPGGRPSSYERW", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSKTFLRPKVSSTKVTDWVDPSFDDFLECSGVSTITATSLGVNNSSHRRKNGPSTLESSRFPARKRGNLSSLEQIYGLENSKEYLSENEPWVDKYKPETQHELAVHKKKIEEVETWLKAQVLERQPKQGGSILLITGPPGCGKTTTLKILSKEHGIQVQEWINPVLPDFQKDDFKGMFNTESSFHMFPYQSQIAVFKEFLLRATKYNKLQMLGDDLRTDKKIILVEDLPNQFYRDSHTLHEVLRKYVRIGRCPLIFIISDSLSGDNNQRLLFPKEIQEECSISNISFNPVAPTIMMKFLNRIVTIEANKNGGKITVPDKTSLELLCQGCSGDIRSAINSLQFSSSKGENNLRPRKKGMSLKSDAVLSKSKRRKKPDRVFENQEVQAIGGKDVSLFLFRALGKILYCKRASLTELDSPRLPSHLSEYERDTLLVEPEEVVEMSHMPGDLFNLYLHQNYIDFFMEIDDIVRASEFLSFADILSGDWNTRSLLREYSTSIATRGVMHSNKARGYAHCQGGGSSFRPLHKPQWFLINKKYRENCLAAKALFPDFCLPALCLQTQLLPYLALLTIPMRNQAQISFIQDIGRLPLKRHFGRLKMEALTDREHGMIDPDSGDEAQLNGGHSAEESLGEPTQATVPETWSLPLSQNSASELPASQPQPFSAQGDMEENIIIEDYESDGT", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MIVDKLLDDSRGGEGLRDAAGGCGLMTSPLNLSYFYGASPPAAAPGACDASCSVLGPSAPGSPGSDSSDFSSASSVSSCGAVESRSRGGARAERQPVEPHMGVGRQQRGPFQGVRVKNSVKELLLHIRSHKQKASGQAVDDFKTQGVNIEQFRELKNTVSYSGKRKGPDSLSDGPACKRPALLHSQFLTPPQTPTPGESMEDVHLNEPKQESSADLLQNIINIKNECSPVSLNTVQVSWLNPVVVPQSSPAEQCQDFHGGQVFSPPQKCQPFQVRGSQQMIDQASLYQYSPQNQHVEQQPHYTHKPTLEYSPFPIPPQSPAYEPNLFDGPESQFCPNQSLVSLLGDQRESENIANPMQTSSSVQQQNDAHLHSFSMMPSSACEAMVGHEMASDSSNTSLPFSNMGNPMNTTQLGKSLFQWQVEQEESKLANISQDQFLSKDADGDTFLHIAVAQGRRALSYVLARKMNALHMLDIKEHNGQSAFQVAVAANQHLIVQDLVNIGAQVNTTDCWGRTPLHVCAEKGHSQVLQAIQKGAVGSNQFVDLEATNYDGLTPLHCAVIAHNAVVHELQRNQQPHSPEVQELLLKNKSLVDTIKCLIQMGAAVEAKDRKSGRTALHLAAEEANLELIRLFLELPSCLSFVNAKAYNGNTALHVAASLQYRLTQLDAVRLLMRKGADPSTRNLENEQPVHLVPDGPVGEQIRRILKGKSIQQRAPPY", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDVASLISPSESDTVPTFRSRSIQNSSASHYKRLSEQSTGSYFSAVPTHTTSYSRTPQPPLSPPAEDQSKCSLPSISILLENADGAAAHAAKRQRNSLSTHRDSDPRPPYDSITPHAMPPTPPLRPGSGFHSNGHSPSTSSVSAASSSALMKNTESYPQAPIGLPSPTDRSSISSQGSVQHAASAPYASPAPSVSSFSSPIEPSTPSTAAYYQRNPAPNTFQNPSPFPQTSTASLPSPGHQQMISPVTPAWQHHHYFPPSSSTSYQQNHDRYICRTCHKAFSRPSSLRIHSHSHTGEKPFRCTHAGCGKAFSVRSNMKRHERGCHTGRPVATAMVQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKNLNGRTHNACYHPYYHQSLHFAQQQQQQQQHHLQQQQQHMQQQQQQQQAPQQQLRHQQRQLPTQPAYQQSQSVAHNAFPLRSSSNNYGHVASSAYAASSGSHNSNNAAAMAAVCQMQNFFNQQQQQQQQLEFNNNCMPINYYQQQQQQHYPSESQSSASGWNPETPGQAQLALTATTCNTTAAATCNTTAAATTSTTATSAAAGSDNNHSDNFAMDASEIATFLANELFLQQLGNFETGQSVLTLTTPTLTPTTTRNIEDTLGHLLSDTQTDRVAGCAGFAVPKVLPNAIDVLGMGIPTGVSSLPLQQTFDLSLGQGSESEDSNASYNDTQMNEEQDTTDTSSAHTDSTSYQAGHIMAGSVNGGGVNNFSNVLAAVSSSRGSASVGSSNANTSNTPARRGGGRRPNRSTNMTPEEEQKRAVRRERNKQAAARCRKRRVDQTNELTEEVEQLEKRGESMRKEIEVLTNSKNQLEYLLATHRATCQKIRSDMLSVVTCNGLIAPAGLLSAGSSGSGASSHHNHNSNDSSNGTITGMDATLNSTGRSNSPLDLKPAANIDSLLMHIKDEPLDGAIDSGSSLDQDGPPPSKRITLPPMSTMPHVHLSTILTPTGASSGSLQTPITSTAPGGFGSAFPVTSNGSSINNINSIGNNMNSPTLNAHNKVPKERPNTLAFQRPLGQMHLTMANNKAGGPTQIQGVPIQTPSTGTFNFDSLMDGGTGLTPVSGPLVPNSSSTNKHPLELPTPTAEPSKLVSL", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGATGDAEQPRGPSGAERGGLELGDAGAAGQLVLTNPWNIMIKHRQVQRRGRRSQMTTSFTDPAISMDLLRAVLQPSINEEIQTVFNKYMKFFQKAALNVRDNVGEEVDAEQLIQEACRSCLEQAKLLFSDGEKVIPRLTHELPGIKRGRQAEEECAHRGSPLPKKRKGRPPGHILSSDRAAAGMVWKPKSCEPIRREGPKWDPARLNESTTFVLGSRANKALGMGGTRGRIYIKHPHLFKYAADPQDKHWLAEQHHMRATGGKMAYLLIEEDIRDLAASDDYRGCLDLKLEELKSFVLPSWMVEKMRKYMETLRTENEHRAVEAPPQT", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASAQEDLIDYEEEEELVQDQPAQEITPAADTAENGEKSDKKGSYVGIHSTGFRDFLLKPELLRAITDSGFEHPSEVQQVCIPQSILGTDVLCQAKSGMGKTAVFVLSTLQQIEPVDGEVSVLVLCHTRELAFQIKNEYARFSKYLPDVRTAVFYGGINIKQDMEAFKDKSKSPHIVVATPGRLNALVREKILKVNSVKHFVLDECDKLLESVDMRRDIQEVFRATPPQKQVMMFSATLSNEIRPICKKFMQNPLEIYVDDETKLTLHGLQQHYVKLEEKAKNRKINDLLDSLEFNQVVIFVKSVSRANELDRLLRECNFPSICIHGGLPQEERIKRYKAFKDFDKRICVATDVFGRGIDIERVNIVINYDMPDSPDSYLHRVGRAGRFGTKGLAITFSSSEEDSQILDKIQERFEVNITELPDEIDVGSYMNA", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSGYSSDRDRGRDRGFGAPRFGGSRAGPLSGKKFGNPGEKLVKKKWNLDELPKFEKNFYQEHPDLARRTAQEVETYRRSKEITVRGHNCPKPVLNFYEANFPANVMDVIARQNFTEPTAIQAQGWPVALSGLDMVGVAQTGSGKTLSYLLPAIVHINHQPFLERGDGPICLVLAPTRELAQQVQQVAAEYCRACRLKSTCIYGGAPKGPQIRDLERGVEICIATPGRLIDFLECGKTNLRRTTYLVLDEADRMLDMGFEPQIRKIVDQIRPDRQTLMWSATWPKEVRQLAEDFLKDYIHINIGALELSANHNILQIVDVCHDVEKDEKLIRLMEEIMSEKENKTIVFVETKRRCDELTRKMRRDGWPAMGIHGDKSQQERDWVLNEFKHGKAPILIATDVASRGLDVEDVKFVINYDYPNSSEDYIHRIGRTARSTKTGTAYTFFTPNNIKQVSDLISVLREANQAINPKLLQLVEDRGSGRSRGRGGMKDDRRDRYSAGKRGGFNTFRDRENYDRGYSSLLKRDFGAKTQNGVYSAANYTNGSFGSNFVSAGIQTSFRTGNPTGTYQNGYDSTQQYGSNVPNMHNGMNQQAYAYPATAAAPMIGYPMPTGYSQ", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNNDHASKKSFCIKAPSNWEKSYLEVWPLVTVPRQCICLRWCISKEYHEFTCSSLQFIVIRPAGTSVLLGRVKSSKANQLVGIEHVEGSRYALIFLSEKLDFKSLKVIANHQLTKSSKSLSNVSNKPLGDQLFRSNSLMSPSLLKKELHRIQSDASQANERESQAPHSFVTHDLISSSKDGNSLTHEFANDSVTEMVQDYTPSCSRDVKSLLDHLYNSYFYQLLMTKTPVVFYVKQMVGKTRQLAVEVHNHVEEKALVDELLKFLDNLKSVDDRKSRLLQCFESHLNYKAWHLEFENEAHQYEIKGYRLWLQNILNRENCQITKLDFEREFSQLKLKEYEIRVLLYFEILYLFLKWDPEYARRRANDNSLLDSRDSGKRKSRKKNAKTLNPFETAQLKLEFTFDGLCIRRTIEQNATERSEDLLLKFCKETIVPYYSSKFPRITRNLLEKCNGLDLLPERSHKHRHSAPPRSKLISSKSEAGRALPGNTSGASISNTSSPHSEASISKDYEILKRRRSNSGVHSLTRSDSSFNGFERDTRRRSSDIARIKNREINLPSSSLSKQRNSMHDISTNFPRRNLSFTEKLTMASLQGQSEESVQPKTTSSLSRSKTLSILEGSVSKRSEPSMDSILVQATPRKSSSVITELPDTPIKMNSLDKASACTVENHIVTESPAHKSNKAQLFVCVPTTPVKKKSASP", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLCEQISEYVDVSREIVKVMVSDSAAGALKKSLDRQEAMIDSLLDTEVQASQLIRDLMAVEEKVAQKLLDTEETKQKSSSKLQKIDRELQERMEKNASLESSIKFLQKDLEELKVMEEEIADMQREADEDTTTVIPSAVYLAKLFHNVTKIDWDYNCDPSLIKGIHYGGDIAQPISIDSNQHSKIFICNYLWSLLSTDW", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAEEIPATASFTPYVHSQTLTSHNRAVSSVKFSSDGRLLASASADKTIRTYTINTINDPIAEPVQEFTGHENGISDVAFSSDARFIVSASDDKTLKLWDVETGSLIKTLIGHTNYAFCVNFNPQSNMIVSGSFDETVRIWDVTTGKCLKVLPAHSDPVTAVDFNRDGSLIVSSSYDGLCRIWDSGTGHCVKTLIDDENPPVSFVRFSPNGKFILVGTLDNTLRLWNISSAKFLKTYTGHVNAQYCISSAFSVTNGKRIVSGSEDNCVHMWELNSKKLLQKLEGHTETVMNVACHPTENLIASGSLDKTVRIWTQKKE", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVRKKNPPLRNVASEGEGQILEPIGTESKVSGKNKEFSADQMSENTDQSDAAELNHKEEHSLHVQDPSSSSKKDLKSAVLSEKAGFNYESPSKGGNFPSFPHDEVTDRNMLAFSSPAAGGVCEPLKSPQRAEADDPQDMACTPSGDSLETKEDQKMSPKATEETGQAQSGQANCQGLSPVSVASKNPQVPSDGGVRLNKSKTDLLVNDNPDPAPLSPELQDFKCNICGYGYYGNDPTDLIKHFRKYHLGLHNRTRQDAELDSKILALHNMVQFSHSKDFQKVNRSVFSGVLQDINSSRPVLLNGTYDVQVTSGGTFIGIGRKTPDCQGNTKYFRCKFCNFTYMGNSSTELEQHFLQTHPNKIKASLPSSEVAKPSEKNSNKSIPALQSSDSGDLGKWQDKITVKAGDDTPVGYSVPIKPLDSSRQNGTEATSYYWCKFCSFSCESSSSLKLLEHYGKQHGAVQSGGLNPELNDKLSRGSVINQNDLAKSSEGETMTKTDKSSSGAKKKDFSSKGAEDNMVTSYNCQFCDFRYSKSHGPDVIVVGPLLRHYQQLHNIHKCTIKHCPFCPRGLCSPEKHLGEITYPFACRKSNCSHCALLLLHLSPGAAGSSRVKHQCHQCSFTTPDVDVLLFHYESVHESQASDVKQEANHLQGSDGQQSVKESKEHSCTKCDFITQVEEEISRHYRRAHSCYKCRQCSFTAADTQSLLEHFNTVHCQEQDITTANGEEDGHAISTIKEEPKIDFRVYNLLTPDSKMGEPVSESVVKREKLEEKDGLKEKVWTESSSDDLRNVTWRGADILRGSPSYTQASLGLLTPVSGTQEQTKTLRDSPNVEAAHLARPIYGLAVETKGFLQGAPAGGEKSGALPQQYPASGENKSKDESQSLLRRRRGSGVFCANCLTTKTSLWRKNANGGYVCNACGLYQKLHSTPRPLNIIKQNNGEQIIRRRTRKRLNPEALQAEQLNKQQRGSNEEQVNGSPLERRSEDHLTESHQREIPLPSLSKYEAQGSLTKSHSAQQPVLVSQTLDIHKRMQPLHIQIKSPQESTGDPGNSSSVSEGKGSSERGSPIEKYMRPAKHPNYSPPGSPIEKYQYPLFGLPFVHNDFQSEADWLRFWSKYKLSVPGNPHYLSHVPGLPNPCQNYVPYPTFNLPPHFSAVGSDNDIPLDLAIKHSRPGPTANGASKEKTKAPPNVKNEGPLNVVKTEKVDRSTQDELSTKCVHCGIVFLDEVMYALHMSCHGDSGPFQCSICQHLCTDKYDFTTHIQRGLHRNNAQVEKNGKPKE", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEIDQNLFQFPISTRDAVHEKNCTLRVKSTKKRRSSTKDEETRGMHPHIKSSFRNGMNHARVIREEDMEVVFEPCFINLSKPVYVVNGIGGINEIHKLPILFSSFVLCFFGNVSGDYGYVDNVPLHISVISHFYPCLQSYNTDVIGITTDTVENICQWKAHLPRALQFSLPVISDSNNEICREMGMLHPLGGAKLALDAIVIIDSIGRRRDILPIRTTTCVSTLITAVQETVRFLAIENGRLL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASLQQGEKQLFEKFWKGTFKAVATPRPESIIVASITARKPMPRTEPQSSLLLPDQDGPSEKLGQHLAPEALGTNSWGREKACRELDPARAHSASQDRDPTPPPSSRGKKKKKKSTRKKRRRSPSYSPSPVKKKKKKSSKKHKRHRSFSKKRRHSSCSPKSKRREEKRHKKQSRSRKSHRHRHHRCPSRSQSSELRSPSCESRHRGRSPEEGRKSRRTHSRRCSKNHCKVSPDARSSHLPSQPLPRLGFLSARGVITGSGSAADLFSKSASPLAATRGRSQEYDSGNDTSSPPSTQTSSARSRGQEKGSPGGDLSKSRDLNCGNTSDSGNSFTTSSPQNKGAVLETVSPACRSRESRGFQSPCLQCAEVKKSSLVPSTARSSPIKECSRSSSYTSTRSSSPSSRSPNPRASPRYTRSRSTSSEKRSYSRSPSYSSKSGKRSPPSRSSRSRRSPSYSRYSPSRERDLKYGEKEPQPRERARRRRRSYSPMRKRRRDSPSHLEARRITSARKRPIPYYRPSPSSSSSLSSASSWYSSSSSSSSSSSRSPSRSYSRSRSPSRSHSSRSQTRSRTRTSRSSSSRSLSLGSRSRSRNRSLSYSSAESYASTRR", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSKTDTAAVEATEENSNETTSDAATSSSGEKEAEFDNKIEADRSRRFDFLLKQTEIFTHFMTNSAKSPTKPKGRPKKIKDKDKEKDVADHRHRKTEQEEDEELLAEDSATKEIFRFDASPAYIKSGEMRDYQIRGLNWMISLYENGINGILADEMGLGKTLQTISLLGYLKHFKNQAGPHIVIVPKSTLQNWVNEFKKWCPSLRAVCLIGDQDTRNTFIRDVLMPGEWDVCVTSYEMCIREKSVFKKFNWRYLVIDEAHRIKNEKSKLSEILREFKTANRLLITGTPLQNNLHELWALLNFLLPDVFNSSEDFDEWFNTNTCLGDDALITRLHAVLKPFLLRRLKAEVEKRLKPKKEMKIFVGLSKMQRDWYTKVLLKDIDVVNGAGKVEKMRLQNILMQLRKCTNHPYLFDGAEPGPPYTTDTHLVYNSGKMAILDKLLPKLQEQGSRVLIFSQMTRMLDILEDYCHWRNYNYCRLDGQTPHEDRNRQIQEFNMDNSAKFLFMLSTRAGGLGINLATADVVIIYDSDWNPQMDLQAMDRAHRIGQKKQVRVFRLITESTVEEKIVERAEVKLRLDKMVIQGGRLVDNRSNQLNKDEMLNIIRFGANQVFSSKETDITDEDIDVILERGEAKTAEQKAALDSLGESSLRTFTMDTNGEAGTSSVYQFEGEDWREKQKLNALGNWIEPPKRERKANYAVDAYFREALRVSEPKAPKAPRPPKQPIVQDFQFFPPRLFELLDQEIYYFRKTVGYKVPKNTELGSDATKVQREEQRKIDEAEPLTEEEIQEKENLLSQGFTAWTKRDFNQFIKANEKYGRDDIDNIAKDVEGKTPEEVIEYNAVFWERCTELQDIERIMGQIERGEGKIQRRLSIKKALDQKMSRYRAPFHQLRLQYGNNKGKNYTEIEDRFLVCMLHKLGFDKENVYEELRAAIRASPQFRFDWFIKSRTALELQRRCNTLITLIERENIELEEKERAEKKKKAPKGSVSAGSGSASSNTPAPAPQPKASQKRKSEVVATSSNSKKKKK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MARKGKVNTLPQPQGKHQRKGKKQLENKILHSYEEESAGFDSEELEDNDEQGYSFGVNSEDDEEIDSDEAFDEEDEKRFADWSFNASKSGKSNKDHKNLNNTKEISLNEEDDSDDSVNSDKLENEGSVGSSIDENELVDLDTLLDNDQPEKNESNTASTIRPPWIGNNDHATDKENLLESDASSSNDSESELTDSADNMNESDSESEIESSDSDHDDGENSDSKLDNLRNYIVSLNQKRKKDEADAESVLSSDDNDSIEEISIKKVKYDPHETNKESEYNLIGSSEKTIDITDLLDSIPMNEQLKVSLKPLVSESSSISSKKLDAPLAKSIQDRLERQAAYEQTKNDLEKWKPIVADNRKSDQLIFPMNETARPVPSNNGLASSFEPRTESERKMHQALLDAGLENESALKKQEELALNKLSVEEVAERTRQLRFMRELMFREERKAKRVAKIKSKTYRKIRKNRKEKEMALIPKSEEDLENERIKSEEARALERMTQRHKNTSSWTRKMLERASHGEGTREAVNEQIRKGDELMQRIHGKEISEMDGEDVSEFSDSDYDTNEQVSTAFEKIRNEEEPKLKGVLGMKFMRDASNRQKALVQDEMQAFEDELAGVPNEDDTSQKGEDGVPGVLIGNNTGRRSFKPSEEAAKLSLPSRKNPFVSDSAVLKVNKPEMKEGQKKAEARKKKESPLEATEETNPWLQVPDQRTSSAKKLDKNSSKADKKNHKLKMDKVASLQELVEEPKVQPDLIFEEKAFESASEAESDVDVSVPMLKPTKGRLSIKQRELVAKAFAGDDVVAEFEKDKEDWVQEDAPKEEDHSLPGWGSWGGVGVKQRKTKPKVKKIAGLDPSKRKDSKLKHVIINEKRNKKAAKLTADSVPFPFESREQYERSLNLPMGPEWTTRASHHKAVAPRVVTKRGKVINPIKAPN", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAASAAAASAAAASAASGSPGPGEGSAGGEKRSTAPSAAASASASAAASSPAGGGAEALELLEHCGVCRERLRPEREPRLLPCLHSACSACLGPAAPAAANSSGDGGAAGDGTVVDCPVCKQQCFSKDIVENYFMRDSGSKAATDAQDANQCCTSCEDNAPATSYCVECSEPLCETCVEAHQRVKYTKDHTVRSTGPAKSRDGERTVYCNVHKHEPLVLFCESCDTLTCRDCQLNAHKDHQYQFLEDAVRNQRKLLASLVKRLGDKHATLQKSTKEVRSSIRQVSDVQKRVQVDVKMAILQIMKELNKRGRVLVNDAQKVTEGQQERLERQHWTMTKIQKHQEHILRFASWALESDNNTALLLSKKLIYFQLHRALKMIVDPVEPHGEMKFQWDLNAWTKSAEAFGKIVAERPGTNSTGPAPMAPPRAPGPLSKQGSGSSQPMEVQEGYGFGSGDDPYSSAEPHVSGVKRSRSGEGEVSGLMRKVPRVSLERLDLDLTADSQPPVFKVFPGSTTEDYNLIVIERGAAAAATGQPGTAPAGTPGAPPLAGMAIVKEEETEAAIGAPPTATEGPETKPVLMALAEGPGAEGPRLASPSGSTSSGLEVVAPEGTSAPGGGPGTLDDSATICRVCQKPGDLVMCNQCEFCFHLDCHLPALQDVPGEEWSCSLCHVLPDLKEEDGSLSLDGADSTGVVAKLSPANQRKCERVLLALFCHEPCRPLHQLATDSTFSLDQPGGTLDLTLIRARLQEKLSPPYSSPQEFAQDVGRMFKQFNKLTEDKADVQSIIGLQRFFETRMNEAFGDTKFSAVLVEPPPMSLPGAGLSSQELSGGPGDGP", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAENKGGGEAESGGGGSGSAPVTAGAAGPAAQEAEPPLTAVLVEEEEEEGGRAGAEGGAAGPDDGGVAAASSGSAQAASSPAASVGTGVAGGAVSTPAPAPASAPAPGPSAGPPPGPPASLLDTCAVCQQSLQSRREAEPKLLPCLHSFCLRCLPEPERQLSVPIPGGSNGDIQQVGVIRCPVCRQECRQIDLVDNYFVKDTSEAPSSSDEKSEQVCTSCEDNASAVGFCVECGEWLCKTCIEAHQRVKFTKDHLIRKKEDVSESVGASGQRPVFCPVHKQEQLKLFCETCDRLTCRDCQLLEHKEHRYQFLEEAFQNQKGAIENLLAKLLEKKNYVHFAATQVQNRIKEVNETNKRVEQEIKVAIFTLINEINKKGKSLLQQLENVTKERQMKLLQQQNDITGLSRQVKHVMNFTNWAIASGSSTALLYSKRLITFQLRHILKARCDPVPAANGAIRFHCDPTFWAKNVVNLGNLVIESKPAPGYTPNVVVGQVPPGTNHISKTPGQINLAQLRLQHMQQQVYAQKHQQLQQMRMQQPPAPVPTTTTTTQQHPRQAAPQMLQQQPPRLISVQTMQRGNMNCGAFQAHQMRLAQNAARIPGIPRHSGPQYSMMQPHLQRQHSNPGHAGPFPVVSVHNTTINPTSPTTATMANANRGPTSPSVTAIELIPSVTNPENLPSLPDIPPIQLEDAGSSSLDNLLSRYISGSHLPPQPTSTMNPSPGPSALSPGSSGLSNSHTPVRPPSTSSTGSRGSCGSSGRTAEKTSLSFKSDQVKVKQEPGTEDEICSFSGGVKQEKTEDGRRSACMLSSPESSLTPPLSTNLHLESELDALASLENHVKIEPADMNESCKQSGLSSLVNGKSPIRSLMHRSARIGGDGNNKDDDPNEDWCAVCQNGGDLLCCEKCPKVFHLTCHVPTLLSFPSGDWICTFCRDIGKPEVEYDCDNLQHSKKGKTAQGLSPVDQRKCERLLLYLYCHELSIEFQEPVPASIPNYYKIIKKPMDLSTVKKKLQKKHSQHYQIPDDFVADVRLIFKNCERFNEMMKVVQVYADTQEINLKADSEVAQAGKAVALYFEDKLTEIYSDRTFAPLPEFEQEEDDGEVTEDSDEDFIQPRRKRLKSDERPVHIK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MQFEERLQQLVESDWSLDQSSPNVLVIVLGDTARKYVELGGLKEHVTTNTVAGHVASRERVSVVFLGRVKYLYMYLTRMQAQANGPQYSNVLVYGLWDLTATQDGPQQLRLLSLVLRQCLSLPSKVEFYPEPPSSSVPARLLRFWDHIIR", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGAKSVTASSSKKIKNRHNGKVKKSKKIKKVRKPQKSISLNDENEVEILPSRNEQETNKLPKDHVTADGILVLEHKSDDDEGFDVYDGHFDNPTDIPSTTEESKTPSLAVHGDEKDLANNDDFISLSASSEDEQAEQEEEREKQELEIKKEKQKEILNTDYPWILNHDHSKQKEISDWLTFEIKDFVAYISPSREEIEIRNQTISTIREAVKQLWPDADLHVFGSYSTDLYLPGSDIDCVVTSELGGKESRNNLYSLASHLKKKNLATEVEVVAKARVPIIKFVEPHSGIHIDVSFERTNGIEAAKLIREWLDDTPGLRELVLIVKQFLHARRLNNVHTGGLGGFSIICLVFSFLHMHPRIITNEIDPKDNLGVLLIEFFELYGKNFGYDDVALGSSDGYPVYFPKSTWSAIQPIKNPFSLAIQDPGDESNNISRGSFNIRDIKKAFAGAFDLLTNRCFELHSATFKDRLGKSILGNVIKYRGKARDFKDERGLVLNKAIIENENYHKKRSRIIHDEDFAEDTVTSTATATTTDDDYEITNPPAKKAKIEEKPESEPAKRNSGETYITVSSEDDDEDGYNPYTL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDKLVVNYYEYKHPIINKDLAIGAHGGKKFPTLGAWYDVINEYEFQTRCPIILKNSHRNKHFTFACHLKNCPFKVLLSYAGNAASSETSSPSANNNTNPPGTPDHIHHHSNNMNNEDNDNNNGSNNKVSNDSKLDFVTDDLEYHLANTHPDDTNDKVESRSNEVNGNNDDDADANNIFKQQGVTIKNDTEDDSINKASIDRGLDDESGPTHGNDSGNHRHNEEDDVHTQMTKNYSDVVNDEDINVAIANAVANVDSQSNNKHDGKDDDATNNNDGQDNNTNNDHNNNSNINNNNVGSHGISSHSPSSIRDTSMNLDVFNSATDDIPGPFVVTKIEPYHSHPLEDNLSLGKFILTKIPKILQNDLKFDQILESSYNNSNHTVSKFKVSHYVEESGLLDILMQRYGLTAEDFEKRLLSQIARRITTYKARFVLKKKKMGEYNDLQPSSSSNNNNNNDGELSGTNLRSNSIDYAKHQEISSAGTSSNTTKNVNNNKNDSNDDNNGNNNNDASNLMESVLDKTSSHRYQPKKMPSVNKWSKPDQITHSDVSMVGLDESNDGGNENVHPTLAEVDAQEARETAQLAIDKINSYKRSIDDKNGDGHNNSSRNVVDENLINDMDSEDAHKSKRQHLSDITLEERNEDDKLPHEVAEQLRLLSSHLKEVENLHQNNDDDVDDVMVDVDVESQYNKNTTHHNNHHSQPHHDEEDVAGLIGKADDEEDLSDENIQPELRGQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGAPKQKWTQEEESALKSGVIKHGPGKWRTILKDPEFSGVLYLRSNVDLKDKWRNMSVMANGWGSREKSRLAVKRTFSLPKQEENSLALTNSLQSDEENVDATSGLQVSSNPPPRRPNVRLDSLIMEAIATLKEPGGCNKTTIGAYIEDQYHAPPDFKRLLSTKLKYLTSCGKLVKVKRKYRIPNSTPLSSHRRKGLGVFGGKQRTSSLPSPKTDIDEVNFQTRSQIDTEIARMKSMNVHEAAAVAAQAVAEAEAAMAEAEEAAKEAEAAEAEAEAAQAFAEEASKTLKGRNICKMMIRA", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLLSIGMLMLSATQIYTIVTVQLFAFLNLLPVEADILAYNFENGTQTFDDLPARFGYRLPAEGLKGFLINSKPENACEPIAPPPLRDNSSTAFIVLIRRLECNFDIKVLNAQRAGYKAAIVHNVDSDDLISMGSNDIEILKKIDIPSVFIGEASANSLKEEFTYEKGGHVVLIPEFSLPLEYYLIPFLIIVGICLILIVIFMITKFVQDRHRARRNRLRKDQLKKLPVHKFKKGDEYDVCAICLDEYEDGDKLRILPCSHAYHCKCVDPWLTKTKKTCPVCKQKVVPSQGDSDSETDSSQEENEVSENTPLLRPLASVSTQSFGALSESHSHQNMTESSEYEEDDNDNIDSSDAESGVNEESVVVQLQPNDERDYRVTNTV", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPQAFLLGSIHEPAGALMEPQPCPGSLAESFLEEELRLNAELSQLQFSEPVGIIYNPVEYAWEPHRNYVTRYCQGPKEVLFLGMNPGPFGMAQTGVPFGEVSMVRDWLGIVGPVLTPPQEHPKRPVLGLECPQSEVSGARFWGFFRNLCGQPEVFFHHCFVHNLCPLLFLAPSGRNLTPAELPAKQREQLLGICDAALCRQVQLLGVRLVVGVGRLAEQRARRALAGLMPEVQVEGLLHPSPRNPQANKGWEAVAKERLNELGLLPLLLK", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAAQSAPKVVLKSTTKMSLNERFTNMLKNKQPTPVNIRASMQQQQQLASARNRRLAQQMENRPSVQAALKLKQSLKQRLGKSNIQARLGRPIGALARGAIGGRGLPIIQRGLPRGGLRGGRATRTLLRGGMSLRGQNLLRGGRAVAPRMGLRRGGVRGRGGPGRGGLGRGAMGRGGIGGRGRGMIGRGRGGFGGRGRGRGRGRGALARPVLTKEQLDNQLDAYMSKTKGHLDAELDAYMAQTDPETND", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MMSSAPETFSLDHLSQHQQQQPPPLAEQEQLCYVHCNFCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPAAASTANQLPFGQALLSPTSPHGLLDEVPSFQAPASLMTEQASPNVSSITSSNSSCANNAPATSMASAANKATQREPQQPKNAPSANRTSEKRQRVPSAYNRFIKDEIQRIKASNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKTGIQSQDGAGECMLFKDGLYAAAAAAAAATAASSMGVTPF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MESAPAAPDPAASEPGSSGADAAAGSRETPLNQESARKSEPPAPVRRQSYSSTSRGISVTKKTHTSQIEIIPCKICGDKSSGIHYGVITCEGCKGFFRRSQQSNATYSCPRQKNCLIDRTSRNRCQHCRLQKCLAVGMSRDAVKFGRMSKKQRDSLYAEVQKHRMQQQQRDHQQQPGEAEPLTPTYNISANGLTELHDDLSNYIDGHTPEGSKADSAVSSFYLDIQPSPDQSGLDINGIKPEPICDYTPASGFFPYCSFTNGETSPTVSMAELEHLAQNISKSHLETCQYLREELQQITWQTFLQEEIENYQNKQREVMWQLCAIKITEAIQYVVEFAKRIDGFMELCQNDQIVLLKAGSLEVVFIRMCRAFDSQNNTVYFDGKYASPDVFKSLGCEDFISFVFEFGKSLCSMHLTEDEIALFSAFVLMSADRSWLQEKVKIEKLQQKIQLALQHVLQKNHREDGILTKLICKVSTLRALCGRHTEKLMAFKAIYPDIVRLHFPPLYKELFTSEFEPAMQIDG", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSPSSPFREQSFLCAAGDAGEESRVQVLKNEVRRGSPVLLGWVEQAYADKCVCGPSAPPAPTPPSLSQRVMCNDLFKVNPFQLQQFRADPSTASLLLCPGGLDHKLNLRGKAWG", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLLLPPRPPHPRSSSPEAMDPPPPKAPPFPKAEGPSSTPSSAAGPRPPRLGRHLLIDANGVPYTYTVQLEEEPRGPPQREAPPGEPGPRKGYSCPECARVFASPLRLQSHRVSHSDLKPFTCGACGKAFKRSSHLSRHRATHRARAGPPHTCPLCPRRFQDAAELAQHVRLH", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEVEKSKSRHEIREERADYEGSPVREHRDGRRKEKDHRSKDKEKDYDREKIRDKDHRRDKEKERDRKRSRDEDTEKEISRGRDKEREKDKSRDRVKEKDKEKERNRHKDRENERDNEKEKDKDRARVKERASKKSHEDDDETHKAAERYEHSDNRGLNEGGDNVDAASSGKEASALDLQNRILKMREERKKKAEDASDALSWVARSRKIEEKRNAEKQRAQQLSRIFEEQDNLNQGENEDGEDGEHLSGVKVLHGLEKVVEGGAVILTLKDQSVLTDGDVNNEIDMLENVEIGEQKRRNEAYEAAKKKKGIYDDKFNDDPGAEKKMLPQYDEAATDEGIFLDAKGRFTGEAEKKLEELRKRIQGQTTHTFEDLNSSAKVSSDYFSQEEMLKFKKPKKKKQLRKKDKLDLSMLEAEAVASGLGAEDLGSRKDGRRQAMKEEKERIEYEKRSNAYQEAIAKADEASRLLRREQVQPFKRDEDESMVLADDAEDLYKSLEKARRLALIKKEEAGSGPQAVAHLVASSTNQTTDDNTTTGDETQENTVVFTEMGDFVWGLQRENDVRKPESEDVFMEEDVAPKAPVEVKEEHPDGLTEVNDTDMDAAEDSSDTKEITPDENIHEVAVGKGLSGALKLLKDRGTLKEKVEWGGRNMDKKKSKLVGIVDDDGGKESKDKESKDRFKDIRIERTDEFGRTLTPKEAFRLLSHKFHGKGPGKMKEEKRMKQYQEELKLKQMKNSDTPSQSVQRMREAQAQLKTPYLVLSGHVKPGQTSDPQSGFATVEKDVPGSLTPMLGDRKVEHFLGIKRKSEPGNSDTPPKRPKP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDHQITTASDFTTTSIPSLYQLDTLLRCHICKDFLKVPVLTPCGHTFCSLCIRTHLNNQPNCPLCLFEFRESLLRSEFLVSEIIQSYTSLRSSLLDALRIPKPTPVPENEEVPGPENSSWIELISESESDSVNAADDDLQIVATSERKLAKRSMTDILPLSSKPSKRNFAMFRSERIKKKSKPNEQMAQCPICQQFYPLKALEKTHLDECLTLQSLGKKPKISTTFPTESNPHNKSSSRFKVRTPEVDKSSCGETSHVDKYLNSMMSAEHQRLPKINFTSMTQSQIKQKLSSLGLSTNGTRQNMIKRYNHYEMLWNSNFCDSLEPVDEAELKRQLLSWDVSHNKTPQNSSNKGGISKLMIMKSNGKSSSYRKLLENFKNDKFNRKGWMVMFRKDFARLIREAKMKIKTGSSDSSGSVGHSNDGDGVEKVQSDQGTEDQQMEKDQDTVINEDRVAGERNLPNEDSTDADLSRELMDLNEYSKDPPGNN", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGLTKQYLRYVASAVFGVIGSQKGNIVFVTLRGEKGRYVAVPACEHVFIWDLRKGEKILILQGLKQEVTCLCPSPDGLHLAVGYEDGSIRIFSLLSGEGNVTFNGHKAAITTLKYDQLGGRLASGSKDTDIIVWDVINESGLYRLKGHKDAITQALFLREKNLLVTSGKDTMVKWWDLDTQHCFKTMVGHRTEVWGLVLLSEEKRLITGASDSELRVWDIAYLQEIEDPEEPDPKKIKGSSPGIQDTLEAEDGAFETDEAPEDRILSCRKAGSIMREGRDRVVNLAVDKTGRILACHGTDSVLELFCILSKKEIQKKMDKKMKKARKKAKLHSSKGEEEDPEVNVEMSLQDEIQRVTNIKTSAKIKSFDLIHSPHGELKAVFLLQNNLVELYSLNPSLPTPQPVRTSRITIGGHRSDVRTLSFSSDNIAVLSAAADSIKIWNRSTLQCIRTMTCEYALCSFFVPGDRQVVIGTKTGKLQLYDLASGNLLETIDAHDGALWSMSLSPDQRGFVTGGADKSVKFWDFELVKDENSTQKRLSVKQTRTLQLDEDVLCVSYSPNQKLLAVSLLDCTVKIFYVDTLKFFLSLYGHKLPVICMDISHDGALIATGSADRNVKIWGLDFGDCHKSLFAHDDSVMYLQFVPKSHLFFTAGKDHKIKQWDADKFEHIQTLEGHHQEIWCLAVSPSGDYVVSSSHDKSLRLWERTREPLILEEEREMEREAEYEESVAKEDQPAVPGETQGDSYFTGKKTIETVKAAERIMEAIELYREETAKMKEHKAICKAAGKEVPLPSNPILMAYGSISPSAYVLEIFKGIKSSELEESLLVLPFSYVPDILKLFNEFIQLGSDVELICRCLFFLLRIHFGQITSNQMLVPVIEKLRETTISKVSQVRDVIGFNMAGLDYLKRECEAKSEVMFFADATSHLEEKKRKRKKREKLILTLT", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASESETLNPSARIMTFYPTMEEFRNFSRYIAYIESQGAHRAGLAKVVPPKEWKPRASYDDIDDLVIPAPIQQLVTGQSGLFTQYNIQKKAMTVREFRKIANSDKYCTPRYSEFEELERKYWKNLTFNPPIYGADVNGTLYEKHVDEWNIGRLRTILDLVEKESGITIEGVNTPYLYFGMWKTSFAWHTEDMDLYSINYLHFGEPKSWYSVPPEHGKRLERLAKGFFPGSAQSCEAFLRHKMTLISPLMLKKYGIPFDKVTQEAGEFMITFPYGYHAGFNHGFNCAESTNFATRRWIEYGKQAVLCSCRKDMVKISMDVFVRKFQPERYKLWKAGKDNTVIDHTLPTPEAAEFLKESELPPRAGNEEECPEEDMEGVEDGEEGDLKTSLAKHRIGTKRHRVCLEIPQEVSQSELFPKEDLSSEQYEMTECPAALAPVRPTHSSVRQVEDGLTFPDYSDSTEVKFEELKNVKLEEEDEEEEQAAAALDLSVNPASVGGRLVFSGSKKKSSSSLGSGSSRDSISSDSETSEPLSCRAQGQTGVLTVHSYAKGDGRVTVGEPCTRKKGSAARSFSERELAEVADEYMFSLEENKKSKGRRQPLSKLPRHHPLVLQECVSDDETSEQLTPEEEAEETEAWAKPLSQLWQNRPPNFEAEKEFNETMAQQAPHCAVCMIFQTYHQVEFGGFNQNCGNASDLAPQKQRTKPLIPEMCFTSTGCSTDINLSTPYLEEDGTSILVSCKKCSVRVHASCYGVPPAKASEDWMCSRCSANALEEDCCLCSLRGGALQRANDDRWVHVSCAVAILEARFVNIAERSPVDVSKIPLPRFKLKCIFCKKRRKRTAGCCVQCSHGRCPTAFHVSCAQAAGVMMQPDDWPFVVFITCFRHKIPNLERAKGALQSITAGQKVISKHKNGRFYQCEVVRLTTETFYEVNFDDGSFSDNLYPEDIVSQDCLQFGPPAEGEVVQVRWTDGQVYGAKFVASHPIQMYQVEFEDGSQLVVKRDDVYTLDEELPKRVKSRLSVASDMRFNEIFTEKEVKQEKKRQRVINSRYREDYIEPALYRAIME", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEQRRVTDFFARRRPGPPRIAPPKLACRTPSPARPALRAPASATSGSRKRARPPAAPGRDQARPPARRRLRLSVDEVSSPSTPEAPDIPACPSPGQKIKKSTPAAGQPPHLTSAQDQDTISELASCLQRARELGARVRALKASAQDAGESCTPEAEGRPEEPCGEKAPAYQRFHALAQPGLPGLVLPYKYQVLAEMFRSMDTIVGMLHNRSETPTFAKVQRGVQDMMRRRFEECNVGQIKTVYPASYRFRQERSVPTFKDGTRRSDYQLTIEPLLEQEADGAAPQLTASRLLQRRQIFSQKLVEHVKEHHKAFLASLSPAMVVPEDQLTRWHPRFNVDEVPDIEPAALPQPPATEKLTTAQEVLARARNLISPRMEKALSQLALRSAAPSSPGSPRPALPATPPATPPAASPSALKGVSQDLLERIRAKEAQKQLAQMTRCPEQEQRLQRLERLPELARVLRSVFVSERKPALSMEVACARMVGSCCTIMSPGEMEKHLLLLSELLPDWLSLHRIRTDTYVKLDKAADLAHITARLAHQTRAEEGL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLRREARLRREYLYRKAREEAQRSAQERKERLRRALEENRLIPTELRREALALQGSLEFDDAGGEGVTSHVDDEYRWAGVEDPKVMITTSRDPSSRLKMFAKELKLVFPGAQRMNRGRHEVGALVRACKANGVTDLLVVHEHRGTPVGLIVSHLPFGPTAYFTLCNVVMRHDIPDLGTMSEAKPHLITHGFSSRLGKRVSDILRYLFPVPKDDSHRVITFANQDDYISFRHHVYKKTDHRNVELTEVGPRFELKLYMIRLGTLEQEATADVEWRWHPYTNTARKRVFLSTE", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEAPMKLEVKVENYVECGINEDEATPLREGTGETPPHAFACGVPMSGSGWCSDPEDGNLVHHSATPTSDEHLQPSLDTIEPKMEPKIKEDADNAMLDSLLADPFENNSPATLQTPADVKPNAMLDSVEQGSRSCELLPSEFSKRENLDDMDLFSLKAAKTLVPDEQQSFPQNDTPIDDPEAADLIAQKREILKMLEMTAENRKVKHKKKKHKKERSHRSNKHQEESRKRNHSNSSSDEGADDKNQFDCDYRGHKKYKNRRGSASSQNESSKERKLRDTELDYVPVRPDEHFIRPIKFSNLIERRPPQVEFNTVNLSKADKRSLAVARAELVLEQIQQKANKEEPPEFHMVDTICKLPVNESFRNQDCFENPSPICNNMNVVYKFNSTPGTRIDLSKWGLETVPEATKRLLRLLGIDVARLKELQSTVKPSQRILKLKKEQLEQGLAPTEEQETATLYKNAATQTERRTATRDAGTQVRLESKLNGAFWQNPHFDPMNLTQHQSNVMLALQEIYQTLPSATMAVKLSRALAPALAIIKGRQP", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDMTKQIVDFEIKSELIGEIDQFEASDYTMAPPEEPKMVEESPQLGHLEDQNRKYSPEREVEPTLQDPSEVVDQMQKDTESVGEVKSPEKDVETELVKSKASPMNDQALTPPPRPLTSSEVVGLRDPEHTELRMCLEAKKSRSLPVSPQPQPNLKLAGSALFEFGQRSSPVETKIKTNPETKPPRRKIVPPSGEGQQFCLRWNNYQSNLTNVFDELLQSESFVDVTLSCEGHSIKAHKMVLSACSPYFQALFYDNPCQHPIIIMRDVSWSDLKALVEFMYKGEINVCQDQINPLLKVAETLKIRGLAEVSAGRGEGGASALPMSAFDDEDEEEELASATAILQQDGDADPDEEMKAKRPRLLPEGVLDLNQRQRKRSRDGSYATPSPSLQGGESEISERGSSGTPGQSQSQPLAMTTSTIVRNPFASPNPQTLEGRNSAMNAVANQRKSPAPTATGHSNGNSGAAMHSPPGGVAVQSALPPHMAAIVPPPPSAMHHHAQQLAAQHQLAHSHAMASALAAAAAGAGAAGAGGAGSGSGSGASAPTGGTGVAGSGAGAAVGSHHDDMEIKPEIAEMIREEERAKMIESGGHGGWMGAAAAATGAASVAADSYQYQLQSMWQKCWNTNQQNLVQQLRFRERGPLKSWRPEAMAEAIFSVLKEGLSLSQAARKFDIPYPTFVLYANRVHNMLGPSLDGGADPRPKARGRPQRILLGMWPEELIRSVIKAVVFRDYREIKEDMSAHQYANGQGHGTYIGGGTTTNGYHSAAAAKLAAQNAALAPPDAGSPLSSMTETLRRQILSQQQQHQQHHQQQAHHQQQPSHHQQQSPHAQSMNMYKSPAYLQRSEIEDQVSAAAAVAAAAAKHQQQQGERRGSENLPDLSALGLMGLPGLNVMPSRGSGGGSGGAAPNSAASYARELSRERERDRERERERELSRQYGSQSRGSSSGSGSAKSLTASQRPGAASPYSAAHYAKHQASAYNKRFLESLPAGIDLEAFANGLLQKSVNKSPRFEDFFPGPGQDMSELFANPDASAAAAAAAYAPPGAIRESPLMKIKLEQQHATELPHED", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVTRETKLTSEREVESSMAQARHNGGGGGENHPFTSLGRQSSIYSLTLDEFQHALCENGKNFGSMNMDEFLVSIWNAEENNNNQQQAAAAAGSHSVPANHNGFNNNNNNGGEGGVGVFSGGSRGNEDANNKRGIANESSLPRQGSLTLPAPLCRKTVDEVWSEIHRGGGSGNGGDSNGRSSSSNGQNNAQNGGETAARQPTFGEMTLEDFLVKAGVVREHPTNPKPNPNPNQNQNPSSVIPAAAQQQLYGVFQGTGDPSFPGQAMGVGDPSGYAKRTGGGGYQQAPPVQAGVCYGGGVGFGAGGQQMGMVGPLSPVSSDGLGHGQVDNIGGQYGVDMGGLRGRKRVVDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENAQLKHALAELERKRKQQYFESLKSRAQPKLPKSNGRLRTLMRNPSCPL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MQGFNMGKYIPPEGPNAKRKFDKLRNVIRFEMPFPVWCNNCENIIQQGTRFNAVKKEIGSYYTTKIWSFSLKCHLCSNPIDVHTDPKNTEYIVASGGRRKIEPQDINERPAKAENDEKVPSDAIEALETQLTQQKSEKHNSSVINFIYEKNERLWSDPFVSSQRLRKQFRERKKIEKKQEAKDLSLKNRAALDIDILPPSSSDKDKALLLLDNELGKNKFIRKLDYRRTLMPSSRTFSTFAKFAETSFAKKDPFARKFVPSEKLRSEQRKFPTENLKGEKILEDNSVSLVNYEVSDDEG", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAGSKWETEETNQFAIENQKLEEEWRKKRRLEKKRKRKILEEEEKAEERNIDACRLYLMGNTPELKSCNSIDDYEILEKIEEGSYGIVYRGLDKSTNTLVALKKIKFDPNGIGFPITSLREIESLSSIRHDNIVELEKVVVGKDLKDVYLVMEFMEHDLKTLLDNMPEDFLQSEVKTLMLQLLAATAFMHHHWYLHRDLKPSNLLMNNTGEIKLADFGLARPVSEPKSSLTRLVVTLWYRAPELLLGAPSYGKEIDMWSIGCIFAEMITRTPLFSGKSELDQLYKIFNLLGYPTREEWPQYFLLPYANKIKHPTVPTHSKIRTSIPNLTGNAYDLLNRLLSLNPAKRISAKEALEHPYFYESPRPKDPKFFPTFPSKAKGESKEKNVFQSFRSASPKK", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSVSITKKFYKLDINRTEWEIPDIYQDLQPVGSGAYGQVSKAVVRGTNMHVAIKKLARPFQSAVHAKRTYRELRLLKHMDHENVIGLLDIFHPHPANGSLENFQQVYLVTHLMDADLNNIIRMQHLSDDHVQFLVYQILRGLKYIHSAGVIHRDLKPSNIAVNEDCELRILDFGLARPTENEMTGYVATRWYRAPEIMLNWMHYDQTVDIWSVGCIMAELITRRTLFPGTDHIHQLNLIMEMLGTPPAEFLKKISSESARSYIQSLPPMKGRSFKNVFKNANPLAIDLLEKMLELDAEKRITAEEALSHPYLEKYAEPSVEQTSPPYDHSFEDMDLPVDKWKELIYKEVTNFKPPPSYAQVLKDVK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPRLYLGKIPYNARERDVERFLKGYGKINNISMKYGFAFVDFEDSRDAEDACHDLDGKTMEGSSMRLVVEMARGKPRGNDRHGSRSPRRRSRSPRRRSRTPPRRRSRSRDRKRSRRSRSRSSSRSRSPVRESRRRSESRSPSPKRDLKREASRSRSPLPAKDRSRTRSGSPPKNGGDRKRSVSRGRSHSRDGSNRSVSRSPSPGSPKD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAFAVDPQAPTLGSEPMMLGSPTSPKPGANAQFLPGFLMGDLPAPVTPQPRSISGPSVGVMEMRSPLLAGGSPPQPVVPAHKDKSGAPPVRSIYDDISSPGLGSTPLPSRRQANISVLQSPLVGVTTPVTGQSMFSPANIGQPRKTTLSPAQLDPFYTQGDSLTSEDHLDDTWVTVFGFPQASASYILLQFAQYGNILKHVMSNTGNWMHIRYQSKLQARKALSKDGRIFGESIMIGVKPCIDKNVMENSDRGVLSSPSLAFTPPIRTLGTPTQPGSTPRVSTMRPLATAYKASTSDYQVISDRQTPKKDESLVSRAMEYMFGW", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MADNKVNLSINGQSKVPPGFRFHPTEEELLHYYLRKKVNSQKIDLDVIREVDLNKLEPWDIQEECRIGSTPQNDWYFFSHKDKKYPTGTRTNRATVAGFWKATGRDKIICSCVRRIGLRKTLVFYKGRAPHGQKSDWIMHEYRLDDTPMSNGYADVVTEDPMSYNEEGWVVCRVFRKKNYQKIDDCPKITLSSLPDDTEEEKGPTFHNTQNVTGLDHVLLYMDRTGSNICMPESQTTTQHQDDVLFMQLPSLETPKSESPVDQSFLTPSKLDFSPVQEKITERPVCSNWASLDRLVAWQLNNGHHNPCHRKSFDEEEENGDTMMQRWDLHWNNDDNVDLWSSFTESSSSLDPLLHLSV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEVKGKETASVLCLSKYVDLSSEESHRYYLARRNGLQMLRDRGYEVSDEDINLSLHDFRTVYGERPDVDRLRISALHRSDSTKKVKIVFFGTSMVKVNAIRSVVADILSQETITGLILVLQNHVTNQALKAIELFSFKVEIFQITDLLVNITKHSLKPQHQVLNDEEKTTLLKKFSIEEKQLPRISKKDAIVRYYGLEKGQVVKVNYRGELTESHVAFRCVW", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSPNVQKRPSSEDIKTQEFYDSTRNIRRVATAIGSINANLESPQLYSLAKSTSLQEPVRIYGDSVSPAISSSKAHSTSSVSPYYSEKNESQALNADGTAFANPSFHSFGLPQEDSQDNTQTYSTPYTTMNPSNEMHPYPPATFENNYSVLPDHSSQPNAYSFTGSNILPTQSPSLNQMQDYQNLQQNGSSNTTIPSFSSQHDLSQGLTHQPVPNHDEYAFSYPYELQRKPLIPAHPVPSFRPTSALKVNMNSNVPSSDSVRNSSPNQYYASTSKQSIPSQSQNLQPPQKASVLGTVNNYRQYQNSFISLNDYQAAQSNISSPSSRFPTPYSPSVPFGTYQEKEKSYSQDHAELSYYQQSPSMMPPYDRSSVYFQQPLSRTDVPNQSFQQYPTTVDGGSMIPNLYPTSAEQMGLYPQDSQNKDTYPKSLVNRPSSAVCEPARNDSIPMMVYSQPVTIEQRIQYVLSNCHCLSAFYLCMPSLCQKSYGTERRYLCPPIVLYLLGTTWLNNVTDNLKISAQTLEDKDNPKFAKNIFYYNADGALISPETDIAKSTYQLTNYNENTNFDSFPVWGNALLKTIYYTGQGKNDGFGRSTFLQLSVQSKTKYFKLENLRLGVISKPSQKRALMKVSDMSIRHGDCVCLFNRYRAQHNNALFLGTSNVQRAISKVSLNMKYNSNYFPTTDAPNDAENEGAGLAMANNLWEPFYIFSVDELNKGNNSNPSDSRSKVLCSNMVIILVSKITGVQSPPLILKKHDNWKVSLSSRAPSEAINCLSKLAFQCHETKRFLYIDEKQSSEISFTSGELEYSDPNDPTKATHSVLPWSAMWSIISTQSVRTMFYNEPIHQNAFHVVPSMPFVKFIRLDENSMFHIYGTGFANDVQIWMAYTRCEVKSINAFKPDTTLPPDIISDSRFSSRVYACTANLIELICEIPVCMFEPTVELSPILLFQYETLFHSGYKWPLESH", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAATAAASVVRYAPEDHTLPKPWKGLIDDRTGYLYFWNPETNVTQYEKPTPSLPPKFSPAVSVSSSVQVQQTDAYAPPKDDDKYSRGSERVSRFSEGGRSGPPYSNGAANGVGDSAYGAASTRVPLPSSAPASELSPEAYSRRHEITVSGGQVPPPLMSFEATGFPPELLREVLSAGFSAPTPIQAQSWPIAMQGRDIVAIAKTGSGKTLGYLIPGFLHLQRIRNDSRMGPTILVLSPTRELATQIQEEAVKFGRSSRISCTCLYGGAPKGPQLRDLERGADIVVATPGRLNDILEMRRISLRQISYLVLDEADRMLDMGFEPQIRKIVKEIPTKRQTLMYTATWPKGVRKIAADLLVNPAQVNIGNVDELVANKSITQHIEVVAPMEKQRRLEQILRSQEPGSKVIIFCSTKRMCDQLTRNLTRQFGAAAIHGDKSQPERDNVLNQFRSGRTPVLVATDVAARGLDVKDIRAVVNYDFPNGVEDYVHRIGRTGRAGATGQAFTFFGDQDSKHASDLIKILEGANQRVPPQIREMATRGGGGMNKFSRWGPPSGGRGRGGDSGYGGRGSFASRDSRSSNGWGRERERSRSPERFNRAPPPSSTGSPPRSFHETMMMKHR", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNYFPDEVIEHVFDFVTSHKDRNAISLVCKSWYKIERYSRQKVFIGNCYAINPERLLRRFPCLKSLTLKGKPHFADFNLVPHEWGGFVLPWIEALARSRVGLEELRLKRMVVTDESLELLSRSFVNFKSLVLVSCEGFTTDGLASIAANCRHLRDLDLQENEIDDHRGQWLSCFPDTCTTLVTLNFACLEGETNLVALERLVARSPNLKSLKLNRAVPLDALARLMACAPQIVDLGVGSYENDPDSESYLKLMAVIKKCTSLRSLSGFLEAAPHCLSAFHPICHNLTSLNLSYAAEIHGSHLIKLIQHCKKLQRLWILDSIGDKGLEVVASTCKELQELRVFPSDLLGGGNTAVTEEGLVAISAGCPKLHSILYFCQQMTNAALVTVAKNCPNFIRFRLCILEPNKPDHVTSQPLDEGFGAIVKACKSLRRLSLSGLLTDQVFLYIGMYANQLEMLSIAFAGDTDKGMLYVLNGCKKMKKLEIRDSPFGDTALLADVSKYETMRSLWMSSCEVTLSGCKRLAEKAPWLNVEIINENDNNRMEENGHEGRQKVDKLYLYRTVVGTRMDAPPFVWIL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEFWGLEVKPGSTVKCEPGYGFVLHLSQAALGESKKSDNALMYVKIDDQKLAIGTLSVDKNPHIQFDLIFDKEFELSHTSKTTSVFFTGYKVEQPFEEDEMDLDSEDEDEELNVPVVKENGKADEKKQKSQEKAVAAPSKSSPDSKKSKDDDDSDEDETDDSDEDETDDSDEGLSSEEGDDDSSDEDDTSDDEEEDTPTPKKPEVGKKRPAESSVLKTPLSDKKAKVATPSSQKTGGKKGAAVHVATPHPAKGKTIVNNDKSVKSPKSAPKSGGSVPCKPCSKSFISETALQAHSRAKMGASESQVQ", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAKVRELEEAFVQEQPSPQLPSEIAEECCAQLLGKGLLVYPEDSAYLLAETAAGARSSGEKGGDPGLQVGVKSEMQLNNGNFSSEEEDADTQESKTKAADPQLSQKKSITQMMKDKKKQTQLTLQWLEDNYIVCEGVCLPRCILYAHYLDFCRKEKLEPACAATFGKTIRQKFPLLTTRRLGTRGHSKYHYYGIGIKESSAYYHSVYSGKGLTRFSGSKLKNEGGFTRKYSLSSKTGTLLPEFPSAQHLVYQGCISKDKVDTLIMMYKTHCQCILDNAINGNFEEIQHFLLHFWQGMPDHLLPLLENPVIIDIFCVCDSILYKVLTDVLIPATMQEMPESLLADIRNFAKNWEQWVVSSLENLPEALIDKKIPILRRFVSSLKRQTSFLHLAQIARPALFDQHVVNAMVSDIEKVDLNSIGSQALLTISNSTDTESDIYSEHDSITVFQELKDLLKKNATVEAFIEWLDTVVEQRVIKMSKQNGRSLKKRAQDFLLKWSFFGARVMHNLTLNNASSFGSFHLIRMLLDEYILLAMETQFNNDKEQELQNLLDKYMKNSDASKAAFTASPSSCFLANRNKASSLASDTVKNESHVETSYVPLPSSQPGAIPPALHPFSTEDTDNMPLPGQIELSQSTGHLMTPPISPAIASRGSVINQGPMASRPPSVGTVLSAPTHCSTYAEPIYPTLSPANHDFYGTNSNYQTMFRTQSHPASSLYAHRAEHGRCMAWTEQQLSRDFFGGSCAGSPYNCRPPSSYGPSTHTQESHSMQVLNTGSFNFLSNAGAGSCQGSTLPSNSPNGYYGNNINYSEAHRLGSMVNQHVSVISSVRSLPPYSDIHDPLNILDDSSRKQNNSFYADTLSPVACRTTVVASNLQTQIPSSSSQCMYGTSNQYPVQDSLDSNAASNREMVSSLPPINTVFMGTAAGDT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLNNTSSDFDLIIRQQPNRARVAGGKEKEERPVPVAPSTALAGTLVSSLHRLKDVDNSDGGFFVFGDLSVKIEGEFRLKFTLFEMRKDRVSYLKTVISERFTVSPPKSFPGMMESTHLSRSFADQGVKLRIRKEPRTMLKRSTRPDEFHQPVPTRSPERQSVQIPPSSSYGGYPPAARDYGYYGQQPPVKRHRTSIDYGRQQGLYDVDGRMARQMDPYGQPTAAMYAGQPAAYQTPAAMQTYNTGQVVPDYTAMYPGMQASAGMQASAPMSQIPDPTGQSRSSQQQQAAVGQLMAMNQPGTPTPDSTGAMMAQGYARSGYPPSSTILPPLQRSRDYPQGTNGSARAYFDQTPQANTPILPSQMVNEGDRFSSVTGPTTFNHPDSPNGTPQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNVGKKSEIQVSAKSNLNFLNELIEEKKRYEDEKRKISHQKYTDKLLEANDNETTKRKLLVKQKRKSNKEFQSNIIKKRKDEERKGTLKTEQANEEELLQRSRLELERKAKKYDQYAAGELEIKETEDDGILVDFTRKWAEEAPENETVEITDEFGRTRSVSIYETGNTLLSQKEEYKPEKPIYGDYMPSFEVDEEKVQKLWKEDEQQAVHYDSTKEVRNKGTAFYQFSFDEKEREEQLLSLKEIHAKVTQQQRKNTEDVLTLRDKKLEERRKFLERDYAIKLGERWMSEHFSNN", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MARDITFLTVFLESCGAVNNDEAGKLLSAWTSTVRIEGPESTDSNSLYIPLLPPGMLKIKLNFKMNDRLVTEEQELFTKLREIVGSSIRFWEEQLFYQVQDVSTIENHVILSLKCTILTDAQISTFISKPRELHTHAKGYPEIYYLSELSTTVNFFSKEGNYVEISQVIPHFNEYFSSLIVSQLEFEYPMVFSMISRLRLKWQQSSLAPISYALTSNSVLLPIMLNMIAQDKSSTTAYQILCRRRGPPIQNFQIFSLPAVTYNK", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDNTNRLRRLHCHKQPKFTHSSQEVSSMKWEFINMTEQEEDLIFRMYRLVGDRWDLIARRVVGREAKEIERYWIMRNCDYFSHK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSLPGTPTTSPTPMDEDTEQAVSVNTEPKRVKVYILENNEWKDTGTGFCIGEVDEGKFAYLVVSDEDSPTETLLKSKLEGNIEYQRQEETLIVWKDLGGKDIALSFEESMGCDTLCEFIVHVQRNIESNISLVTVKSSDNGLGSVHDIITGPVTLPSNDQQQNSQTLLEALKILNENTSFDFLKNETIEFILQSNYIDTLISHFHKAEEEKIPKDLFLLSNIIKTLILYNKRDILESMVEDDRIMGIVGILEYDTEYPTSKANHRKYLGSKGPNFKEVIPLENEDLKIIMKKCFRLQFLKDVVLVRFLDDHNFNLISEIVMDLETCIIDFLQVGTFLDRLIELYDTKTLPESSSEKEKFVQKRKDGIRLLQQCVQMSINLDAVDRSKFYKTLVRKGLFKVLDYAFHMETDSNVRILATDTIITIIEHDILLIHNVQNEDSFKRQHKSAPDDKSSHRKYPQDYSSSTDSKLLLILSTILLSDRSPGLREQVVQALNTLLHPEGCVGNGEGSYDLMGRSNYEAKNTSEDFPSFSYGLNSDSINLNNYHYSSDEMNNLEPESESEFQVMEYFANFYNKIAPILFGPLIKKDITTEMAEIDGQIEKVTKDDLLLIHLVKLVSFVCTEHDRVLSRRFILENGILDSVSKLIGGNHMMQLRLTAVRCIKNLMCLDDKYYHRYMISKNLYAPVFKLFQENIDKNNLANSCIQDFFRIIITECRAYQSDGHNRKEKTNGSYDGNGNDVKTNVNNNRTNFTILNKYLVQTYGDVLRKATDIPFIQDMLETGEENQPDHSSFENSIEGGNDISVNMSTDGFASNHLEDIDIKNVKRLHSEIEHFENDPHYSGDQLAFKKSVDQMNAST", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKFGKSLSNQIEETLPEWRDKFLSYKELKKKLKLMEPRSVENRPNKRSRSDSNSVDTDPTVGMTKEELDFISLLEDELEKFNSFFVEQEEEYIIRLKELKDQVAKAKNSNEEMINIKKEIVDFHGEMVLLMNYSALNYTGLAKILKKYDKRTGALIRLPFIQKVLQEPFFTTDLLNTFVKECEAMLDRLFPSNKSRNLDEEGEPTTSGMVKTGTDDSELLRVPKELSEIEYMESLYMKSTVSALKVLKEIRSGSSTVSVFSLPPLPASGLEDDSWKKKVGVLEQVAK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSISMLQDAQTRTLAAALAGIKQEDVHLDRSMSLSPPMSANTSATSAAAIYPAMGLQQAAAASAFGMLSPTQLLAANRQAAAFMAQLPMSTLANTLFPHNPAALFGAWAAQQSLPPQGTHLHSPPASPHSPLSTPLGSGKHPLNSPNSTPQHHEPAKKARKLSVKKEFQTEISMSVNDMYHTSGGPISPPSSGSSPNSTHDGAGGNAGCVGVSKDPSRDKSFTCKICSRSFGYKHVLQNHERTHTGEKPFECPECHKRFTRDHHLKTHMRLHTGEKPYHCSHCDRQFVQVANLRRHLRVHTGERPYTCEICDGKFSDSNQLKSHMLVHNGEKPFECERCHMKFRRRHHLMNHKCGIQSPPTPALSPAMSGDYPVAISAIAIEASTNRFAAMCATYGGSNESVDMEKATPEDDGPLDLSEDGASSVDGHCSNIARRKAQDIRRVFRLPPPQIPHVPSDMPEQTEPEDLSMHSPRSIGSHEQTDDIDLYDLDDAPASYMGHQQH", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDSDSERASLESIKDNSECVHVSNEPNLTATCVDSSVGEEGVTDVNSSAAVSELVPPEQGEGALLNSVPEISERGIPVDVVSSVDGGGEENAAFNIQEIDSVGGDAAAVEEVPLKSSSVVGEGREEEAGASIVKEEDFVAEANLSGDRLEENKEVSMEEEPSSHELSVCEVNGVDSLNDEENREVGEQIVCGSMGGEEIESDLESKKEKVDVIEEETTAQAASLVNAIEIPDDKEVACVAGFTEISSQDKGLDESGNGFLDEEPVKELQIGEGAKDLTDGDAKEGVDVTEDEMDIQVLKKSKEEEKVDSTTELEIETMRLEVHDVATEMSDKTVISSAVVTQFTGETSNDKETVMDDVKEDVDKDSEAGKSLDIHVPEATEEVDTDVNYGVGIEKEGDGVGGAEEAGQTVDLEEIREENQELSKELAQVDETKISEMSEVTETMIKDEDQEKDDNMTDLAEDVENHRDSSVADIEEGREDHEDMGVTETQKETVLGKVDRTKIAEVSEETDTRIEDEDQEKDDEMTDVAEDVKTHGDSSVADIEEGRESQEEMTETQEDSVMADEEPEEVEEENKSAGGKRKRGRNTKTVKGTGKKKEEDVCFMCFDGGDLVLCDRRGCTKAYHPSCVDRDEAFFQTKGKWNCGWHLCSKCEKTATYLCYTCMFSLCKGCAKDAVFFCIRGNKGLCETCMETVKLIERKQQEKEPAQLDFNDKTSWEYLFKDYWIDLKTQLSLSPEELDQAKRPLKGHETNASKQGTASETDYVTDGGSDSDSSPKKRKTRSRSKSGSAEKILSSGDKNLSDETMEWASKELLDLVVHMRRGDRSFLPMLEVQTLLLAYIKRYNLRDPRRKSQVICDSRLQNLFGKSHVGHFEMLNLLDSHFLKKEQNQADDIQGDIVDTEEPNHVDVDENLDHPVKSGKDKKRKTRKKNVRKGRQSNLDDFAAVDMHNINLIYLRRSLVEDLLEDSTAFEEKVASAFVRLRISGNQKQDLYRLVQVVGTSKAPEPYKVGKKTTDYVLEILNLDKTEVISIDIISNQDFTEDECKRLKQSIKCGLINRLTVGDIQEKAIALQEVRVKNLLEAEILRFSHLRDRASDMGRRKEYPYLLKLSNSLTMLTLRECVEKLQLLKSPEERQRRLEEIPEIHADPKMDPDCESEDEDEKEEKEKEKQLRPRSSSFNRRGRDPISPRKGGFSSNESWTGTSNYSNTSANRELSRSYSGRGSTGRGDYLGSSDDKVSDSMWTSAREREVQPSLGSEKPRSVSIPETPARSSRAIAPPELSPRIASEISMAPPAVVSQPVPKSNDSEKIWHYKDPSGKVQGPFSMAQLRKWNNTGYFPAKLEIWKANESPLDSVLLTDALAGLFQKQTQAVDNSYMKAQVAAFSGQSSQSEPNLGFAARIAPTTIEIPRNSQDTWSQGGSLPSPTPNQITTPTAKRRNFESRWSPTKPSPQSANQSMNYSVAQSGQSQTSRIDIPVVVNSAGALQPQTYPIPTPDPINVSVNHSATLHSPTPAGGKQSWGSMQTDHGGSNTPSSQNNSTSYGTPSPSVLPSQSQPGFPPSDSWKVAVPSQPNAQAQAQWGMNMVNNNQNSAQPQAPANQNSSWGQGTVNPNMGWVGPAQTGVNVNWGGSSVPSTVQGITHSGWVAPVQGQTQAYPNPGWGPTGHPQSQSQSQVQAQAGTTGSGWMQPGQGIQSGNSNQNWGTQNQTAIPSGGSGGNQAGYWGNQQQSQNGDSGYGWNRQSGGQQNNFKGQRVCKFFRENGHCRKGASCNYLHN", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTTNNDFYFALLRISILQLLKAQGFDRARPSLVDVMTDLYAKFLSLLASEVSSIAQARCDQDDTIALQDITLALENLGIVKPTNVLDVYDENSELSSSRGMEKFKDWCIYSTQLTDARITALPTVELLQSEEKESDPLSAIPDYLNQLLQNKGAKQKLETKNRKTELIEDLINNNGLDDWIKLVIARQRINMIERASKKESQNVPALPHIAGYKSSILSRHHHTTITNEDRMPSAMTPRDEDALTEIQENPFVTSKLPIMRKENRLENITLSFEDEELESLGEVEGPNQKSQENNNEESFKENNKSLTESPHGDDRDISMFQFDSNVDTKWAEQEDMDSTFQRRTSLDYGGYF", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEAVKTFNSELYSLNDYKPPISKAKMTQITKAAIKAIKFYKHVVQSVEKFIQKCKPEYKVPGLYVIDSIVRQSRHQVGQEKDVCAPRFSNNIISTFQNLYRCPGDDKSKIVRVLNLWQKNNVFKSEIIQPLLDMAAGIPPPVVTPVLASTTVAMSNTPGTPVTPVTPANVVQGLPDPWVSQITNTDTLAAVAQILQSPQGQQLQQLIQTLQIQQQKPQPSILQALDAGLVVQLQALTAQLTAAAAAANTLTPLDQGVSFNKKLMDRFDFGEDSEHSEESKKEIPTPQLSHVSESVNNSIFHQIAEQLQQQNLEQLRQQLLEQQQPQKVTPQDSQEGAFGSEHSASPSQGSSQQHFLEPEANLDDSIDIQQQDMDIDEGQDVVEEEIFEPEAKKVAVRSRSRTHSRSRSRSPRKRRSRSRSGSRKRKHRKRSRSRSRERKRKSSRSYSSERRAREREKERQKKGLPPVRSKTLSVCSTTLWVGQVDKKATQQDLTNLFEEFGQIESINMIPPRGCAYVCMVHRQDSFRALQKLSSGSYKIGSKVIKIAWALNKGVKTEYKQFWDVDLGVTYIPWEKVKVDDLDGFAEGGMIDQETVNAEWETVKTSEPVKETVQTTQSPAAVEKETVVTTQSEVFPPPVTMLQIPVAPTVPAVSLVPPAFPVSMPVPPPGFSPIPPPPFLRASFNPSQPPPGFMPPPVPPPVVPPPAIPPVVPTSLVQPPLSMTPETVKDVGFGSLVLPGGSVAGNLAPSTLPAGNVFNPPSKAEPEEKVPHLTEHQIPSGENTRPVIPSDIPSSAPMLAQPPGASNTSGILCVQRPNVSSNSEILGVRPANVSNSAAIMGAQPPNMLNNSGILGIQPPNVSSGSGLLGVLPPNLPNNSGLVGLQPPNVTNPAGLLGTQPPIGPQNLPPLTIPAQRMPALPMLDIRPGLIAQAPGPRFPLLQPGIPPQRGIPPPSVLDAALHPPPRGPFPPGDLFSQPERPFLAPGRPNIDSVPNPDKRIPLGNDNIQQEGDRDYRFPPIETREGINRPPPVDVRDVVGRPIDPREGPGRPPLDGRDHFGRPPVDMRETLVRPGLDHLGRRDHFGFPPEKPWGPRDFDEREHRVLPVFGGPKGLHEERGRFRAGNYRFDPRSGPWNRGFGQEVHRDFDDRRRPWERQRDRDDRDFDFCREINGNRLGRDRIQNTWVPPPHARVFDYFEGATSQRKGENVPQVNGGNTERHAPPPPLPVQKDPELYEKLASSGDADKEESGTAAGVESEAVVESTETEGT", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDFSRLHTYTPPQCVPENTGYTYALSSSYSSDALDFETEHKLEPVFDSPRMSRRSLRLVTTASYSSGDSQAIDSHISTSRATPAKGRETRTVKQRRSASKPAFSINHLSGKGLSSSTSHDSSCSLRSATVLRHPVLDESLIREQTKVDHFWGLDDDGDLKGGNKAATQGNGELAAEVASSNGYTCRDCRMLSARTDALTAHSAIHGTTSRVYSRDRTLKPRGVSFYLDRTLWLAKSTSSSFASFIVQLFQVVLMKLNFETYKLKGYESRAYESQSYETKSHESEAHLGHCGRMTAGELSRVDGESLCDDCKGKKHLEIHTATHSQLPQPHRVAGAMGRLCIYTGDLLVQALRRTRAAGWSVAEAVWSVLWLAVSAPGKAASGTFWWLGSGWYQFVTLISWLNVFLLTRCLRNICKVFVLLLPLLLLLGAGVSLWGQGNFFSLLPVLNWTAMQPTQRVDDSKGMHRPGPLPPSPPPKVDHKASQWPQESDMGQKVASLSAQCHNHDERLAELTVLLQKLQIRVDQVDDGREGLSLWVKNVVGQHLQEMGTIEPPDAKTDFMTFHHDHEVRLSNLEDVLRKLTEKSEAIQKELEETKLKAGSRDEEQPLLDRVQHLELELNLLKSQLSDWQHLKTSCEQAGARIQETVQLMFSEDQQGGSLEWLLEKLSSRFVSKDELQVLLHDLELKLLQNITHHITVTGQAPTSEAIVSAVNQAGISGITEAQAHIIVNNALKLYSQDKTGMVDFALESGGGSILSTRCSETYETKTALLSLFGVPLWYFSQSPRVVIQPDIYPGNCWAFKGSQGYLVVRLSMKIYPTTFTMEHIPKTLSPTGNISSAPKDFAVYGLETEYQEEGQPLGRFTYDQEGDSLQMFHTLERPDQAFQIVELRVLSNWGHPEYTCLYRFRVHGEPIQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MATAVGGGSDVEVGFAKLQGEDFEYYMQSYSIILGRNSKKATVDVDLSSLGGGMNISRNHARIFYDFTRRRFSLEVLGKNGCLVEGVLHLPGNPNVKLDSQDLLQIGDKEFYFLLPVRSILGGPLGPRHHVSGQTSVVPYHNYQSGPGSGSGKKGVRSRELYEYDDEDDDDDDDEEDDMRGSGKKTRRDGHEVVYASGEKKREGRSKVDREADDQQFLQLEEKDVVSSVATVLSDLCGPGEWMPMEKLHSVILKEYGNVWHHSRVRRYLSQEDWAIPEAKGKPWYGLLMLLRKYPEHFVINTRSKGRVTLEFVSLVTLLS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTSNSDGSSTSPVEKPITGDVETNEPTKPIRRLSTPSPEQDQEGDFDEEDDDDKFSVSTSTPTPTITKTKDSSDTSTVTRRKQPIRYIENKTRRHVTFSKRRHGIMKKAYELSVLTGANILLLILANSGLVYTFTTPKLEPVVREDEGKSLIRACINASDTPDATDTSPAQEQSPAN", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MATAVGSGSDVEVGFAKLQGEDFEYYMQSYSIILGRNSKKSTVDVDLSSLGGGMNISRNHARIFYDFTRRRFSLEVLGKNGCFVEGVLHLPGNPNVKLDSQDLLQIGDKEFYFLLPVWSILGGPLGPRHHVLGKATVVPYHNYHSGPGSGSGKNGVRSRELYEYDDEDDDEEEDIRGSGKKTWRDGHEGVYASGEKKREGRSKADREADDQQFLQLMHGSGWSVTDIKGVWKRMASQSSKKIPITRRLGYP", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRATPLAAPAGSLSRKKRLELDDNLDTERPVQKRARSGPQPRLPPCLLPLSPPTAPDRATAVATASRLGPYVLLEPEEGGRAYQALHCPTGTEYTCKVYPVQEALAVLEPYARLPPHKHVARPTEVLAGTQLLYAFFTRTHGDMHSLVRSRHRIPEPEAAVLFRQMATALAHCHQHGLVLRDLKLCRFVFADRERKKLVLENLEDSCVLTGPDDSLWDKHACPAYVGPEILSSRASYSGKAADVWSLGVALFTMLAGHYPFQDSEPVLLFGKIRRGAYALPAGLSAPARCLVRCLLRREPAERLTATGILLHPWLRQDPMPLAPTRSHLWEAAQVVPDGLGLDEAREEEGDREVVLYG", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEKASKNKESGVKKANNSFLQNFGVNTAGKENESTSLPRLPKSEDESIPKQSIKSKNKKKTQHFLSDAFESLKRQEIDTNEKEVIVSAPITSKPAQVFYSKKLERKDEGIRKWEKDPFAPISVKSGAWKKPYTKVPEVSINKATSKRTDLINDKPIVIPIPRASTSTLYFGKHNKPTSENRKGPIGIPTEEILTSQNTQAMLHKLFENNVLDNVKDDSMQRQSSFIPGMHIRLLDHQVQGLTWLKSRETVSKSSASGGILADDMGLGKTIQMIALILSHPLPKKKHSIKSTLVVAPLSLIKQWESEVQTKSKLTAIVYHGASRYKLLKVIHEYDVVITTYQILVSEWVSHNTTGTDGKSPTEAKSYEKKKPSLFAFYWWRIILDEAHTIKNKSSKSALACCALQGINRWCLTGTPLQNNVDELYSLVKFLHINPFNDQSVWKDQISLPLCQGEENLVFKRLRMLLSVIMLRRTKTLLEANAGKDGTGGALKLSKRLVYKVICKFEESERDFYSNLARNMERTMSNFVNSGKLGKNYTNILCLLLRLRQACNHPQSLNFQFEQDVDAFNALDGAANTNKLASDQDVDDLANLLETVEIGSRKKSFCTICMAELPPDFHEKKCKDCSRNFKELDKGIQDPNDKTLYKSSKIREILKILSLDEQEEDDTVRGLRKTIIFSQFTTFLDIIDLHLRKAGIGFVRYDGRMNNRAREKSLDLLRSDSGTQVLLCSLKCGALGLNLTCASRVILCDVWWNPAIEEQAIDRVHRIGQRRDVLVYKLVVENTIEEKIVELQNLKRDLAKQALGDGKKSVFTSKKLTLNDLLFLFNKRAAA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQNPYGHFTNNTTEDREASSQGGPFGQSLNRPLDYAGSFPSLTYNNNNFIANQQPSLPLPEPRLSWNNVNQVSNPLMVTPLPGLQKRMNKNIKKKLPRVSKKASALSNGVSGNVMSNSNIVGHGAVGSASGWKVEMGGSDELERRKRRAERFSQGPSATTNSNDNLNEDFANLNAISSKSHQYDKKIHVVGRCQTLEKSYLRLTSEPNPDLIRPPNILQKMYCLLMDKYQSKTATYTYLCDQFKSMRQDLRVQMIENSFTIKVYQTHARIALENGDLGEFNQCQNRIMALFENPTIPKKSYSEFICYSVLYSMLTEDYPSISHLKLKLIDDGSSEILEDEHVKMIFELSDMKLVGNYHYFMKNYLKLHKFEKCLINSFLNLEKLIFLTIICKSYNQVNLDFVKSEFNFNSIEETTNFLNEQNLTEFILNKQITDSNGKSSNIKILNTKGCRVQLIQNYMKSKKIDIKGQK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDSLEEPQKKVFKARKTMRVSDRQQLEAVYKVKEELLKTDVKLLNGNHENGDLDPTSPLENMDYIKDKEEVNGIEEICFDPEGSKAEWKETPCILSVNVKNKQDDDLNCEPLSPHNITPEPVSKLPAEPVSGDPAPGDLDAGDPASGVLASGDSTSGDPTSSEPSSSDAASGDATSGDAPSGDVSPGDATSGDATADDLSSGDPTSSDPIPGEPVPVEPISGDCAADDIASSEITSVDLASGAPASTDPASDDLASGDLSSSELASDDLATGELASDELTSESTFDRTFEPKSVPVCEPVPEIDNIEPSSNKDDDFLEKNGADEKLEQIQSKDSLDEKNKADNNIDANEETLETDDTTICSDRPPENEKKVEEDIITELALGEDAISSSMEIDQGEKNEDETSADLVETINENVIEDNKSENILENTDSMETDEIIPILEKLAPSEDELTCFSKTSLLPIDETNPDLEEKMESSFGSPSKQESSESLPKEAFLVLSDEEDISGEKDESEVISQNETCSPAEVESNEKDNKPEEEEQVIHEDDERPSEKNEFSRRKRSKSEDMDNVQSKRRRYMEEEYEAEFQVKITAKGDINQKLQKVIQWLLEEKLCALQCAVFDKTLAELKTRVEKIECNKRHKTVLTELQAKIARLTKRFEAAKEDLKKRHEHPPNPPVSPGKTVNDVNSNNNMSYRNAGTVRQMLESKRNVSESAPPSFQTPVNTVSSTNLVTPPAVVSSQPKLQTPVTSGSLTATSVLPAPNTATVVATTQVPSGNPQPTISLQPLPVILHVPVAVSSQPQLLQSHPGTLVTNQPSGNVEFISVQSPPTVSGLTKNPVSLPSLPNPTKPNNVPSVPSPSIQRNPTASAAPLGTTLAVQAVPTAHSIVQATRTSLPTVGPSGLYSPSTNRGPIQMKIPISAFSTSSAAEQNSNTTPRIENQTNKTIDASVSKKAADSTSQCGKATGSDSSGVIDLTMDDEESGASQDPKKLNHTPVSTMSSSQPVSRPLQPIQPAPPLQPSGVPTSGPSQTTIHLLPTAPTTVNVTHRPVTQVTTRLPVPRAPANHQVVYTTLPAPPAQAPLRGTVMQAPAVRQVNPQNSVTVRVPQTTTYVVNNGLTLGSTGPQLTVHHRPPQVHTEPPRPVHPAPLPEAPQPQRLPPEAASTSLPQKPHLKLARVQSQNGIVLSWSVLEVDRSCATVDSYHLYAYHEEPSATVPSQWKKIGEVKALPLPMACTLTQFVSGSKYYFAVRAKDIYGRFGPFCDPQSTDVISSTQSS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MATFPPATSAPQQPPGPEDEDSSLDESDLYSLAHSYLGGGGRKGRTKREAAANTNRPSPGGHERKLVTKLQNSERKKRGARR", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLETKDPAIKLFGMKIPFPTVLEVADEEEEKNQNKTLTDQSEKDKTLKKPTKILPCPRCNSMETKFCYYNNYNVNQPRHFCKACQRYWTSGGTMRSVPIGAGRRKNKNNSPTSHYHHVTISETNGPVLSFSLGDDQKVSSNRFGNQKLVARIENNDERSNNNTSNGLNCFPGVSWPYTWNPAFYPVYPYWSMPVLSSPVSSSPTSTLGKHSRDEDETVKQKQRNGSVLVPKTLRIDDPNEAAKSSIWTTLGIKNEVMFNGFGSKKEVKLSNKEETETSLVLCANPAALSRSINFHEQM", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MMADESFDLESLGSDEIFEGVNLDELEQQAQTQVQAQSSQVVVPSEKQKQNLNLPNSYTNSSQKVRESTVNSQASLSSNDLRTELLIKSGENAILRANLLKQSEANNAALESLNNSIKQKQDEYQRKLEELKKEIEYAKTKSLFHEREAQDAIETMKKMKRDVKNSPIMKKSHEEDGDNKLLSSSDQLAKSTKHAAKNSPSKKKRKTSVATAEDASTDSVSSSIAISDASLSLSLMKDLLSLQKREDLYFSSRTLAYVFGGCMHSLETIEGEEEGECLFNNLKALIYSPDLSMDSSNYVQSVVQTSSSILNYSMKKLLYNASFAITSLFNALLILDPKSSTFIFQENVVSLISGFLLKEYEKSNFLDSKFYVLIDFLYLYLSIARESADDFANITKAVDPSLFESCIRVQNAPSLIKCGVCLIISSTTPSFCASVNLLNADDKSQESLMQLFTTMAHILVVTTRERINFPELNEWITLHRFVISFFTVFIQMSGNIGKEILKVCNPLIVCIGLAITWYHQQLLSSMYPQNECVEILVSLVRLLYILSSEDLSSKFMLAENALQPRFVYAIACCAFGDTEQKAFGNLGEEMYFLTTELLEVCVSPEELEQLYTNF", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MERKRWECPALPQGWEREEVPRRSGLSAGHRDVFYYSPSGKKFRSKPQLARYLGGSMDLSTFDFRTGKMLMNKMNKSRQRVRYDSSNQVKGKPDLNTALPVRQTASIFKQPVTKITNHPSNKVKSDPQKAVDQPRQLFWEKKLSGLSAFDIAEELVRTMDLPKGLQGVGPGCTDETLLSAIASALHTSTLPITGQLSAAVEKNPGVWLNTAQPLCKAFMVTDDDIRKQEELVQQVRKRLEEALMADMLAHVEELARDGEAPLDKACAEEEEEEEEEEEEPEPERV", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVAGMLGLREEKSEDQDLQGLRDKPLKFKKAKKDKKEDKEGKHEPLQPSAHHSAEPAEAGKAETSESSGSAPAVPEASASPKQRRSIIRDRGPMYDDPTLPEGWTRKLKQRKSGRSAGKYDVYLINPQGKAFRSKVELIAYFEKVGDTSLDPNDFDFTVTGRGSPSRREQKPPKKPKSPKAPGTGRGRGRPKGSGTGRPKAAASEGVQVKRVLEKSPGKLVVKMPFQASPGGKGEGGGATTSAQVMVIKRPGRKRKAEADPQAIPKKRGRKPGSVVAAAAAEAKKKAVKESSIRSVHETVLPIKKRKTRETVSIEVKEVVKPLLVSTLGEKSGKGLKTCKSPGRKSKESSPKGRSSSASSPPKKEHHHHHHHSESTKAPMPLLPSPPPPEPESSEDPISPPEPQDLSSSICKEEKMPRGGSLESDGCPKEPAKTQPMVATTTTVAEKYKHRGEGERKDIVSSSMPRPNREEPVDSRTPVTERVS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MESPNLGDNRVRGESLVPDPPWDRCKEDIAVGLGGVGEDGKDLVISSERSSLLQEPTASTLSSTTATEGHKPVPCGWERVVKQRLSGKTAGKFDVYFISPQGLKFRSKRSLANYLLKNGETFLKPEDFNFTVLPKGSINPGYKHQSLAALTSLQPNETDVSKQNLKTRSKWKTDVLPLPSGTSESPESSGLSNSNSACLLLREHRDIQDVDSEKRRKSKRKVTVLKGTASQKTKQKCRKSLLESTQRNRKRASVVQKVGADRELVPQESQLNRTLCPADACARETVGLAGEEKSPSPGLDLCFIQVTSGTTNKFHSTEAAGEANREQTFLESEEIRSKGDRKGEAHLHTGVLQDGSEMPSCSQAKKHFTSETFQEDSIPRTQVEKRKTSLYFSSKYNKEALSPPRRKSFKKWTPPRSPFNLVQEILFHDPWKLLIATIFLNRTSGKMAIPVLWEFLEKYPSAEVARAADWRDVSELLKPLGLYDLRAKTIIKFSDEYLTKQWRYPIELHGIGKYGNDSYRIFCVNEWKQVHPEDHKLNKYHDWLWENHEKLSLS", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MADTPTSRMIHPFSNIPSQNLKQFQYSDNPQHPCHPYRAPSDTHVVPHHYGLKSHSPDAGYESQATPNKYTLDSSEGAGCMRHDSPSSQSFTTRSGSPLSQEDSHSDSTDGSPVGASCVTEDPNDLKQKLKDLEAVMLGPDSEIVNSLENSVANQLSLEPEKWVRMMGIPRGNLKELLIACARAVEEKNSFAIDMMIPELRKIVSVSGEPLERLGAYMVEGLVARLASSGISIYKALKCKEPKSSDLLSYMHFLYEACPYFKFGYMSANGAIAEAVKGEDRIHIIDFHISQGAQWISLLQALAARPGGPPTVRITGIDDSVSAYARGGGLELVGRRLSHIASLCKVPFEFHPLAISGSKVEAAHLGVIPGEALAVNFTLELHHIPDESVSTANHRDRLLRMVKSLSPKVLTLVEMESNTNTAPFPQRFAETLDYYTAIFESIDLTLPRDDRERINMEQHCLAREIVNLIACEGEERAERYEPFGKWKARLTMAGFRPSPLSSLVNATIRTLLQSYSDNYKLAERDGALYLGWKSRPLVVSSAWH", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGNNYRFKLSELIPNAWFYKLRDMSKSKKKNLQSQPNSTTSKKKHHAVPTPTSTTPLSPRPPRRPSHSSKAPPSHPPRKSSGNRLRHRATVDSKSSTTSGDSTTTETGSFSPDFRSDQVLLPDESLTGSWHSPCSSKLSKTATFTPPPELELRPIITKTAATARKTAVNSPAGVRLRMRSPRISVSSSARRSGSSARRSRAVVKASVDPKRDFKESMEEMIAENKIRATKDLEELLACYLCLNSDEYHAIIINVFKQIWLDLNLPPPHSK", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRIVILDELLSREMDGSNDGSSARVNSLKHVIKRNKMDMADDAPSSLDLMRRIFQAEISREIHQIMERHTRTTLLPAIENLRKNGHVVDESVLNGLYCNILEAAKKPYQKDPEPMPPICTNGNGFLDINSQEHENNLKRGYESDSSDVSGVSHCSDAKRRRGRPRKDEEAYRLEMTPPTMNEVIRWNPDRIDVNTRFITATKIAQVMGMPPSILFNKYPRMFRYSCDEDDKNILHEQNLLIRAPGRCYLLVAEDARQLVPSTYFQDVLNVSFLISEPLLSKIRQKAASTYEKYKVFLPTQPNNYL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAQKKYLQAKLTQFLREDRIQLWKPPYTDENKKVGLALKDLAKQYSDRLECCENEVEKVIEEIRCKAIERGTGNDNYRTTGIATIEVFLPPRLKKDRKNLLETRLHITGRELRSKIAETFGLQENYIKIVINKKQLQLGKTLEEQGVAHNVKAMVLELKQSEEDARKNFQLEEEEQNEAKLKEKQIQRTKRGLEILAKRAAETVVDPEMTPYLDIANQTGRSIRIPPSERKALMLAMGYHEKGRAFLKRKEYGIALPCLLDADKYFCECCRELLDTVDNYAVLQLDIVWCYFRLEQLECLDDAEKKLNLAQKCFKNCYGENHQRLVHIKGNCGKEKVLFLRLYLLQGIRNYHSGNDVEAYEYLNKARQLFKELYIDPSKVDNLLQLGFTAQEARLGLRACDGNVDHAATHITNRREELAQIRKEEKEKKRRRLENIRFLKGMGYSTHAAQQVLHAASGNLDEALKILLSNPQMWWLNDSNPETDNRQESPSQENIDRLVYMGFDALVAEAALRVFRGNVQLAAQTLAHNGGSLPPELPLSPEDSLSPPATSPSDSAGTSSASTDEDMETEAVNEILEDIPEHEEDYLDSTLEDEEIIIAEYLSYVENRKSATKKN", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MIALKATEMQHNNNALQQQQQLQHQLLQQHQQQHQQQLQQQLNSPDNNYIWATTHNANISRNNAMLQLQQQQLRAPWITDCNKQHHINNNNSMNVNYNQQLTQQPQQQQQQTQYMQHNYNNYTQQQQQQHLVPATTSQSNSHFYQCNQQQQQQQFLAPTTTTAAVVVAAAHQQHQTQQQHQSQQQQQHQRQDYASLQMGRQLGNFETGQSVLTLTTPTLTPTTTRNIEDTLGHLLSDTQTDRVAGCAGFAVPKVLPNAIDVLGMGIPTGVSSLPLQQTFDLSLGQGSESEDSNASYNDTQMNEEQDTTDTSSAHTDSTSYQAGHIMAGSVNGGGVNNFSNVLAAVSSSRGSASVGSSNANTSNTPARRGGGRRPNRSTNMTPEEEQKRAVRRERNKQAAARCRKRRVDQTNELTEEVEQLEKRGESMRKEIEVLTNSKNQLEYLLATHRATCQKIRSDMLSVVTCNGLIAPAGLLSAGSSGSGASSHHNHNSNDSSNGTITGMDATLNSTGRSNSPLDLKPAANIDSLLMHIKDEPLDGAIDSGSSLDQDGPPPSKRITLPPMSTMPHVHLSTILTPTGASSGSLQTPITSTAPGGFGSAFPVTSNGSSINNINSIGNNMNSPTLNAHNKVPKERPNTLAFQRPLGQMHLTMANNKAGGPTQIQGVPIQTPSTGTFNFDSLMDGGTGLTPVSGPLVPNSSSTNKHPLELPTPTAEPSKLVSL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDKSQKNPTSQIGTSTPKSKFEDSPVFNYISNLSPIESVKSISTAQTFSSLSFTSPPPVFTSPHVISHRESRFFRCHNSVDRSKHLESLDGSAVKGEVVVPLVEDLNKEASLEDEEETSVETSSELPQIMKFDSQTSEHSDSPCTEDVVIEASSDPPRGDNGSSSEDVTMGLQNMLVVREGNDTPGCGRLISDATELLVFRSPNDSEAFRCLVDKISSSERRFCAGVKSTKRPDINKDIPANGSSNENQPLAVLPTNESVFNLHRGGMRRRCLDFEMPGKRKKDIVDDQQSVCDNNVAGESSSSCVVPGIGLHLNAVAMSAKDSNISVIHGYSISGEIQKSFSGSTTPIQSQDTVQETSDQAENEPVEEVPKALVFPELNLGSLKKKMRKSEQAGEGESCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCIDCFNKPIHEETVLATRKQIESRNPLAFAPKVIRNADSIMEASDDASKTPASARHKRGCNCKKSNCMKKYCECYQGGVGCSMNCRCEGCTNVFGRKDGSLLVIMESKLEENQETYEKRIAKIQHNVEVSKEVEQNPSSDQPSTPLPPYRHLVVHQPFLSKNRLPPTQFFLGTGSSSFRKPNSDLAQSQNEKKPLETVTEDKTEIMPEILLNSPIANIKAISPNSKRVSPPQPGSSESGSILRRRGNGRKLILRSIPAFPSLNPNQ", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTEIGLSVDLATISKLLESAKSTLQEKQASDDDKHGQQKLLTKIPKIISSSFELPSYFEKKFVMGLKKDELVENSESYINDASFEPTVPIYESHVSAPGISKKKKNIKDTAGSNWFDMPATELTESVKRDIQLLKMRNALDPKRHYRRENTKSMPKYFQVGSIVEGPQDFYSSRIPTRERKETIVDELLHDSERRSYFKKKYLELQKSKMSGRKGQYKKLQQRRKPSYLK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFCLNTFKSRWPREFQNLKKKKKKTCVKLSIPIRSVTGDAASLTVVVTMYKRDDYVRNKPGGVFSRWQGFARSMLLPKPFSETAELRRTVADYSLISRGLAPKILREAKGNREDLRVGKDFVGSRYRVQESIQGLGVAVNIHDADDISHGQTESIRTRLRSYGRPVPLLKKLGDNASQTITQKKTGGRSKDKKHGFEEERDVSRVEAEENNTNSVHASVLRLSRSRPQPVLERHDDIVDGSDSASVCGVLQEDGTTCLTAPVTGRKRCTEHKGQRITCAPPVKNPPCEEETEEICGVILPEMVRCRSKPVSGRKRCEDHKGMRVNAFFFLLNPTERDKILKEDKSKPKTRTSSTNQEEPGESLICEATTKNGLPCTRSAPNGSKRCWQHKDETVDQKSSENVQTSTTVCGVKLHNGSVCEKTPVKGRKRCQEHKGMRITS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASVSALTEELDSITSELHAVEIQIQELTERQQELIQKKKVLTKKIKQCLEDSDAGASNEYDSSPAAWNKEDFPWSGKVKDILQNVFKLEKFRPLQLETINVTMAGKEVFLVMPTGGGKSLCYQLPALCSDGFTLVICPLISLMEDQLMVLKQLGISATMLNASSSKEHVKWVHAEMVNKNSELKLIYVTPEKIAKSKMFMSRLEKAYEARRFTRIAVDEVHCCSQWGHDFRPDYKALGILKRQFPNASLIGLTATATNHVLTDAQKILCIEKCFTFTASFNRPNLYYEVRQKPSNTEDFIEDIVKLINGRYKGQSGIIYCFSQKDSEQVTVSLQNLGIHAGAYHANLEPEDKTTVHRKWSANEIQVVVATVAFGMGIDKPDVRFVIHHSMSKSMENYYQESGRAGRDDMKADCILYYGFGDIFRISSMVVMENVGQQKLYEMVSYCQNISKCRRVLMAQHFDEVWNSEACNKMCDNCCKDSAFERKNITEYCRDLIKILKQAEELNEKLTPLKLIDSWMGKGAAKLRVAGVVAPTLPREDLEKIIAHFLIQQYLKEDYSFTAYATISYLKIGPKANLLNNEAHAITMQVTKSTQNSFRAESSQTCHSEQGDKKMEEKNSGNFQKKAANMLQQSGSKNTGAKKRKIDDA", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEEEDPTASNVITNSNSSSMRNLSPAMNTPVVSLESRINRLINANQSQSPSPSSLSRSIYSDRFIPSRSGSNFALFDLSPSPSKDGKEDGAGSYATLLRAAMFGPETPEKRDITGFSSSRNIFRFKTETHRSLNSFSPFGVDDDSPGVSHSGPVKAPRKVPRSPYKVLDAPALQDDFYLNLVDWSAQNVLAVGLGNCVYLWNACSSKVTKLCDLGAEDSVCSVGWALRGTHLAVGTSTGKVQIWDASRCKRTRTMEGHRLRVGALAWGSSVLSSGSRDKSILQRDIRCQEDHVSKLAGHKSEVCGLKWSYDNRELASGGNDNRLFVWNQHSTQPVLKYSEHTAAVKAIAWSPHVHGLLASGGGTADRCIRFWNTTTNTHLSSIDTCSQVCNLAWSKNVNELVSTHGYSQNQIIVWKYPTMSKIATLTGHTYRVLYLAVSPDGQTIVTGAGDETLRFWNVFPSPKSQNTDSEIGSSFFGRTTIR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSKLPSPTMPLPESVGDLVVLHFETNLDDHGISIGRAPCEIHEICWVILDGKTLEKQHCESCSIREDSSRHGICGSASSLTEAIFTLDNSIQERLNFQGKPFTFVVMNGRELRVLLPKEARDQGITLPSYMRHPRLFDLSSEYAKWQIRMGAVPPYTITLSHIFGKLDVDSLPPITESKAIELSPSDAPYITKGLTQCWRLANATTLLLRKAEKDSRGHSLPSVLTQPINCQADARSFYAERSKIVHVAGLTNDVTQLELESWFTNHGVHPVALWTLKTPEPYKSTGTGFVLFASHEDAADALAFNGYCLGDRMLEIIPSSTKVLDKASDILIPFPSSKNRPRPGDWNCPMCGFSNFQRRTSCFRCSFPGPTHVSAATGSNTFSPDFPYGNSYGNGSSHFIANYGGSVHHSNENTMQSDLQHQNGNNAVNHHHSSRSFGGNVPFRAGDWKCGSEGCGYHNFAKNVCCLRCGASRATAAVVADHASGPVNGSYSHNSYSHIPPVMSTSPPNHSVYPYSQLSINSVTANHGQNFGGQNGGNVSRFDDHGRFKEVSRPSVTTDQGDWLCECGFTNFRRRSNCLRCNAPHYSNMQIPASLPSDFNAYV", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MADQVPVTTQLPPIKPEHEVPLDAGGSPVGNMGTNSNNNNELGDVFDRIKTHFPPAKVKKIMQTDEDIGKVSQATPVIAGRSLEFFIALLVKKSGEMARGQGTKRITAEILKKTILNDEKFDFLREGLCVEEGQTQPEEESA", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAASGYTDLREKLKSMTSRDNYKAGSREAAAAAAAAVAAAAAAAAAAEPYPVSGAKRKYQEDSDPERSDYEEQQLQKEEEARKVKSGIRQMRLFSQDECAKIEARIDEVVSRAEKGLYNEHTVDRAPLRNKYFFGEGYTYGAQLQKRGPGQERLYPPGDVDEIPEWVHQLVIQKLVEHRVIPEGFVNSAVINDYQPGGCIVSHVDPIHIFERPIVSVSFFSDSALCFGCKFQFKPIRVSEPVLSLPVRRGSVTVLSGYAADEITHCIRPQDIKERRAVIILRKTRLDAPRLETKSLSSSVLPPSYASDRLSGNNRDPALKPKRSHRKADPDAAHRPRILEMDKEENRRSVLLPTHRRRGSFSSENYWRKSYESSEDCSEAAGSPARKVKMRRH", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSDEDSMLLNFTTNEDTAGSSYKQAAKVTGGRWKDRRRMKMKLEGKTVSRKRKANTTGDEGIIPGRGENSIKKLHKESSYSSEEQEKYKGRNAHNTQGRTLPADSQFVSSLFTSNREITTAVNTNIHDENVAINPSNAPLKGDQFASLGVSSLLVSHLEQKMRIKKPTSIQKQAIPQIIGNAGKNDFFIHAQTGSGKTLSYLLPIISTILNMDTHVDRTSGAFALVIAPTRELASQIYHVCSTLVSCCHYLVPCLLIGGERKKSEKARLRKGCNFIIGTPGRVLDHLQNTKVIKEQLSQSLRYIVLDEGDKLMELGFDETISEIIKIVHDIPINSEKFPKLPHKLVHMLCSATLTDGVNRLRNVALKDYKLISNGTKKDSDIVTVAPDQLLQRITIVPPKLRLVTLAATLNNITKDFIASGQQSKTLRTIVFVSCSDSVEFHYDAFSGSDGHHKNLTGDSVRLLTKGNTMFPCFSDSRDPDVVIYKLHGSLSQQMRTSTLQHFARDNEATKGKHLIMFCTDVASRGLDLPHVGSVIELDPPFAVEDHLHRVGRTARAGEKGESLLFLLPGEEEKYMDYIQPYHPMGWELLKFDKEILMPAFKDVNVNRNDKFIRKDEKSSKNKDVGDKEYEWDTNATTWHLNIERRVVGDSAFKNLAVKGFISHVRAYATHISQEKKFFNVKFLHLGHLAKSFGLRERPKAMGLQSSKDGNSEKKPTKENSKNKMFRMARMAEKQIASEFNY", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSIDNKLFVTEEDEEDRTQDRADVEDESNDIDMIADENGTNSAIANEQEEKSEEVKAEDDTGEEEEDDPVIEEFPLKISGEEESLHVFQYANRPRLVGRKPAEHPFISAARYKPKSHLWEIDIPLDEQAFYNKDKAESEWNGVNVQTLKGVGVENNGQYAAFVKDMQVYLVPIERVAQLKPFFKYIDDANVTRKQEDARRNPNPSSQRAQVVTMSVKSVNDPSQNRLTGSLLAHKVADEEANIELTWAEGTFEQFKDTIVKEAEDKTLVALEKQEDYIDNLV", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTTETFVKDIKPGLKNLNLIFIVLETGRVTKTKDGHEVRTCKVADKTGSINISVWDDVGNLIQPGDIIRLTKGYASVFKGCLTLYTGRGGDLQKIGEFCMVYSEVPNFSEPNPEYSTQQAPNKAVQNDSNPSASQPTTGPSAASPASENQNGNGLSAPPGPGGGPHPPHTPSHPPSTRITRSQPNHTPAGPPGPSSNPVSNGKETRRSSKR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATALVSAHSLAPLNLKKEGLRVVREDHYSTWEQGFKLQGNSKGLGQEPLCKQFRQLRYEETTGPREALSRLRELCQQWLQPETHTKEQILELLVLEQFLIILPKELQARVQEHHPESREDVVVVLEDLQLDLGETGQQDPDQPKKQKILVEEMAPLKGVQEQQVRHECEVTKPEKEKGEETRIENGKLIVVTDSCGRVESSGKISEPMEAHNEGSNLERHQAKPKEKIEYKCSEREQRFIQHLDLIEHASTHTGKKLCESDVCQSSSLTGHKKVLSREKGHQCHECGKAFQRSSHLVRHQKIHLGEKPYQCNECGKVFSQNAGLLEHLRIHTGEKPYLCIHCGKNFRRSSHLNRHQRIHSQEEPCECKECGKTFSQALLLTHHQRIHSHSKSHQCNECGKAFSLTSDLIRHHRIHTGEKPFKCNICQKAFRLNSHLAQHVRIHNEEKPYQCSECGEAFRQRSGLFQHQRYHHKDKLA", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAFRDIEEVSQGLLSLLGANRAEAQQRRLLGRHEQVVERLLETQDGAEKQLREILTMEKEVAQSLLNAKEQVHQGGVELQQLEAGLQEAGEEDTRLKASLLYLTRELEELKEIEADLERQEKEVDEDTTVTIPSAVYVAQLYHQVSKIEWDYECEPGMVKGIHHGPSVAQPIHLDSTQLSRKFISDYLWSLVDTEW", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLKQAESMLKWAIEKDNYTTSEKIGLNDYRHVHESLGIGFIALEDIKEDEKLVSFKKDSVLCLTNSDLAQLPEVQSLPSWAALLLVMATENASPNSFWRPYLSIFPTKERITSPFYWDENKKDALLRGTVLESNEDCNEITQLWIDRIEPIIKLYPNRFSQVSYEDFLRMSAVMLAYSFDIEKTKSPISNENEKSAAETSIKEDKNGDAAKKNEGSANQDDEKLHSQSLVGNNCEVNSEDEFSDLESEVDPDELEKAMCPISDMFNGDDELCNIRLYDINGTLTMIATRDIKKGEQLWNTYGELDNSELFRKYGFTKKKGTPHDFVLIKKEHWLPEYIEKLGFEEVEARLELLCREELLYNLEGDFTFSKADLTFKEICLAFVLMEKEKELISVPSKSDIKPKHYRKLLKIIEKRINMYPKISDPKNFDEENAKTLIEGEIDILQNLSAKVKEALTKNRPKKKQKVDH", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLDLGNMSMSASVALTCCPSFLPAASGPELAKSINSPENLAGECNGKHLPMIPPEEEVKDIKIANGVTAFTRKQNPSDRVKKGFVLDDHVKDWVKRRVASGVSESTCFLPFLVGAKKMVDCLVCHKPVYPGEDLSCSVRGCQGAYHSLCAKESLGFSKSSKFKCPQHECFVCKQRTQWRCVKCPMAAHDKHSPWSKEILHLKDQPGRAVCWRHPTDWRLDTKHAVAQSEIEEVFCQLPLPYVEEEFKIDLAWKDSVVKEDPPSYVHIRRNIYLVKKKRDNANDGVGCTNCGPNCDRSCVCRVQCISCSKGCSCPESCGNRPFRKEKKIKIVKTEHCGWGVEAAESINKEDFIVEYIGEVISDAQCEQRLWDMKHKGMKDFYMCEIQKDFTIDATFKGNASRFLNHSCNPNCVLEKWQVEGETRVGVFAARQIEAGEPLTYDYRFVQFGPEVKCNCGSENCQGYLGTKRKEPNCLVVSWGAKRRRLFHRPIARKPQQD", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEPLDAGKNWVHMDVIEQSKENIEPRKAGHSASALAKSSSRNHTEKEVAGLQKERMGHERKIETSESLDDPLQVWIDYIKWTLDNFPQGETKTSGLVTLLERCTREFVRNPLYKDDVRYLRIWMQYVNYIDEPVELFSFLAHHHIGQESSIFYEEYANYFESRGLFQKADEVYQKGKRMKAKPFLRFQQKYQQFTHRWLEFAPQSFSSNTNSVNPLQTTFESTNIQEISQSRTKISKPKFKFSVYSDADGSGKDGQPGTWQTLGTVDQRRKENNISATSWVGEKLPLKSPRKLDPLGKFQVHCDEEVSKE", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTHTRRKSLPMLSSGLTGRREPLQMEDSNMEQGVEGVEPGMPESPGHLTGRRKNYPLRKRPLVPEKPKACKVLLTRLENVAGPRSADEADELPPDLPKPPSPAPSSEDPGLAQPRKRRLASLNAEALNNLLLEREDTSSLAGTRRSRAGDPHRSRDRDRATGGWSSSKKRPRLGDLGGGSRDLSPEPAPDEGPRRDGDPAPKRLASLNAAAFLKLSQERELPLRLPRAHAEVDGRSTEPPAPKAPRPKWPKVNGKNYPKAWQGASSGEAAGPPGWQGCPDEPWPSATPCGPSVQPSHQPLSKALESPLGLRPHLPLLMGGQAALKPEPGRPGEESPAPKQELHQPSFPTPQLSPLPMPGNPADYNGLCVGPELTALGSFYLYCGQEGLQCGGYSPCPMLPEGKLSPVAAPHEEGLLLAPSSVPSGTPFQHPPWGSSRYCSSEDTGVNGYSICGVLPLSVTHAGTTCGGCPYKMPFAAEGCRSLGQLEFPLPEAGHPASPAHPLLGCPVPSVPPAAEPVPHLQTPTSEPQTVARACPQSAKPPSGSKSGLRTGSSCRHTARSKAARRPSHPKQPRVQRPRPRRRRRRRTNGWVPVGAACEKAVYVLDEPEPAIRKSYQAVERHGETIRVRDTVLLKSGPRKTSTPYVAKISALWENPESGELMMSLLWYYRPEHLQGGRSPSMHEPLQNEVFASRHQDQNSVACIEEKCYVLTFAEYCRFCAMAKRRGEGLPSRKTALVPPSADYSTPPHRTVPEDTDPELVFLCRHVYDFRHGRILKNPQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRHSKRTHCPDWDSRESWGHESYSGSHKRKRRSHSSTQENRHCKPHHQFKDSDCHYLEARCLNERDYRDRRYIDEYRNDYCEGYVPRHYHRDVESTYRIHCSKSSVRSRRSSPKRKRNRPCASHQSHSKSHRRKRSRSIEDDEEGHLICQSGDVLRARYEIVDTLGEGAFGKVVECIDHGMDGLHVAVKIVKNVGRYREAARSEIQVLEHLNSTDPNSVFRCVQMLEWFDHHGHVCIVFELLGLSTYDFIKENSFLPFQIDHIRQMAYQICQSINFLHHNKLTHTDLKPENILFVKSDYVVKYNSKMKRDERTLKNTDIKVVDFGSATYDDEHHSTLVSTRHYRAPEVILALGWSQPCDVWSIGCILIEYYLGFTVFQTHDSKEHLAMMERILGPIPAHMIQKTRKRKYFHHNQLDWDEHSSAGRYVRRRCKPLKEFMLCHDEEHEKLFDLVRRMLEYDPARRITLDEALQHPFFDLLKRK", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAPQPLALQLEQLLNPRPREADPEADPEEATRARVIDRFDEGEEEKDDLAVSSIRKLAPVSLLDTDKRYSGKTTSRKAWKEDHWEQALPSSSDNEASDEGGSEDGDSEGLGLEEISEDVDEDLEDNKISDEGGSEDGDSEGLGLEEFSEDVEEDLEGEDEEDREEDRNSEDDGVVAAFSSVKVSEEVEKGRAVKNQIALWDQLLEGRIKLQKALLTTNQLPQPDVFPVFKDKGGPEFASALKNSHKALKALLRSLVDLQEELLFQYPDTRHIVNGAKPNTESEEISSEDDELVGEKKKQRKAPPKRKLEMEDYPSFMAKRFADFTIYRNHTLQKWHDKTKLASGKLGKGFGAFERSILTQIDHIMMDKERLLRRTQTKRSAYRVLGKPEPVPEPVAETLPGEPETLPQGPANAHLRDLDEEIFDDDDFYHQLLRELIERKTSSLDPNDQVAMGRQWLAIQKLRSKIRKKVDRKASKGRKLRFHVLSKLLSFMAPIDHTAMSDDARTELFRSLFGQLNPPDADRGK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSADLQQGTTNAADFSLTVLRARIALLATAIGGPDYTSQIDPPPYKLGDDCLACLKDLKRWFKLVDDQQKRWDVAMAVAEYRILTDDLLPILIDWENKCSLAAKLAKNNPDHEEFRNKAYYDKIALNCLQLLVLMTWPLIVTEQSSSNQITLYGELKKHQLVYKKTILSMESGKVLRAAIRLALDVIKIDRLSRTPRDNMVLKLVLNFFRNVIAIEPGEFTINTKKSMPKKGITSIDTLPPNVSMDDISLNTVISSFHKNKVFGFLLTLTSSLSKEFDQDFINIPLLEIMFYFTKDVNQELLFPRQFETGTHSKVVNKNESSSANNIVTSAGFELSKLLQKEHQMRKNVIKHTSARHSRFGGLLSIQTPDKTRLTVSGSQALVDEKIALQKLDDSKKWNKRIIKKHQSVAAEGLPNSLLNSQTGKAIFFTESNGKHFKEFINNFIDSGFNILLHSVTNYFTTEQDRMVTLEQVEYLLFFAWFVKYQLLRSKIDNSADIKQVSEALKEVTFILVSSLLRSAYDLKNWTVTHAGMIAFNELLNLVSRTKAAQEEDSTDIEFIVSRLFSDERIQLLSNLPKIGSKYSLQFMKSCIELTHSVLKVLEQYSDDKTLVIEGKSRRQKKFNISEGDITKLIEEENVDRDEALDILTSSLRSIEVNFQKVQANYMTEPVIETYINFLERFRELEDDSIKKVFSFFHRVFVQAKEQALLFRFDLIILLREMLSPDGLDRMSRSRKYVSQFSDYFLARLKKRLKKSPAWFVGLLFPPLHNSEVGFYQRYGEYNVLNNESMYAAPASQFKPIPDEEALPPSILLDMKYGVLVSTLLDDGKTELLDQLLKHITHTLDIFKSWLTVNVNAGKETVNPPNEYFTLTGVLNNDPIFKDKDYRALLLLIGYSIPRKINEPCFLPGTVEVSDLTVSCELVKKYLSTPFETPNGLPSSSYLLRVRSEKDSFSHNEQDGWEGDDDYDYNDPYIVPDDQILSKSDAAYFKDLDNNASDKLKGTKFSKGIARSKKKDKRKRRKGEAKTNLPMFGDQDDERPQTVRERHGVFSKEFISDSEDDEDLMNPIFFENETYMRWLLDKNNGQLTEDRYIQFAKFAAERMNNGGVVTGDYTSLFGGSIPSIESIRATESSSFAPDKSLISLASHVASEMSIFDVNNNNNNQLSDDDVNSESRNSLGSSQPSNSQNMFQSEVYSRKESTKRSLEASAADESDEDEEAIRLFGKKSRVVLSQGDSDD", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASNPLDNNMPTTPVEEKIPVASYSPSSSGSSSGASLLVDIMCGSKETEDEEVDSDEWDKPETENISDLDERSEMVRYLRASGYAKFLEKYLIEEELPVRSILKKLGINLPSALEEFEDIDLLPLLKEVLKREVARRIKLPHFNTFEDVVNLLKKAKNVVVLVGAGISTSLGILDFRSDNGFYARLARHGLSEPSEMFDIHTFRENPEIFYTFARDLLPETNHYSPSHAFIRLLEKKNKLSTLFTQNIDNLEKKTGLSDNKIIQCHGSFATATCIKCKHKVDGSELYEDIRNQRVSYCNECGKPPLKLRRVGQNKKEKHYFSDGDSESSEDDLAQPGIMKPDITFFGEALPDSFFNKVGSGELEETDLLICIGTSLKVAPVSELISVIPPTTPQIYISRTPVRHTQFDVNFLSPYCDWVIVEICKRAGWLNELQALCDLPECHSGSKTRAFETDLDIKFEEPSTYHITSTTNGSC", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNNQGFVPASDYPTAVSYPTQGQSYNTQEEQPAYPQRFSTSQGMYAAEYGNANMMNTSENEPNNLAHSQPFRQSPSTQRNLPNQSFDFASNGAWNGSGSVKYSSPMMPSSRIPFQQEKEAAMQQQQQQQQQQQLYQRQMQSREALLSQQIPPNQIGINAHPAVRQTPQPAPSPNTPSGNANQLTPAYAASFDKFMVSLISFMEKRGTPIKSYPQINNTPINLMMLYALVMRAGGSRQVSAHNFWPKISASLGFPSPDAISLLIQYYNSYLLPYEEAWLAAQQQQKSLQQAKANHSANVQSRPKNYPQKPVQTTPEAVHANGSMHGSLHSKSPSPAFTANRFSPAAPTTVSSERNAPPYPSAPTRPTPPTVQTSSSAAPVDSAEPVAYQPIKKPIDPMLGYPLNVAATYRLDESLLRLQMPSIVDLGTVNIQALCMSLQSTLEKEITYAMNVLLILTNDQKWMFPLSECQDVVDALIDVATQCLDNLLSVLPNEDLMEIADKRPSYRQLLYNCCVEISQFSREDFSNSLSENKTKDSINAIDVHNSEQNLLAVFVIFRNLSHFEANQNVLVQNPDFFPLLIRVVKSLNFHATSLLRSSRNTLDLHKDVLIVLCQLSQNFILPNVDVARHVLLFILSFSPFNRKKSKTILNDTLPTSIPSYTPATHPYAGPAINAYAKLLAKDANNKTNFQAIFDNNPKFLDSLFLLLASVVPKFNRHCLKICERRLPLLQQSFFCLAATVSYVKQSEQAANWCNIGEGFFVSMLRLLILLSGHPSLNPPSRVASQYPTTNPFRYVIQSGISTVRRLLSLVEAGNISLSSFPKSETLLAVLLAPTTETSFLKEISNLLDRTGDSDASLENTDDKSGI", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAASGLDHLKNGYRRRFCRPSRARDINTEQGQNVLEILQDCFEEKSLANDFSTNSTKSVPNSTRKIKDTCIQSPSKECQKSHPKSVPVSSKKKEASLQFVVEPSEATNRSVQAHEVHQKILATDVSSKNTPDSKKISSRNINDHHSEADEEFYLSVGSPSVLLDAKTSVSQNVIPSSAQKRETYTFENSVNMLPSSTEVSVKTKKRLNFDDKVMLKKIEIDNKVSDEEDKTSEGQERKPSGSSQNRIRDSEYEIQRQAKKSFSTLFLETVKRKSESSPIVRHAATAPPHSCPPDDTKLIEDEFIIDESDQSFASRSWITIPRKAGSLKQRTISPAESTALLQGRKSREKHHNILPKTLANDKHSHKPHPVETSQPSDKTVLDTSYALIGETVNNYRSTKYEMYSKNAEKPSRSKRTIKQKQRRKFMAKPAEEQLDVGQSKDENIHTSHITQDEFQRNSDRNMEEHEEMGNDCVSKKQMPPVGSKKSSTRKDKEESKKKRFSSESKNKLVPEEVTSTVTKSRRISRRPSDWWVVKSEESPVYSNSSVRNELPMHHNSSRKSTKKTNQSSKNIRKKTIPLKRQKTATKGNQRVQKFLNAEGSGGIVGHDEISRCSLSEPLESDEADLAKKKNLDCSRSTRSSKNEDNIMTAQNVPLKPQTSGYTCNIPTESNLDSGEHKTSVLEESGPSRLNNNYLMSGKNDVDDEEVHGSSDDSKQSKVIPKNRIHHKLVLPSNTPNVRRTKRTRLKPLEYWRGERIDYQGRPSGGFVISGVLSPDTISSKRKAKENIGKVNKKSNKKRICLDNDERKTNLMVNLGIPLGDPLQPTRVKDPETREIILMDLVRPQDTYQFFVKHGELKVYKTLDTPFFSTGKLILGPQEEKGKQHVGQDILVFYVNFGDLLCTLHETPYILSTGDSFYVPSGNYYNIKNLRNEESVLLFTQIKR", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTAVRRSTRIRTKSQVIEEDYDDEQNTSAQHVESDKITAKTQHEEEEEQDTGESEESSSEDDYEDQDDDDYVDTATAKRKSRKRKPKSASNTSSKRQKKKPTSAQKSAVSHAPAYHRSKKDQDQYLEIAKDFQPTELFDILSTSEDVSIEELLREWLETYSENRDKFLQEFINLLLNCCGSVARVEDHDVHSNESSNETIGEIQLLFQRQKLHEFYLLISKENKKRKNFKMGPLYQNFAEFMTKLLEVANDLQLLYVESDEDDTQIVTGNLVLDLLTWLSSFSVCKIRCFRYISTLTLYLFQDYLTQQAVNLEKNYLAKLSKQLSLEEKKKRPNNKTLEKLESTIAETQGSKVVIDSIIDNIVKLCFVHRYKDVSDLIRSESMLHLSIWIKNYPEYFLKVTFLKYFGWLLSDNSVSVRLQVTKILPHLIIQNHNSKSTDNSAIRQVFERFKTKILEVAIRDVNLDVRIHSIQVLTEASSLGYLDDSEILIISSLMFDEEFDPFKTSSFNKRSKFLSTVAKFLARVIKEKFDEFIKTHEDLPKEVDGLEVGPVVQVGIFIKILNDSLIYHLKDCAEVDSRTKIRMLTQAAEFLSPYISTHLKTICNLLISDTESNELIQKLQNSANNNSDDEDVDDEELDITPLFPIDRNSTILYLNVFHGLCAGANNPKIQTKDSVKEIVLPLFYDLLNAASIESADILCPLLESFITFSLDDWISIGYETELKKITDKTIKAFMDSTIGNSKVDMKYDIFAKFIHHIHHFEKKELQEKFLNQIATLKIHLKKFLQEKMDPNNSRDDYKDLTCSLYELYINKLTILGRDYPIEVDEELLQLFLNNFVSRIPIMFQDFDDSTAQEINFKMLVLLATWNLEKWREIIEKVRDYENSISKDLRSVWKPIAAIIGRLNTLVISLAATNETFENINSLFYLKWSACTSLMDIIVAIKIFELKLPADATTWRYSMSEQFPFYLHDNASKVLLKIFLYLESLFAKQVDVQLERVADEDANLNDLPETGFFENIETEFLLFTVKLKGLMKLNILDERFASRVALNKEKLGPLFKKIVDDTIMENPEPNKKNIQKAKSNQTQREKAPLQPNSERETDHANTENNDPDIPMTIDLEPIEESSQNNSELAPIEEHPTVVDAIDNSDEITQD", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVVLKGGAWKNTEDEILKAAVSKYGKNQWARISSLLVRKTPKQCKARWYEWIDPSIKKTEWSREEDEKLLHLAKLLPTQWRTIAPIVGRTATQCLERYQKLLDDLEAKENEQLGLISGEGAEAAAPVNDPNSRLRFGEAEPNLETLPALPDAIDMDEDEKEMLSEARARLANTQGKKAKRKDREKQLELTRRLSHLQKRRELKAAGINIKLFRRKKNEMDYNASIPFEKKPAIGFYDTSEEDRQNFREKREADQKIIENGIRNNEMESEGRKFGHFEKPKPIDRVKKPNKDAQEEKMRRLAEAEQMSKRRKLNLPSPTVSQDELDKVVKLGFAGDRARAMTDTTPDANYSTNLLGKYTQIERATPLRTPISGELEGREDSVTIEVRNQLMRNREQSSLLGQESIPLQPGGTGYTGVTPSHAANGSALAAPQATPFRTPRDTFSINAAAERAGRLASERENKIRLKALRELLAKLPKPKNDYELMEPRFADETDVEATVGVLEEDATDRERRIQERIAEKERLAKARRSQVIQRDLIRPSVTQPEKWKRSLENEDPTANVLLKEMIALISSDAINYPFGNSKVKGTANKVPDLSNEEIERCRLLLKKEIGQLESDDYIQFEKEFLETYSALHNTSSLLPGLVIYEEDDEDVEAAEKFYTNDIQRDLAKKALECNKLENRVYDLVRSSYEQRNFLIKKISHAWKALQTERKNLTCYEFLYNQERLALPNRLEAAEIELSKMQQIEAYAQQDYARVTGQN", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTIPHMKYAVSKTSENKVSNTVSPTQDKDAIRKQPDDIINNDEPSHKKIKVAQPDSLRETNTTDPLGHTKAALGEVASMELKPTNDMDPLAVSAASVVSMSNDVLKPETPKGPIIISKNPSNGIFYGPSFTKRESLNARMFLKYYGAHKFLDTYLPEDLNSLYIYYLIKLLGFEVKDQALIGTINSIVHINSQERVQDLGSAISVTNVEDPLAKKQTVRLIKDLQRAINKVLCTRLRLSNFFTIDHFIQKLHTARKILVLTGAGVSTSLGIPDFRSSEGFYSKIKHLGLDDPQDVFNYNIFMHDPSVFYNIANMVLPPEKIYSPLHSFIKMLQMKGKLLRNYTQNIDNLESYAGISTDKLVQCHGSFATATCVTCHWNLPGERIFNKIRNLELPLCPYCYKKRREYFPEGYNNKVGVAASQGSMSERPPYILNSYGVLKPDITFFGEALPNKFHKSIREDILECDLLICIGTSLKVAPVSEIVNMVPSHVPQVLINRDPVKHAEFDLSLLGYCDDIAAMVAQKCGWTIPHKKWNDLKNKNFKCQEKDKGVYVVTSDEHPKTL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTHGEELGSDVHQDSIVLTYLEGLLMHQAAGGSGTAVDKKSAGHNEEDQNFNISGSAFPTCQSNGPVLNTHTYQGSGMLHLKKARLLQSSEDWNAAKRKRLSDSIMNLNVKKEALLAGMVDSVPKGKQDSTLLASLLQSFSSRLQTVALSQQIRQSLKEQGYALSHDSLKVEKDLRCYGVASSHLKTLLKKSKVKDQKPDTNLPDVTKNLIRDRFAESPHHVGQSGTKVMSEPLSCAARLQAVASMVEKRASPATSPKPSVACSQLALLLSSEAHLQQYSREHALKTQNANQAASERLAAMARLQENGQKDVGSYQLPKGMSSHLNGQARTSSSKLMASKSSATVFQNPMGIIPSSPKNAGYKNSLERNNIKQAANNSLLLHLLKSQTIPKPMNGHSHSERGSIFEESSTPTTIDEYSDNNPSFTDDSSGDESSYSNCVPIDLSCKHRTEKSESDQPVSLDNFTQSLLNTWDPKVPDVDIKEDQDTSKNSKLNSHQKVTLLQLLLGHKNEENVEKNTSPQGVHNDVSKFNTQNYARTSVIESPSTNRTTPVSTPPLLTSSKAGSPINLSQHSLVIKWNSPPYVCSTQSEKLTNTASNHSMDLTKSKDPPGEKPAQNEGAQNSATFSASKLLQNLAQCGMQSSMSVEEQRPSKQLLTGNTDKPIGMIDRLNSPLLSNKTNAVEENKAFSSQPTGPEPGLSGSEIENLLERRTVLQLLLGNPNKGKSEKKEKTPLRDESTQEHSERALSEQILMVKIKSEPCDDLQIPNTNVHLSHDAKSAPFLGMAPAVQRSAPALPVSEDFKSEPVSPQDFSFSKNGLLSRLLRQNQDSYLADDSDRSHRNNEMALLESKNLCMVPKKRKLYTEPLENPFKKMKNNIVDAANNHSAPEVLYGSLLNQEELKFSRNDLEFKYPAGHGSASESEHRSWARESKSFNVLKQLLLSENCVRDLSPHRSNSVADSKKKGHKNNVTNSKPEFSISSLNGLMYSSTQPSSCMDNRTFSYPGVVKTPVSPTFPEHLGCAGSRPESGLLNGCSMPSEKGPIKWVITDAEKNEYEKDSPRLTKTNPILYYMLQKGGNSVTSRETQDKDIWREASSAESVSQVTAKEELLPTAETKASFFNLRSPYNSHMGNNASRPHSANGEVYGLLGSVLTIKKESE", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSFRHFKRRLDTSSADESSSADEEHPDQNVSLTEKSASLSHSDLGGEILNGTGKNRTPNDGQESNESDGSPESDESPESEESSDNSDSSDSDDMRPLPRPLFMKKKANNLQKATKIDQPWNAQDDARVLQTKKENMIKNIDKANQVAKNYETMKLRLNTNYSTNEELIKQCLLLDDNDEVDSEKERQKWFERQNERKQKHRRIQLAKQRESEEYEAKRFEAMQKGKDGNTKYDVILDKEKEKLDHKKQRSAEKVEKSHNNNRYKITRTKNVEFGDLGKNSRDYEETEYSVI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MQLRVHPTMDCSGRSTSNIERDSDLGDDLSHGDRTDDEMRDCDSVDGEHHQLSAKAAIAARLSHTVSGGGGSFASPEPQTELPLSHHHQLPPNHPLNALGSFMGIGGLHSIPNLQHSDVLEKLKMQVRDMKVGLMEQDYAAAAHAAAFGANMLPTTISSGFPLPHNSVAFGHVTSSPSGGNGSSYNGGTTPTNSSNSNATTNGGGTAGPGGTGGSGGGGGGGGGGGGGVGGHQFSFASPTAAPSGKEARHFAANSASNSSTSSEASNSSQQNNGWSFEEQFKQVRQLYEINDDPKRKEFLDDLFSFMQKRGTPINRLPIMAKSVLDLYELYNLVIARGGLVDVINKKLWQEIIKGLHLPSSITSAAFTLRTQYMKYLYPYECEKKNLSTPAELQAAIDGNRREGRRSSYGQYEAMHNQMPMTPISRPSLPGGMQQMSPLALVTHAAVANNQQAQAAAAAAAAHHRLMGAPAFGQMPNLVKQEIESRMMEYLQLIQAKKEQGMPPVLGGNHPHQQQHSQQQQQQQHHHQQQQQQQSQQQHHLQQQRQRSQSPDLSKHEALSAQVALWHMYHNNNSPPGSAHTSPQQREALNLSDSPPNLTNIKREREREPTPEPVDQDDKFVDQPPPAKRVGSGLLPPGFPANFYLNPHNMAAVAAAAGFHHPSMGHQQDAASEGEPEDDYAHGEHNTTGNSSSMHDDSEPQQMNGHHHHQTHHLDKSDDSAIENSPTTSTTTGGSVGHRHSSPVSTKKKGGAKPQSGGKDVPTEDKDASSSGKLNPLETLSLLSGMQFQVARNGTGDNGEPQLIVNLELNGVKYSGVLVANVPLSQSETRTSSPCHAEAPTVEEEKDEEEEEEPKAAEEESHRSPVKQENEDVDQDMEGSEVLLNGGASAVGGAGAGVGVGVPLLKDAVVS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVDRSDNAAESFDDAVEERVINEEYKIWKKNTPFLYDLVMTHALEWPSLTAQWLPDVTKQDGKDYSVHRLILGTHTSDEQNHLLIASVQLPSEDAQFDGSHYDNEKGEFGGFGSVCGKIEIEIKINHEGEVNRARYMPQNACVIATKTPSSDVLVFDYTKHPSKPEPSGECQPDLRLRGHQKEGYGLSWNPNLNGYLLSASDDHTICLWDINATPKEHRVIDAKNIFTGHTAVVEDVAWHLLHESLFGSVADDQKLMIWDTRNNNTSKPSHTVDAHTAEVNCLSFNPYSEFILATGSADKTVALWDLRNLKLKLHSFESHKDEIFQVQWSPHNETILASSGTDRRLHVWDLSKIGEEQSTEDAEDGPPELLFIHGGHTAKISDFSWNPNEPWIICSVSEDNIMQVWQMAENVYNDEEPEIPASELETNTA", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MITSQGSVSFRDVTVGFTQEEWQHLDPAQRTLYRDVMLENYSHLVSVGYCIPKPEVILKLEKGEEPWILEEKFPSQSHLELINTSRNYSIMKFNEFNKGGKCFCDEKHEIIHSEEEPSEYNKNGNSFWLNEDLIWHQKIKNWEQSFEYNECGKAFPENSLFLVHKRGYTGQKTCKYTEHGKTCDMSFFITHQQTHPRENHYGNECGENIFEESILLEHQSVYPFSQKLNLTPIQRTHSINNIIEYNECGTFFSEKLVLHLQQRTHTGEKPYECHECGKTFTQKSAHTRHQRTHTGGKPYECHECGKTFYKNSDLIKHQRIHTGERPYGCHECGKSFSEKSTLTQHQRTHTGEKPYECHECGKTFSFKSVLTVHQKTHTGEKPYECYACGKAFLRKSDLIKHQRIHTGEKPYECNECGKSFSEKSTLTKHLRTHTGEKPYECIQCGKFFCYYSGFTEHLRRHTGEKPFGCNECGKTFRQKSALIVHQRTHIRQKPYGCNQCGKSFCVKSKLIAHHRTHTGEKPYECNVCGKSFYVKSKLTVHQRIHLGRNPINVVNEGNYSG", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MMNPSHGRGLGSAGGSSSGRNQGGGGETVVEMFPSGLRVLVVDDDPTCLMILERMLRTCLYEVTKCNRAEMALSLLRKNKHGFDIVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADDSKSVVLKGVTHGAVDYLIKPVRMEALKNIWQHVVRKRRSEWSVPEHSGSIEETGERQQQQHRGGGGGAAVSGGEDAVDDNSSSVNEGNNWRSSSRKRKDEEGEEQGDDKDEDASNLKKPRVVWSVELHQQFVAAVNQLGVEKAVPKKILELMNVPGLTRENVASHLQKYRIYLRRLGGVSQHQGNLNNSFMTGQDASFGPLSTLNGFDLQALAVTGQLPAQSLAQLQAAGLGRPAMVSKSGLPVSSIVDERSIFSFDNTKTRFGEGLGHHGQQPQQQPQMNLLHGVPTGLQQQLPMGNRMSIQQQIAAVRAGNSVQNNGMLMPLAGQQSLPRGPPPMLTSSQSSIRQPMLSNRISERSGFSGRNNIPESSRVLPTSYTNLTTQHSSSSMPYNNFQPELPVNSFPLASAPGISVPVRKATSYQEEVNSSEAGFTTPSYDMFTTRQNDWDLRNIGIAFDSHQDSESAAFSASEAYSSSSMSRHNTTVAATEHGRNHQQPPSGMVQHHQVYADGNGGSVRVKSERVATDTATMAFHEQYSNQEDLMSALLKQEGIAPVDGEFDFDAYSIDNIPV", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAGGSGVGGKRSSKSDADSGFLGLRPTSVDPALRRRRRGPRNKKRGWRRLAQEPLGLEVDQFLEDVRLQERTSGGLLSEAPNEKLFFVDTGSKEKGLTKKRTKVQKKSLLLKKPLRVDLILENTSKVPAPKDVLAHQVPNAKKLRRKEQLWEKLAKQGELPREVRRAQARLLNPSATRAKPGPQDTVERPFYDLWASDNPLDRPLVGQDEFFLEQTKKKGVKRPARLHTKPSQAPAVEVAPAGASYNPSFEDHQTLLSAAHEVELQRQKEAEKLERQLALPATEQAATQESTFQELCEGLLEESDGEGEPGQGEGPEAGDAEVCPTPARLATTEKKTEQQRRREKAVHRLRVQQAALRAARLRHQELFRLRGIKAQVALRLAELARRQRRRQARREAEADKPRRLGRLKYQAPDIDVQLSSELTDSLRTLKPEGNILRDRFKSFQRRNMIEPRERAKFKRKYKVKLVEKRAFREIQL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MWEKMETKTIVYDLDTSGGLMEQIQALLAPPKTDEAEKRSRKPEKEPRRSGRATNHDSCDSCKEGGDLLCCDHCPAAFHLQCCNPPLSEEMLPPGEWMCHRCTVRRKKREQKKELGHVNGLVDKSGKRTTSPSSDTDLLDRSASKTELKAIAHARILERRASRPGTPTSSASTETPTSEQNDVDEDIIDVDEEPVAAEPDYVQPQLRRPFELLIAAAMERNPTQFQLPNELTCTTALPGSSKRRRKEETTGKNVKKTQHELDHNGLVPLPVKVCFTCNRSCRVAPLIQCDYCPLLFHMDCLEPPLTAMPLGRWMCPNHIEHVVLNQKNMTLSNRCQVFDRFQDTVSQHVVKVDFLNRIHKKHPPNRRVLQSVKRRSLKVPDAIKSQYQFPPPLIAPAAIRDGELICNGIPEESQMHLLNSEHLATQAEQQEWLCSVVALQCSILKHLSAKQMPSHWDSEQTEKADIKPVIVTDSSVTTSLQTADKTPTPSHYPLSCPSGISTQNSLSCSPPHQSPALEDIGCSSCAEKSKKTPCGTANGPVNTEVKANGPHLYSSPTDSTDPRRLPGANTPLPGLSHRQGWPRPLTPPAAGGLQNHTVGIIVKTENATGPSSCPQRSLVPVPSLPPSIPSSCASIENTSTLQRKTVQSQIGPPLTDSRPLGSPPNATRVLTPPQAAGDGILATTANQRFSSPAPSSDGKVSPGTLSIGSALTVPSFPANSTAMVDLTNSLRAFMDVNGEIEINMLDEKLIKFLALQRIHQLFPSRVQPSPGSVGTHQLASGGHHIEVQRKEVQARAVFYPLLGLGGAVNMCYRTLYIGTGADMDVCLTNYGHCNYVSGKHACIFYDENTKHYELLNYSEHGTTVDNVLYSCDFSEKTPPTPPSSIVAKVQSVIRRRRHQKQDEEPSEEAAMMSSQAQGPQRRPCNCKASSSSLIGGSGAGWEGTALLHHGSYIKLGCLQFVFSITEFATKQPKGDASLLQDGVLAEKLSLKPHQGPVLRSNSVP", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTTTIGSPQMLANEERLSNEMHALKNRSEQNGQEQQGPVKNTQLHGPSATDPETTATQKESLEMVPKDTSAATMTSAPPPALPHVEINQVSLALVIRNLTVFTMKELAQYMKTNVHTQANEPNSAKKIRFLQLIIFLRTQFLKLYVLVKWTRTIKQNNFHVLIDLLNWFRTTNMNVNNCIWALKSSLNSMTNAKLPNVDLVTALEVLSLGRPNLPTHNFKLSGVSNSMDMVDGMAKVPIGLILQRLKDLNLTVSIKIALMNIPKPLNSYHIKNGRIYFTVPNEFEIQLSTVNRQSPLFFVDLKLLFNTEAEQTVSAVTEATSTNGDSENNEENSSSNGNNLPLNKPRLEKLINEILLKSNDPLLSLYNFLHKYVLTLQLYMVHREFLKLANGGKFSKSNLIHNYDSKKSTITVRYWLNGKMDSKGKITIGIQRTTESLILKWDNQSASRAKNMPVIYNNIVSNIEGILDEIMFNHARIIRSELLARDIFQEDEENSDVLLFQLPTTCVSMAPIQLKIDLLSGQFYFRNPTPLLSNYASKINRAEGPEELARILQQLKLDKIIHVLTTMFENTGWSCSRIIKIDKPIRTQVNTGGESVVKKEDNKYAIAGNSTTNSDVSLLLQRDLFIRLPHWPLNWYLILSIISSKTSCVVEKRIGKIVSQRGKWNLKYLDNSNVMTVKLESITYQKIMILQRTILNRIINHMLIDSLNQLEIRNKICSSEMINEQKLPQYIIQGSNTNDNISIITLELESFLEGSKALNSILESSMFLRIDYSNSQIRLYAKFKRNTMMIQCQIDKLYIHFVQEEPLAFYLEESFTNLGIIVQYLTKFRQKLMQLVVLTDVVERLHKNFESENFKIIALQPNEISFKYLSNNDEDDKDCTIKISTNDDSIKNLTVQLSPSNPQHIIQPFLDNSKMDYHFIFSYLQFTSSLFKALKVILNERGGKFHESGSQYSTMVNIGLHNLNEYQIVYYNPQAGTKITICIELKTVLHNGRDKIQFHIHFADVAHITTKSPAYPMMHQVRNQVFMLDTKRLGTPESVKPANASHAIRLGNGVACDPSEIEPILMEIHNILKVDSNSSSS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAEKRRGSPCSMLSLKAHAFSVEALIGAEKQQQLQKKRRKLGAEEAAGAVDDGGCSRGGGAGEKGSSEGDEGAALPPPAGATSGPARSGADLERGAAGGCEDGFQQGASPLASPGGSPKGSPARSLARPGTPLPSPQAPRVDLQGAELWKRFHEIGTEMIITKAGRRMFPAMRVKISGLDPHQQYYIAMDIVPVDNKRYRYVYHSSKWMVAGNADSPVPPRVYIHPDSPASGETWMRQVISFDKLKLTNNELDDQGHIILHSMHKYQPRVHVIRKDCGDDLSPIKPVPSGEGVKAFSFPETVFTTVTAYQNQQITRLKIDRNPFAKGFRDSGRNRMGLEALVESYAFWRPSLRTLTFEDIPGIPKQGNASSSTLLQGTGNGVPATHPHLLSGSSCSSPAFHLGPNTSQLCSLAPADYSACARSGLTLNRYSTSLAETYNRLTNQAGETFAPPRTPSYVGVSSSTSVNMSMGGTDGDTFSCPQTSLSMQISGMSPQLQYIMPSPSSNAFATNQTHQGSYNTFRLHSPCALYGYNFSTSPKLAASPEKIVSSQGSFLGSSPSGTMTDRQMLPPVEGVHLLSSGGQQSFFDSRTLGSLTLSSSQVSAHMV", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAAELAMGAELPSSPLAIEYVNDFDLMKFEVKKEPPEAERFCHRLPPGSLSSTPLSTPCSSVPSSPSFCAPSPGTGGGGGAGGGGGSSQAGGAPGPPSGGPGAVGGTSGKPALEDLYWMSGYQHHLNPEALNLTPEDAVEALIGSGHHGAHHGAHHPAAAAAYEAFRGPGFAGGGGADDMGAGHHHGAHHAAHHHHAAHHHHHHHHHHGGAGHGGGAGHHVRLEERFSDDQLVSMSVRELNRQLRGFSKEEVIRLKQKRRTLKNRGYAQSCRFKRVQQRHILESEKCQLQSQVEQLKLEVGRLAKERDLYKEKYEKLAGRGGPGSAGGAGFPREPSPPQAGPGGAKGTADFFL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAETAAGVGRFKTNYAVERKIEPFYKGGKAQLDQTGQHLFCVCGTRVNILEVASGAVLRSLEQEDQEDITAFDLSPDNEVLVTASRALLLAQWAWQEGSVTRLWKAIHTAPVATMAFDPTSTLLATGGCDGAVRVWDIVRHYGTHHFRGSPGVVHLVAFHPDPTRLLLFSSATDAAIRVWSLQDRSCLAVLTAHYSAVTSLAFSADGHTMLSSGRDKICIIWDLQSCQATRTVPVFESVEAAVLLPEEPVSQLGVKSPGLYFLTAGDQGTLRVWEAASGQCVYTQAQPPGPGQELTHCTLAHTAGVVLTATADHNLLLYEARSLRLQKQFAGYSEEVLDVRFLGPEDSHVVVASNSPCLKVFELQTSACQILHGHTDIVLALDVFRKGWLFASCAKDQSVRIWRMNKAGQVMCVAQGSGHTHSVGTVCCSRLKESFLVTGSQDCTVKLWPLPKALLSKNTAPDNGPILLQAQTTQRCHDKDINSVAIAPNDKLLATGSQDRTAKLWALPQCQLLGVFSGHRRGLWCVQFSPMDQVLATASADGTIKLWALQDFSCLKTFEGHDASVLKVAFVSRGTQLLSSGSDGLVKLWTIKNNECVRTLDAHEDKVWGLHCSRLDDHALTGASDSRVILWKDVTEAEQAEEQARQEEQVVRQQELDNLLHEKRYLRALGLAISLDRPHTVLTVIQAIRRDPEACEKLEATMLRLRRDQKEALLRFCVTWNTNSRHCHEAQAVLGVLLRREAPEELLAYEGVRAALEALLPYTERHFQRLSRTLQAAAFLDFLWHNMKLPVPAAAPTPWETHKGALP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFYYPNVLQRHTGCFATIWLAATRGSRLVKREYLKVNVVKTCEEILNYVLVRVQPPMPGLPRPRFSLYLSAQLQIGVIRVYFQQCQYLVEDIQHILEHLHRAQLRIRIDMEEADLPSLLLPNCLAMMETLEDAPEPFFGTMSVDPTLPSPFDIPQIRHLLEAATPEKIREETLPEATPEPRKPDRTLAMVQSPEVITLQEAEPIRMLRIEGEQDLPEISRGDLDLLIAEEDDAILLEERQRGRLLRQRRASPPLDESKEEPRALEGDGLVSSLSPPAPAQVEGIREPLPDQAFPPEVQKMPGWEPGVLLTEVTPPQELRLPAPPSIEKRPPSPQRPPRRRRRGRQLLFWDKETQISREKFEEQLQTGAHCCEYPVVQPPKRKLMSPAELFRTPTLSGWLSPELLALWTHCAQVPPRMLRQRPQLETEETVEEERVADKEERRKTEALSEIEVLREAQEPSGPLMLSSELSLEVAEEEKSRTSFIPPEERWAWIEERQPEPPALPMLPELPEVPMEMPPGPELLSSEAVLRAVALELQANREPDFSSLVPPLSSRKLASRVFYLLLVLSAQKILLVDQQKPYGRLLIRLGPKFP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGNCCGTAGSLAQNDNKPKKGRKKQNPFSIDYGLHHGGGDGGGRPLKLIVLNDPTGREIESKYTLGRELGRGEFGVTYLCTDKETDDVFACKSILKKKLRTAVDIEDVRREVEIMRHMPEHPNVVTLKETYEDEHAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIMEVVQVCHKHGVMHRDLKPENFLFGNKKETAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVLDFRRDPWPKVSENAKDLIRKMLDPDQKRRLTAQQVLDHPWLQNAKTAPNVSLGETVRARLKQFTVMNKLKKRALRVIAEHLSDEEASGIREGFQIMDTSQRGKINIDELKIGLQKLGHAIPQDDLQILMDAGDIDRDGYLDCDEFIAISVHLRKMGNDEHLKKAFAFFDQNNNGYIEIEELREALSDELGTSEEVVDAIIRDVDTDKDGRISYEEFVTMMKTGTDWRKASRQYSRERFNSISLKLMQDASLQVNGDTR", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MIAAQAKLVYQLNKYYTERCQARKAAIAKTIREVCKVVSDVLKEVEVQEPRFISSLSEIDARYEGLEVISPTEFEVVLYLNQMGVFNFVDDGSLPGCAVLKLSDGRKRSMSLWVEFITASGYLSARKIRSRFQTLVAQAVDKCSYRDVVKMIADTSEVKLRIRERYVVQITPAFKCTGIWPRSAAQWPMPHIPWPGPNRVAEVKAEGFNLLSKECYSLTGKQSSAESDAWVLQFGEAENRLLMGGCRNKCLSVLKTLRDRHLELPGQPLNNYHMKTLLLYECEKHPRETDWDEACLGDRLNGILLQLISCLQCRRCPHYFLPNLDLFQGKPHSALESAAKQTWRLAREILTNPKSLDKL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAPVKGNRKQSTEGDALDPPASPKPAGKQNGIQNPISLEDSPEAGGEREEEQEREEEQAFLVSLYKFMKERHTPIERVPHLGFKQINLWKIYKAVEKLGAYELVTGRRLWKNVYDELGGSPGSTSAATCTRRHYERLVLPYVRHLKGEDDKPLPTSKPRKQYKMAKENRGDDGATERPKKAKEERRMDQMMPGKTKADAADPAPLPSQEPPRNSTEQQGLASGSSVSFVGASGCPEAYKRLLSSFYCKGTHGIMSPLAKKKLLAQVSKVEALQCQEEGCRHGAEPQASPAVHLPESPQSPKGLTENSRHRLTPQEGLQAPGGSLREEAQAGPCPAAPIFKGCFYTHPTEVLKPVSQHPRDFFSRLKDGVLLGPPGKEGLSVKEPQLVWGGDANRPSAFHKGGSRKGILYPKPKACWVSPMAKVPAESPTLPPTFPSSPGLGSKRSLEEEGAAHSGKRLRAVSPFLKEADAKKCGAKPAGSGLVSCLLGPALGPVPPEAYRGTMLHCPLNFTGTPGPLKGQAALPFSPLVIPAFPAHFLATAGPSPMAAGLMHFPPTSFDSALRHRLCPASSAWHAPPVTTYAAPHFFHLNTKL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVASTKRKRDEDFPLSREDSTKQPSTSSLVRNTEEVSFPRGGASALTPLELKQVANEAASDVLFGNESVKASEPASRPLKKKKTTKKSTSKDSEASSANSDEARAGLIEHVNFKTLKNGSSLLGQISAITKQDLCITFTDGISGYVNLTHISEEFTSILEDLDEDMDSDTDAADEKKSKVEDAEYESSDDEDEKLDKSNELPNLRRYFHIGQWLRCSVIKNTSLEPSTKKSKKKRIELTIEPSSVNIYADEDLVKSTSIQCAVKSIEDHGATLDVGLPGFTGFIAKKDFGNFEKLLPGAVFLGNITKKSDRSIVVNTDFSDKKNKITQISSIDAIIPGQIVDLLCESITKNGIAGKVFGLVSGVVNVSHLRTFSEEDLKHKFVIGSSIRCRIIACLENKSGDKVLILSNLPHILKLEDALRSTEGLDAFPIGYTFESCSIKGRDSEYLYLALDDDRLGKVHSSRVGEIENSENLSSRVLGYSPVDDIYQLSTDPKYLKLKYLRTNDIPIGELLPSCEITSVSSSGIELKIFNGQFKASVPPLHISDTRLVYPERKFKIGSKVKGRVISVNSRGNVHVTLKKSLVNIEDNELPLVSTYENAKNIKEKNEKTLATIQVFKPNGCIISFFGGLSGFLPNSEISEVFVKRPEEHLRLGQTVIVKLLDVDADRRRIIATCKVSNEQAAQQKDTIENIVPGRTIITVHVIEKTKDSVIVEIPDVGLRGVIYVGHLSDSRIEQNRAQLKKLRIGTELTGLVIDKDTRTRVFNMSLKSSLIKDAKKETLPLTYDDVKDLNKDVPMHAYIKSISDKGLFVAFNGKFIGLVLPSYAVDSRDIDISKAFYINQSVTVYLLRTDDKNQKFLLSLKAPKVKEEKKKVESNIEDPVDSSIKSWDDLSIGSIVKAKIKSVKKNQLNVILAANLHGRVDIAEVFDTYEEITDKKQPLSNYKKDDVIKVKIIGNHDVKSHKFLPITHKISKASVLELSMKPSELKSKEVHTKSLEEINIGQELTGFVNNSSGNHLWLTISPVLKARISLLDLADNDSNFSENIESVFPLGSALQVKVASIDREHGFVNAIGKSHVDINMSTIKVGDELPGRVLKIAEKYVLLDLGNKVTGISFITDALNDFSLTLKEAFEDKINNVIPTTVLSVDEQNKKIELSLRPATAKTRSIKSHEDLKQGEIVDGIVKNVNDKGIFVYLSRKVEAFVPVSKLSDSYLKEWKKFYKPMQYVLGKVVTCDEDSRISLTLRESEINGDLKVLKTYSDIKAGDVFEGTIKSVTDFGVFVKLDNTVNVTGLAHITEIADKKPEDLSALFGVGDRVKAIVLKTNPEKKQISLSLKASHFSKEAELASTTTTTTTVDQLEKEDEDEVMADAGFNDSDSESDIGDQNTEVADRKPETSSDGLSLSAGFDWTASILDQAQEEEESDQDQEDFTENKKHKHKRRKENVVQDKTIDINTRAPESVADFERLLIGNPNSSVVWMNYMAFQLQLSEIEKARELAERALKTINFREEAEKLNIWIAMLNLENTFGTEETLEEVFSRACQYMDSYTIHTKLLGIYEISEKFDKAAELFKATAKKFGGEKVSIWVSWGDFLISHNEEQEARTILGNALKALPKRNHIEVVRKFAQLEFAKGDPERGRSLFEGLVADAPKRIDLWNVYVDQEVKAKDKKKVEDLFERIITKKITRKQAKFFFNKWLQFEESEGDEKTIEYVKAKATEYVASHESQKADE", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGSIRGNIEEPISQSLTRQNSLYSLKLHEVQTHLGSSGKPLGSMNLDELLKTVLPPAEEGLVRQGSLTLPRDLSKKTVDEVWRDIQQDKNGNGTSTTTTHKQPTLGEITLEDLLLRAGVVTETVVPQENVVNIASNGQWVEYHHQPQQQQGFMTYPVCEMQDMVMMGGLSDTPQAPGRKRVAGEIVEKTVERRQKRMIKNRESAARSRARKQAYTHELEIKVSRLEEENEKLRRLKEVEKILPSEPPPDPKWKLRRTNSASL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNGAIGGDLLLNFPDMSVLERQRAHLKYLNPTFDSPLAGFFADSSMITGGEMDSYLSTAGLNLPMMYGETTVEGDSRLSISPETTLGTGNFKKRKFDTETKDCNEKKKKMTMNRDDLVEEGEEEKSKITEQNNGSTKSIKKMKHKAKKEENNFSNDSSKVTKELEKTDYIHVRARRGQATDSHSIAERVRREKISERMKFLQDLVPGCDKITGKAGMLDEIINYVQSLQRQIEFLSMKLAIVNPRPDFDMDDIFAKEVASTPMTVVPSPEMVLSGYSHEMVHSGYSSEMVNSGYLHVNPMQQVNTSSDPLSCFNNGEAPSMWDSHVQNLYGNLGV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MANSAKAEEYEKMSLEQAKASVNSETESSFNINENTTASGTGLSEKTSVCRQVDIARKRKEFEDDLVKESSSCGKDTPSKKRKLDPEIVPEEKDCGDAEGNSKKRKRETEDVPKDKSSTGDGTQNKRKIALEDVPEKQKNLEEGHSSTVAAHYNELQEVGLEKRSQSRIFYLRNFNNWMKSVLIGEFLEKVRQKKKRDITVLDLGCGKGGDLLKWKKGRINKLVCTDIADVSVKQCQQRYEDMKNRRDSEYIFSAEFITADSSKELLIDKFRDPQMCFDICSCQFVCHYSFESYEQADMMLRNACERLSPGGYFIGTTPNSFELIRRLEASETESFGNEIYTVKFQKKGDYPLFGCKYDFNLEGVVDVPEFLVYFPLLNEMAKKYNMKLVYKKTFLEFYEEKIKNNENKMLLKRMQALEPYPANESSKLVSEKVDDYEHAAKYMKNSQVRLPLGTLSKSEWEATSIYLVFAFEKQQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSTGLDMSLDDMIAKNRKSRGGAGPARGTGSGSGPGPTRRNNPNRKSTRSAPYQSAKAPESTWGHDMFSDRSEDHRSGRSSAGIETGTKLYISNLDYGVMNEDIKELFAEVGELKRYTVHFDRSGRSKGTAEVVYSRRGDALAAVKKYNDVQLDGKPMKIEIVGTNLQTAAAPSGRPANGNSNGAPWRGGQGRGGQQRGGGRGGGGRGGGGRGRRPGKGPAEKISAEDLDADLDKYHSGDMETN", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MFYSEAILSKKGPLAKVWLAAHWEKKLSKVQTLHTSIEQSVHAIVTEETAPMALRLSGQLMLGVVRIYSRKARYLLEDCTEALMRLKMSFQPGQVDMIEPATALQSLKGKDAVTQSANLTLPETITEFDLLVPDSTFDFQWSQLLRTPSRSSNTLELHSLPISSSPSFPSSQLSIEAGRNAQVESGFSLGESFAHVGNDMQFHLPISNSGAATPRSVHSDNQSQISIEVGRDAPAAAATDLSGIIGPQMTKSPASSVTHFSTPSMLPIGGTSLDDELLAPVDDLNLDLGLDDLLGDEQGANAPAIEADEQAETSSIHLPSDIMEDDSSRPAAAGVEEGQVVESATAPQQEKINPQKTVRRQRAIIDPVTELSSKQMKKQLADTSSITSPLCLNTSSIVFNATVNFTRNGKFNTSIFSSNLNPKVNELLQADFKQAILRKRKNESPEEVEPAKHQRTDTSTENQETAEVLDPEEIAAAELANITEAAIATLPQETVVQPEGEAPELGSPMGFPVTALESADDSLFDAPPVMLDEADLLGSERLDSSVSEALPSSQTAKDSLRNKWDPYTEGEKVSFQTLSAGCNREEAVQLFFDVLVLATKDVISVKQDVAIQNEITLTAKRGMLLSSL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAGGGKHTPTPKAIIHQKFGAKASYTVEEVHDSSQSGCLGLAIPQKGPCLYRCHLQLPEFSVVSNVFKKKKDSEQSAAELALDKLGIRPQNDDLTVDEARDEIVGRIKYIFSDEFLSAEHPLGAHLRAALRRDGERCGSVPVSVIATVDAKINSRCKIINPSVESDPFLAISYVMKAAAKLADYIVASPHGLRRKNAYPSEIVEALATHVSDSLHSREVAAVYIPCIDEEVVELDTLYISSNRHYLDSIAERLGLKDGNQVMISRMFGKASCGSECRLYSEIPKKYLDNSSDASGTSNEDSSHIVKSRNARASYICGQDIHGDAILASVGYRWKSDDLDYDDVTVNSFYRICCGMSPNGIYKISRQAVIAAQLPFAFTTKSNWRGPLPREILGLFCHQHRLAEPILSSSTAPVKSLSDIFRSHKKLKVSGVDDANENLSRQKEDTPGLGHGFRCEVKIFTKSQDLVLECSPRKFYEKENDAIQNASLKALLWFSKFFADLDVDGEQSCDTDDDQDTKSSSPNVFAAPPILQKEHSSESKNTNVLSAEKRVQSITNGSVVSICYSLSLAVDPEYSSDGESPREDNESNEEMESEYSANCESSVELIESNEEIEFEVGTGSMNPHIESEVTQMTVGEYASFRMTPPDAAEALILAVGSDTVRIRSLLSERPCLNYNILLLGVKGPSEERMEAAFFKPPLSKQRVEYALKHIRESSASTLVDFGCGSGSLLDSLLDYPTSLQTIIGVDISPKGLARAAKMLHVKLNKEACNVKSATLYDGSILEFDSRLHDVDIGTCLEVIEHMEEDQACEFGEKVLSLFHPKLLIVSTPNYEFNTILQRSTPETQEENNSEPQLPKFRNHDHKFEWTREQFNQWASKLGKRHNYSVEFSGVGGSGEVEPGFASQIAIFRREASSVENVAESSMQPYKVIWEWKKEDVEKKKTDL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDDQPRLMHSHPGVGMAGHPSLSQHMQDGTGANEGDVGRKQDIGDILQQIMTITDQSLDEAQARKHALNCHRMKPALFNVLCEIKEKTVLSIRGAQEEEPSDPQLMRLDNMLLAEGVAGPEKGGGSAAAAAAAAASGGAGADNSTEHSDYRAKLSQIRQIYHTELEKYEQACNEFTTHVMNLLREQSRTRPISPKEIERMVSIIHRKFSSIQMQLKQSTCEAVMILRSRFLDARRKRRNFNKQATEILNEYFYSHLSNPYPSEEAKEELAKKCAITVSQVSNWFGNKRIRYKKNIGKFQEEANIYAAKTAVNATNVSVHGSQANSPSTPSSAGGYPSPCYQSDRRIQ", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAGLPVRDPAVDRSLRSVFVGNIPYEATEEQLKDIFSEVGPVVSFRLVYDRETGKPKGYGFCEYQDQETALSAMRNLNGREFSGRALRVDNAASEKNKEELKSLGTGAPVIESPYGESISPEDAPESISKAVASLPPEQMFELMKQMKLCVQNSPQEARNMLLQNPQLAYALLQAQVVMRIVDPEIALKILHRQTNIPTLISGNPQPVHVAGPGSGPNVSMNQQNPQAPQAQSLGGMHVNGAPPMMQASMPGGVPAPVQMAAAVGGPGPGSLAPAGVMQAQVGMQGAGPVPMERGQVPMQDPRAAMQRGALPTNVPTPRGLLGDAPNDPRGGTLMTVTGDVEPRAYLGPPPPPHQGPPMHHVPGHEGRGPPPHDMRGGPLAEPRPLMAEPRGPMLDQRGPPLDARGGRDPRGLDARGMEARAMEARGLDARGLEARAMEARAMEARAMEARAMEARAMEARAMEARGMDTRGPVPGPRGPMPSGIQGPNPMNMGAVVPQGSRQVPVMQGAGMQGASMQGGSQPGGFSPGQSQVTPQDHEKAALIMQVLQLTADQIAMLPPEQRQSILILKEQIQKSTGAP", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDIFRVLTRGASVKKESGPKAKAADYSVINGNDENHKEDNNESQIVKELDFFRNKRIISKVEDDREKTTENDSPNKEEKSGNDDGLIKPVITNTVEASALRKSYKGNVSGIDIPLPIGSFEDLISRFSFDKRLLNNLIENGFTEPTPIQCECIPVALNNRDVLACGPTGSGKTLAFLIPLVQQIIDDKQTAGLKGLIISPTKELANQIFIECFKLSYKIFLEKKRPLQVALLSKSLGAKLKNKVVSDKKYDIIISTPLRLIDVVKNEALDLSKVKHLIFDEADKLFDKTFVEQSDDILSACREPSLRKAMFSATIPSNVEEIAQSIMMDPVRVIIGHKEAANTNIEQKLIFCGNEEGKLIAIRQLVQEGEFKPPIIIFLESITRAKALYHELMYDRINVDVIHAERTALQRDRIIERFKTGELWCLICTDVLARGIDFKGVNLVINYDVPGSSQAYVHRIGRTGRGGRSGKAITFYTKQDSVAIKPIINVMKQSGCEVSEWMDKMAKMTRKEKESIKNGKAHKERKQITTVPKMDKAKRRRQQEMIAASKRRKNEELSKKHFSK", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTENSVPVIKFTQHIVTTNKHVFSEHNEKSNSELQRVVRIILTDADATDSSDDEGRNTVRRVKRHVTEINLMPSTKSIGDRKRRSVSPDSDVTRRKKFRGVRQRPWGRWAAEIRDPTRGKRVWLGTYDTPEEAAVVYDKAAVKLKGPDAVTNFPVSTTAEVTVTVTETETESVADGGDKSENDVALSPTSVLCDNDFAPFDNLGFCEVDAFGFDVDSLFRLPDFAMTEKYYGDEFGEFDFDDFALEAR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPPQKYHCNVCAQDITRSIHIRCVECVDFDLCIPCFTSGASLGTHHPSHPYRIIETNSYPIFDENWGADEELLLIDACETLGLGNWADIADYVGNARTKEECRDHYLKTYIESDCYPLASVELPGPVDRIAFAARKRARIEAFQPPPIIPQKPLASTPQCHEIQGYMPGRLEFDQEYMNEAELPIKDMNFDDDLHESAKHEMQLKLTMLNIYNSRLTRRAVRKQTIFNHNLLDYRRLQANEKRMSKEERNLLNKTKAFARLLTGPDYQKFVNSYHEQITLKKQISDLQEWRQMGLTTLEQGHKYERDKTQKFLLSKASASYDKQLRHVKSFNQTTSAPFQVRDIQKIVPRKPATPTMFSASADRQLLSEDEQALCSKLQIFPKPFLALKFALISASLTSKKPFQKTDAVNLFKHLDANKVEQVYDFFHNARWIGAPT", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDPQTNSHQEVQQPSPKETDSQTPSETLYIRNIEEKIRLTMLKRILEHLFGSYGKVIDVQARKTLRMRGQAFVVFDNLENASRALKDLQGYPLYGKPMMIQYSKSKSDIIVQRESPEEIETRKKDRKNRREMLKRTSALQPAAPKPTHKKPVPKRNVGAERKSTINEDLLPPNKVLLLQNIPQEVNADVLTQIFEAFSGFQEVRMVPGRRGIAFVEYDSDREATVAKNGTTGMSLSGNQIKVTFARKAS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEGITHPIPRTVEEVFSDFRGRRAGLIKALTNDMVKFYQTCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWVSLVAVHSDSWLLSVAFYFGARFGFGKNERKRLFQMINELPTIFEVVSGNAKQSKDLSVNNNNSKSKPSGVKSRQSESLSKVAKMSSPPPKEEEEEEDESEDESEDDEQGAVCGACGDNYGTDEFWICCDACEKWFHGKCVKITPAKAEHIKHYKCPTCSNKRARP", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGKKHKKHKSDRHFYEEYVEKPLKLVLKVGGSEVTELSTGSSGHDSSLFEDRSDHDKHKDRKRKKRKKGEKQAPGEEKGRKRRRVKEDKKKRDRDRAENEVDRDLQCHVPIRLDLPPEKPLTSSLAKQEEVEQTPLQEALNQLMRQLQRKDPSAFFSFPVTDFIAPGYSMIIKHPMDFSTMKEKIKNNDYQSIEELKDNFKLMCTNAMIYNKPETIYYKAAKKLLHSGMKILSQERIQSLKQSIDFMSDLQKTRKQKERTDACQSGEDSGCWQREREDSGDAETQAFRSPAKDNKRKDKDVLEDKWRSSNSEREHEQIERVVQESGGKLTRRLANSQCEFERRKPDGTTTLGLLHPVDPIVGEPGYCPVRLGMTTGRLQSGVNTLQGFKEDKRNRVTPVLYLNYGPYSSYAPHYDSTFANISKDDSDLIYSTYGEDSDLPNNFSISEFLATCQDYPYVMADSLLDVLTKGGHSRSLQDLDMSSPEDEGQTRALDTAKEAEITQIEPTGRLESSSQDRLTALQAVTTFGAPAEVFDSEEAEVFQRKLDETTRLLRELQEAQNERLSTRPPPNMICLLGPSYREMYLAEQVTNNLKELTQQVTPGDVVSIHGVRKAMGISVPSPIVGNSFVDLTGECEEPKETSTAECGPDAS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEFGLLGEAEARSPALSLSDAGTPHPPLPEHGCKGQEHSDSEKASASLPGGSPEDGSLKKKQRRQRTHFTSQQLQELEATFQRNRYPDMSTREEIAVWTNLTEARVRVWFKNRRAKWRKRERSQQAELCKGGFAAPLGGLVPPYEEVYPGYSYGNWPPKALAPPLAAKTFPFAFNSVNVGPLASQPVFSPPSSIAASMVPSAAAAPGTVPGPGALQGLGGAPPGLAPAAVSSGAVSCPYASAAAAAAAAASSPYVYRDPCNSSLASLRLKAKQHASFSYPAVPGPPPAANLSPCQYAVERPV", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFNKSFGTPFGGGTGGFGTTSTFGQNTGFGTTSGGAFGTSAFGSSNNTGGLFGNSQTKPGGLFGTSSFSQPATSTSTGFGFGTSTGTSNSLFGTANTGTSLFSSQNNAFAQNKPTGFGNFGTSTSSGGLFGTTNTTSNPFGNTSGSLFGPSSFTAAPTGTTIKFNPPTGTDTMVKAGVSTNISTKHQCITAMKEYESKSLEELRLEDYQANRKGPQNQVGAGTTTGLFGSSPATSSATGLFSSSTTNSAFSYGQNKTAFGTSTTGFGTNPGGLFGQQNQQTTSLFSKPFGQATTTPNTGFSFGNTSTLGQPSTNTMGLFGVTQASQPGGLFGTATNTSTGTAFGTGTGLFGQPNTGFGAVGSTLFGNNKLTTFGTSTTSAPSFGTTSGGLFGNKPTLTLGTNTNTSNFGFGTNNSGSSIFGSKPAAGTLGTGLGTGFGTALGAGQASLFGNNQPKIGGPLGTGAFGAPGFNTSTAILGFGAPQAPVALTDPNASAAQQAVLQQHLNSLTYSPFGDSPLFRNPMSDPKKKEERLKPTNPAAQKALTTPTHYKLTPRPATRVRPKALQTTGTAKSHLFDGLDDDEPSLANGAFMPKKSIKKLVLKNLNNSNLFSPVNHDSEDLASPSEYPENGERFSFLSKPVDENHQQDGDDDSLVSRFYTNPIAKPIPQTPESAGNKNNSSSNVEDTFIALNMRAALRNGLEGSSEETSFHDESLQDDRDEIENSAFQIHPAGIVLTKVGYYTIPSMDDLAKITNEKGECIVSDFTIGRKGYGSIYFEGDVNLTNLNLDDIVHIRRKEVIVYVDDNQKPPVGEGLNRKAEVTLDGVWPTDKTSRCLIKSPDRLADINYEGRLEAVSRKQGAQFKEYRPETGSWVFKVSHFSKYGLQDSDEEEEEHPPKTTSKKLKTAPLPPAGQATTFQMTLNGKPAPPPQSQSPEVEQLGRVVELDSDMVDITQEPVPDSVLEESVPEDQEPVSASTQIASSLGINPHVLQIMKASLLVDEEDVDAMEQRFGHFPSRGDTAQEICSPRLPISASHSSKSRSIVGGLLQSKFASGTFLSPSASVQECRTPRTSSLMNVPSTSPWSVPLPLATVFTVPSPAPEVPLKTVGIRRQPGLVPLEKSITYGKGKLLMDMALFMGRSFRVGWGPNWTLANSGEQLHGSHELENHQVAESMEYGFLPNPVAVKSLSESPFKVHLEKLGLRQRKLDEDLQLYQTPLELKLKHSTVHVDELCPLIVPNPGVSVIHGYADWVKKSPRDLLELPIVKHWSLTWTLCEALWGHLKELDSQLDEPSEYIQTLERRRAFSRWLSHTAAPQIEEEVSLTRRDSPIEAVFSYLTGSRISEACCLAQQSGDHRLALLLSQLVGSQSVRELLTMQLADWHQLQADSFIHDERLRIFALLAGKPVWQLSEQKQINVCSQLDWKRTLAIHLWYLLPPTASISRALSMYEEAFQNTCEGDKYACPPLPSYLEGSGCVVEEEKDPQRPLQDVCFHLLKLYSDRHYGLNQLLEPRSITADPLDYRLSWHLWEVLRALNYTHLSEQCEGVLQASYAGQLESEGLWEWAIFVFLHIDNSGMREKAVRELLTRHCQLSETPESWAKETFLTQKLCVPAEWIHEAKAVRAHMESNKHLEALYLFKAGHWNRCHKLVVRHLASDAIINENYDYLKGFLEDLAPPERSSLIQDWETSGLVYLDYIRVIEMLHRIQQVDCSGYELEHLHTKVTSLCNRIEQIPCYNAKDRLAQSDMAKRVANLLRVVLSLQHTPDATSNSTPDPQRVPLRLLAPHIGRLPMPEDYALEELRGLTQSYLRELTVGSQ", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRRSKADVERYIASVQGSTPSPRQKSMKGFYFAKLYYEAKEYDLAKKYICTYINVQERDPKAHRFLGLLYELEENTDKAVECYRRSVELNPTQKDLVLKIAELLCKNDVTDGRAKYWLERAAKLFPGSPAIYKLKEQLLDCEGEDGWNKLFDLIQSELYVRPDDVHVNIRLVEVYRSTKRLKDAVAHCHEAERNIALRSSLEWNSCVVQTLKEYLESLQCLESDKSDWRATNTDLLLAYANLMLLTLSTRDVQESRELLQSFDSALQSVKSLGGNDELSATFLEMKGHFYMHAGSLLLKMGQHSSNVQWRALSELAALCYLIAFQVPRPKIKLIKGEAGQNLLEMMACDRLSQSGHMLLNLSRGKQDFLKEIVETFANKSGQSALYDALFSSQSPKDTSFLGSDDIGNIDVREPELEDLTRYDVGAIRAHNGSLQHLTWLGLQWNSLPALPGIRKWLKQLFHHLPHETSRLETNAPESICILDLEVFLLGVVYTSHLQLKEKCNSHHSSYQPLCLPLPVCKQLCTERQKSWWDAVCTLIHRKAVPGNVAKLRLLVQHEINTLRAQEKHGLQPALLVHWAECLQKTGSGLNSFYDQREYIGRSVHYWKKVLPLLKIIKKKNSIPEPIDPLFKHFHSVDIQASEIVEYEEDAHITFAILDAVNGNIEDAVTAFESIKSVVSYWNLALIFHRKAEDIENDALSPEEQEECKNYLRKTRDYLIKIIDDSDSNLSVVKKLPVPLESVKEMLNSVMQELEDYSEGGPLYKNGSLRNADSEIKHSTPSPTRYSLSPSKSYKYSPKTPPRWAEDQNSLLKMICQQVEAIKKEMQELKLNSSNSASPHRWPTENYGPDSVPDGYQGSQTFHGAPLTVATTGPSVYYSQSPAYNSQYLLRPAANVTPTKGPVYGMNRLPPQQHIYAYPQQMHTPPVQSSSACMFSQEMYGPPALRFESPATGILSPRGDDYFNYNVQQTSTNPPLPEPGYFTKPPIAAHASRSAESKTIEFGKTNFVQPMPGEGLRPSLPTQAHTTQPTPFKFNSNFKSNDGDFTFSSPQVVTQPPPAAYSNSESLLGLLTSDKPLQGDGYSGAKPIPGGQTIGPRNTFNFGSKNVSGISFTENMGSSQQKNSGFRRSDDMFTFHGPGKSVFGTPTLETANKNHETDGGSAHGDDDDDGPHFEPVVPLPDKIEVKTGEEDEEEFFCNRAKLFRFDVESKEWKERGIGNVKILRHKTSGKIRLLMRREQVLKICANHYISPDMKLTPNAGSDRSFVWHALDYADELPKPEQLAIRFKTPEEAALFKCKFEEAQSILKAPGTNVAMASNQAVRIVKEPTSHDNKDICKSDAGNLNFEFQVAKKEGSWWHCNSCSLKNASTAKKCVSCQNLNPSNKELVGPPLAETVFTPKTSPENVQDRFALVTPKKEGHWDCSICLVRNEPTVSRCIACQNTKSANKSGSSFVHQASFKFGQGDLPKPINSDFRSVFSTKEGQWDCSACLVQNEGSSTKCAACQNPRKQSLPATSIPTPASFKFGTSETSKTLKSGFEDMFAKKEGQWDCSSCLVRNEANATRCVACQNPDKPSPSTSVPAPASFKFGTSETSKAPKSGFEGMFTKKEGQWDCSVCLVRNEASATKCIACQNPGKQNQTTSAVSTPASSETSKAPKSGFEGMFTKKEGQWDCSVCLVRNEASATKCIACQNPGKQNQTTSAVSTPASSETSKAPKSGFEGMFTKKEGQWDCSVCLVRNEASATKCIACQCPSKQNQTTAISTPASSEISKAPKSGFEGMFIRKGQWDCSVCCVQNESSSLKCVACDASKPTHKPIAEAPSAFTLGSEMKLHDSSGSQVGTGFKSNFSEKASKFGNTEQGFKFGHVDQENSPSFMFQGSSNTEFKSTKEGFSIPVSADGFKFGISEPGNQEKKSEKPLENGTGFQAQDISGQKNGRGVIFGQTSSTFTFADLAKSTSGEGFQFGKKDPNFKGFSGAGEKLFSSQYGKMANKANTSGDFEKDDDAYKTEDSDDIHFEPVVQMPEKVELVTGEEDEKVLYSQRVKLFRFDAEVSQWKERGLGNLKILKNEVNGKLRMLMRREQVLKVCANHWITTTMNLKPLSGSDRAWMWLASDFSDGDAKLEQLAAKFKTPELAEEFKQKFEECQRLLLDIPLQTPHKLVDTGRAAKLIQRAEEMKSGLKDFKTFLTNDQTKVTEEENKGSGTGAAGASDTTIKPNPENTGPTLEWDNYDLREDALDDSVSSSSVHASPLASSPVRKNLFRFGESTTGFNFSFKSALSPSKSPAKLNQSGTSVGTDEESDVTQEEERDGQYFEPVVPLPDLVEVSSGEENEQVVFSHRAKLYRYDKDVGQWKERGIGDIKILQNYDNKQVRIVMRRDQVLKLCANHRITPDMTLQNMKGTERVWLWTACDFADGERKVEHLAVRFKLQDVADSFKKIFDEAKTAQEKDSLITPHVSRSSTPRESPCGKIAVAVLEETTRERTDVIQGDDVADATSEVEVSSTSETTPKAVVSPPKFVFGSESVKSIFSSEKSKPFAFGNSSATGSLFGFSFNAPLKSNNSETSSVAQSGSESKVEPKKCELSKNSDIEQSSDSKVKNLFASFPTEESSINYTFKTPEKAKEKKKPEDSPSDDDVLIVYELTPTAEQKALATKLKLPPTFFCYKNRPDYVSEEEEDDEDFETAVKKLNGKLYLDGSEKCRPLEENTADNEKECIIVWEKKPTVEEKAKADTLKLPPTFFCGVCSDTDEDNGNGEDFQSELQKVQEAQKSQTEEITSTTDSVYTGGTEVMVPSFCKSEEPDSITKSISSPSVSSETMDKPVDLSTRKEIDTDSTSQGESKIVSFGFGSSTGLSFADLASSNSGDFAFGSKDKNFQWANTGAAVFGTQSVGTQSAGKVGEDEDGSDEEVVHNEDIHFEPIVSLPEVEVKSGEEDEEILFKERAKLYRWDRDVSQWKERGVGDIKILWHTMKNYYRILMRRDQVFKVCANHVITKTMELKPLNVSNNALVWTASDYADGEAKVEQLAVRFKTKEVADCFKKTFEECQQNLMKLQKGHVSLAAELSKETNPVVFFDVCADGEPLGRITMELFSNIVPRTAENFRALCTGEKGFGFKNSIFHRVIPDFVCQGGDITKHDGTGGQSIYGDKFEDENFDVKHTGPGLLSMANQGQNTNNSQFVITLKKAEHLDFKHVVFGFVKDGMDTVKKIESFGSPKGSVCRRITITECGQI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSSSDGGSSRVSIPYHLRKTLQKIREYTGKQHSDEDIFAVYKDSFNDPHETAQKLLFLDTFHEVRSKREKKKEPIVPVTQPSGRGGRRNFASSNSYQGSGRNASFKRENGANHVTRGSRTAQPATNKASNITVPNETKVSGPASIPSEVSNHKAQDDPSLISASRCSSKSDQAIEIETASKQGKNQSLPKPDVSEQSHVTFPFHLQVAKGLQNGLTFGSFDSNFVKEVSSSNGASGGYDSNFESSHGTGDDERESSPTTNGITGVASAREETSTVSEDKDYGISNSATGAEPVVHSDHIVPPVEEVPKEEALSNTETHQIAYGQEAPLSVFGLVPSLSAIGQPVNTEAAETQPGNSNSPPISLVSYPPDQSSIAAATQQTNFLRQQYPPNFFPYGYYSPYYMPPPYIHQFLSPNGIPQQSYFPQGAALTAPSHAKPVDNTENPPTTNPYLHTSPMVASSIPSTTTLNSIHSEEKASHLTESAAAWIGQGFGNLQVNPMYNLAYQGQPLGFPVVQAGHGGLMGMHQPTQPMAAASTTYQTLPPPPHTTTAMGEPIGHPHIAYQQPQAALTNWVNNY", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFRRARLSVKPNVRPGVGARGSTASNPQRGRESPRPPDPATDSASKPAEPTDVPTVDFGGAEPQEKAPRSSTEKTGGDNDVEESSRSSSTVSQRRKRISSTSSLVKSSVSVPSESHPLSTINQEAPQPTATSTKEKQPCSDRYRIYKAQKLREMLKEELRKEKKQWKNKYAINESQRPPDRSKMTMRDFIYYLPDNNPMTSSLEQEKKTEKPSTPVQTREQEGKSTPNAEDNEMEEETDDGPLLVPRVKVAEDGSIILDEESLTVEVLRTKGPCVVEENDPIFERGSTTTYSSFRKNYYSKPWSNKETDMFFLAISMVGTDFSMIGQLFPHRARIEIKNKFKREEKTNGWRIDKAFQEKRPFDFDFFAHLLQKVLAEEEKRKQKSVKNHSLKEKKSTKPRKNVKVKKVACEGVNNDPDESMSSRISDTERSQKDAQTVEEESLTLSREDAEQVALEVDLNQKKRRRKKQDGANELGVNNLLENATVQAGPSKGEKHKNKCQAIRPELKEGECSKEQMLSCTQNIDGIVGFASTEKVEKRTDPILSLSNQQDATSVATESSESSTSDLPSFEVGIRALCEVNNAEGSCIEERNVDLKNNSLEIDQTENVKPMLRGRFQRPKPNLSRAGKKSVLSQGKTESESKNSHSKTSVEKNHVEKDKMNTLDILRMETTERENPEAETVSVLGEKNCLQEGSQLKALRPVQVRGRLQKPKPNAGKAAERKEILISQEEIGANVEKNENESCADRDTPQHMEDQSRKDFEEEDVILQPEKNDSFQNVQPDEPKVLNECLSVQENNKANKLNQVPILRTRFQKPKPNIGRGTGRREISSKEEVLEKILVSGEMAAALRETVRLDTSPKEMVPAEINTKEMQSDLKETGRRAISPREKILDVIDDTIEMETGLKAMGREICLREKTPEVIDATEEIDKDLEEAGRREISPQKNGPEEVKPLGEVETDLKATGNESSPREKTPEVTDATEEIDKNLEETGRRKISPRENGPEEVKPVDEMETDLNATGRESSPREKTPEVIDATEEIDLEETEREVSPQENGLEEVKPLGEMETDLKATGRDSFPRGKTPEVIDAIEEIEIDLEETEREISPQENGLEEVKPLGEMQTDLKATGREISPREKTPEVIDATEEIDKDLEETGRREISPEENGPEEVKPVDEMETDLKTTGREGSSREKTREVIDAAEVIETDLEETEREISPQENGPEEVKPVGKMETDLKEIREEISQREKVLAEFSAIREKEIDLKETGKRDIPIMEKVSGKMAVVEEMEADLKETGKENFRERGSEEICVTEEKVAELKQTGKTDISPRENELEETSTSRQTDTHLMQSGSNDFSAVPSLDIQNISSEVLSMMHTPVEEKRNSEKEVSSHFSHFKISSQTHESDKTEVQGIQSPDVPEQFSDINLSKSLPQEQKPLEIKPAPFVRSRFKRPKPNLARAALKRETTESEKYIYEKKSETKKMETIVMQENNEQTDTLPSQHDEASLMISREKDTLGHRNEEAVILPCTQTERNLSPSNSCEPKEESQSAPVQKNDSVVSVGTNNVNTFQQEMKESVIQTARQVRGRLQRPRPNIRKTGQRQIVDKGEAKGIIKEGRTILPKDETEKKVLTVSNSQIETEIEVPSSAVPEHRMYENQSQVVLVENLHVNKTNETIRHENKPYVPSSAQMTRRKFQKAKPNLGRAHSKKEEPVLEKVTTDQSKEGKPEDHLLQKGASNTQLLLKEKAELLTSLEVSARKDCVGSKESALAKIDAELEEVGPSRRVGEETVGDNSPSSVVEEQYLNKLTSCPQPLNETSYSKIALDGKTTISSTSEYERNRGERRSHKKFKPNVTRGRGSKRVRGKTSKKEPRASKAMLVTLRASQEEDDDADDFESDYEEESYHLAPEEVNKAPVFVPVGLRSPEPVSAQIEETMEELEITVNVPDVGCIAVVEHELPNTDVTTEEMKQEENLSVPFEMTTSEHIQDEPGTNDGSTEAAITLLTMGDLVLQSEISSEQGDVGVCIIPHVHSKDKSHIPSSLDNVNHKIVHECQELSSPVITTSPASFEENKIVLEEQSSREEISLMEKVKENATPTRNTISKVTSNLRIRSRLAKPKPNLEKTLGTNRLDDYQEVSSLCVTKGAEMETQRETEKNASKATELENKNLGPVTTAENKDQSKLACVHGIKGTSISSEVNLTERNENQEESSQEVHMLSVAPVASSETGPCTLGLDRGLGENSVEEPQIKDSKGDSVLTLPVPEYTPTSIPEVQQENIINPQDLTVNLVANVPQDGEDEQAFILTLVEIPANAVEEFTDATAQFMPNPLLPAPILVKSVNTEERGDMSICLPATSVGQDAMGLSISGRDNSKKPPDNLDLVSRKRFQCRLDKNDHIPPAKKRSLTLRDDCQEYTTEVHSKELTNVFEETGESHKGQDIFLTSGSTLTTPEPQRQQVEAAFQSRGSRSPDACMDKNVPQLPQDEMIVSDKEERTDAAPKSQQMDSRTSSSKASLSRPGRRPLGFLSLICSKNSLESDEPMQVHSKKRLKPLIPGLRKKLKRSNPFNESQEKNRESSDLLPSPSVITTQSENISSSATQVSCDQPLLKEGYKSAQKRAPQGEATTVSEYFFNDIFIEVDETE", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVGSRVYVGGLPYGVRERDLERFFKGYGRTRDILIKNGYGFVEFEDYRDADDAVYELNGKELLGERVVVEPARGTARGSNRDRYDDRYGGRRGGGGGRYNEKNKNSRSSSRYGPPLRTEYRLIVENLSSRVSWQDLKDYMRQAGEVTYADAHKQRRNEGVVEFASLSDMKTAIEKLDDTELNGRRIHLVEDRRGGRSGGGGGSGRGRSRSSSSRSRSRSRRRSRSRRSSHSRSKSRSRSKSRGGRSKSKSPVKSRSRSRSRSNKSRDVSKSKSKSHSRTRSRSPKRERDSRSRSRSVSKRESRSRSRSKSIHRDSRSRPPTVSFKSSFYKFTTMPFFCSDRSASAENKSRSRSRSRSASPKNGNASPDRNNESMDD", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSAAGLLAPAPAQAGAPPAPEYYPEEDEELESAEDDERSCRGRESDEDTEDASETDLAKHDEEDYVEMKEQMYQDKLASLKRQLQQLQEGTLQEYQKRMKKLDQQYKERIRNAELFLQLETEQVERNYIKEKKAAVKEFEDKKVELKENLIAELEEKKKMIENEKLTMELTGDSMEVKPIMTRKLRRRPNDPVPIPDKRRKPAPAQLNYLLTDEQIMEDLRTLNKLKSPKRPASPSSPEHLPATPAESPAQRFEARIEDGKLYYDKRWYHKSQAIYLESKDNQKLSCVISSVGANEIWVRKTSDSTKMRIYLGQLQRGLFVIRRRSAA", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEKEKKDDEKPDLENSVDFSEQFNQLELLKTHGHLIPTGTQSLWVGNSDEDEEQEEKNEEWYQLQEKKMEKDPSKLLLWAAEKNRLATVQRLLSEKAAEVNTRDEDEYTPLHRAAYSGHIDVVRELVAKGADVHAVTVDGWTPLHSACKWNNTKVASFLLQHDADINAQTKGLLTPLHLAAGNRDSRDTLELLLMNRYIKPELKNNSQETASDIARRTSIYHYLFEIAEGCTNSSPPS", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAVQTFPNEIVFFDLETNVPNKAGQHFHILEFGAIIVCPKKLEELESFTTLIQPKDLSVVSIRSSRSDGITRAKVTNAPSFEDVAEKIHGLLNGRIWAGHNIRRFDCVRIKEAFAEIGKAAPEPSGIIDSLGLLSDKFGKRAGNMKMASLAAYFGLGVQKHRSLDDVRMNLEVLKHCATVLFLESTLPNHLEGKWHTSSKIMTRSRRNYQIAQRAMPYSKGSLEKMTQNVKNLLSKAQGNQTLQSLINHSHSLLR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLPCKKRRLSVTESSQQQDDQEGDDLDLEAAVKPDTDQLPDSASESLSWGQSQDSAVCPEGLSMQDGDDQLRAEGLSLNSKMLAQHVNLAVLEAVDVAVSQEIPLPSLESSHSLPVHVDKGRLQVSASKKGKRVVFTPGQVTREDRGDHPVPEEPPSGEPAEEAKTEGGELELRSDGEVPLLSSSSQSAKPGAQPRKSVQPDGSAFPQDKPLGPLVRQAEEEMEDGGLFIPTEEQDSEESDKKKKTKKGTKRKRDGKGPEQGTMVYDPKLDDMLDRTLEDGAKQHNLTAVNVRNILHEVITNEHVVAMMKAAISETEDMPLFEPKMTRSKLKEVVEKGVVIPTWNISPIKKASEIKQPPQFVDIHLEEDDSSDEEYSPDEEEEDETAEESLLESDVESTASSPRGVKRSRLRLSSEVAETDEESGMLSEVEKAATPALRHISAEVVPMGPPPPPKPKQSRDSVFMEKLDAVDEELASSPVCMDSFQPMEDSLIAFRTRSKMPLKDVPLGQLEAELQAPDITPDMYDPNTADDEDWKQWLGGLINDDVENEDEADDDDDPEYNFLEDLDEPDTEDFRTDRAVRITKKEVNGLMEELFETVQSVVPSKFQDEMGFSNMEDDGPEEEERATESRPSFNTPQALRFEEPLANLLNERHRTVKELLEQLKMKKPSVRQQPEVEKLKPQEEAAHQTLVLDPAQRSRLQQQMQQHVQLLTQIYLLTTSNPNLSSEASTTRIFLKELGTFAENSIALHQQFNPRFQTLFQPCNWMGAMRLIEDFTQVSIDCSPHKTAKKTASEFPCLPKQVAWILATNKVFMYPELLPICSLKANNPRDKTIFTKAEDNLLALGLKHFEGTEFPKPLISKYLVTCKTAHQLTVRIKNLNLNRAPNNVIKFYKKTKQLPVLVRCCEEIQPHQWKPPFEKEEHRLPFWLKASLQSIQDELRNISEGATEGGSVTTATESSTDQHLQKASPALGDEPQYPLLLPKGVVLKLKPGSKRFSRKAWRQKRPLVQKPLLIQPSPSVQPVFNPGKMATWPTQSEVPPSNTVVQIPHLIQPAAVLQTLPGFPSVGVRGEDGFESPTALPAMPCGSEARTTFPLSETQSAPPSCSAPKLMLPSLAPSKFRKPYVRRKPTRRKGAKVSPCVKPAPIIHPTPVIFTVPATTVKVVSIGGGCNMIQPVSAAVAPSPQTIPITTLLVNPTTFPCSLNQPLVASSISPLIVSSNPLTLPVTSIPEDKAQVKLDVAEGKNAPQNPESKLKPQELTPLCTTVFSKEEPKSWHSSADTGSQEAFSESSACSWAVVKTESQEGSSEKSACGWTVVKTEDGGHAVEPLPQNLQDSLSSSSKDLLNMVKMEAQDCMVEISSNFPKQDIGEEVKEECSMELDSESPQEKPSSASEMSKQTVLQREDMQAAKSPSVPQDAAAEGRTSSHASRGLPKSTLSSMGQGGGLSGPPGKLEDSANADGQSVGTPAGPDTGGEKDGPEEEEEEDFDDLTQDEEDELSSASEESVLSVPELQETMEKLTWLASERRMSQEGESEEENSQEENSEPEEEEEEEAEGMETLQKEDEVNDEAVGDAAKKPPSTLASPQTAPEIETSIAPAGESIKAAGKGRSSHRARNKRGSRARASKDTSKLLLLYDEDILDRDPLREQKDLAFAQAYLTRVREALQHTPGKYEDFLQIIYEFESSTQMHSAVDLFKSLQTLLQDWPQLLKDFAAFLLPEQALSCGLFEEQQAFEKSRKFLRQLEICFAENPSHHQKIIKVLQGCADCLPQDIAELKTQMWQLLRGHDHLQDEFSIFFDHLRPAASRMGDFEEINWTEEKEYEFDGFEEVILPDVEEDEEPAKVSTASKSKRRKEIGVQHQDKDTEWPEAAKDCSCSCHEGGPESKLKKSKRRNCHCSSKVCDSKPYKSKEPPELVGSGPLHEASTVPGSKEAGQGKDMLEEEILEEQENMEVTQSKTARTTRKGEAPAPGSTIGSTLLCPAEVTPMELLLEGPALCSAETPRLPPQTGAVVCSVRRNQAGPEVVSCLSTSSLPPEEGEDQRAAANSETIAPHREASETERLPETVEHSAPLPSPVSTRTRDTGRRHICGKAGSQSWLIESRAEAEAAHVAAPICEKSSGARASEAAPKTAREVLAEDSGTQGMGPEGALPKASEATVCANNSKVSSTGEKVVLWTREADRVILTMCQEQGAQPHTFSVISQQLGNKTPVEVSHRFRELMQLFHTACEASSEDEDDATSTSNADQLSDHGDLLSEEELDE", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLRKTNLRMGTADVYKQNKNQEEDMLTTERLKKGYQMPLTQYELQSLVFNASENKLIRLEKAQKRSQSMIASVIHKKGEFHMNYDRERKIPKEQLPCFAPHNWKRILSDRVKFFSALARGTPLQQLSQRIPGFRRKELLFLEFSDYNISYDRAIWCVKLLCILGFNSSSKTQKKTLLDVATLELSQACNKTTIWLVTQLYKSFRNPIKRREATKTWNYLTGFMKYMFDDGVLDKQEFLNDLTEVFNQLFLQKNFKNPSVLSTFMKYYVYFVEDLSSSLVLARRSSTLLCQALGFLFEMAQDKRKIDAYYDSANKTFIKKEFENEDGEIYEFEDYDDFIDMLDEIPDVPYFDETDKTPEAQAEELIKQHEKRKAGSLKKSERRRRRGLSKNRPKKMPQNPSNEASLDHDKVQIKQEPMDYDEYGGQQSDNSMDYDSFSHQYDPSYEPLPFKPLHTDIPKKLTDDEEFKYMKIGKRSVTPERISGRYGSMTYPFWIQQGGVGIDPPKDEVLDFSNPTPTETVNTQPQIDFESSPSASPALSVDKENECEKKEDESKTKEKNKDKEKDKEKEKSVDEHTNDLDVPINPDDAEMADANDKTDASEKQKLVEEEPTGKENEDDTSSKTAKTSTSAEKSEAPSIVDSNDKIDKEPNASSTSNDETSKDDTVPMESDPPAATEKPKESTEITTEEPLEVDKAPEVDKSEKEHEDDIMIIESNKKADEDDCVVIAVVDPDQEQTPESEKKKDGEEERDKNKDTDVADNEPEKIYTDEELAEIKIRKEKEMKIATLASHIKEKMVSDKKWKEKTSHAGWRTLDQCSQFSEALHLLSSMVQYMACVTPESFVWNDLSVQQEERRHRILPQLCGSPLDYLPCELHKLPVMEGVEEVVDCLRLRHCEIVRRSQAAEDRWLPNAAFLQSFGRIIDTCVDVIGIMDNIDVEKPNAITNAGLRLFAFREKFEKQEALLKTMLMFKWCITEEREGSFRATYIAKLLRFGMDQNPENTIGGWQVMDLFFKFMSTEGPKHGSKMYQAHFDSTVAIMIEMMREKIFSITDILRELEKDSDLDYNAPLMERQRKQKIPKISKRHRKPETPDDTKLVHFTTEYTPKRLFMGKKMDLLERMIIILPQLDVDEDTDEYRLRRLLLFGLKPAANVYFRRARAIYKSITKEFTTRLYIEFDRSSKVTTAHKKINQNRLDDLLRQFRAQTYHDQHLILERIVYNFIDGIGGFLKKNCDDVPAPEVANIICEMYEFSMDITSIFDFFEMVNPYLKAVDDKIAHFRMDVLPDMYYTETAFIFVSFFMKHWQRFLLHPRACAIVNQCFVLIQDMIRADDHMITCWGRTVAIFVFHARKAIANAGLQNEEFLAEDSHFWRVFPNAQHVDLDVGYFNEDFAGVKLQLRGGTLRYDSYNDFKWLVSNMKPNLKKKPHLKRPNTRYSFVVRAFMEARQHGRNFDRINELANYCANITANDPPLSEYWIGAIKGLCFLSLDAPYPFKEMSQQIDISDCSTHYSLTTFITCLAGKSAFYIPRLLAELTKHVFPLMLRHDGRLTSQKTHDVKRKVASKTTESRTLSEAEPGVCLCLLIICGLCCVGDEPFGLSVHYRGIEKKKKRFNNTADERIMHLFHWFEMDHAMFRTLGHISQLLEALQSRCRDANLVLPKNFPIKNPPKHQQELHREKAPYRPQYLFNIAKTVQFVICEQDWVTLRMFRFFQTRKMEAFNQDKLKQNCLGQQILRMALRRRTERENVHKLFEAHKISKKATVDKVLSFMNLWNFRATLFDLMLMIKEISPDGNSRHAQQGAIAADALMSEIGKCCRDMFLSAYKTKIKMPIAKTLTDFRLSDINKFWLIAPLVRMCPKPINIPPQYANTTVGTVAAKFLREAALLMDTPPTTPKERLLQCSWAMSKVPFINMILTCLQCEKMQQSKDVFLQSLYTQLQRETLRDHHRRSNWTNRREHRDCTIFRITLIGYIYKEILKATHVETWGLLIFQLMFHGIINPVREKLIYETCFDMLHHMVLWTLVDGDSMNQHDRYGSIRVRWPQYAGLMKKIRKEMQERFTDQTRNSLHRFLPIGKMQMSTISYKKYQKRPKVNQKMSKKFLAGEGLKNGKYSFLPEEKAKTNAFEHTDHLGDLIVKGGWKFRMFQTTRLDKVAKNVQNVLRSNMHHTHVLEFNRPQLLMSDNMFDDIFLAPPDIEITKIIEQPVPVIDEEEAKKRAEEEKEAAEKKEESKNAEDEKNKNNAENKKDTKEGEKGKSKDKEKDGEKEKCKDASKKDDVTSEKNELEKRASDAAAATNAPETNKDMDTSTPKPAPVTRSPATRGRGGGRKRNSGARGGGPRAKRANSRADTAQAAAATTQWNAPIANTSNPAAGGNFHAAMRGNQPPMSNGSSDETKVHIRNLLNRKKEEKRNSLADASAAAAAANSNAMGNTSSMPPSGPPMPMGSSMQSAGATQQLQGMQKHQMGGSMSGMNQNMGGMNQSMSHQAPPPYSSTNEMNRPLMNQYGGPHFAAPNPGPLNRSSGPVSSETRQQIMEQQMREKLAAHHQLVEQQKQRDAREREAREREAREHQERMQREAYMKEQQLLERKRAIEENNRIMEEQQREREMEAARKEAARRAAEEAYAAEQQRLELLRRQEEERLRKEAEERMRIQRENEERVRQEQMRLEAEERERIRRAEEERIQKELEDKVRREKEEAARQEKERQEQEARMREAREAELSRQRMEQQRRSQQNPYMNQQGQYSQQPPPSYQQSSYPNNYQPGQQGNQPPNYQQPSHQSMQQGHQAGYQQTSNQMQMNMQQQQNRQQGGPQQSFSGPGGINQPSQPGYSGYNQQGGQGQQGQMQQQRNPFGNQQDMQQPGAAKLMHAKPNEAHAQQYQHTQNQLSLAQKEKEKQYFQAKNLQASQANAQQQQQRFGDVVAGNVAGYGRPYGQQQLGASDQMGTSQLPGASTSRMNQGSSNPQGGMQSYQQQQPVLGQPGPIQTGQSTQQQIPAQSQQQYNSGRPQMHTTPTKNDMSARAPSGAMGQIANRMGHGTNPQGYGSTGQNVPGGYQQGQQQSGQGSYPQAQQQQPNQYSGSNQQVGQQAQQQQQQPLNQNVSQSQSAAQFGRPSQDSAYQQSGYNQTGNQSYQRPDQQQQGAQQNQWSGSNQAQNQLRSQQQAQQPLQQPQQSQQFQQPAQQAKNPMAQSAQYGGFGGQQQGYDQQQQGQIAPQQAQNPQASQSYGQQQTQQNRYGMGSSGYTANSGGSSNILNQSMEESGLNQGFSGASSNASSQQGGSSQMQQSGYGMPGNQMQMQQNQKQQVQRGMPTGMGQTNMGQSGMGQSGMGQTGMSRSGLGGGIGQQGQQSQQPQQPQVSQQQNQRGMNPGAQLPPYSTGQQQHQPQQSQISQQQQQQDQYRRMQAAQMQQQPTAQGQQNRMGMPSQQQSGAAYSNQMQFQGVRQGQQGMGGMGGSGQQQPQTQPHGSNQYYQQQQDQRMQQQPQQPGQQQQHGYGMGQYPNQQPPNQY", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKIPNIGNVMNKFEILGVVGEGAYGVVLKCRHKETHEIVAIKKFKDSEENEEVKETTLRELKMLRTLKQENIVELKEAFRRRGKLYLVFEYVEKNMLELLEEMPNGVPPEKVKSYIYQLIKAIHWCHKNDIVHRDIKPENLLISHNDVLKLCDFGFARNLSEGNNANYTEYVATRWYRSPELLLGAPYGKSVDMWSVGCILGELSDGQPLFPGESEIDQLFTIQKVLGPLPSEQMKLFYSNPRFHGLRFPAVNHPQSLERRYLGILNSVLLDLMKNLLKLDPADRYLTEQCLNHPTFQTQRLLDRSPSRSAKRKPYHVESSTLSNRNQAGKSTALQSHHRSNSKDIQNLSVGLPRADEGLPANESFLNGNLAGASLSPLHTKTYQASSQPGSTSKDLTNNNIPHLLSPKEAKSKTEFDFNIDPKPSEGPGTKYLKSNSRSQQNRHSFMESSQSKAGTLQPNEKQSRHSYIDTIPQSSRSPSYRTKAKSHGALSDSKSVSNLSEARAQIAEPSTSRYFPSSCLDLNSPTSPTPTRHSDTRTLLSPSGRNNRNEGTLDSRRTTTRHSKTMEELKLPEHMDSSHSHSLSAPHESFSYGLGYTSPFSSQQRPHRHSMYVTRDKVRAKGLDGSLSIGQGMAARANSLQLLSPQPGEQLPPEMTVARSSVKETSREGTSSFHTRQKSEGGVYHDPHSDDGTAPKENRHLYNDPVPRRVGSFYRVPSPRPDNSFHENNVSTRVSSLPSESSSGTNHSKRQPAFDPWKSPENISHSEQLKEKEKQGFFRSMKKKKKKSQTVPNSDSPDLLTLQKSIHSASTPSSRPKEWRPEKISDLQTQSQPLKSLRKLLHLSSASNHPASSDPRFQPLTAQQTKNSFSEIRIHPLSQASGGSSNIRQEPAPKGRPALQLPDGGCDGRRQRHHSGPQDRRFMLRTTEQQGEYFCCGDPKKPHTPCVPNRALHRPISSPAPYPVLQVRGTSMCPTLQVRGTDAFSCPTQQSGFSFFVRHVMREALIHRAQVNQAALLTYHENAALTGK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSAKNAELKKTNLKKNYKAVCMEPKLTQIYDFKGFKQEGLLIRKGMTRELKNELREVREQLTEKMEEIKQIKDIMDKDFDKLYEFVEIMKEMQQDMDEKMDVLINNQKNNKLPFQNQAKEQQKFWQLGKMDKSSQAMITEEPDGVPLACDKNVVPPKPTRNPLESLHPCQSCCEKCLLCALKTNRNQGRPSHHAWVPFSPLSSGAAF", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MESTLSASNMQDPSSSPLEKCLGSANGNGDLDSEEGSSLEETGFNWGEYLEETGASAAPHTSFKHVEISIQSNFQPGMKLEVANKNNPDTYWVATIITTCGQLLLLRYCGYGEDRRADFWCDVVIADLHPVGWCTQNNKVLMPPDAIKEKYTDWTEFLIRDLTGSRTAPANLLEGPLRGKGPIDLITVGSLIELQDSQNPFQYWIVSVIENVGGRLRLRYVGLEDTESYDQWLFYLDYRLRPVGWCQENKYRMDPPSEIYPLKMASEWKCTLEKSLIDAAKFPLPMEVFKDHADLRSHFFTVGMKLETVNMCEPFYISPASVTKVFNNHFFQVTIDDLRPEPSKLSMLCHADSLGILPVQWCLKNGVSLTPPKGYSGQDFDWADYHKQHGAQEAPPFCFRNTSFSRGFTKNMKLEAVNPRNPGELCVASVVSVKGRLMWLHLEGLQTPVPEVIVDVESMDIFPVGWCEANSYPLTAPHKTVSQKKRKIAVVQPEKQLPPTVPVKKIPHDLCLFPHLDTTGTVNGKYCCPQLFINHRCFSGPYLNKGRIAELPQSVGPGKCVLVLKEVLSMIINAAYKPGRVLRELQLVEDPHWNFQEETLKAKYRGKTYRAVVKIVRTSDQVANFCRRVCAKLECCPNLFSPVLISENCPENCSIHTKTKYTYYYGKRKKISKPPIGESNPDSGHPKPARRRKRRKSIFVQKKRRSSAVDFTAGSGEESEEEDADAMDDDTASEETGSELRDDQTDTSSAEVPSARPRRAVTLRSGSEPVRRPPPERTRRGRGAPAASSAEEGEKCPPTKPEGTEDTKQEEEERLVLESNPLEWTVTDVVRFIKLTDCAPLAKIFQEQDIDGQALLLLTLPTVQECMELKLGPAIKLCHQIERVKVAFYAQYAN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDTKHFLPLDFSTQVNSSLTSPTGRGSMAAPSLHPSLGPGIGSPGQLHSPISTLSSPINGMGPPFSVISSPMGPHSMSVPTTPTLGFSTGSPQLSSPMNPVSSSEDIKPPLGLNGVLKVPAHPSGNMASFTKHICAICGDRSSGKHYGVYSCEGCKGFFKRTVRKDLTYTCRDNKDCLIDKRQRNRCQYCRYQKCLAMGMKREAVQEERQRGKDRNENEVESTSSANEDMPVERILEAELAVEPKTETYVEANMGLNPSSPNDPVTNICQAADKQLFTLVEWAKRIPHFSELPLDDQVILLRAGWNELLIASFSHRSIAVKDGILLATGLHVHRNSAHSAGVGAIFDRVLTELVSKMRDMQMDKTELGCLRAIVLFNPDSKGLSNPAEVEALREKVYASLEAYCKHKYPEQPGRFAKLLLRLPALRSIGLKCLEHLFFFKLIGDTPIDTFLMEMLEAPHQMT", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MIRNSSISDALPVALGGNEEESPLLMLVQLPKEFLEGYLSGTITDVSLECSDVGTSILANDRSYKCTSVPETAPHEIYRLVDSNSLQLVGRVSQKLQLRRELDSLTAERVKNRTQEAQKEKEEKRIVTLQNDGGSKNTTARKQKQLKKNGLRPLNTSASRIGLSSSPTNTPSPNLPVSQPSASPHYSGDKNSAKGIDLRTRVIQLLAIAPETEDFLRLRTKASLSKLQALLPEVAWKNNMNQWELLNPVYKDVRVFDWRPYSIADRNAVLSRMSNAFDNMQLPPDAPERSLLVSKQKNITKLNNEKRIPPQLAQPTSHVPSFIDTNSPSMPSISSVSSYQQQHRIPKLNASNYSPLLSPSSHRKTSGNLSRTGSESSAVSLSDTTNLNTPISDIPSPGSSTTCSNLSSPHIKRKSRSPPQSLPSTPFPTSSSSTNGTLEPNANSPKKNEQDAWIAKKRRQQRYTTEEMRALAKRFRETYPRYKNLYLKVSSYYDNNDTNNPNLNKLQDELISLHSQLKSWKNTLYDASSELAL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDSKEYLISYGWKEGEAFREGGLKRPILVKHKRDKKGLGNAPGGNDGEAWWERLFDGHLKNLDVSTDSNNGSIKFTQNEAVATAVSKSSSPLYRWFVKGEGLKGTITNLGKKEEASFVVSSASSSKGKKRRRRDEDDNKVKRKKLKKDKKTSNDSESKKKKKKKSKKESKKGKKSKHSSDEGDKSKHKKSKKSKKHKKEESSARRDRKEHI", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAYERFGIILQSEQYDEDIGNTKVNQKMNEGNHYDLHRKNAFDRIIHSESKKGDNVINYNIHENDKIKAADNIFSSKLKMNPNMSYEMNINCFKNIGYGENQETSKVLTNSLSNNDINTEESGVVDKNSPFLTLGTTILNSNGKSRRWEQKLVHIKTMEGEFSVTMWASGISDDEYSGSDQIVGASDLLKGKEEFGIDGFTSQQNKEYQKMESKFTNAQTLEMPHPISSVQIMDHLIKERGNLSQENNISERILSKTTLSFEEPILLPDSSSIELVNETAAMTINNHRTLSNHTGNTGDLHALPSSVPFRIGLHEGQVNDCLSTISQSTHQDNTDSTGCGEMNLSEVTVSYTNDKKIACPHKGCNKHFRDSSAMRKHLHTHGPRVHVCAECGKAFVESSKLKRHQLVHTGEKPFQCTFEGCGKRFSLDFNLRTHVRIHTGDRPFVCPFDACNKKFAQSTNLKSHILTHAKAKRNTSISGKSGCSNAESNSQSEDTSANYVKVELQDSVTENHVPFVVYAD", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRIRRRDEKENQEYKKGLWTVEEDNILMDYVLNHGTGQWNRIVRKTGLKRCGKSCRLRWMNYLSPNVNKGNFTEQEEDLIIRLHKLLGNRWSLIAKRVPGRTDNQVKNYWNTHLSKKLVGDYSSAVKTTGEDDDSPPSLFITAATPSSCHHQQENIYENIAKSFNGVVSASYEDKPKQELAQKDVLMATTNDPSHYYGNNALWVHDDDFELSSLVMMNFASGDVEYCL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSAIDSCEHTVSWKLCEQKYNEATTLLSKLKKLLGNFKENCTSVVVDGHKKPRSESRKKYDAKKQHQSSHFATPVKGVESSEPTEKKKRNKEALWLRARQKKWKEIFELCQKITSLLGGTILIDVSFSMEKDVLTYLWMRVHYQVISFFKHRIYEASTQHDPELLSSLVTMHIQYLNSTIQFYTTLIAIIGELYHLQCLSPLTSFFTSCVTPKTILESPLRKQGSHNWKTSTNSQSRLAALFSSIFEDSCLEVDSVKRLLSGSPSSSSSPLKKDSSSNSLTYEPALTDHKPQYLVLCVYRSLIYIGDVHRYLAEVRSPNVPDYQVSRRYYVMAANVAPDYGVHFHQLGLIEVADARSSSRKSSSGQSSSLKGNVNVEDKRLIQALPAISFFFLSSISPNNVAASSAKTSLFIALKRCFGKTNDGSNPCLYHKESSAISLFLRLYAIAFSNTDADYIQDSGPLFKRVRFLLSESLKSGLFSESSLLQMTYCALAARVLAPFIGFSDSGEHGESYPNLKLATQTTTMFFEVLSDSVNSQLPLQALTSGVSSKKDGNFDDLVERRQYGSLSSNAVLMPMLLPLCVLTSSCLQNGDVWLTKDIRTGLSQIFNRLSFFLENTNQTAPDDSVLVRCSSKSIGLLFFFPLVKVCGVPRDTLQWLYFSNHYPFNEEGKGPQVDDSDALITIALDSLYVLLNMRAKSTPNSTSFSSPPTPHRSPFSGQAFTGMGLSNYSLMSSSSFPSAQSSPTSPFLSGTPSIANQSSSIASLQFGRMVDSLVGPVQPIPAQTTGCSVHSLQQRTFSNESPRAVDSGFSRTSTPFSESTSSYPLVSGNLSSCETHLNGSPQNGHLQGERVLFRPLRSPALNTFSTPATEPPEHLVLSELLKKMVNISNN", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPVDLDNSSTVSGDASVSSTGNQNLTPKSVGKKKRNLPGMPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSTKEVRKKVYVCPVSGCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTKEYKCDCGTLFSRRDSFITHRAFCDALAEESAKNHTQSKKLYPETVTRKNPEIEQKSPAAVESSPSLPPSSPPSVAIAPAPAISVETESVKIISSSVLPIQNSPESQENNNHPEVIIEEASRTIGFNVSSSDLSNDHSNNNGGYAGLFVSSTASPSLYASSTASPSLFAPSSSMEPISLCLSTNPSLFGPTIRDPPHFLTPLPPQPAMSATALLQKAAQMGSTGSGGSLLRGLGIVSTTSSSMELSNHDALSLAPGLGLGLPCSSGGSGSGLKELMMGNSSVFGPKQTTLDFLGLGRAVGNGGNTGGGLSALLTSIGGGGGIDLFGSGEFSGKDIGRSS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRPGSTPPSRRKSRPPRRVSPPLPTTSRTSPRRPHAQQQRRASRASPKKRFRPGTRALMEIRKYQKSTELLIRKAPFSRLVREVCMTYACGMNYNWQSMALMALQEASEAFLVRLFEDSYLCSLHAKRVTLYVQDIQLARRIRGVNEGLG", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSAQSVEEDSILIIPNPDEEEKILRVKLEEDPDGEEGSSISWNHLPDPEVFRQRFRQFGYQDSPGPREAVSQLRELCRLWLRPETHTKEQILELVVLEQFVAILPKELQTWVREHHPENGEEAVAVLEDLESELDDPGQPVSLRRQKREVLVEEITSQEDAQGLPSSELDAVENQLKWASWELHSLRHCDDDATTENGALAPKQEMASAGESHEGPGTLNIGVPQLFKYGETCFPKGRFERKRNPSRKKQHICDECGKHFSQGSALILHQRIHSGEKPYGCVECGKAFSRSSILVQHQRVHTGEKPYKCLECGKAFSQNSGLINHQRIHTGEKPYECVQCGKSYSQSSNLFRHQRRHNAEKLLNVVKV", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAFLKLRDQPSLVQAIFNGDPDEVRALIFKKEDVNFQDNEKRTPLHAAAYLGDAEIIELLILSGARVNAKDSKWLTPLHRAVASCSEEAVQVLLKHSADVNARDKNWQTPLHIAAANKAVKCAEALVPLLSNVNVSDRAGRTALHHAAFSGHGEMVKLLLSRGANINAFDKKDRRAIHWAAYMGHIEVVKLLVSHGAEVTCKDKKSYTPLHAAASSGMISVVKYLLDLGVDMNEPNAYGNTPLHVACYNGQDVVVNELIDCGAIVNQKNEKGFTPLHFAAASTHGALCLELLVGNGADVNMKSKDGKTPLHMTALHGRFSRSQTIIQSGAVIDCEDKNGNTPLHIAARYGHELLINTLITSGADTAKRGIHGMFPLHLAALSGFSDCCRKLLSSGFDIDTPDDFGRTCLHAAAAGGNLECLNLLLNTGADFNKKDKFGRSPLHYAAANCNYQCLFALVGSGASVNDLDERGCTPLHYAATSDTDGKCLEYLLRNDANPGIRDKQGYNAVHYSAAYGHRLCLQLIASETPLDVLMETSGTDMLSDSDNRATISPLHLAAYHGHHQALEVLVQSLLDLDVRNSSGRTPLDLAAFKGHVECVDVLINQGASILVKDYILKRTPIHAAATNGHSECLRLLIGNAEPQNAVDIQDGNGQTPLMLSVLNGHTDCVYSLLNKGANVDAKDKWGRTALHRGAVTGHEECVDALLQHGAKCLLRDSRGRTPIHLSAACGHIGVLGALLQSAASMDANPATADNHGYTALHWACYNGHETCVELLLEQEVFQKTEGNAFSPLHCAVINDNEGAAEMLIDTLGASIVNATDSKGRTPLHAAAFTDHVECLQLLLSHNAQVNSVDSTGKTPLMMAAENGQTNTVEMLVSSASAELTLQDNSKNTALHLACSKGHETSALLILEKITDRNLINATNAALQTPLHVAARNGLTMVVQELLGKGASVLAVDENGYTPALACAPNKDVADCLALILATMMPVSSSSPLSSLTFNAINRYTNTSKTVSFEALPIMRNEPSSYCSFNNIGGEQEYLYTDVDELNDSDSETY", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MFRKARRVNVRKRNDSEEEERERDEEQEPPPLLPPPASGEEPGPGGGDRAPAGESLLGPGPLPPPPSAHHPGLGAEAGGGISGGAEPGNGLKPRKRPRENKEVPRASLLSFQDEEEENEEVFKVKKSSYSKKIVKLLKKEYKEDLEKSKIKTELNTAADSDQPLDKTCHAKDTNPEDGVVISEHGEDEMDMESEKEEEKPKAGGAFSNALSSLNVLRPGEIPDAAFIHAARKKRQLARELGDFTPHDSEPGKGRLVREDENDASDDEDDDEKRRIVFSVKEKSQRQKIAEEIGIEGSDDDALVTGEQDEELSRWEQEQIRKGINIPQVQASQPSEVNVYYQNTYQTMPYGASYGIPYSYTAYGSSDAKSQKTDNTVPFKTPSNEMAPVTIDLVKRQLKDRLDSMKELHKTNQQQHEKHLQSRVDSTRAIERLEGSSGGIGERYKFLQEMRGYVQDLLECFSEKVPLINELESAIHQLYKQRASRLVQRRQDDIKDESSEFSSHSNKALMAPNLDSFGRDRALYQEHAKRRIAEREARRTRRRQAREQTGQMADHLEGLSSDDEETSTDITNFNLEKDRILKESSKVFEDVLESFYSIDCIKAQFEAWRSKYYMSYKDAYIGLCLPKLFNPLIRLQLLTWTPLEAKCRDFETMLWFESLLFYGCEDREQEKDEADVALLPTIVEKVILPKLTVIAETMWDPFSTTQTSRMVGITMKLINGYPSVVNADNKNTQVYLKALLLRMRRTLDDDVFMPLYPKNVLENKNSGPYLFFQRQFWSSVKLLGNFLQWYGIFSNKTLQELSIDGLLNRYILMAFQNSEYGDDSIRKAQNVINCFPKQWFVNLKGERTISQLENFCRYLVHLADTIYRNSIGCSDVEKRNARENIKQIVKLLASVRALDHAISVASDHNVKEVKSLIEGK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKCNACWRELEGQAVSTTCGHLLCTEDAKKILSNDAACPICDQVLSKSHMRPVDTNPNDDWTNMSMAGVSPQILMKSAYRSVMFYIGQKELEMQYKMNRIVGQCRQKCELMQAKFTEKLEEVHTAYQKMAKKCQLMEQEVENLSRDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESAKRSAIQPANNYFPRAQPDLFSGVPNIMDSSDPLRQGLAGLPETPGRRDEGWAPPPRQRRSTSGPFELSAGSPAHNAAPPVDIRPRQPARPVFGTAMNNTSAALRNMIISPVKRPQLSRNRPHMFTL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTGLLKRKFDQLEEDDSSSSSSSSFSSRLSLSSFPASSASPAWNSDEEGPGGQAPQSDQDSCGLQSFTPPSILKRAPRERPGHVAFNGITVYYFPRCQGFTSVPSRGGCTLGMASRHSTCRLFSLAEFTQEQVRARREKLRRRLKEEKLEMLRWKFSVAGVPESGAGVPLTADAIDDASVEEDLAVAVANGRLEEANFLQPHPPRQRRALLRASGVRRIDREEKRELQVLRQSREDCGCHCDGVCDPETCSCSLAGIKCQMDHTSFPCGCCREGCENPNGRVEFNQTRVQTHFIHTLTRLQMEQGAESLGDLESPVEDTPVEQAALSPFPPSKPPVSSELGDSSCSSDMTDSSTTLSSGSSEPPNHPAHPSLPGPSFRSGVDEDSLEQILNFSDSDLGIEEEEEEGGGVGNLDNLSCFHLADIFGTGDPGSLASWTHSQSGSSLASGILDENANLDASCFLNSGLGGLREGSLPGSSGSPEGDAVQSSSWDLSLSSCDSFELLQALPDYSLGPHYTSRRVSGSPDSLETFHPLPSFSPPRDASTCFLESLVGLSEPVTEVLAPLLESQFEDAALAPLLEPVPV", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSIQTSDPNETSDLKSLSLIAAHSHITGLGLDENLQPRPTSEGMVGQLQARRAAGVILKMVQNGTIAGRAVLVAGPPSTGKTALAMGVSQSLGKDVPFTAIAGSEIFSLELSKTEALTQAFRKSIGIKIKEETELIEGEVVEIQIDRSITGGHKQGKLTIKTTDMETIYELGNKMIDGLTKEKVLAGDVISIDKASGKITKLGRSFARSRDYDAMGADTRFVQCPEGELQKRKTVVHTVSLHEIDVINSRTQGFLALFTGDTGEIRSEVRDQINTKVAEWKEEGKAEIVPGVLFIDEVHMLDIECFSFINRALEDEFAPIVMMATNRGVSKTRGTNYKSPHGLPLDLLDRSIIITTKSYNEQEIKTILSIRAQEEEVELSSDALDLLTKTGVETSLRYSSNLISVAQQIAMKRKNNTVEVEDVKRAYLLFLDSARSVKYVQENESQYIDDQGNVQISIAKSADPDAMDTTE", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAELAMGAELPSSPLAIEYVNDFDLMKFEVKKEPPEAERFCHRLPPGSLSSTPLSTPCSSVPSSPSFCAPSPGTGGGAGGGGSAAQAGGAPGPPSGGPGTVGGASGKAVLEDLYWMSGYQHHLNPEALNLTPEDAVEALIGSGHHGAHHGAHHPAAAAAYEAFRGQSFAGGGGADDMGAGHHHGAHHTAHHHHSAHHHHHHHHHHGGSGHHGGGAGHGGGGAGHHVRLEERFSDDQLVSMSVRELNRQLRGFSKEEVIRLKQKRRTLKNRGYAQSCRFKRVQQRHILESEKCQLQSQVEQLKLEVGRLAKERDLYKEKYEKLAGRGGPGGAGGAGFPREPSPAQAGPGAAKGAPDFFL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNILLQDPFAVLKEHPEKLTHTIENPLRTECLQFSPCGDYLALGCANGALVIYDMDTFRPICVPGNMLGAHVRPITSIAWSPDGRLLLTSSRDWSIKLWDLSKPSKPLKEIRFDSPIWGCQWLDAKRRLCVATIFEESDAYVIDFSNDPVASLLSKSDEKQLSSTPDHGYVLVCTVHTKHPNIIIVGTSKGWLDFYKFHSLYQTECIHSLKITSSNIKHLIVSQNGERLAINCSDRTIRQYEISIDDENSAVELTLEHKYQDVINKLQWNCILFSNNTAEYLVASTHGSSAHELYIWETTSGTLVRVLEGAEEELIDINWDFYSMSIVSNGFESGNVYVWSVVIPPKWSALAPDFEEVEENVDYLEKEDEFDEVDEAEQQQGLEQEEEIAIDLRTREQYDVRGNNLLVERFTIPTDYTRIIKMQSS", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSMSQSRAVQRSSSPNEDRGENQLVVYDLKGNDDTEEEVLPVQSQPLSSRTQCPSIGAFTVQCASCFKWRLMPSMQKYEEIREQLLENPFFCDTAREWKPDISCDVPADIYQDGTRLWAIDKPNISRPPAGWQRLLRIRGEGGTRFADVYYVAPSGKKLRSTVEVQKYLNDNSEYIGEGVKLSQFSFQIPKPLQDDYVRKRPARLLDSIDNTNTPVAKEANPLAWISPDDHISLQLGTPTESGLNNSHYQPSKKKKTSTLSIFGSNDELADR", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLVARSGCSRTLIRMGNDENLMVMVDVEEGEIPDSVNTEIEVKHKSTTTTADVGGDVDVGVVAGGRGGGGGGSNGNSRVWTMEELISQYPAYRPYANSGLSNLAWARAVQNKPFNEGLVMDYEPRESDKIVIEDSDDEKEEGELEEGEIDLVDNASDDNLVEKDTESVVLISADKVEDDRILKERDLEKKVKLIRGVLESTSLVEAQTGFEGVCSRILGALESLRELVSDNDDFPKRDTLVQLSFASLQTINYVFCSMNNISKERNKETMSRLLTLVNDHFSQFLSFNQKNEIETMNQDLSRSAIAVFAGTSSEENVNQMTQPSNGDSFLAKKLTSESTHRGAAYLRSRLPMLPLLDLHKDHDADSLPSPTRETTPSLPVNGRHTMVRPGFPVGRESQTTEGAKVYSYESDARKAVSTYQQKFGLNSVFKTDDLPSPTPSGEPNDGNGDVGGEVSSSVVKSSNPGSHLIYGQDVPLPSNFNSRSMPVANSVSSTVPPHHLSIHAISAPTASDQTVKPSAKSRDPRLRLAKPDAANVTIYSYSSGDARNLSKVELSADLVNPRKQKAADEFLIDGPAWKRQKSDTDAPKAAGTGGWLEDTESSGLLKLESKPRLIENGVTSMTSSVMPTSAVSVSQKVRTASTDTASLQSLLKDIAVNPTMLLNLLKMGERQKVPEKAIQKPMDPRRAAQLPGSSVQPGVSTPLSIPASNALAANSLNSGVLQDSSQNAPAAESGSIRMKPRDPRRILHGSTLQRTDSSMEKQTKVNDPSTLGTLTMKGKAEDLETPPQLDPRQNISQNGTSKMKISGELLSGKTPDFSTQFTKNLKSIADMVVVSQQLGNPPASMHSVQLKTERDVKHNPSNPNAQDEDVSVSAASVTAAAGPTRSMNSWGDVEHLFEGYDDIQRVAIQRERVRRLEEQNKMFASQKLSLVLDIDHTLLNSAKFNEVESRHEEILRKKEEQDREKPYRHLFRFLHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKLLDPKGVLFNGRVISKGDDGDPLDGDERVPKSKDLEGVMGMESSVVIIDDSVRVWPQHKMNLIAVERYLYFPCSRRQFGLLGPSLLELDRDEVPEEGTLASSLAVIEKIHQNFFSHTSLDEVDVRNILASEQRKILAGCRIVFSRIIPVGEAKPHLHPLWQTAEQFGAVCTTQVDEHVTHVVTNSLGTDKVNWALTRGRFVVHPGWVEASAFLYQRANENLYAINP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MATDPGLEMASMIPALRELASAGAEEYNTTVQKPRQILCQFIDRILTDVDVVAVELSKNTDSQPSSVMLLDFIQHIMKSTPLMFLSANNGDQSAETNQNCVAFSNWIISRLLRIGATPSCKALHRKIAEVIRSLLFLFKNKSSFLFGVFTKDLLHLFEDLIYIHEQNMEKSVVWPVTISRFLSNASENQTYLRCTQFQLLNMQNIEPLESTLLMVLMDNEHDISPVFFQRQNLLLWGIGCSLLDYGSTPLKIQALHFLRQLIKLGGPPEQGAYFFFIVFFGILTCIKDMDLEEVSLYEMPLLKLVKVLFPFESKSYLNIEPVYLNMLLEKLAALFDGGILSNIQSAPLKEALCYMVHYFLSIVPPGYESAKEVREAHVRCICRAFVDVLGLQSKQEYLVCPLHEALRIENLVFMQQQRMQPLSTDSEGGGSSSSDEVQEKRPRLSLTAKPLRRNTPSVPAPVDMKTKSILWKAVSAKFSSILCKLEGDEVTDEEMVSLLEGLNTTVRVAALNTVHIFTNDSTDTDQLVSDLSNTSGIQSVEIVPHVFWLSPEDILKILKICRKVLDSAHQRANINDILMKIIKIFDAILYIHAGNRLNDQTLKDLCSMISLPWLQNHSNHASFKVASFDPTLMTISERIGQHYSPEIQSQLVFLLCLFPKMLCPEWRLAVYQWALDSPHEIVRARCIKGFPVLLCNVSQQGYGPIPKILIDCLNDASELVKKELANSVGMFASGLACGFELQYSPTAPTAAESEFLCSSLTVTALPSSKLSRMTASALKPFLALLNRNMPSSVKMAFIENMPMLFAHLSLEKDDLDSRTVIESLLNLMEDPDKDVRTAFSGNIKHLLACADCEDGYLKEIVVSRMKKAYTDAKMSRDNEMKDTLILTTGDIGRAAKGELVPFALLHLLHCLLSKSPCVAGASYTEIRSLAAAKSTSLHIFFSQYKKPICQFLIESLHSSQAALLTNTPGRSSEMQKQEATHHREAALDILSEIANVFDFPDLNRFLTRTLQLLLPYLAAKASPTASTLIRTIAKQLNVNRREILINNFKYIFSHLVCSCTKDELEKSLHYLKNETEIELGSLLRQDYQGLHNELLLRLGEHYQQVFSGLSILATYASNDDPYQGPRNFAKPEIMADYLQPKLLGILAFFNMHLLSSSIGIEDKKMALNSLVSLMKLMGPKHISSVRVKMMTTLRTGLRYKEEFPGLCCSAWDLFVRCLDQAYLGPLLSHVIVALLPLLHIQPKETVAVFRYLIVENRDAVQDFLHEIYFLPDHPELKEIQKVLQEYRKETTKSTDLQTAMQLSIRAIQHENVDVRMHALTSLKETLYKNQAKLLQYSTDSETVEPVISQLVTVLLIGCQDANPQARLFCGECLGQLGAIDPGRLDFSPSETQGKGFTFVSGVEDSDFAYELLTEQTRAFLAYADNVRAQDSAAYAIQELLSIFECKEGRTDCPGRRLWRRFPEHVQEILEPHLNTRYKSSRKAVNWSRVKKPIYLSKLGNNFADWSATWAGYLITKVRHELARRVFSCCSIMMKHDFKVTIYLLPHILVYVLLGCNKEDQQEVYAEIMAVLKHEDPLMRRLQDSASDLSQLSTQTVFSMLDHLTQWAREKFQALNAEKTNPKPGTRGEPKAVSNEDYGEYQNVTRFLDLIPQDTLAVASFRSKAYTRALMHFESFIMEKKQEIQEHLGFLQKLYAAMHEPDGVAGVSAIRKKEASLKEQILEHESIGLLRDATACYDRAIQLKPEEIIHYHGVVKSMLGLGQLSTVITQVNGILNSRSEWTAELNTYRVEAAWKLSQWDLVEEYLSADRKSTTWSIRLGQLLLSAKKGERDMFYETLKVVRAEQIVPLSAASFERGSYQRGYEYIVRLHMLCELEHSVKMFLQKPSVEPAVDSLNLPARLEMTQNSYRAREPILAVRRALQTINKRPNHADMIGECWLQSARVARKAGHHQTAYNALLNAGESRLSELNVERAKWLWSKGDVHQALIVLQKGAELFLSSTSAPPEQQLIHGRAMLLVGRLMEETANFESNAVMKKYKDVTALLPEWEDGHFYLAKYYDKLMPMVTDNKMEKQGDLIRYIVLHFGRSLQFGNQYIYQSMPRMLSLWLDFGAKVYEWEKAGRADRLQMKNELMKINKVISDHKNQLAPYQFLTAFSQLISRICHSHDEVFAVLMEIVAKVFVAYPQQAMWMMTAVSKSSYPMRVNRCKEILEKAIHMKPSLGKFIGDATRLTDKLLELCNKPVDGNTSTLSMNIHFKMLKKLVEETTFSEILIPLQSVMIPTLPSTAGKRDHADHDPFPGHWAYLSGFDDAVEILPSLQKPKKISLKGSDGKSYIMMCKPKDDLRKDCRLMEFNSLINKCLRKDAESRRRELHIRTYAVIPLNDECGIIEWVNNTAGFRNILIKLYKEKGIYMGGKELRQCMLPKSAPLQEKLKVFKEALLPRHPPLFHEWFLRTFPDPTSWYNSRSAYCRSTAVMSMVGYILGLGDRHGENILFDSLTGECVHVDFNCLFNKGETFEVPEIVPFRLTHNMVNGMGPMGTEGLFRRACEVIMRLMREQRESLMSVLKPFLHDPLVEWSKPARGSSKGQVNETGEVMNEKAKTHVLDIEQRLQGVIKTRNRVKGLPLSIEGHVHYLIQEATDENLLSQMYLGWAPYM", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPRVYIGRLSYQARERDVERFFKGYGKILEVDLKNGYGFVEFDDLRDADDAVYELNGKDLCGERVIVEHARGPRRDGSYGSGRSGYGYRRSGRDKYGPPTRTEYRLIVENLSSRCSWQDLKDYMRQAGEVTYADAHKGRKNEGVIEFVSYSDMKRALEKLDGTEVNGRKIRLVEDKPGSRRRRSYSRSRSHSRSRSRSRHSRKSRSRSGSSKSSHSKSRSRSRSGSRSRSKSRSRSQSRSRSKKEKSRSPSKEKSRSRSHSAGKSRSKSKDQAEEKIQNNDNVGKPKSRSPSRHKSKSKSRSRSQERRVEEEKRGSVSRGRSQEKSLRQSRSRSRSKGGSRSRSRSRSKSKDKRKGRKRSREESRSRSRSRSKSERSRKRGSKRDSKAGSSKKKKKEDTDRSQSRSPSRSVSKEREHAKSESSQREGRGESENAGTNQETRSRSRSNSKSKPNLPSESRSRSKSASKTRSRSKSRSRSASRSPSRSRSRSHSRS", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MATEIGSPPRFFHMPRFQHQAPRQLFYKRPDFAQQQAMQQLTFDGKRMRKAVNRKTIDYNPSVIKYLENRIWQRDQRDMRAIQPDAGYYNDLVPPIGMLNNPMNAVTTKFVRTSTNKVKCPVFVVRWTPEGRRLVTGASSGEFTLWNGLTFNFETILQAHDSPVRAMTWSHNDMWMLTADHGGYVKYWQSNMNNVKMFQAHKEAIREASFSPTDNKFATCSDDGTVRIWDFLRCHEERILRGHGADVKCVDWHPTKGLVVSGSKDSQQPIKFWDPKTGQSLATLHAHKNTVMEVKLNLNGNWLLTASRDHLCKLFDIRNLKEELQVFRGHKKEATAVAWHPVHEGLFASGGSDGSLLFWHVGVEKEVGGMEMAHEGMIWSLAWHPLGHILCSGSNDHTSKFWTRNRPGDKMRDRYNLNLLPGMSEDGVEYDDLEPNSLAVIPGMGIPEQLKLAMEQEQMGKDESNEIEMTIPGLDWGMEEVMQKDQKKVPQKKVPYAKPIPAQFQQAWMQNKVPIPAPNEVLNDRKEDIKLEEKKKTQAEIEQEMATLQYTNPQLLEQLKIERLAQKQVEQIQPPPSSGTPLLGPQPFPGQGPMSQIPQGFQQPHPSQQMPMNMAQMGPPGPQGQFRPPGPQGQMGPQGPPLHQGGGGPQGFMGPQGPQGPPQGLPRPQDMHGPQGMQRHPGPHGPLGPQGPPGPQGSSGPQGHMGPQGPPGPQGHIGPQGPPGPQGHLGPQGPPGTQGMQGPPGPRGMQGPPHPHGIQGGPGSQGIQGPVSQGPLMGLNPRGMQGPPGPRENQGPAPQGMIMGHPPQEMRGPHPPGGLLGHGPQEMRGPQEIRGMQGPPPQGSMLGPPQELRGPPGSQSQQGPPQGSLGPPPQGGMQGPPGPQGQQNPARGPHPSQGPIPFQQQKTPLLGDGPRAPFNQEGQSTGPPPLIPGLGQQGAQGRIPPLNPGQGPGPNKGDSRGPPNHHMGPMSERRHEQSGGPEHGPERGPFRGGQDCRGPPDRRGPHPDFPDDFSRPDDFHPDKRFGHRLREFEGRGGPLPQEEKWRRGGPGPPFPPDHREFSEGDGRGAARGPPGAWEGRRPGDERFPRDPEDPRFRGRREESFRRGAPPRHEGRAPPRGRDGFPGPEDFGPEENFDASEEAARGRDLRGRGRGTPRGGRKGLLPTPDEFPRFEGGRKPDSWDGNREPGPGHEHFRDTPRPDHPPHDGHSPASRERSSSLQGMDMASLPPRKRPWHDGPGTSEHREMEAPGGPSEDRGGKGRGGPGPAQRVPKSGRSSSLDGEHHDGYHRDEPFGGPPGSGTPSRGGRSGSNWGRGSNMNSGPPRRGASRGGGRGR", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKADLRFQSSAVAALQEASESYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MATPSKKTSTPSPQPSKRALPRDPSSEVPSKRKNSAPQLPLLQSSGPFVEGSIVRISMENFLTYDICEVSPGPHLNMIVGANGTGKSSIVCAICLGLAGKPAFMGRADKVGFFVKRGCSRGMVEIELFRASGNLVITREIDVAKNQSFWFINKKSTTQKIVEEKVAALNIQVGNLCQFLPQDKVGEFAKLSKIELLEATEKSIGPPEMHKYHCELKNLREKEKQLETSCKEKTEYLQKMVQRNERYKQDVERFYERKRHLDLIEMLEAKRPWVEYENVRQEYEEVKLVRDRVKEEVRKLKEGQIPVTCRIEEMENERHNLEARIKEKATDIKEASQKCKQKQDVIERKDKHIEELQQALIVKQNEELDRQRRIGNTRKMIEDLQNELKTTENCENLQPQIDAITNDLRRIQDEKALCEGEIIDKRRERETLEKEKKSVDDHIVRFDNLMNQKEDKLRQRFRDTYDAVLWLRNNRDKFKQRVCEPIMLTINMKDNKNAKYIENHIPSNDLRAFVFESQEDMEVFLKEVRDNKKLRVNAVIAPKSSYADKAPSRSLNELKQYGFFSYLRELFDAPDPVMSYLCCQYHIHEVPVGTEKTRERIERVIQETRLKQIYTAEEKYVVKTSFYSNKVISSNTSLKVAQFLTVTVDLEQRRHLEEQLKEIHRKLQAVDSGLIALRETSKHLEHKDNELRQKKKELLERKTKKRQLEQKISSKLGSLKLMEQDTCNLEEEERKASTKIKEINVQKAKLVTELTNLIKICTSLHIQKVDLILQNTTVISEKNKLESDYMAASSQLRLTEQHFIELDENRQRLLQKCKELMKRARQVCNLGAEQTLPQEYQTQVPTIPNGHNSSLPMVFQDLPNTLDEIDALLTEERSRASCFTGLNPTIVQEYTKREEEIEQLTEELKGKKVELDQYRENISQVKERWLNPLKELVEKINEKFSNFFSSMQCAGEVDLHTENEEDYDKYGIRIRVKFRSSTQLHELTPHHQSGGERSVSTMLYLMALQELNRCPFRVVDEINQGMDPINERRVFEMVVNTACKENTSQYFFITPKLLQNLPYSEKMTVLFVYNGPHMLEPNTWNLKAFQRRRRRITFTQPS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDIKNSPSSLNSPSSYNCSQSILPLEHGSIYIPSSYVDSHHEYPAMTFYSPAVMNYSIPSNVTNLEGGPGRQTTSPNVLWPTPGHLSPLVVHRQLSHLYAEPQKSPWCEARSLEHTLPVNRETLKRKVSGNRCASPVTGPGSKRDAHFCAVCSDYASGYHYGVWSCEGCKAFFKRSIQGHNDYICPATNQCTIDKNRRKSCQACRLRKCYEVGMVKCGSRRERCGYRLVRRQRSADEQLHCAGKAKRSGGHAPRVRELLLDALSPEQLVLTLLEAEPPHVLISRPSAPFTEASMMMSLTKLADKELVHMISWAKKIPGFVELSLFDQVRLLESCWMEVLMMGLMWRSIDHPGKLIFAPDLVLDRDEGKCVEGILEIFDMLLATTSRFRELKLQHKEYLCVKAMILLNSSMYPLVTATQDADSSRKLAHLLNAVTDALVWVIAKSGISSQQQSMRLANLLMLLSHVRHASNKGMEHLLNMKCKNVVPVYDLLLEMLNAHVLRGCKSSITGSECSPAEDSKSKEGSQNPQSQ", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSLVAYASSDESEPDEAEPEPEEEEAVAPTSGPALGGLFASLPAPKGPALLPPPPQMLAPAFPPPLLLPPPTGDPRLQPPPPLPFGLGGFPPPPGVSPAEAAGVGEGLGLGLPSPRGPGLNLPPPIGGAGPPLGLPKPKKRKEPVKIAAPELHKGDSDSEEDEPTKKKTILQGSSEGTGLSALLPQPKNLTVKETNRLLLPHAFSRKPSDGSPDTKPSRLASKTKTSSLAPVVGTTTTTPSPSAIKAAAKSAALQVTKQITQEEDDSDEEVAPENFFSLPEKAEPPGVEPYPYPIPTVPEELPPGTEPEPAFQDDAANAPLEFKMAAGSSGAPWMPKPGDDYSYNQFSTYGDANAAGAYYQDYYSGGYYPAQDPALVPPQEIAPDASFIDDEAFKRLQGKRNRGREEINFVEIKGDDQLSGAQQWMTKSLTEEKTMKSFSKKKGEQPTGQQRRKHQITYLIHQAKERELELKNTWSENKLSRRQTQAKYGF", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSEHSPEASSTYAQVTSNDELSSNKIYMNADQNNSRKKEMDGSLQNNGGRLQNVNPLGGNESMSAVATSAASSLPTAENGVSLNAASPTIHSNTPTVVSHPVMSGSELKGEESHNSPGTLNGTSVANASKQPNMPNATFRCDKCDMMFVKQSGLTNHKRTYHQVETVVIIGHRRYVWRRNENGRFQCVCGRQNWRRPVNFASHAKQCPSFLAMDPNNIPPEINKVSPHDDLRPLSDSRRRARRPHPSDTIPPGASMARSDPSQVPESNPSAAAAVAAAAVAAAANLTNGVNPPEVPRNLNSSLVDAAESLANVSQQQHHRHPFARQPDYSAHSIPRTAAPYAPSMNMFAQNGPNTSLLPTAMPSDVSISSSLQQQPIHPSYDSRFSKAPQGTDALAALGYGTPSSAATLCPLYRDTPAAIVSEKLHLRLANLRIAYCEDCREFISLNAALDHRRSHHQQNITGDLVHVYSDFLDFQNC", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSGGVYGGDEVGALVFDIGSFSVRAGYAGEDCPKADFPTTVGLLAAEEGGGLELEGEKEKKGKIFHIDTNALHVPRDGAEVMSPLKNGMIEDWECFRAILDHTYSKHVKSEPNLHPVLMSEAPWNTRAKREKLTELMFEQYNIPAFFLCKTAVLTAFANGRSTGLVLDSGATHTTAIPVHDGYVLQQGIVKSPLAGDFISMQCRELFQEMAIDIIPPYMIAAKEPVREGAPPNWKKKEKLPQVSKSWHNYMCNEVIQDFQASVLQVSDSPYDEQVAAQMPTVHYEMPNGYNTDYGAERLRIPEGLFDPSNVKGLSGNTMLGVGHVVTTSIGMCDIDIRPGLYGSVIVTGGNTLLQGFTDRLNRELSQKTPPSMRLKLIASNSTMERKFSPWIGGSILASLGTFQQMWISKQEYEEGGKQCVERKCP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MHLMYTLGPDGKRIYTLKKVTESGEITKSAHPARFSPDDKYSRQRVTLKKRFGLVPGQ", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKRVQTAEEREREAKKLRLLEELEDTWLPYLTPKDDEFYQQWQLKYPKLVFREAGSIPEELHKEVPEAFLTLHKHGCLFRDVVRIQGKDVLTPVSRILIGDPGCTYKYLNTRLFTVPWPVKGCTVKYTEAEIAAACQTFLKLNDYLQVETIQALEELAVREKANEDAVPLCMAEFPRAGVGPSCDDEVDLKSRAAYNVTLLNFMDPQKMPYLKEEPYFGMGKMAVSWHHDENLVDRSAVAVYSYSCEGSEDESEDESSFEGRDPDTWHVGFKISWDIETPGLTIPLHQGDCYFMLDDLNATHQHCVLAGSQPRFSSTHRVAECSTGTLDYILERCQLALQNVLNDSDDGDVSLKSFDPAVLKQGEEIHNEVEFEWLRQFWFQGNRYKLCTDWWCEPMTHLEGLWKKMESMTNAVLREVKREGLPVEQRSEILSAILVPLTVRQNLRKEWHARCQSRVVRTLPVQQKPDCRPYWEKDDPSMPLPFDLTDVVSELRGQLLEARS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNSFLLLDHVSDSQDSGSEWSNTHGSLISQRGNEYDGITPATKEKNQNKLEILNNETTIGSTKSSVSSLPELNRNVSFEKSDQNLAETKREEENKRTEVFKKDAEHEAVVVKRDFRPRRPEQTRPYTYDFLRHQIEFKRIGLVPITVPHGFSSDRSITSKSSHKPVNVIVRNRASSRKPPLTSTHRFRRYGAVISDSDDDESNTEQDHSKESNLNTADNDLALSSTIEGKKTSTSKEALESESLLSDSDQSMTNISSNSTVSDLNLKTLKKRLRGVLPPSFLTLQEKKKLENRGVKKKTSLHKSVIEGEKIKGVARRKLHPRSTAKLSSELGNEISDSDNSISTPTPTDDSRFDTSEFLDSISRDNGWLKEDVVDQLWLPKRSLSALKKSSSLTSENPFQLNVAANAVSTIPVYRTTKTKMKKNRFKYVEVEKLPDLILESYGKKAPKFLRVFARSSSHIPKMIRRKRQMDSKKYFSFDKESDRQVIDQVLSDWYSGKHELVQQSHSYKKPSDSKSVGGNIFSVNSKKHSVNINAKTAANNGLSHLQNFSEELLKKRKLFSSLFSNNVSYKKSKKLKRTHTVHDKCQKVAKLDHYIRDNIELNSKEREHDCYEGTLAVPQVNTEIRKSSRKQKAQRFIRDDFDTVFFQSSSNPNYFTDVNPFWNIGIWSTTFNVITFRPGLSLPNNSFIKTQGLNSILQLDIVTHPFKSVYAFSCLFNIQDDVFKTFEKLKDTFETVLENLPYFTNSETVDLYNLLSFCSAFILHSQVSMGLVNLASSFLETYALVNDRVSSISGLNRSQLVEKIAVLFQTFQVVFYCEFELGNQQNINKVSWLASDLISKLLSAGQSGLLECYRNLRIQASDTTVIDTLFLESWSILNHILFHVYKKKYALWEQVNSFFDLQKKELSILEMEKIWYVIMTLNPVFQIGLNGTTHSPGNNSFWPLIIRVSESAFKMHKDGHNVKVVERYLRTVFLRIHFLISEWRWEDVAQILFLIFDFFSHRKFNDLSSEISEDTPTDFPDFVKSLDRPPNLHVTALDTCFVIYLKVILISISRLRQVDENTNSIKRIVSRLQPLHSRQYTRESPFSIKDFMSLEHTHTLLICLYWAAPENCRPSLNRIRDIVIVDNSHLKARLISLKAWLHLMKYVIKEGTDYELAQGMEWFNSILKVTFDEYLALFSNGTSVGEMQLAEYSKHQLENALIVAFHSLQDLIPNSSVYISRINVLVTEQSCRRILKDSHFFPPRVTLECILFLKKFLQYQSNTEPPKVTVVGSTSHDSQDAYFDSDVLDDNTLILEQEKFERKYEVAQILRTFVSPFLYQTISYLVGNDEDKENYIRILLLPLMECMAICASFAVEAKINDWSYYIDFGSESWERIRNTPLKRSLSTTFYSFLISYNDSFIKKHEEKVLTVWFESLGALDEDHAAQFTILLLQKNLKNPILLNLPISVKIEEISINYFKSVHLNLLTAVFCNMAKLYADAKTNGFASSQYLQSLFIHYLSSLLSSMQHSYETNGHSSDTHSLFVINSQRVVGDILQYCSQFANDRNLPALRYFMDSTKFPQPPERLEYTALRLRSYARKTLTNSASQNALFSFLKANFDVALLEQKQTETSNLLRLAMGFHNQNSSSKWDVEISSLRKFCVKELLLSYLGEGSLAAMFYSLLLLEGLSRTYNSFRRIYLQPYIQQLLCEEISVFVADLEKYALLYENKRPLLSGRIYYLISSFVAISMTNKTSGLPSSLICNLQNFLARIARDFLLELCWNIEGSEFPPPYNTVDCRSKFVKTIQQHCSADWYDNVTNIVHKSRKKKLVLPSQVEQEEEYWSGLMEVTIQIWKHDKGFLEPELDRFLNVICSYAPDMSGLPTKIKCFLDKIGYSWMTEENDFDIVLF", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MHGVNDPPLFIKDIKAGLKNLNVVFIVLEIGRVTKTKDGHEVRSCKVADRTGSITISVWDEIGGLIQTGDIIRLTRGYASMWKGCLTLYTGRGGELQKIGEFCMVYSEVPNFSEPNPDYRGQQNRGVQNEQKDKLSTNTFGPVGNGDQTGPESRGYHLPYGRSNGPGPISPQLPGTPSSQTVRTTISNARDPRRAFKR", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTMRSAVFKAAAAPAGGNPEQRLDYERAAALGGPEDESGAAEAHFLPRHRKLKEPGPPLASSQGGSPSPSPAGCGGGKGRGLLLPAGAAPGQQEESWGGSVPLPCPPPATKQAGIGGEPVAAGAGCSPRPKYQAVLPIQTGSIVVAAAKEPTPWAGDKGGAAPPAATASDPAGPPPLPLPGPPPLAPTATAGTLAASEGRWKSIRKSPLGGGGGSGASSQAACLKQILLLQLDLIEQQQQQLQAKEKEIEELKSERDTLLARIERMERRMQLVKRDNEKERHKLLQGYEPEEREEAELSEKIKLERQPELCETSQALPSKPFSCGRSGKGHKRKTPFGNTERKTPVKKLAPEFSKVKTKTPKHSPIKEEPCGSISETVCKRELRSQETPEKPRSSVDTPPRLSTPQKGPSTHPKEKAFSSEMEDLPYLSTTEMYLCRWHQPPPSPLPLRESSPKKEETVARCLMPSSVAGETSVLAVPSWRDHSVEPLRDPNPSDILENLDDSVFSKRHAKLELDEKRRKRWDIQRIREQRILQRLQLRMYKKKGIQESEPEVTSFFPEPDDVESLLITPFLPVVAFGRPLPKLAPQNFELPWLDERSRCRLEIQKKHTPHRTCRK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEVTNNGSNNSSTIASTNPPTSPSTTSTSKSLPPLSFLNSQWENKQSNNNNNSNNNNINNNNNNNNNNNNNNNNNNNNNNNSVKMEKLIELPSSSNNSPEFLNGVNNNNNGNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNSGSGGNNSNNNSNISSPPSSSSTSTSVLSSSQSAYMNDKMVAATLDSIGKMESIQRKYEMQIESLMDQIQGYIEKEQKLRSQCQAVEDINAKLENENLQLKKELFEMSRKFKEIDIINLNNTNNNINNNNNNNNNNNNNNNNNSINNNNNNGFSPPPLVKYPSNGSLQQDAKRFKIMEQQSQQQQQMQQQQQIQQQKQYQQQQQQTTSKRKNNISIDGDKEALVAEALGSFVDYAKPSLKRSNSEEVFNSSVYKNKNNINNINNNSNSNNNNGNNSLLNDIQNWQQQQQQQQQLLHQRKKRKDYDYDYNSTQNGKGIPSNSSNNNSSNNNSNNNNNNNSNNNNNIIGSISPPHSSQLQQVSSPQQQQQQQKPNGLKLSISSGSIKDLINSPNKEQSSKSQYPSSLSQSSSIPDMDTDVDSTDEFDFGSNSNNNNNNNNNNNNNNNSNNSNNKKRNNSNNNNLGGDDDDSPDSNDRNGSSSPIDMEPSYDGANLFKTVTPGTITTPQEELLNEIHSLQMQQRETIEKMYIAQKQFLSDRSNGFNNNNEEILRSLQSDQTKLGSTLESELQALNQLYSQTILEPNQLCKLDILLQDVSIQLKQLHLYQMELNYGYGSNEPFPATLVIIKQPFPMVISKFKQLQEDHLCVQLLTGANVEIVSYSPIRAELVFHSKNLTKGSSNLGTQNSLKKNIEKDTQVLDPIKGVAKFPIKFLTGTRKSCVKLHFVLQIKTSDGHIINVPSSTSQPFIVITNDCQWEGSEGTLLKKETFNEKFEISWPHFVNILQKHFLKATKQSPIQPTRPLSMYDFTYLSNTFFGGKPFVSHKDFDSFWSWFGKSIQTLRYKRHISTLWQNGFIFMFLKRDVVTQILKNQDVGTFVILFSEAFPGQLEISYVGTDQKDSLSKSSNDLQSPTTTTTTTTSTRVKHYLVQANDTSGSKRTLPDFLSECNQFTHILQLNIAMIPQTETIPVFKREPKNVVLEPYYSKRQNSQNILGSGYDPLF", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSEEETVSAPAPASTPAPAGTDVGSGGAAAGIANAGAEGGDGAEDVKKHGSKMLVGPRPPQNAIFIHKLYQILEDESLHDLIWWTPSGLSFMIKPVERFSKALATYFKHTNITSFVRQLNIYGFHKVSHDHSSNDANSGDDANTNDDSNTHDDNSGNKNSSGDENTGGGVQEKEKSNPTKIWEFKHSSGIFKKGDIEGLKHIKRRASSRNNSSINSRKNSSNQNYDIDSGARVRPSSIQDPSTSSNSFGNFVPQIPGANNSIPEYFNNSHVTYENANHAPLESNNPEMQEQNRPPNFQDETLKHLKEINFDMVKIIESMQHFISLQHSFCSQSFTFKNVSKKKSENIVKDHQKQLQAFESDMLTFKQHVMSRAHRTIDSLCAVNAAATAASVAPAPAPTSTSAYAPKSQYEMMVPPGNQYVPQKSSSTTNIPSRFNTASVPPSQLFVQYQPQSQQHVTYAKQPAHVPNFINQPIPIQQLPPQYADTFSTPQMMHNPFASKNNNKPGNTKRTNSVLMDPLTPAASVGVQGPLNYPIMNINPSVRDYNKPVPQNMAPSPIYPINEPTTRLYSQPKMRSLGSTSSLPNDRRNSPLKLTPRSSLNEDSLYPKPRNSLKSSISGTSLSSSFTLVANNPAPIRYSQQGLLRSLNKAANCAPDSVTPLDSSVLTGPPPKNMDNLPAVSSNLINSPMNVEHSSSLSQAEPAPQIELPQPSLPTTSTTKNTGEADNSKRKGSGVYSLLNQEDSSTSSADPKTEDKAAPALKKVKM", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSVLSGLASEPRTPLSSKARMKRLPRKSQNEKYRLKYLRLRRAAKATVFENASICDEIARLEEKFLKAKEERRYLLKKLLQIHALTEGEPQAAAPSHSSSLPLPYGVTSSVGTMQGAGPSTGAEEPFAKKSKKEKKEKGKENSKLEVLKKTSKKKKMEGGARKLVRPIALDPSGQPVFPIGLGGLTVYSLGEIITNRPGFHDENAIYPVGYCSTRVYASMKCPDQKCLYTCQIKDGGVQPQFEIVPEDDPQNTIVGSSADACYEELLRAISATTGKLMPNPLSCGADFFGFSHPTIHNLIQSCPEAQNCVNYQWVKFDACKPRKGQLSQELPENDATMSLEAFQTQTFDDDHDDSILPGSLDLPELQHEAFVSSYQPEFLTHEPLVDTDLQHLKSPSQCSPIQSSD", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSAAIGALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEEEEGADDGEQGEEEVLVVNVGSTYPCKRSDGSQHDAEIVKVRYNKQAGREEYYAHYVGLNRRQNEWVDKSRLVLTKPPKEGETNGTDQEVTDTAEQPDSKTPQKRKIEEPEPEPKKAKVEEKDASKNASSLGAAGDFAEELTCPLCVELFKDPVMVACGHNFCRSCIDKAWEGQSSFACPECRESITDRKYTINRVLANLAKKAACTPVTPVEKKTRPLEKCSEHDERLKLYCKDDGTLSCVICRDSLKHASHNFLPILDAVGVYREELSAIVAPLEASLKVTEQLSSEQSDKIEQHNKNMSQYKEHITSEFEKLHKFLREREEKLLEQLKEQGENLLTEMENNLVKMQESQDAIKKTISLAKERMEDTDSISFLMDIKAFIDKCQEQQRAVISTGNTLLSKELCQGTFKGPIQYIMWKELKSVVIPSLTPMLLDPTSAHPNLHLSDGLTSVRYGENKLSLPDNPKRFSQCILVLGSQGFDSGRHYWEVEVGDKTAWDVGMASESSNRKGKIKLNPKNGYWAIWLRNGNAYKALESPSKSLSLSSHPRKIGVYVDYEGGQISFYNADDMTIIYTFNATFTEKLYPYLSPFLHDSGKNVDPLRFVHNK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSKLKAQSALQKLIESQKNPNANEDGYFRRKRLAKKERPFEPKKLVQQQQRLKEKKKNENIIYLKKTMRVTPSEEKIHEMINQKRETKKRKRKQKKKNDDDYGVFEEDMLEL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSFAAYKMMHWPTGVENCASGYITHSLSDSTLQIPIVSVHDDIEAEWPNPKRGIGPLPNVVITAANILEVYIVRAQEEGNTQELRNPKLAKRGGVMDGVYGVSLELVCHYRLHGNVESIAVLPMGGGNSSKGRDSIILTFRDAKISVLEFDDSIHSLRMTSMHCFEGPDWLHLKRGRESFPRGPLVKVDPQGRCGGVLVYGLQMIILKTSQVGSGLVGDDDAFSSGGTVSARVESSYIINLRDLEMKHVKDFVFLHGYIEPVIVILQEEEHTWAGRVSWKHHTCVLSALSINSTLKQHPVIWSAINLPHDAYKLLAVPSPIGGVLVLCANTIHYHSQSASCALALNNYASSADSSQELPASNFSVELDAAHGTWISNDVALLSTKSGELLLLTLIYDGRAVQRLDLSKSKASVLASDITSVGNSLFFLGSRLGDSLLVQFSCRSGPAASLPGLRDEDEDIEGEGHQAKRLRMTSDTFQDTIGNEELSLFGSTPNNSDSAQKSFSFAVRDSLVNVGPVKDFAYGLRINADANATGVSKQSNYELVCCSGHGKNGALCVLRQSIRPEMITEVELPGCKGIWTVYHKSSRGHNADSSKMAADEDEYHAYLIISLEARTMVLETADLLTEVTESVDYYVQGRTIAAGNLFGRRRVIQVFEHGARILDGSFMNQELSFGASNSESNSGSESSTVSSVSIADPYVLLRMTDDSIRLLVGDPSTCTVSISSPSVLEGSKRKISACTLYHDKGPEPWLRKASTDAWLSSGVGEAVDSVDGGPQDQGDIYCVVCYESGALEIFDVPSFNCVFSVDKFASGRRHLSDMPIHELEYELNKNSEDNTSSKEIKNTRVVELAMQRWSGHHTRPFLFAVLADGTILCYHAYLFDGVDSTKAENSLSSENPAALNSSGSSKLRNLKFLRIPLDTSTREGTSDGVASQRITMFKNISGHQGFFLSGSRPGWCMLFRERLRFHSQLCDGSIAAFTVLHNVNCNHGFIYVTAQGVLKICQLPSASIYDNYWPVQKIPLKATPHQVTYYAEKNLYPLIVSYPVSKPLNQVLSSLVDQEAGQQLDNHNMSSDDLQRTYTVEEFEIQILEPERSGGPWETKAKIPMQTSEHALTVRVVTLLNASTGENETLLAVGTAYVQGEDVAARGRVLLFSFGKNGDNSQNVVTEVYSRELKGAISAVASIQGHLLISSGPKIILHKWNGTELNGVAFFDAPPLYVVSMNVVKSFILLGDVHKSIYFLSWKEQGSQLSLLAKDFESLDCFATEFLIDGSTLSLAVSDEQKNIQVFYYAPKMIESWKGLKLLSRAEFHVGAHVSKFLRLQMVSSGADKINRFALLFGTLDGSFGCIAPLDEVTFRRLQSLQKKLVDAVPHVAGLNPLAFRQFRSSGKARRSGPDSIVDCELLCHYEMLPLEEQLELAHQIGTTRYSILKDLVDLSVGTSFL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMIPIAIRWQGKKYDLEIEPNETGSTLKHQLYSLTQVPPERQKVIVKGGQLKDDVLLGSVGIKPNATLLMMGTAGELPTAMPIPAVESVEQEESEDDGYPSGLINLGNTCYMNSTVQMLRAIPELSDAVSQFNSSGGLVAEYRTLLNSMQSNAPVTPMRFLQSLRMEYPQFAEMSRETGGYAQQDAEECWSFLLSVLQRSLSSEWVQKNMAGKLLSTMKCDENEVQEQPSISHDTFLSLPCHISMHTSYMTQGILEGLTQKISKHSDVLNRDAMYSKISRISRLPNYLTVNFVRFYWKASIGKKAKILRKVKFPFELDAVEFCTPELSQKLIPVRDKLREIEKNDEEHERAAKRIKIQPSEDEKEAEAECRLTQVATCQSLVDPELADDEGANPTGLYDLVGVLSHAGASASSGHYQAWIRNSNNRAEWFRFNDAKVSIVPAEKIETLDGGGEADSAYILLYKAKDIA", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAVFLVTLYEYSPLFYIAVVFTCFIVTTGLVLGWFGWDVPVILRNSEETQFSTRVFKKQMRQVKNPFGLEITNPSSASITTGITLTTDCLEDSLLTCYWGCSVQKLYEALQKHVYCFRISTPQALEDALYSEYLYQEQYFIKKDSKEEIYCQLPRDTKIEDFGTVPRSRYPLVALLTLADEDDREIYDIISMVSVIHIPDRTYKLSCRILYQYLLLAQGQFHDLKQLFMSANNNFTPSNNSSSEEKNTDRSLLEKVGLSESEVEPSEENSKDCVVCQNGTVNWVLLPCRHTCLCDGCVKYFQQCPMCRQFVQESFALCSQKEQDKDKPKTL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSHEIKDLNNYHYTSSYNHYNINNQNMINLPYVSGPSAYNANMISSSQVGFDLPSKNLSPQGAFELGFELSPSSSDFFNPSLDQENGLYNAYNYNSSQKSHEVVGDGCATIKSEVRVSASPSSSEADHHPGEDSGKIRKKREVRDGGEDDQRSQKVVKTKKKEEKKKEPRVSFMTKTEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCNVKKRVERSYQDPTVVITTYESQHNHPIPTNRRTAMFSGTTASDYNPSSSPIFSDLIINTPRSFSNDDLFRVPYASVNVNPSYHQQQHGFHQQESEFELLKEMFPSVFFKQEP", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSGRQRTLFQTWGSSISRSSGTPGCSSGTERPQSPGSSKAPLPAAAEAQLESDDDVLLVAAYEAERQLCLENGGFCTSAGALWIYPTNCPVRDYQLHISRAALFCNTLVCLPTGLGKTFIAAVVMYNFYRWFPSGKVVFMAPTKPLVTQQIEACYQVMGIPQSHMAEMTGSTQASTRKEIWCSKRVLFLTPQVMVNDLSRGACPAAEIKCLVIDEAHKALGNYAYCQVVRELVKYTNHFRILALSATPGSDIKAVQQVITNLLIGQIELRSEDSPDILTYSHERKVEKLIVPLGEELAAIQKTYIQILESFARSLIQRNVLMRRDIPNLTKYQIILARDQFRKNPSPNIVGIQQGIIEGEFAICISLYHGYELLQQMGMRSLYFFLCGIMDGTKGMTRSKNELGRNEDFMKLYNHLECMFARTRSTSANGISAIQQGDKNKKFVYSHPKLKKLEEVVIEHFKSWNAENTTEKKRDETRVMIFSSFRDSVQEIAEMLSQHQPIIRVMTFVGHASGKSTKGFTQKEQLEVVKQFRDGGYNTLVSTCVGEEGLDIGEVDLIICFDSQKSPIRLVQRMGRTGRKRQGRIVIILSEGREERIYNQSQSNKRSIYKAISSNRQVLHFYQRSPRMVPDGINPKLHKMFITHGVYEPEKPSRNLQRKSSIFSYRDGMRQSSLKKDWFLSEEEFKLWNRLYRLRDSDEIKEITLPQVQFSSLQNEENKPAQESTTGIHQLSLSEWRLWQDHPLPTHQVDHSDRCRHFIGLMQMIEGMRHEEGECSYELEVESYLQMEDVTSTFIAPRNESNNLASDTFITHKKSSFIKNINQGSSSSVIESDEECAEIVKQTHIKPTKIVSLKKKVSKEIKKDQLKKENNHGIIDSVDNDRNSTVENIFQEDLPNDKRTSDTDEIAATCTINENVIKEPCVLLTECQFTNKSTSSLAGNVLDSGYNSFNDEKSVSSNLFLPFEEELYIVRTDDQFYNCHSLTKEVLANVERFLSYSPPPLSGLSDLEYEIAKGTALENLLFLPCAEHLRSDKCTCLLSHSAVNSQQNLELNSLKCINYPSEKSCLYDIPNDNISDEPSLCDCDVHKHNQNENLVPNNRVQIHRSPAQNLVGENNHDVDNSDLPVLSTDQDESLLLFEDVNTEFDDVSLSPLNSKSESLPVSDKTAISETPLVSQFLISDELLLDNNSELQDQITRDANSFKSRDQRGVQEEKVKNHEDIFDCSRDLFSVTFDLGFCSPDSDDEILEHTSDSNRPLDDLYGRYLEIKEISDANYVSNQALIPRDHSKNFTSGTVIIPSNEDMQNPNYVHLPLSAAKNEELLSPGYSQFSLPVQKKVMSTPLSKSNTLNSFSKIRKEILKTPDSSKEKVNLQRFKEALNSTFDYSEFSLEKSKSSGPMYLHKSCHSVEDGQLLTSNESEDDEIFRRKVKRAKGNVLNSPEDQKNSEVDSPLHAVKKRRFPINRSELSSSDESENFPKPCSQLEDFKVCNGNARRGIKVPKRQSHLKHVARKFLDDEAELSEEDAEYVSSDENDESENEQDSSLLDFLNDETQLSQAINDSEMRAIYMKSLRSPMMNNKYKMIHKTHKNINIFSQIPEQDETYLEDSFCVDEEESCKGQSSEEEVCVDFNLITDDCFANSKKYKTRRAVMLKEMMEQNCAHSKKKLSRIILPDDSSEEENNVNDKRESNIAVNPSTVKKNKQQDHCLNSVPSGSSAQSKVRSTPRVNPLAKQSKQTSLNLKDTISEVSDFKPQNHNEVQSTTPPFTTVDSQKDCRKFPVPQKDGSALEDSSTSGASCSKSRPHLAGTHTSLRLPQEGKGTCILVGGHEITSGLEVISSLRAIHGLQVEVCPLNGCDYIVSNRMVVERRSQSEMLNSVNKNKFIEQIQHLQSMFERICVIVEKDREKTGDTSRMFRRTKSYDSLLTTLIGAGIRILFSSCQEETADLLKELSLVEQRKNVGIHVPTVVNSNKSEALQFYLSIPNISYITALNMCHQFSSVKRMANSSLQEISMYAQVTHQKAEEIYRYIHYVFDIQMLPNDLNQDRLKSDI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVGQPQPRDDVGSPRPRVIVGTIRPRVIVGTIRPRVIVGSARARPPPDGTPRPQLAAEESPRPRVIFGTPRARVILGSPRPRVIVSSPWPAVVVASPRPRTPVGSPWPRVVVGTPRPRVIVGSPRARVADADPASAPSQGALQGRRQDEHSGTRAEGSRPGGAAPVPEEGGRFARAQRLPPPRHLRLPGAPDRHRGQI", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRRRARSIRFSSDDNEDNEEDDDYYSNAHSEKSEDHSNHIKVSHFDPSSYKQKLVSVRETQRNRKFSSLQKHLNTETPSFSVSIENPSKPSAAFNDASLGKKSTEHQIDGIRNGSSNLQMEGNDKELDTDNNEDESTTFKDEEDDLISPKSYLTSSKTFSYPKAPTESTNGDYLDEDYVDGQSDPESSNASDSDFADSPDDLTKVRSPIPSRRGRRKRKMRGPILPVKKNLRVKKAMSPLRAERNSPDFRRKLRSRDNRPNYHLFDYYNEIASSPNPSTTKITYNPPKLPMKDFATLPIGYQSTCDSDETSELSSTSSEQTSDVEGLNAYNNLGASSDIENAPSSQLHFGHIDEKTIRSTDPFANRENLDFNSIGGLEDIILQLKEMVMLPLLYPEVFLHLHITPPRGVLFHGPPGTGKTLMARVLAANCSTKNQKISFFLRKGSDCLSKWVGEAERQLRLLFEEARRVQPSIIFFDEIDGLAPIRSSKQEQTHSSIVSTLLALMDGLDTRGQVVVIGATNRPNDLDPALRRPGRFDREFYFPLPNKQARMKILEINSLHFSPKIPESYLLHLAESTSGYGGADLKALCTEAALNAVRRTFPQIYTSSDKFLIDLNEISVSICDFVVASEKIAVSTRRSDVKPNIPITDSHKILFKKSIEVITSKIRRLLKLDVYLPTVESLQKLPAEELMRQKEINSLKTTMSFRPRLLITDIYGYGCTYLSKVLFSMLDGIHVQSLDISELLMDTTTSPRSLLTKIFSEARKNAPSIIFINNVEKWPSLFSHSFLSMFLLLLDSISPLEPVMLLGFANTNQEKLSSTVRSWFPSHRSEYHDLSFPDYSSRYSFFHYLLKRISFLPIHQKSAEAASVDILPKVLPVSKTSDLTDKVNRRQRKNDKKIKNKIQVKLSSILEMLRSRYKKFKKPIIDLNDIYIDESNERVVKGKSKDNFEYFLSGNTVTRKKDNACFKMMNFEEIERRLWSGRYCTPKEFLRDIKMIKQDAILSGDVNLKHKAKEMFAHAELNVDELIDAKLLYDCCQVSKREKAYKQLKQKKLNNAKDAHEMQESKNEETFVRNDVAQEDNFIELSSNEVRNVSNDEHKHTLFHGQSLTHNNLIAVTPPSRTGVEHKEENKKYDNVNIQKTLAKCAEEFAEHTNFNKVELLDFVYSKLSSTIWENREEHDLLKIVRDVRQTFFRSLEDMGV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSEAQETHVEQLPESVVDAPVEEQHQEPPQAPDAPQEPQVPQESAPQESAPQEPPAPQEQNDVPPPSNAPIYEGEESHSVQDYQEAHQHHQPPEPQPYYPPPPPGEHMHGRPPMHHRQEGELSNTRLFVRPFPLDVQESELNEIFGPFGPMKEVKILNGFAFVEFEEAESAAKAIEEVHGKSFANQPLEVVYSKLPAKRYRITMKNLPEGCSWQDLKDLARENSLETTFSSVNTRDFDGTGALEFPSEEILVEALERLNNIEFRGSVITVERDDNPPPIRRSNRGGFRGRGGFRGGFRGGFRGGFSRGGFGGPRGGFGGPRGGYGGYSRGGYGGYSRGGYGGSRGGYDSPRGGYDSPRGGYSRGGYGGPRNDYGPPRGSYGGSRGGYDGPRGDYGPPRDAYRTRDAPRERSPTR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MHPKEGAEQHVFSPVPGAPTPPPNRCGRLVLGPRLPAAGTPGPGIRAAAARHALPLWGGGATRRGRRPAGAAGGGVAARAGALGAARCRPPEAGRHRGGRRGPGPAGAGPVARGGGAGGRGGGAGRGGAGPRGHVLVQVPEAGAGRRALLGRYCQQTPAPGAERELRPAPPTGASASGRPRRPRRRASRAFCPRPCALPGRPGLTLLCRPRCRRQPRLRLPTDSLDPYSAPGRLPAHSVACPSDLVSAHPVLSFFPTAPASRASALRLPPGAPFALRVPLDLRVPPFAGPLAARPRAADGFNSPTPPWLGFVSSFSCSNSLKKTQNDPTNETSVFANPRQQCAT", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSVLIETTVGDLVIDLFVKEAPKTCENFLKLCKLKYYNFCPFYNIQHNYTCQTGDPLGPTGDGGRCVWNVLNKGTRFFKAEFNPSLVHNKMGLVSMSTATISSRDDKLLVCGSQFIITLSDNLEGLDERYPIYGQVAEGFDTLLKINDAICDEEGQPYRDIRIKHTIILDDPFEDPPDLVEPLRSPSPTPEQLATVRIGENEQIESETSEDKLQREKEMEAEAEAVTLEMIGDLPFAHVAPPENVLFVCKLNPVTQDEDLELIFSRFGKIISCQVIRDKETGDSLQYAFIEFDNKESVEKAYFKMQNVLIDDSRIHVDFSQSVARYRQYYNSNRDRKRSSSRSDDREYHRRSDGRYDRSNYRDDYRHRRKERDHRDDQSSFRNERFSNYYGDDRSYHKRRNTGNKNCDDHLRDKSPERRYRYDRRYRDDRYR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTRVYVGNLDPRVTERELEDEFKAFGVLRNVWVARRPPGYAFLEFDDERDALDAISALDRKNGWRVELSHKDKGGRGGGGGRRGGIEDSKCYECGELGHFARECRRGRGSVRRRSPSPRRRRSPDYGYARRSISPRGRRSPPRRRSVTPPRRGRSYSRSPPYRGSRRDSPRRRDSPYGRRSPYANGV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFASRFDPSQLTAPAASAPEGIVGTTPPAIVPLKRQATESDNEEYGSHQDSDESSNSSSEEDEDRMQVDYGASEEDSSEVEEEESKPSTHSTVLSRFKQTVSLQERLGASDIAESKEDEGIEDEAASTHQLKQIPQPEFVKNPMNLNTNSLQFKSTGWLNTEKIYYDNSLIKPFSDYANELEAKLLQNICKNFSTNTFPIQSIILDSILPVLNFTLNVSKRNFTRRIGDILVNAATGSGKTLAYSIPIVQTLFKRQINRLRCIIIVPTKLLINQVYTTLTKLTQGTSLIVSIAKLENSLKDEHKKLSNLEPDILITTPGRLVDHLNMKSINLKNLKFLIIDEADRLLNQSFQGWCPKLMSHLKTDKLDTLPGNVIKMIFSATLTTNTEKLNGLNLYKPKLFLKQTDKLYQLPNKLNEFNINIPTAKSVYKPLILLYSICQFMAHSPIAAKILIFVKSNESSIRLSKLLQLICESRSQSSVLKNLQNLAVSINSVNSNNSKAENKKIVANFSHHSESAGITILITTDIMSRGIDINDITQVINYDPPMSSQQYVHRVGRTARANELGSAYNLLVGRGERTFFDDLNKDLDRDGKSVQPLELDFTLLESDSELYTSSLESLKNYHNNTAQA", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSAYIAMKGVITNVDENIRNDEDVAFEYEIQKTPQNILTWKRYIEYWKEEGRTDKQIRWLYERFCSQFVTDTSIWEDYIRWESTKEVVETSRIFWLFQRCLKSCVRDCDRICLSYLELAIEQYDLAMIRHALASSLMKMEREMHRKVWDPVIKFVEEKVLPLTQLDSTQEDEEESTDEAELINVLLVKGFTKGGFISEEISENGSRGDIWSSHILERYLKVAPQQKRNESLATLALTRDNITIKSVYEKYLPQDENSGKYLPSSELPFELNFNYLASLEKLGLDNQYEEFMRQMNGIYPDKWLFLILSLAKYYISRGRLDSCGDLLKKSLQQTLRYSDFDRIYNFYLLFEQECSQFILGKLKENDSKFFNQKDWTEKLQAHMATFESLINLYDIYLNDVALRQDSNLVETWMKRVSLQKSAAEKCNVYSEAILKIDPRKVGTPGSFGRLWCSYGDLYWRSNAISTARELWTQSLKVPYPYIEDLEEIYLNWADRELDKEGVERAFSILEDALHVPTNPEILLEKYKNGHRKIPAQTVLFNSLRIWSKYIDYLEAYCPKDANSSDKIFNKTKMAYNTVIDLRLITPAMAENFALFLQNHYEVMESFQVYEKTIPLFPPEIQYELWIEYLEVATSHQLSSLSPEHIRFLFEKALKNLCSNGIDCKTIFIAYSVFEERISGLISKSIEILRRGAVIGTVSVSTHLESRLQLWRMCISKAESTLGPSVTRELYQECIQILPNSKAVEFVIKFSDFESSIGETIRAREILAYGAKLLPPSRNTELWDSFEIFELKHGDKETYKDMLKMKKVLESNMLIDSASVSHEEGNINFVAAATSHAPNSHTLTQSTSSYSINPDEIELDI", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MMVGTQNLGGSLPPLNSPKSYGITKPLSLAGPSSADIKRNVELEKYLVDEGLYESKDDTMRREEVLGRIDQIVKHWVKQLTQQRGYTDQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELHPVPDAHVPVMKFKFQGIPIDLLYASISLLVVPQDLDISSSSVLCEVDEPTVRSLNGCRVADQILKLVPNFEHFRTTLRCLKYWAKKRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCAIEEDELGFPVWDRRKNHRDRYHLMPIITPAYPCMNSSYNVSQSTLRVMTEQFQFGNNILQEIELNKQHWSSLFEQYMFFEAYKNYLQVDIVAADAEDLLAWKGWVESRFRQLTLKIERDTNGMLMCHPQPNEYVDTARQFLHCAFFMGLQRAEGVGGQECQQFDIRGTVDEFRQEVNMYMFWKPGMDVFVSHVRRRQLPPFVFPNGYRRPRQSRHQNLPGGKSGEDGSVSHSGSVVERHAKRKNDSEMMDVRPEKPEKRASLSPQSLDIVSPENSAITTGWTPPVCNLRRPPSEEIEADNLNTECTELTDLARNECNSGSEQVLEVDSMAVVQECSDPAEPLGKCVTPDSVDVVACVSGQEENLDRNLRSVSISGTDSPLLPSRSCGQNRDYEGFGFPAANSDPMGKKNLYSQSGMSEDLQSNSLVSGMEKSEDRARSESFQKSQIRLLT", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSEGSGDQSQQRPWASPRQQPIKGIVQPRVLPPFGKPTRHTNKLDYIMTTVLKEAGKHKHVWPFQKPVDAVALCIPLYHERVARPMDLKTIENRLKSTYYTCAQECIDDIETVFQNCYTFNGKEDDVTIMAQNVHEVIKKSLEQAPREEHDMDVYWGKNKKKPAKSDGGSKSSSSKKNDARGPSEAPSEAGSEVSSVTTASAAAPTVSESASVAAKPERKVAGKKTGKRKAESEDDEKPEPLRAKREVAVVKKEVHQPLLPSMKPCLKLLNDFSTKKYQEFAWPFNEPVDAEQLGLHDYHKIIKEPMDLKSMKAKMESGAYKEPSDFEHDVRLMLRNCFLYNPVGDPVHSFGLRFQEVFDRRWAELGDSSSRASSVAPQSAPIAPTPKVAKSSAPKEPKESRKEHKKETTFEASGAKSEDLMQINNALSMIREREEKLKAELAAAQAIKDKLTSVKNRREDNPNEPFPEKLINETRALCTTQVGQNASSSSASSAALRNGRSKKAASARLYGYEFDSDDEDNKMALTYEEKRNLSNLINNLPNNQLNTIISIIQRRERSALMQQQLDDSEVELDFESLGDMCLREMGAFIKTIPTLNGNGDDEKPKTSSNPTSSGATGSKGSSSLESKNGKKKKNFNMSESSDDETSNSRKRRKRESSESQSSSSSDDDSDDEDRPSIPRKSGQPPSTSREWNQSSAPPPRMGGMGGQPPMSRVPASSSTSVSAIGKNNAAASSNSYQAPKPAPVPAPTSSRPPAAPRPPSKPKKTGGASILDTLLPDTFGASPPQFFQSQPTTSATIRSPTESQPGNGEDEQTRIQRMRMEAKRARQKEDEGSVSLSNQMEMMAAFEFDNTY", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEDHYHQVEVEGEEEIKPSKEANKTDENTSSLRIFPCLFCSRKFHSSQALGGHQNAHKKERTAARRAKRAYDFVNNNDFLHTLPVFLSSPSQHHLTILGYPASASVACFPTVHPDHPIFKSSGSHVVLATSHQGRDCKGGYCCQQRVDILDHHYNVVNSDKGKDQCLDLSLHL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAIETQLPCDGDGVCMRCQVNPPSEETLTCGTCVTPWHVPCLLPESLASSTGEWECPDCSGVVVPSAAPGTGNARPESSGSVLVAAIRAIQADETLTEAEKAKKRQKLMSGGGDDGVDEEEKKKLEIFCSICIQLPERPITTPCGHNFCLKCFEKWAVGQGKLTCMICRSKIPRHVAKNPRINLALVSAIRLANVTKCSVEATAAKVHHIIRNQDRPEKAFTTERAVKTGKANAASGKFFVTIPRDHFGPIPAENDVTRKQGVLVGESWEDRQECRQWGAHFPHIAGIAGQSAVGAQSVALSGGYDDDEDHGEWFLYTGSGGRDLSGNKRINKKQSSDQAFKNMNESLRLSCKMGYPVRVVRSWKEKRSAYAPAEGVRYDGVYRIEKCWSNVGVQGSFKVCRYLFVRCDNEPAPWTSDEHGDRPRPLPNVPELETAADLFVRKESPSWDFDEAEGRWKWMKSPPVSRMALDPEERKKNKRAKNTMKARLLKEFSCQICREVLSLPVTTPCAHNFCKACLEAKFAGITQLRERSNGGRKLRAKKNIMTCPCCTTDLSEFLQNPQVNREMMEIIENFKKSEEEADASISEEEEEESEPPTKKIKMDNNSVGGSGTSLSA", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAGSGCAWGAEPPRFLEAFGRLWQVQSRLGSGSSASVYRVRCCGTPGSPPGALKQFLPPGTTGAAASAAEYGFRKERAALEQLQGHRNIVTLYGVFTIHFSPNVPSRCLLLELLDVSVSELLLYSSHQGCSMWMIQHCARDVLEALAFLHHEGYVHADLKPRNILWSAENECFKLIDFGLSFKEGNQDVKYIQTDGYRAPEAELQNCLAQAGLQSDTECTSAVDLWSLGIILLEMFSGMKLKHTVRSQEWKANSSAIIDHIFASKAVVNAAIPAYHLRDLIKSMLHDDPGRRIPAEMALCSPFFSIPFAPHIEDLVMLPTPVLRLLNVLDDDYLENEDEYEDVVEDVKEECQKYGPVVSLLVPKENPGRGQVFVEYANAGDSKAAQKLLTGRMFDGKFVVATFYPLSAYKRGYLYQTLL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDAPDAPHTPKYMDGGNTAASVTPGINIPGKSAFVELQQHAAAGYGGIRSTYQHFGPQGGQDSGFPSPRSALGYPFPPMHQNSYSGYHLGSYAPPCASPPKDDFSISDKCEDSGLRVNGKGKKMRKPRTIYSSLQLQQLNRRFQRTQYLALPERAELAASLGLTQTQVKIWFQNRRSKYKKMMKAAQGPGTNSGMPLGGGGPNPGQHSPNQMHSGGNNGGGSNSGSPSHYLPPGHSPTPSSTPVSELSPEFPPTGLSPPTQAPWDQKPHWIDHKPPPQMTPQPPHPAATLHPQTHHHNPPPQMGGYVPQYWYQPETNPSLVTVWPAV", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSQMRVTDFFSQSKRGTAAQNSKGRKVEAVLETRRAVTRSRAASVKAEEFLKAPCTPERASPTVSQCIGPSSKKRTRQDSDSEPLRTQQRQGKSARKKLKLPEGEHGGSVQQQLFSPCNKVALEHVTPSSLGKKIKDMVNVSLSPKFNELARNPTTPETKSPAKENLLELKSRLQRIQELAQKVNLPAASSEGKVTITDLKARLKRAQELDTKIRAKAEKTETQAIDLTEQPAQESEKAPAYQRFHNLAQDAAPGLTLPYKYKVLAEMFRSMDTIVGMLFNRSETITFSKVKQGVQDMMRKQFEQRNVGQIKTVYPNAYKYRQEKNIPTFKDGVKKTDYQLTIEPLVAEGDMLSGRPHLSASRLLERKQLFHRSLTSIVKQHHRVFLTSLNPPMLVPDDKLTRWHPRFNVDEVLDVTPAELPLPPQVERLTTAQEVLSKARGLITPKMEKALANLALKTAENAGETKNVSTEETKSTATTSTSTALKGVSQSLLERIRAKEAQKLQAIMTRRPQQEERLLMMSRLPELARILRNVFVAEKKPALTLEVTCSRVIASCRSSMSPGEMEKHLALLSEILPDWLSIHPVRKDTYYKLNQSMDLNLILERLAKKTKEEESL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVGQLSEGAIAAIMQKGDTNIKPILQVINIRPITTGNSPPRYRLLMSDGLNTLSSFMLATQLNPLVEEEQLSSNCVCQIHRFIVNTLKDGRRVVILMELEVLKSAEAVGVKIGNPVPYNEGLGQPQVAPPAPAASPAASSRPQPQNGSSGMGSTVSKAYGASKTFGKAAGPSLSHTSGGTQSKVVPIASLTPYQSKWTICARVTNKSQIRTWSNSRGEGKLFSLELVDESGEIRATAFNEQVDKFFPLIEVNKVYYFSKGTLKIANKQFTAVKNDYEMTFNNETSVMPCEDDHHLPTVQFDFTGIDDLENKSKDSLVDIIGICKSYEDATKITVRSNNREVAKRNIYLMDTSGKVVTATLWGEDADKFDGSRQPVLAIKGARVSDFGGRSLSVLSSSTIIANPDIPEAYKLRGWFDAEGQALDGVSISDLKSGGVGGSNTNWKTLYEVKSENLGQGDKPDYFSSVATVVYLRKENCMYQACPTQDCNKKVIDQQNGLYRCEKCDTEFPNFKYRMILSVNIADFQENQWVTCFQESAEAILGQNAAYLGELKDKNEQAFEEVFQNANFRSFIFRVRVKVETYNDESRIKATVMDVKPVDYREYGRRLVMSIRRSALM", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSGFQTSDEVDISNSLKAFPVDIATDNQKDKHENVGENVSDEDDGNYIASKLLESNRRTKGKKGNGKASNFQSMGLNQTLLRAIFKKGFKAPTPIQRKTIPLLLEGRDVVGMARTGSGKTAAFVIPMIEHLKSTLANSNTRALILSPNRELALQTVKVVKDFSKGTDLRSVAIVGGVSLEEQFSLLSGKPDIVVATPGRFLHLKVEMKLELSSIEYVVFDEADRLFEMGFAAQLTEILHALPTSRQTLLFSATLPRTLVDFAKAGLQDPVLVRLDVESKVSADLQSAFFSVKTAEREAALLCILQDIIKLPLKDNVRPREIGNVNNPKKRKRALELALKGSESGSPDSTLVFVPTKHHVEYVSELLVQAGYSVSKIYGSLDQEARLNEINNFRLGKTNLLVVTDVASRGIDIPLLANVINYDFPPQPKVFVHRVGRTARAGRTGWAYSLVRAEDAGYLLDLQLFLNRPLVTSSKQVKTDSDCDFTKQIVLGSLPQELVAELLEWVQRIVSRDVELQQLSNVAARGEKLYFRTRATCSAESAKRAKELVDSKGWSSNNPLFGDVSVIEAEEKYAELLSKVSSYRPSETVFEIGQRGHLKTEAAEIMRKRRNKVKPKGIKSEVASDKITDSSPGNMSEASESELEEVFKNPKELSKKKTTDFKDKEYYMSHYAPKESIQETGYAINSGENFTTAARHAILDLTNDEGIEQSRKGGQRWDPKKKKFVNIINDEDGSKGSPKIIRGESGVKLPATYRSGRFDEWKASKAFGANDSPIRENKRYKHNKLQTPKPADKFRDNYHKQNKRNREAKERGIGIKVNSELKSAVEIRKARELKEKRLAKNNRPSKKHR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MWPTRRLVTIKRSGVDGPHFPLSLSTCLFGRGIECDIRIQLPVVSKQHCKIEIHEQEAILHNFSSTNPTQVNGSVIDEPVRLKHGDVITIIDRSFRYENESLQNGRKSTEFPRKIREQEPARRVSRSSFSSDPDEKAQDSKAYSKITEGKVSGNPQVHIKNVKEDSTADDSKDSVAQGTTNVHSSEHAGRNGRNAADPISGDFKEISSVKLVSRYGELKSVPTTQCLDNSKKNESPFWKLYESVKKELDVKSQKENVLQYCRKSGLQTDYATEKESADGLQGETQLLVSRKSRPKSGGSGHAVAEPASPEQELDQNKGKGRDVESVQTPSKAVGASFPLYEPAKMKTPVQYSQQQNSPQKHKNKDLYTTGRRESVNLGKSEGFKAGDKTLTPRKLSTRNRTPAKVEDAADSATKPENLSSKTRGSIPTDVEVLPTETEIHNEPFLTLWLTQVERKIQKDSLSKPEKLGTTAGQMCSGLPGLSSVDINNFGDSINESEGIPLKRRRVSFGGHLRPELFDENLPPNTPLKRGEAPTKRKSLVMHTPPVLKKIIKEQPQPSGKQESGSEIHVEVKAQSLVISPPAPSPRKTPVASDQRRRSCKTAPASSSKSQTEVPKRGGRKSGNLPSKRVSISRSQHDILQMICSKRRSGASEANLIVAKSWADVVKLGAKQTQTKVIKHGPQRSMNKRQRRPATPKKPVGEVHSQFSTGHANSPCTIIIGKAHTEKVHVPARPYRVLNNFISNQKMDFKEDLSGIAEMFKTPVKEQPQLTSTCHIAISNSENLLGKQFQGTDSGEEPLLPTSESFGGNVFFSAQNAAKQPSDKCSASPPLRRQCIRENGNVAKTPRNTYKMTSLETKTSDTETEPSKTVSTANRSGRSTEFRNIQKLPVESKSEETNTEIVECILKRGQKATLLQQRREGEMKEIERPFETYKENIELKENDEKMKAMKRSRTWGQKCAPMSDLTDLKSLPDTELMKDTARGQNLLQTQDHAKAPKSEKGKITKMPCQSLQPEPINTPTHTKQQLKASLGKVGVKEELLAVGKFTRTSGETTHTHREPAGDGKSIRTFKESPKQILDPAARVTGMKKWPRTPKEEAQSLEDLAGFKELFQTPGPSEESMTDEKTTKIACKSPPPESVDTPTSTKQWPKRSLRKADVEEEFLALRKLTPSAGKAMLTPKPAGGDEKDIKAFMGTPVQKLDLAGTLPGSKRQLQTPKEKAQALEDLAGFKELFQTPGHTEELVAAGKTTKIPCDSPQSDPVDTPTSTKQRPKRSIRKADVEGELLACRNLMPSAGKAMHTPKPSVGEEKDIIIFVGTPVQKLDLTENLTGSKRRPQTPKEEAQALEDLTGFKELFQTPGHTEEAVAAGKTTKMPCESSPPESADTPTSTRRQPKTPLEKRDVQKELSALKKLTQTSGETTHTDKVPGGEDKSINAFRETAKQKLDPAASVTGSKRHPKTKEKAQPLEDLAGLKELFQTPVCTDKPTTHEKTTKIACRSQPDPVDTPTSSKPQSKRSLRKVDVEEEFFALRKRTPSAGKAMHTPKPAVSGEKNIYAFMGTPVQKLDLTENLTGSKRRLQTPKEKAQALEDLAGFKELFQTRGHTEESMTNDKTAKVACKSSQPDPDKNPASSKRRLKTSLGKVGVKEELLAVGKLTQTSGETTHTHTEPTGDGKSMKAFMESPKQILDSAASLTGSKRQLRTPKGKSEVPEDLAGFIELFQTPSHTKESMTNEKTTKVSYRASQPDLVDTPTSSKPQPKRSLRKADTEEEFLAFRKQTPSAGKAMHTPKPAVGEEKDINTFLGTPVQKLDQPGNLPGSNRRLQTRKEKAQALEELTGFRELFQTPCTDNPTTDEKTTKKILCKSPQSDPADTPTNTKQRPKRSLKKADVEEEFLAFRKLTPSAGKAMHTPKAAVGEEKDINTFVGTPVEKLDLLGNLPGSKRRPQTPKEKAKALEDLAGFKELFQTPGHTEESMTDDKITEVSCKSPQPDPVKTPTSSKQRLKISLGKVGVKEEVLPVGKLTQTSGKTTQTHRETAGDGKSIKAFKESAKQMLDPANYGTGMERWPRTPKEEAQSLEDLAGFKELFQTPDHTEESTTDDKTTKIACKSPPPESMDTPTSTRRRPKTPLGKRDIVEELSALKQLTQTTHTDKVPGDEDKGINVFRETAKQKLDPAASVTGSKRQPRTPKGKAQPLEDLAGLKELFQTPICTDKPTTHEKTTKIACRSPQPDPVGTPTIFKPQSKRSLRKADVEEESLALRKRTPSVGKAMDTPKPAGGDEKDMKAFMGTPVQKLDLPGNLPGSKRWPQTPKEKAQALEDLAGFKELFQTPGTDKPTTDEKTTKIACKSPQPDPVDTPASTKQRPKRNLRKADVEEEFLALRKRTPSAGKAMDTPKPAVSDEKNINTFVETPVQKLDLLGNLPGSKRQPQTPKEKAEALEDLVGFKELFQTPGHTEESMTDDKITEVSCKSPQPESFKTSRSSKQRLKIPLVKVDMKEEPLAVSKLTRTSGETTQTHTEPTGDSKSIKAFKESPKQILDPAASVTGSRRQLRTRKEKARALEDLVDFKELFSAPGHTEESMTIDKNTKIPCKSPPPELTDTATSTKRCPKTRPRKEVKEELSAVERLTQTSGQSTHTHKEPASGDEGIKVLKQRAKKKPNPVEEEPSRRRPRAPKEKAQPLEDLAGFTELSETSGHTQESLTAGKATKIPCESPPLEVVDTTASTKRHLRTRVQKVQVKEEPSAVKFTQTSGETTDADKEPAGEDKGIKALKESAKQTPAPAASVTGSRRRPRAPRESAQAIEDLAGFKDPAAGHTEESMTDDKTTKIPCKSSPELEDTATSSKRRPRTRAQKVEVKEELLAVGKLTQTSGETTHTDKEPVGEGKGTKAFKQPAKRKLDAEDVIGSRRQPRAPKEKAQPLEDLASFQELSQTPGHTEELANGAADSFTSAPKQTPDSGKPLKISRRVLRAPKVEPVGDVVSTRDPVKSQSKSNTSLPPLPFKRGGGKDGSVTGTKRLRCMPAPEEIVEELPASKKQRVAPRARGKSSEPVVIMKRSLRTSAKRIEPAEELNSNDMKTNKEEHKLQDSVPENKGISLRSRRQNKTEAEQQITEVFVLAERIEINRNEKKPMKTSPEMDIQNPDDGARKPIPRDKVTENKRCLRSARQNESSQPKVAEESGGQKSAKVLMQNQKGKGEAGNSDSMCLRSRKTKSQPAASTLESKSVQRVTRSVKRCAENPKKAEDNVCVKKIRTRSHRDSEDI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAANMYRVGDYVYFENSSSNPYLIRRIEELNKTASGNVEAKVVCFYRRRDISNTLIMLADKHAKEIEEESETTVEADLTDKQKHQLKHRELFLSRQYESLPATHIRGKCSVALLNETESVLSYLDKEDTFFYSLVYDPSLKTLLADKGEIRVGPRYQADIPEMLLEGESDEREQSKLEVKVWDPNSPLTDRQIDQFLVVARAVGTFARALDCSSSVRQPSLHMSAAAASRDITLFHAMDTLYRHSYDLSSAISVLVPLGGPVLCRDEMEEWSASEASLFEEALEKYGKDFNDIRQDFLPWKSLTSIIEYYYMWKTTDRYVQQKRLKAAEAESKLKQVYIPTYSKPNPNQISTSNGKPGAVNGAVGTTFQPQNPLLGRACESCYATQSHQWYSWGPPNMQCRLCAICWLYWKKYGGLKMPTQSEEEKLSPSPTTEDPRVRSHVSRQAMQGMPVRNTGSPKSAVKTRQAFFLHTTYFTKFARQVCKNTLRLRQAARRPFVAINYAAIRAEYADRHAELSGSPLKSKSTRKPLACIIGYLEIHPAKKPNVIRSTPSLQTPTTKRMLTTPNHTSLSILGKRNYSHHNGLDELTCCVSD", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAVPAASSIVSYGGAATSNFLTTPVTPFLAGFYNSNFVTDRINSCAPYRVDRIRKQLQDEEENGYPPADDRRRGALFCRSGTWLEMLPIENPDDGSTRVKVHGTKEESSKFSIVEFVSVAMSLVSIRGVETKNFICMDPSGKLYATPSSNYSTECVFLEEMMENYYNLYASCAYGDRFNPWYIELRRSGKPRRGPNSKKRRKASHFLVVHHDLDRLRSPVPNGNDVTDLVVASLFHQPPSHPLFRQQTVTKPPNPHRISNLRAKVEMTNQAEKQRLLEEKKRRREKKKRRREDRLRKEEQIREARRQELKSLREEELRRRYQQQQQQQASTQTRYNRPQNPANPYPTYRPLPTRSTVQSPRPAYNPYWQSPVTQAPHHNSHHHHHHHPRVSSSSDPQQRHQSQQHYLAQTVSNPNRQNVNYQRYP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAQGAAAAVAAGTSGVAGEGEPGPGENAAAEGTAPSPGRVSPPTPARGEPEVTVEIGETYLCRRPDSTWHSAEVIQSRVNDQEGREEFYVHYVGFNRRLDEWVDKNRLALTKTVKDAVQKNSEKYLSELAEQPERKITRNQKRKHDEINHVQKTYAEMDPTTAALEKEHEAITKVKYVDKIHIGNYEIDAWYFSPFPEDYGKQPKLWLCEYCLKYMKYEKSYRFHLGQCQWRQPPGKEIYRKSNISVYEVDGKDHKIYCQNLCLLAKLFLDHKTLYFDVEPFVFYILTEVDRQGAHIVGYFSKEKESPDGNNVACILTLPPYQRRGYGKFLIAFSYELSKLESTVGSPEKPLSDLGKLSYRSYWSWVLLEILRDFRGTLSIKDLSQMTSITQNDIISTLQSLNMVKYWKGQHVICVTPKLVEEHLKSAQYKKPPITVDSVCLKWAPPKHKQVKLSKK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASFKLMSSSNSDLSRRNSSSASSSPSIRSSHHLRPNPHADHSRISFAYGGGVNDYTFASDSKPFEMAIDVDRSIGDRNSVNNGKSVDDVWKEIVSGEQKTIMMKEEEPEDIMTLEDFLAKAEMDEGASDEIDVKIPTERLNNDGSYTFDFPMQRHSSFQMVEGSMGGGVTRGKRGRVMMEAMDKAAAQRQKRMIKNRESAARSRERKQAYQVELETLAAKLEEENEQLLKEIEESTKERYKKLMEVLIPVDEKPRPPSRPLSRSHSLEW", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPPRKKRRQPSQKAPLLFHQQPLEGPKHSCASTQLPITHTRQVPSKPIDHSTITSWVSPDFDTAAGSLFPAYQKHQNRARHSSRKPTTSKFPHLTFESPQSSSSETLGIPLIRECPSESEKDVSRRPLVPVLSPQSCGNMSVQALQSLPYVFIPPDIQTPESSSVKEELIPQDQKENSLLSCTLHTGTPNSPEPGPVLVKDTPEDKYGIKVTWRRRQHLLAYLRERGKLSRSQFLVKS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MCAAEVDRHVSQRYLIKRRLGKGAYGIVWKAMDRRTGEVVAIKKIFDAFRDQTDAQRTFREIMLLREFGGHPNIIRLLDVIPAKNDRDIYLVFESMDTDLNAVIQKGRLLEDIHKRCIFYQLLRATKFIHSGRVIHRDQKPANVLLDAACRVKLCDFGLARSLSDFPEGPGGQALTEYVATRWYRAPEVLLSSRWYTPGVDMWSLGCILGEMLRGQPLFPGTSTFHQLELILETIPLPSMEELQGLGSDYSALILQNLGSRPRQTLDALLPPDTPPEALDLLKRLLAFAPDKRLSAEQALQHPYVQRFHCPDREWTRGSDVRLPVHEGDQLSAPEYRNRLYQMILERRRNSRSPREEDLGVVASRAELRASQRQSLKPGVLPQVLAETPARKRGPKPQNGHGHDPEHVEVRRQSSDPLYQLPPPGSGERPPGATGEPPSAPSGVKTHVRAVAPSLTSQAAAQAANQPLIRSDPARGGGPRAVGARRVPSRLPREAPEPRPGRRMFGISVSQGAQGAARAALGGYSQAYGTVCRSALGRLPLLPGPRA", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRGEERWQEQPALASHPSRATLRPRGWPRLGLAPTGVGSSCPPAPASELARHLARRAPVSASPPVLPPIKDQGARPPTLAASAAAASSPPPPPPPPIPPLPPSTSTSAARPTDMAGVTSKRRSSSASTSSSSGDGAAVSDRPRGVTRKRRSGGRCPRPAASLRPAAPRPSSHHTAGLRVILQKELRYSDVSQLGRIVLPKKEAEAYLPILTSKDGKKSLCMHDLQNAQLWTFKYRYWPNNKSRMYVLENTGDYVRTHDLQLGDSIVIYKDDENNRFVIGAKKAGDQQAATVPQVDEHISTLFPIFPIAQVDDYLSPMAPQVDISAFVPHADENHEIFDGILNSLPEIPVANVRYSDFFDPFDDGMDMANTLNANANQSASLHVTDDKSGHSLIPNPKSGPHM", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MFYYPNVLQRHTGCFATIWLAATRGSRLVKREYLNVNVVKTCEEILNYVLVRVQPPVAGLPRPRFSLYLSAQLQIGVIRVYFQQCQYLVEDIQHILEHLHRAQLRIRIDMEEADLPSLLLPNCLAMMETLEDAPEPFFGKMSVDPRLPSPFDIPQIRHLLEAATPEKTRKETLPEATPDPRKPDRTLATVQSPEVITLQEAEPIRMLQIEGEQDLPEISRGDLELLIAEKDDAILLEERQRGRLLRQRRASLPLDESREEPRALEGAGLVSALSPPAPAQVEGIQEALPGQVFPPEVQKMTGWEPGALLTEVTPPQELRLPAPPSTEKRLPSLQRPLPRRHRRRQLLFWDKETQISREKFEEQLQTGAHCWEYPVAQPPKRMLTSPAELFRTPTLSGWLPPELLGLWTHCAQVPQRMLRQRPQLETEETVEEERAADEEERRKTEALSEIEVLREAQEPSGPLMLSSELSLEAAEDEKSRTSLIPPEWWAWSEEGQPEPPALPMLPELPEVPMEMPPRPELSSEAVLRAVALKLQANKELDFSSLVPPLSPRKLASRVFYLLLVLSTQKILLVEQQKPYGPLLIRPGPKFP", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGRKKIQIQRITDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNHSNKLFQYASTDMDKVLLKYTEYNEPHESRTNADIIETLRKKGFNGCDSPEPDGEDSLEQSPLLEDKYRRASEELDGLFRRYGSTVPAPNFAMPVTVPVSNQSSLQFSNPSGSLVTPSLVTSSLTDPRLLSPQQPALQRNSVSPGLPQRPASAGAMLGGDLNSANGACPSPVGNGYVSARASPGLLPVANGNSLNKVIPAKSPPPPTHSTQLGAPSRKPDLRVITSQAGKGLMHHLTEDHLDLNNAQRLGVSQSTHSLTTPVVSVATPSLLSQGLPFSSMPTAYNTDYQLTSAELSSLPAFSSPGGLSLGNVTAWQQPQQPQQPQQPQPPQQQPPQPQQPQPQQPQQPQQPPQQQSHLVPVSLSNLIPGSPLPHVGAALTVTTHPHISIKSEPVSPSRERSPAPPPPAVFPAARPEPGDGLSSPAGGSYETGDRDDGRGDFGPTLGLLRPAPEPEAEGSAVKRMRLDTWTLK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAKKKERAVNVSGKPRHSLDVNRANDKKGAGGGAGGGGGGRSAATVRRLKMYKMRPLRDRGGKILKHDLQSKELPNTRIEPDRRWFGNTRVVNQKELEFFREELQSRLSNNYNVILKERKLPLSLLQDHQKQARAHLLDTEPFEHAFGPKGKRKRPKLMALDYESLLKKADDSQGAFEDKHATAKLLKEEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENAKHKHLVFLLNKCDLVPAWATKGWLRTLSKDYPTLAFHASINSSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLADASEHIGEVLRRVKKEHLKRAYKIEDWVDDNDFLVQLSKTTGKLLRGGEPDLTTTAKMVLHDWQRGKIPFFVPPPQQGEDSPSETAEPVEKSDEEGVSSDRTAAAMKAIAGIISSQQQMNVPCQKEFGVTNEDSEVAEQSE", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGGTARGPGRKDAGPPGAGLPPQQRRLGDGVYDTFMMIDETKCPPCSNVLCNPSEPPPPRRLNMTTEQFTGDHTQHFLDGGEMKVEQLFQEFGNRKSNTIQSDGISDSEKCSPTVSQGKSSDCLNTVKSNSSSKAPKVVPLTPEQALKQYKHHLTAYEKLEIINYPEIYFVGPNAKKRHGVIGGPNNGGYDDADGAYIHVPRDHLAYRYEVLKIIGKGSFGQVARVYDHKLRQYVALKMVRNEKRFHRQAAEEIRILEHLKKQDKTGSMNVIHMLESFTFRNHVCMAFELLSIDLYELIKKNKFQGFSVQLVRKFAQSILQSLDALHKNKIIHCDLKPENILLKHHGRSSTKVIDFGSSCFEYQKLYTYIQSRFYRAPEIILGSRYSTPIDIWSFGCILAELLTGQPLFPGEDEGDQLACMMELLGMPPPKLLEQSKRAKYFINSKGIPRYCSVTTQADGRVVLVGGRSRRGKKRGPPGSKDWGTALKGCDDYLFIEFLKRCLHWDPSARLTPAQALRHPWISKSVPRPLTTIDKVSGKRVVNPASAFQGLGSKLPPVVGIANKLKANLMSETNGSIPLCSVLPKLIS", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MASNRGQLNHELSKLFNELWDADQNRMKSGKDYRISLQGKAGYVPAGSNQARDSASFPLFQFVDEEKLKSRKTFATFISLLDNYEMDTGVAEVVTPEEIAENNNFLDAILETKVMKMAHDYLVRKNQAKPTRNDFKVQLYNIWFQLYSRAPGSRPDSCGFEHVFVGESKRGQEMMGLHNWVQFYLQEKRKNIDYKGYVARQNKSRPDEDDQVLNLQFNWKEMVKPVGSSFIGVSPEFEFALYTIVFLASQEKMSREVVRLEEYELQIVVNRHGRYIGTAYPVLLSTNNPDLY", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MCSLGLFPPPPPRGQVTLYEHNNELVTGSSYESPPPDFRGQWINLPVLQLTKDPLKTPGRLDHGTRTAFIHHREQVWKRCINIWRDVGLFGVLNEIANSEEEVFEWVKTASGWALALCRWASSLHGSLFPHLSLRSEDLIAEFAQVTNWSSCCLRVFAWHPHTNKFAVALLDDSVRVYNASSTIVPSLKHRLQRNVASLAWKPLSASVLAVACQSCILIWTLDPTSLSTRPSSGCAQVLSHPGHTPVTSLAWAPSGGRLLSASPVDAAIRVWDVSTETCVPLPWFRGGGVTNLLWSPDGSKILATTPSAVFRVWEAQMWTCERWPTLSGRCQTGCWSPDGSRLLFTVLGEPLIYSLSFPERCGEGKGCVGGAKSATIVADLSETTIQTPDGEERLGGEAHSMVWDPSGERLAVLMKGKPRVQDGKPVILLFRTRNSPVFELLPCGIIQGEPGAQPQLITFHPSFNKGALLSVGWSTGRIAHIPLYFVNAQFPRFSPVLGRAQEPPAGGGGSIHDLPLFTETSPTSAPWDPLPGPPPVLPHSPHSHL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSLWLGAPVPDIPPDSAVELWKPGAQDASSQAQGGSSCILREEARMPHSAGGTAGVGLEAAEPTALLTRAEPPSEPTEIRPQKRKKGPAPKMLGNELCSVCGDKASGFHYNVLSCEGCKGFFRRSVIKGAHYICHSGGHCPMDTYMRRKCQECRLRKCRQAGMREECVLSEEQIRLKKLKRQEEEQAHATSLPPRASSPPQILPQLSPEQLGMIEKLVAAQQQCNRRSFSDRLRVTPWPMAPDPHSREARQQRFAHFTELAIVSVQEIVDFAKQLPGFLQLSREDQIALLKTSAIEVMLLETSRRYNPGSESITFLKDFSYNREDFAKAGLQVEFINPIFEFSRAMNELQLNDAEFALLIAISIFSADRPNVQDQLQVERLQHTYVEALHAYVSIHHPHDRLMFPRMLMKLVSLRTLSSVHSEQVFALRLQDKKLPPLLSEIWDVHE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLIKVKTLTGKEIEIDIEPTDKVERIKERVEEKEGIPPQQQRLIYSGKQMNDEKTAADYKILGGSVLHLVLALRGGGGLRQ", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSEKRSLPMVDVKIDDEDTPQLEKKIKRQSIDHGVGSEPVSTIEIIPSDSFRKYNSQGFKAKDTDLMGTQLESTFEQELSQMEHDMADQEEHDLSSFERKKLPTDFDPSLYDISFQQIDAEQSVLNGIKDENTSTVVRFFGVTSEGHSVLCNVTGFKNYLYVPAPNSSDANDQEQINKFVHYLNETFDHAIDSIEVVSKQSIWGYSGDTKLPFWKIYVTYPHMVNKLRTAFERGHLSFNSWFSNGTTTYDNIAYTLRLMVDCGIVGMSWITLPKGKYSMIEPNNRVSSCQLEVSINYRNLIAHPAEGDWSHTAPLRIMSFDIECAGRIGVFPEPEYDPVIQIANVVSIAGAKKPFIRNVFTLNTCSPITGSMIFSHATEEEMLSNWRNFIIKVDPDVIIGYNTTNFDIPYLLNRAKALKVNDFPYFGRLKTVKQEIKESVFSSKAYGTRETKNVNIDGRLQLDLLQFIQREYKLRSYTLNAVSAHFLGEQKEDVHYSIISDLQNGDSETRRRLAVYCLKDAYLPLRLMEKLMALVNYTEMARVTGVPFSYLLARGQQIKVVSQLFRKCLEIDTVIPNMQSQASDDQYEGATVIEPIRGYYDVPIATLDFNSLYPSIMMAHNLCYTTLCNKATVERLNLKIDEDYVITPNGDYFVTTKRRRGILPIILDELISARKRAKKDLRDEKDPFKRDVLNGRQLALKISANSVYGFTGATVGKLPCLAISSSVTAYGRTMILKTKTAVQEKYCIKNGYKHDAVVVYGDTDSVMVKFGTTDLKEAMDLGTEAAKYVSTLFKHPINLEFEKAYFPYLLINKKRYAGLFWTNPDKFDKLDQKGLASVRRDSCSLVSIVMNKVLKKILIERNVDGALAFVRETINDILHNRVDISKLIISKTLAPNYTNPQPHAVLAERMKRREGVGPNVGDRVDYVIIGGNDKLYNRAEDPLFVLENNIQVDSRYYLTNQLQNPIISIVAPIIGDKQANGMFVVKSIKINTGSQKGGLMSFIKKVEACKSCKGPLRKGEGPLCSNCLARSGELYIKALYDVRDLEEKYSRLWTQCQRCAGNLHSEVLCSNKNCDIFYMRVKVKKELQEKVEQLSKW", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDMSKKKSKRASPIESSQEEIAISTSKTATTEKPKKTKTTTKKKASQPSQEVVMETESEVEITTTTTSTSTTNNNNITTTSTSSQQSNGTLSSSSSPTIQSIPTTPISKYIPSLSQIGTPLSPNRAAQRLREKDELSLIHNRLKSALKKLESAETELEKKNQEYEELDQKHTATIKQLKQRSDQVEKQLIEEQNQNSDLTSNRNILENELKSKESVWKKEKDEILLKFQESINKLNQENSLAQSQLKSEIVSKEYEIDGLKSEINRLKDDLQYRIREGEEKSRKLLENEYNRFKGKEEEYNQLIVSKDEEIKKYKFELKEKEKSSNAMNKKENELNNLIQAHERQIEDMRDSINREWELKAAQMMEEHHARTIHLQQAVDSFNEEKERIKSQMETLNGQIEDINIKNNEYEDRIKEMNVLLSQKDNSIGELGVEIEESKKKMRKQMADLKSKDGQIALLQIEINTKDNKCNTLQTETNRLKSELYSITNQIDPEIPLDPEINSLKELVKGFEKTVDDRKRKRSKLQHEFNAAANQDQNGMTIEEQSSTSTTTTTSATGSSSSTSHLDNIDSSKLPTGPEQSELFNPDTVSFSLVDSNQEFIKLSVHGDMDNGLSISKWRLIVVKPDGSKSGFSFPDGIQPFKGIKSVTVWTGRPRPQGTPTENEFYWARTELWTSPVEGTIVKLVSPSEETTTVTLPADGIYQKPSSAGKSNCLIM", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSTNQQQQANPSAAVAAPAASSEYLKRTCLICGCHTNQTINIYEPRSGPNIVQLIQAKFKFQPLNEDKFLCFSCNNWLINWHSLQAVNSNEAESQSQSPSHMGNSVLQQERTKLRPVAMVRPTVRVQPQSQPQLQPQVPINPTPAPIVYSKRRASRRSASVSRMSRVLRQCCVESLRRSPKKRNQQSVFVCLRPQGQKRSNAICKVECVAPRRKPVERLVKDVAATATPTPVLNTQSTPTYQRFPQPSVDGKVVAMFRRLGTTLSREEPAAYSAESNPACSKLPQIMSPLKEAPRWTRDLDDDEILLEFDTAISEVLPTARYQVTHEENKENQQAQEMELELEEEEEVDGRAELEVVQEAEAPLEPQSHHKQGNSHQNSHQASIQLAGLRLPMGLSISLV", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAAGVEAAAEVAATEIKMEEESGAPGVPSGNGAPGPKGEGERPAQNEKRKEKNIKRGGNRFEPYANPTKRYRAFITNIPFDVKWQSLKDLVKEKVGEVTYVELLMDAEGKSRGCAVVEFKMEESMKKAAEVLNKHSLSGRPLKVKEDPDGEHARRAMQKVMATTGGMGMGPGGPGMITIPPSILNNPNIPNEIIHALQAGRLGSTVFVANLDYKVGWKKLKEVFSMAGVVVRADILEDKDGKSRGIGTVTFEQSIEAVQAISMFNGQLLFDRPMHVKMDERALPKGDFFPPERPQQLPHGLGGIGMGLGPGGQPIDANHLNKGIGMGNIGPAGMGMEGIGFGINKMGGMEGPFGGGMENMGRFGSGMNMGRINEILSNALKRGEIIAKQGGGGGGGSVPGIERMGPGIDRLGGAGMERMGAGLGHGMDRVGSEIERMGLVMDRMGSVERMGSGIERMGPLGLDHMASSIERMGQTMERIGSGVERMGAGMGFGLERMAAPIDRVGQTIERMGSGVERMGPAIERMGLSMERMVPAGMGAGLERMGPVMDRMATGLERMGANNLERMGLERMGANSLERMGLERMGANSLERMGPAMGPALGAGIERMGLAMGGGGGASFDRAIEMERGNFGGSFAGSFGGAGGHAPGVARKACQIFVRNLPFDFTWKMLKDKFNECGHVLYADIKMENGKSKGCGVVKFESPEVAERACRMMNGMKLSGREIDVRIDRNA", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MMGSVLPAEALVLKTGLKAPGLALAEVITSDILHSFLYGRWRNVLGEQLFEDKSHHASPKTAFTAEVLAQSFSGEVQKLSSLVLPAEVIIAQSSIPGEGLGIFSKTWIKAGTEMGPFTGRVIAPEHVDICKNNNLMWEVFNEDGTVRYFIDASQEDHRSWMTYIKCARNEQEQNLEVVQIGTSIFYKAIEMIPPDQELLVWYGNSHNTFLGIPGVPGLEEDQKKNKHEDFHPADSAAGPAGRMRCVICHRGFNSRSNLRSHMRIHTLDKPFVCRFCNRRFSQSSTLRNHVRLHTGERPYKCQVCQSAYSQLAGLRAHQKSARHRPPSTALQAHSPALPAPHAHAPALAAAAAAAAAAAAHHLPAMVL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPPKGWRKDAQGNYPTTSYIKEQENITIQDLLFPKSTIVNLAREVPQQSGKKLLINKDASLALQRGATVFVNHLLLFAREIAKSQDKKSCSVDDVLSALDHIGHSALKGPVRDKLDEYQAAVEQRKKEKLDSGEVDADGDIDMGEDKENVPVEKVKEHDEIEEQGDALQDVEESSEKKQKTESQDVETRVQNLEQT", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSVVSNHHSNGNGNSTVYDTNGNDEIKKEVKDEPMASDSEVPFGELMKRDKKEKKQKKRKAESGSDEDDYKPEKRKSSAKNGKKKDVGSDSEDDYKPEKKKSKKNNKKKAQESSEDDDEESEGDVSEEDVKPQIHSDDELEEEDEAPTTDDEEEQKRKEKERRKKEKREKKERKEKKRLEKENRKIKEEDDEDSDDEDDEKAKKKKRKSKGAEKSKPSTSKKDAGGKKEPPKKKVKKEEDIEDIWEWWKEEKKPAGVKWNSLQHCGPLFAPPYIPLPSHVHFKYGGEKMKLTLETEEIAQFYAGVLDHEYSTKEAFNKNFMKDWRKVMTVEERERIHDLKKCDFRAIDAYQKEQREIRKAMTKEEKLKIKEEKEAEVKIYGIAIIDGHRQKVANFRIEPPGVFRGRGGHPKMGLIKKRIMPEDVIINCGKDTEIPKPPPGHKWKEVRHDNTVTWLCSWTESVLGQNKYIMLNPSSKIKGEKDFEKYETARRLKKKIGGIRERYTDDFKSKEMRVRQRATALYFIDKLALRAGNEKDVDEAADTVGCCSLRVEHIKLFDSAKLNEDDKKEKEFVVEFDFLGKDSIRYFNRVSVEKRVYKNLKIFMEGKAPSDDLFDRLDTATLNDHLRSLMDGLTVKVFRTYNASITLQEQLIKLTNPKDNVAAKILSYNRANRQVAILCNHQRAVSKGFDESMQKLEQKIKDKKKEVKEAEAALKSARGAEKEKAQKKYDRLKEQLKKLKISRTDKDENKQIALGTSKLNYIDPRITVAWCKKFEVPLEKVFTKTHREKFRWAIDMTNSSDEEYVF", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MENQESDEPMQKKPHLLDSVSPNSMARNSSPSHPIAKSVSFFDCDFSLLCLRLVDYEIDVDATVLQLQNQKLVQQLDLQKKQLYDVESKIQELQLNQTSYDDELISVNQLWNQLVDDLILLGVRAGANQEALNYLDIVDKKRVPPCAADETFLCRLLQVDSLDTSKSDEVVRKVEEALALRHSSTMELMGLFENTIDTQKTKAESISQSLHAVKSTEDATIQLSSINDLMKEESKNLREMIDALHVRHKEHSEQIQAYISSHSTDQSELKHLKGQLEEIKAELEENRRKLITLKMQKDAACEGHVTSPAIANGSLSPEKPVDKTKLRELKDSIDEIKIMAEGRLSELQASQEYNLSLSRQCQDIENELKDDQYIYSSRLYSLINDRIHHWNAELDRYKILTEAIQAERSFVMRRDKELNLRAESLEAANHKTTTVGSRIEVLEKKLQSCIIEKNGLELETEEAIQDSERQDIKSEFIAMASTLSKEMEMMEAQLKRWKDTAQDALYLREQAQSLRVSLSNKADEQKGLEDKCAKQMAEIKSLKALIEKLLKEKLQLQNLASICTRECNDDRGLAEIKDSQRKAQAQAEELKNVLDEHFLELRVKAAHETESACQERLATAKAEIAELRTQLDLSEREVLELKEGIKVKEQEAEASIAEMETIGQAYEDMQTQNQHLLQQVAERDDYNIKLVSESVKTKHAYNTHLSEKQVMEKQLHQVNASVENFKARIAHNEEQMKGCFSEAYKLIQEDRHLVISLETTKWEVADADKEFRWLKSAVSSSEKEYEQISRRTDDIKLELDDERREKKKLEEELMELNKELEELGSESVEAAIVRLQEEVKNCKNILKCGVCFDRPKEVVIVKCYHLFCQQCIQRSLEIRHRKCPGCGTAFGQNDVRLVKM", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDSVEEEGVVRVEEENGRGGLRRHRRVSTKLANYVDPPTDDEEDGGPKRKGKRGGNRAPKKTPKKDEEMQKNEIDEANRVTGLVKEKRAATKILNRKDSIIEVGEASGSMPKEVKGIRIGKRKGEIDGEIPTKPGKKPKTTVDPRIIGYRPDNMCHQCQKSDRIVERCQTCNSKRYCHPCLDTWYPLIAKEDVAKKCMFCSSTCNCRACLRLDTKLKGINSNLIVSEEEKVQASKFILQSLLPHLKGINDEQVAEKEVEAKIYGLKFEEVRPQDAKAFPDERLYCDICKTSIYDLHRNCKSCSFDICLSCCLEIRNGKALACKEDVSWNYINRGLEYEHGQEGKVIEKPANKLDDKLKDKLDGKPDDKPKGKPKGRPKGKPDDKPKGKLKGKQDDKPDDKPDEKPVNTDHMKYPSLWKANEAGIITCCCGAGELVLKRLLPDGWISELVNRVEKTAEAGELLNLPETVLERCPCSNSDRHIDIDSCNLLKAACREGSEDNYLYSPSVWDVQQDDLKHFQHHWVKGEPVIVRNVLEATSGLSWEPMVMHRACRQISHVQHGSLKDVVAVDCLDFCEVKVNLHEFFTGYTDGRYDRMGWPLVLKLKDWPPAKVFKDNLPRHAEEFLCSLPLKHYTHPVNGPLNLAVKLPQNCLKPDMGPKTYVASGFAQELGRGDSVTKLHCDMSDAVNILTHISEVPNMQPGIGNLKKKHAEQDLKELYSSVANKEEMMEILENSRQQVQNVETDDGALWDIFRREDIPKLESYIEKHHKEFRHLYCCPVSQVVHPIHDQNFYLTRYHIMKLKEEYGIEPWTFNQKLGDAVLIPVGCPHQVRNLKSCNKVALDFVSPENVSECLRLTKQYRLLPPNHFAKEDKLGVKKMIVHAVDKALRDLSGEKSPEPEEKKQNMRGPKKGAAKAVAKALKDLSPSEKKSSEAAEEEISNGIVNAIDKGLKDLPPSEEKSSEAKVEISNGIVSAMDKDLEHISSSEKKSTEEEGVKRPNIVRTYERRKKLGSEVTNAYIDRLEMEKM", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAKTTKVKGNKKEVKASKQAKEEKAKAVSSSSSESSSSSSSSSESESESESESESSSSSSSSDSESSSSSSSDSESEAETKKEESKDSSSSSSDSSSDEEEEEEKEETKKEESKESSSSDSSSSSSSDSESEKEESNDKKRKSEDAEEEEDEESSNKKQKNEETEEPATIFVGRLSWSIDDEWLKKEFEHIGGVIGARVIYERGTDRSRGYGYVDFENKSYAEKAIQEMQGKEIDGRPINCDMSTSKPAGNNDRAKKFGDTPSEPSDTLFLGNLSFNADRDAIFELFAKHGEVVSVRIPTHPETEQPKGFGYVQFSNMEDAKKALDALQGEYIDNRPVRLDFSSPRPNNDGGRGGSRGFGGRGGGRGGNRGFGGRGGARGGRGGFRPSGSGANTAPLGRSRNTASFAGSKKTFD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSTARPRIITSKAPLLPQQTTPEQRYWRQYTSAQLVKEHNSVTHISFNPQHPHDFAVTSSTRVQIFSSRTRQVIKTFSRFKDVVYSASFRSDGKLLCAGDATGLVSVYDSYNPRTILLSINASTHPTHVTKFHTQDNKILATASDDRVTRLWDISNAYEPQLELTGATDYVRTLSFIPAAPHLVATGSYDGLIRLYDTRSSGSTPIYSLNHDQPVENVIAVSPTQIVSCGGNNFKVWDLTSNKKLYERGNFNKAVTCLDYVENFDSPMQSALIASSLDGHVKVFDPLDNFQVKFGWKFSGPVLSCAVSPSTAQGNRHLVAGLSSGLLAIRTKKKEKRSSDKENAPASFNKNAKSNNFQRMMRGSEYQGDQEHIIHNDKVRSQRRMRAFERNINQFKWSEALDNAFVPGMAKELTLTVLQELRKRGKVRVALYGRDESTLEPLLNWCLKGIEDVRSASIVADWVAVVLELYGNTLESSPVLQELMIDLKTKVRHEIHKSKEAQRIEGMLQLLTS", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGEEKYLPELMAEKDSLDPSFVHASRLLAEEIEKFQGSDGKKEDEEKKYLDVISNKNIKLSERVLIPVKQYPKFNFVGKLLGPRGNSLKRLQEETGAKMSILGKGSMRDKTKEEELRKSGEAKYAHLSDELHVLIEVFAPPGEAYSRMSHALEEIKKFLVPDYNDEIRQEQLRELSYLNGSEESGRGRGIRGRGIRITPTAPSRGRGGAVPPPPPPGRGVLTPRGTTVTRGALPVPPIARGVPTPRARGTAAVPGYRAPPPPAHDAYEEYGYDDGYGGEYDDQTYEAYDNSYVTPTQSVPEYYDYGHGVNEDAYDSYAPEEWATTRSSLKAPPPRSARGGYREHPYGRY", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MQYSHHCEHLLERLNKQREAGFLCDCTVVIGEFQFKAHRNVLASFSEYFGAIYRSTSENNVFLDQSQVKADGFQKLLEFIYTGTLNLDSWNVKEIHQAADYLKVEEVVTKCKIKMEDFAFIASPSSTEISSITGNIELNQQACLLTLRDYNNREKSEVSTDSVQANPKPRALTKKSSQSKKKKKAFSSQKPGQSKAVQYPSDVLESASVELFLETSKLSSPVVEQIIQGNDSSELELTSVVENTFPTQDIVQTVTVKRKRRKSQSHCALKEHSMSNIASVKSPYELENAGEELDARFSKAKPMCNTCGKVFSEASSLRRHMRIHKGVKPYVCHLCGKAFTQCNQLKTHVRTHTGERPYKCELCDKGFAQKCQLVFHSRMHHGEEKPYKCDVCNLQFATSSNLKIHARKHSGEKPYVCDRCGQRFAQASTLTYHVRRHTGEKPYVCDTCGKAFAVSSSLITHSRKHTGEKPYICGICGKSFISSGELNKHFRSHTGERPFICELCGNSYTDIKNLKKHKTKVHSGTDKNPDCSVDDHAVSEQDSVQRSPLSETLDVKPSDMTLPLALPLGTEDHQMLLPVTDSQSPASDTLLRSTVNGYSEPQLIFLQQLY", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MMLGAEGGEGFVVKVRGLPWSCSADEVQRFFSDCKIQNGAQGIRFIYTREGRPSGEAFVELESEDEVKLALKKDRETMGHRYVEVFKSNNVEMDWVLKHTGPNSPDTANDGFVRLRGLPFGCSEEEIVQFFSGLEIVPNGITLPVDFQGRSTGEAFVQFASQEIAEKALKKHKERIGHRYIEIFKSSRAEVRTHYDPPRKLMAMQRPGPYDRPGAGRGYNSIGRGAGFERMRRGAYGGGYGGYDDYNGYNDGYGFGSDRFGRDLNYCFSGMSDHRYGDGGSTFQSTTGHCVHMRGLPYRATENDIYNFFSPLNPVRVHIETGPDGRVTGEADVEFATHEDAVAAMSKDKANMQHRYVELFLNSTAGASGGAYEHRYVELFLNSTAGASGGAYGSQMMGGMGLSNQSSYGGPASQQLSGGYGGGYGGQSSMSGYDQVLQENSSDFQSNIA", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATLESPGMDDQAGDTETEALQSARWLYCGEPDDRQKAVLVQFSNGKLQNPGDMRFTLYNSTDLVNPRQRSHRIVAAETDRLSYVGNNFGTGALKCNALCRHFVGILNKTSGQMEVYDAELFNMQPLFAGMGTEVIKLGGQHLYLLAFCQPSKNLAEAGDLLLSRHRQGHCIAVLLDDDAIEREPPLENQNKTFRDKLDSCIEAFGSTKQKRSLNSRRMNKVGSESLNLSVAKAAESIIDTKGVNALVSDAMQDDLQDGVLYLPPCYADAAKPEDVYRFEDILSPAEYDALESPSEAFRKVTSEDILKMIEENSHCSYVIEMLKSLPIDEVHRNRQARSIWFLDALIRFRAQKVIKGKRALGPGIPHIINTKLLKQFTCLTYNNGRLQNLISSSMRAKITSYAIILALHINNFQVDLTALQKDLKLSEKRMIEIAKAMRLKISKQKVSLADGREESHRLGTLSVPLPPAQNSDRQSKRRKMN", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAASNFPHNKKRTLYVGGFGEEVTEKVLMAAFITFGDIVAISIPMDYETGKHRGFGFVEFELAEDAAAAIDNMNESELFGRTIRCNFARPPKATERSSRPVWADDEWLKRYGKGSGIADAKESNGSASTAKGLPRVYLGVKIGIRYIGRIVIELRSDVVPRTAENFRCLCTGEKGFGYEGSSFHRIIPKFMLQGGDFTKGDGTGGKSIYGPKFEDENFKLKHLMPGTVSMANCGPNTNGSQFFICAEKTDWLDGKHVVFGHVVEGMNVVRQVEQQGTPSGKPQMVVKIVECGELDPVPQTEPQENEENSDPQTPMDVEPQKETA", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MADSGLLLKRGSCRSTWLRVRKARPQLILSRRPRRRLGSLRWCGRRRLRWRLLQAQASGVDWREGARQVSRAAAARRPNTATPSPIPSPTPASEPESEPELESASSCHRPLLIPPVRPVGPGRALLLLPVEQGFTFSGICRVTCLYGQVQVFGFTISQGQPAQDIFSVYTHSCLSIHALHYSQPEKSKKELKREARNLLKSHLNLDDRRWSMQNFSPQCSIVLLEHLKTATVNFITSYPGSSYIFVQESPTPQIKPEYLALRSVGIRREKKRKGLQLTESTLSALEELVNVSCEEVDGCPVILVCGSQDVGKSTFNRYLINHLLNSLPCVDYLECDLGQTEFTPPGCISLLNITEPVLGPPFTHLRTPQKMVYYGKPSCKNNYENYIDIVKYVFSAYKRESPLIVNTMGWVSDQGLLLLIDLIRLLSPSHVVQFRSDHSKYMPDLTPQYVDDMDGLYTKSKTKMRNRRFRLAAFADALEFADEEKESPVEFTGHKLIGVYTDFAFRITPRNRESHNKILRDLSILSYLSQLQPPMPKPLSPLHSLTPYQVPFNAVALRITHSDVAPTHILYAVNASWVGLCKIQDDVRGYTNGPILLAQTPICDCLGFGICRGIDMEKRLYHILTPVPPEELRTVNCLLVGAIAIPHCVLKCQRGIEGTVPYVTTDYNFKLPGASEKIGAREPEEAHKEKPYRRPKFCRKMK", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MASGSGFLGQISSMEEADFAEKDPSGRYIRYDDVLGRGAFKTVYKAFDEVDGIEVAWNLVSIEDVMQMPGQLERLYSEVHLLKALKHENIIKLFYSWVDEKNKTINMITELFTSGSLRVYRKKHRKVDPKAIKNWARQILKGLNYLHSQNPPVIHRDLKCDNIFVNGNTGEVKIGDLGLATVLQQPTARSVIGTPEFMAPELYEEEYNELVDIYSFGMCMLEMVTCEYPYNECRNQAQIYKKVTSNIKPQSLGKVDDPQVRQFIEKCLLPASSRPTALELSKDPFLARDGGKDSALLASSSTSSKYVRPPQLEHLPMDVDHNENKSVSSNEDYPWSQTIELQRIAENKEFRLRGERSDDVTASMVLRIADPSGKCRIVHFAFYLESDTATAIAEEMVEELHLTSQEVVVIADMIDDFIMQLLSDRTSSHHNQNSPRLTHEDHEAANQQTVNSKDEEAAGQSMKSDISADYYFPYSANDGNAAMEAGRDAESMSSYLDSCSMMSTIYNLSISDNDYPEDLKTELNLIESQFNQSFQDLLKLKEDAIENAKRKWITKKQKAVNIS", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVNSHGIRYIRLKQVFNRALDQSISKLQSWDKVSSCFPQYVNSKQGAINVANCQRQLTEFWTELCQREFKEIMEERNVEQKLNELDELILEAKERYTDRDQDEVNKGPAIDELSSKELVECHLYSQRMHAIHEIDERLAKVNEMNDQLAQELKDLETQVEVEKNEIGKMYDEYLGSHTDQPANVLLVQSLNDMVLELKENY", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MALEAMNTPTSSFTRIETKEDLMNDAVFIEPWLKRKRSKRQRSHSPSSSSSSPPRSRPKSQNQDLTEEEYLALCLLMLAKDQPSQTRFHQQSQSLTPPPESKNLPYKCNVCEKAFPSYQALGGHKASHRIKPPTVISTTADDSTAPTISIVAGEKHPIAASGKIHECSICHKVFPTGQALGGHKRCHYEGNLGGGGGGGSKSISHSGSVSSTVSEERSHRGFIDLNLPALPELSLHHNPIVDEEILSPLTGKKPLLLTDHDQVIKKEDLSLKI", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MALETLNSPTATTTARPLLRYREEMEPENLEQWAKRKRTKRQRFDHGHQNQETNKNLPSEEEYLALCLLMLARGSAVQSPPLPPLPSRASPSDHRDYKCTVCGKSFSSYQALGGHKTSHRKPTNTSITSGNQELSNNSHSNSGSVVINVTVNTGNGVSQSGKIHTCSICFKSFASGQALGGHKRCHYDGGNNGNGNGSSSNSVELVAGSDVSDVDNERWSEESAIGGHRGFDLNLPADQVSVTTS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MALEALNSPRLVEDPLRFNGVEQWTKCKKRSKRSRSDLHHNHRLTEEEYLAFCLMLLARDGGDLDSVTVAEKPSYKCGVCYKTFSSYQALGGHKASHRSLYGGGENDKSTPSTAVKSHVCSVCGKSFATGQALGGHKRCHYDGGVSNSEGVGSTSHVSSSSHRGFDLNIIPVQGFSPDDEVMSPMATKKPRLK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNGEQQLDADAGSGMEEVELSWEDYLEETGSTAVPYGSFKHVDTRLQNGFAPGMKLEVAVRTDPETYWVATVITTCEQLLLLRYDGYGEDRRADFWCDIRKADLYPIGWCEQNKKTLEAPEGIRDKVSDWDEFLRQTLIGACSPPVPLLEGLRNGRNPLDLIAPGSRLECQAFQDSLSTWIVTVVENIGGRLKLRYEGLESSDNYEHWLYYLDPFLHHVGWAAQQGYELQPPSAIRHLKNEAEWQEILAKVKEEEEEPLPSYLFKDKQVIGIHTFSVNMKLEAVDPWSPFGISPATVVKVFDEKYFLVEMDDLRPENHARRSFVCHADSPGIFPVQWSLKNGLHISPPPGYPSQDFDWADYLKQCGAEAAPQRCFPPLISEHEFKENMKLEAVNPILPEEVCVATITAVRGSYLWLQLEGSKKPIPECIVSVESMDIFPLGWCETNGHPLSTPRRARVYKQRKIAVVQPEKQVPSSRTVHEGLRNQELNSTESVMINGKYCCPKIYFNHRCFSGPYLNKGRIAELPQCVGPGNCVLVLREVLTLLINAAYKPSRVLRELQLDKDSVWHGCGEVLKAKYKGKSYRATVEIVKTADRVTEFCRQTCIKLECCPNLFGPRMVLDKCSENCSVLTKTKYTHYYGKKKNKRIGRPPGGHSNLACALKKASKRRKRRKNVFVHKKKRSSASVDNTPAGSPQGSGGEDEDDPDEGDDDSLSEGSTSEQQDELQEESEMSEKKSCSSSPTQSEISTSLPPDRQRRKRELRTFSFSDDENKPPSPKEIRIEVAERLHLDSNPLKWSVADVVRFIRSTDCAPLARIFLDQEIDGQALLLLTLPTVQECMDLKLGPAIKLCHHIERIKFAFYEQFAN", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSAANYPDPSLPRPSTSDDFELIVRQNPNRARVAGGKEKERKPVDPPPIVQIRVREEGTYLAQHYLQSPYFFMSCSLYDAQEDAPASIPPSTALTGTLVSSLHRLKDVDNTDGGFFVWGDLSIKVEGDFRLKFSLFEMRKTDVVFLKSIVSERFTVSPPKSFPGMAESTFLSRSFADQGVKLRIRKEPRTLIKRTAPRPEEYPQAAIPRSPSDRTAMQIPGSSYPAPPYQPTSRDYSYYAPVKRQRTSVDYGARGMYDADGRMRQMETYPQTATLYGQPGGYPTPMMGYPSGHGGVPDYAMSYGLPPSAQVPQMQDPAAQSRSSQQATMQSLGMVNPPGTPTPDSARAMMQQAYPRPQYSASTAVLPPLQQSRNYPQGTNGATRGYYEQSPQATPILPSQPLGTSEAERYGVPPGHTGYDHTGSANGTPR", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSKKNPGDPRVKKNAFVHKLYTMLHDPALSHLIWWTNRNGEQNTFALCPGKEFADCLTQYFKHGNVASFVRQLHMYGFHKVSDPLPITYPPNGNNNNNNNNKEVPPVWEFKHSSGRFKRGDETSLVYIKRRSSSNHTSGSTIYAEPIYNPLLTNPYNQPQFMYQDYSMGPPVEVQQFYNYQNQPLMYYQQPPGQVPPPPPPSLPPHQQPEMALQYHQYNNYQQPPPAPPAPPPQPGNVLFGYQQVAPPQQPGSTVQQIPANAPPTLDQTQPLSYTPQLEYQQQQYPQPPLPPPPPQLQLQTSPGIPKVNDNLSRPSPNEQHLQFRKIWPDENNEANSKPRNPSLMFDPLLRVNSEGSPKTQPNHSVSLLNNEVRSESSTSSSSTTVTSTALPPPSAIDRSVSLVGGSPFDLSSRMNNQQSFNRTPSISTPPTQNGRLPKISSPLIPSNTESQSPTMVTGTDNDVGVTSKGSTSIISVSKKPSVFSNSLQERLRPSMFEYHPIGNNLSKDVLTIPKISTNSNSGSRTTSQSSMSSASALSSKKSSLSSISSTHGNLSILNSTNYRSTSVGGSGSGPFSTSTSTSTTSPTLSSLLHHPQHEPQNSTIANGTSIRSSISSSQSISSPPLTTTTTTTTTDQRQLSNSPISRQQQQQPNNNTNKKVSVTSLLLPSHSQSQGYAQPLYKSSVIAEEENSTTSSGGGYRSKSDDDTDNMNK", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MARNCSECKEKRAAHILCTYCNRWLCSSCTEEHRHVPAPGGPLFARAQKGSSGVNGGSGDFALYCPLHTQEVLKLFCETCDVLTCHSCLMVEHKEHRCRHVEEVLQNQRMLLESVTSQVAHKKSSLQTSAKQIEDRIFEVKHQHRKVENQIKMAKMVLMNELNKQANGLIEELEGITNERKRKLEQQLQSIMVLNRQFEHVQNFINWAVCSKSSVPFLFSKELIVFQMQRLLETRCNTDPGSPWSIRFTWEPNFWTKQLASLGCITTEGGQLTRADAAAASYGSLQGQPSFYQSHQAPMAQQEALSHPSHKFQSPALCSSSVCCSHCSPVSPSLKGQVPPPSIHPAHSFRQPSEMVPHQLGSLQCSTLLPREKELACSPHPPKLMQPWLEPQPPAEQESTSQRPGPQLVSQPVCIVPPQDVQPGAHAQPTIQTPSIQVQLGHHQKLKLSHFQQQPQQQPPPPPPPPPPPQHAPPPLPPSQHLASSQHESPPGPACSQNVDIMHHKFELEEMQKDLELLLQAQQPSLQLSQTKSPQHLQQTIVGQINYIVRQPAPVQSQSQEETLQVTEEPPAPEGPKPALPVDKNTAAPLPQTSGEETPHSVPPVDGTSQHSSPNVVRKHATSVSIMGFSNTVEMELSSTRLARTIEPQIHRVSSLTAAPTHTIPSLLSGPPQTVSSLMSVSNHAMPSLTASHLQPVPNLVRGTFQSTSNLRGDSSQAITGLASNHSQAGPSLMSGHTQAAPSLATCPLQGMPPVSDVHVEPRSVSSPGSGPAAESLGTRDGAESSLGNALCKMESEDCTRFSDSVGQGPTASSLDGPKDLAIPSELEEPINLSVKKPFLAPVINTSTALQQYRNPKEYENFEQGALELDTKENSDIRAISSEPKIPYVRLERLKICAASSGEMPVFKLKPQKNSQDGNFLLVIECGTESSSMSIKVSQNSLPDASQGPGLGGRKVTVTSLTGQQPQEVESTSEEHRLIPRAPGAKKNTPAPIENEDFCAVCINGGELLCCDRCPKVYHLSCHVPALLSFPGGEWVCTLCRSLTQPEMEYDCENARYGHPGVRVLPGLSMYDQKKCEKLVLSLCCNSLSLPFHEPVSPLARHYYQIIKRPMDLSIIRRKLQKKDPAHYTTPEEVVSDVRLMFWNCAKFNYPDSEVAEAGRCLEVFFEGWLKEIYPDKCFAQPQQEDSDSEDVSGESGCSTPQGFPWPPYMQEGIQPKRRRRHMENEKTKRVSFRLANSISQV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKEMSANTVLDSQRQQKHYGITSPISLASPKEIDHIYTQKLIDAMKPFGVFEDEEELNHRLVVLGKLNNLVKEWISDVSESKNLPPSVVATVGGKIFTFGSYRLGVHTKGADIDALCVAPRHVERSDFFQSFFEKLKHQDGIRNLRAVEDAFVPVIKFEFDGIEIDLVFARLAIQTISDNLDLRDDSRLRSLDIRCIRSLNGCRVTDEILHLVPNKETFRLTLRAVKLWAKRRGIYSNMLGFLGGVSWAMLVARTCQLYPNAAASTLVHKFFLVFSKWEWPNPVLLKQPEESNLNLPVWDPRVNPSDRYHLMPIITPAYPQQNSTYNVSTSTRTVMVEEFKQGLAVTDEILQGKSDWSKLLEPPNFFQKYRHYIVLTASASTEENHLEWVGLVESKIRVLVGNLERNEFITLAHVNPQSFPGNKEHHKDNNYVSMWFLGIIFRRVENAESVNIDLTYDIQSFTDTVYRQANNINMLKEGMKIEATHVKKKQLHHYLPAEILQKKKKQSLSDVNRSSGGLQSKRLSLDSSCLDSSRDTDNGTPFNSPASKSDSPSVGETERNSAEPAAVIVEKPLSVPPAQGLSIPVIGAKVDSTVKTVSPPTVCTIPTVVGRNVIPRITTPHNPAQGQPHLNGMSNITKTVTPKRSHSPSIDGTPKRLKDVEKFIRLESTFKDPRTAEERKRKSVDAIGGESMPIPTIDTSRKKRLPSKELPDSSSPVPANNIRVIKNSIRLTLNR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSKFTWKELIQLGSPSKAYESSLACIAHIDMNAFFAQVEQMRCGLSKEDPVVCVQWNSIIAVSYAARKYGISRMDTIQEALKKCSNLIPIHTAVFKKGEDFWQYHDGCGSWVQDPAKQISVEDHKVSLEPYRRESRKALKIFKSACDLVERASIDEVFLDLGRICFNMLMFDNEYELTGDLKLKDALSNIREAFIGGNYDINSHLPLIPEKIKSLKFEGDVFNPEGRDLITDWDDVILALGSQVCKGIRDSIKDILGYTTSCGLSSTKNVCKLASNYKKPDAQTIVKNDCLLDFLDCGKFEITSFWTLGGVLGKELIDVLDLPHENSIKHIRETWPDNAGQLKEFLDAKVKQSDYDRSTSNIDPLKTADLAEKLFKLSRGRYGLPLSSRPVVKSMMSNKNLRGKSCNSIVDCISWLEVFCAELTSRIQDLEQEYNKIVIPRTVSISLKTKSYEVYRKSGPVAYKGINFQSHELLKVGIKFVTDLDIKGKNKSYYPLTKLSMTITNFDIIDLQKTVVDMFGNQVHTFKSSAGKEDEEKTTSSKADEKTPKLECCKYQVTFTDQKALQEHADYHLALKLSEGLNGAEESSKNLSFGEKRLLFSRKRPNSQHTATPQKKQVTSSKNILSFFTRKK", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKFYIDDLPILFPYPRIYPEQYQYMCDLKHSLDAGGIALLEMPSGTGKTISLLSLIVSYQQHYPEHRKLIYCSRTMSEIDKALAELKRLMAYRTSQLGYEEPFLGLGLTSRKNLCLHPSVRREKNGNVVDARCRSLTAGFVREQRLAGMDVPTCEFHDNLEDLEPHSLISNGVWTLDDITEYGEKTTRCPYFTVRRMLPFCNVIIYSYHYLLDPKIAERVSRELSKDCIVVFDEAHNIDNVCIESLSIDLTESSLRKASKSILSLEQKVNEVKQSDSKKLQDEYQKLVRGLQDANAANDEDQFMANPVLPEDVLKEAVPGNIRRAEHFIAFLKRFVEYLKTRMKVLHVIAETPTSFLQHVKDITFIDKKPLRFCAERLTSLVRALQISLVEDFHSLQQVVAFATLVATYERGFILILEPFETENATVPNPILRFSCLDASIAIKPVFERFRSVIITSGTLSPLDMYPKMLQFNTVMQESYGMSLARNCFLPMVVTRGSDQVAISSKFEARNDPSVVRNYGNILVEFSKITPDGLVAFFPSYLYLESIVSSWQSMGILDEVWKYKLILVETPDPHETTLALETYRAACSNGRGAVLLSVARGKVSEGVDFDHHYGRAVIMFGIPYQYTESRVLKARLEFLRDTYQIREADFLTFDAMRHAAQCLGRVLRGKDDHGIMVLADKRYGRSDKRTKLPKWIQQYITEGATNLSTDMSLALAKKFLRTMAQPFTASDQEGISWWSLDDLLIHQKKALKSAAIEQSKHEDEMDIDVVET", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAGLDLGTTSRYVHNVDGGGGGQFTTDNHHEDDGGAGGNHHHHHHNHNHHQGLDLIASNDNSGLGGGGGGGSGDLVMRRPRGRPAGSKNKPKPPVIVTRESANTLRAHILEVGSGCDVFECISTYARRRQRGICVLSGTGTVTNVSIRQPTAAGAVVTLRGTFEILSLSGSFLPPPAPPGATSLTIFLAGAQGQVVGGNVVGELMAAGPVMVMAASFTNVAYERLPLDEHEEHLQSGGGGGGGNMYSEATGGGGGLPFFNLPMSMPQIGVESWQGNHAGAGRAPF", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSLKRKNNAREGTPDEDLEEYSDYSDVDNYGEEDDDSYKPAPRIRINNNKTKAQTTTNSNEARQSGISAMFGQNDFSNLLGLKLDHTARPLWINPIDGRIILEAFSPLAEQAIDFLVTISEPVSRPAFIHEYRITAYSLYAAVSVGLKTEDIIAVLDRLSKTPIPPSIVDFIRACTVSYGKVKLVLKKNRYFIESGDASVLRLLLRDPVIGPLRIDYSTQSSKQKSSKPSNEDNVEDKKDITNDSSKETAEKSSSDELFSAVVGLQEEEDDEDAVHLFEIKHSSVETIKKRCAEIDYPLLEEYDFRNDNINPDLPIDLKPSTQIRPYQEKSLSKMFGNGRARSGIIVLPCGAGKTLVGITAACTIKKSVIVLCTSSVSVMQWRQQFLQWSNIKPDHIAVFTADHKERFHSEAGVVVSTYSMVANTRNRSYDSQKMMDFLTGREWGFILLDEVHVVPAAMFRRVVTTIAAHTKLGLTATLVREDDKIDDLNFLIGPKMYEANWMDLAQKGHIAKVQCAEVWCAMTTEFYNEYLRENSRKRMLLYIMNPKKFQACQFLIDYHEKRGDKIIVFSDNVYALRAYAIKLGKYFIYGGTPQQERMRILENFQYNELVNTIFLSKVGDTSIDLPEATCLIQISSHYGSRRQEAQRLGRILRAKRRNDEGFNAFFYSLVSKDTQEMYYSSKRQAFLIDQGYAFKVITNLKGMENLPNLAYASKAERLELLQEVLLQNEEAADLDDGEDTSFGSRSLSRAPAKAKRSSGSLSTLAGADNMAYVEYNKSANKQLKKDSKEHHALFRKHLYTKRR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPSSSDTALGGGGGLSWAEKKLEERRKRRRFLSPQQPPLLLPLLQPQLLQPPPPPPPLLFLAAPGTAAAAAAAAAASSSCFSPGPPLEVKRLARGKRRAGGRQKRRRGPRAGQEAEKRRVFSLPQPQQDGGGGASSGGGVTPLVEYEDVSSQSEQGLLLGGASAATAATAAGGTGGSGGSPASSSGTQRRGEGSERRPRRDRRSSSGRSKERHREHRRRDGQRGGSEASKSRSRHSHSGEERAEVAKSGSSSSSGGRRKSASATSSSSSSRKDRDSKAHRSRTKSSKEPPSAYKEPPKAYREDKTEPKAYRRRRSLSPLGGRDDSPVSHRASQSLRSRKSPSPAGGGSSPYSRRLPRSPSPYSRRRSPSYSRHSSYERGGDVSPSPYSSSSWRRSRSPYSPVLRRSGKSRSRSPYSSRHSRSRSRHRLSRSRSRHSSISPSTLTLKSSLAAELNKNKKARAAEAARAAEAAKAAEATKAAEAAAKAAKASNTSTPTKGNTETSASASQTNHVKDVKKIKIEHAPSPSSGGTLKNDKAKTKPPLQVTKVENNLIVDKATKKAVIVGKESKSAATKEESVSLKEKTKPLTPSIGAKEKEQHVALVTSTLPPLPLPPMLPEDKEADSLRGNISVKAVKKEVEKKLRCLLADLPLPPELPGGDDLSKSPEEKKTATQLHSKRRPKICGPRYGETKEKDIDWGKRCVDKFDIIGIIGEGTYGQVYKARDKDTGEMVALKKVRLDNEKEGFPITAIREIKILRQLTHQSIINMKEIVTDKEDALDFKKDKGAFYLVFEYMDHDLMGLLESGLVHFNENHIKSFMRQLMEGLDYCHKKNFLHRDIKCSNILLNNRGQIKLADFGLARLYSSEESRPYTNKVITLWYRPPELLLGEERYTPAIDVWSCGCILGELFTKKPIFQANQELAQLELISRICGSPCPAVWPDVIKLPYFNTMKPKKQYRRKLREEFVFIPAAALDLFDYMLALDPSKRCTAEQALQCEFLRDVEPSKMPPPDLPLWQDCHELWSKKRRRQKQMGMTDDVSTIKAPRKDLSLGLDDSRTNTPQGVLPSSQLKSQGSSNVAPVKTGPGQHLNHSELAILLNLLQSKTSVNMADFVQVLNIKVNSETQQQLNKINLPAGILATGEKQTDPSTPQQESSKPLGGIQPSSQTIQPKVETDAAQAAVQSAFAVLLTQLIKAQQSKQKDVLLEERENGSGHEASLQLRPPPEPSTPVSGQDDLIQHQDMRILELTPEPDRPRILPPDQRPPEPPEPPPVTEEDLDYRTENQHVPTTSSSLTDPHAGVKAALLQLLAQHQPQDDPKREGGIDYQAGDTYVSTSDYKDNFGSSSFSSAPYVSNDGLGSSSAPPLERRSFIGNSDIQSLDNYSTASSHSGGPPQPSAFSESFPSSVAGYGDIYLNAGPMLFSGDKDHRFEYSHGPIAVLANSSDPSTGPESTHPLPAKMHNYNYGGNLQENPSGPSLMHGQTWTSPAQGPGYSQGYRGHISTSTGRGRGRGLPY", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGSSSKEETASDGDTASGGASPSNDGRLFSEGERVLAYHGPRVYGAKVQKVELRKKEWKYFVHYLGWNKNWDEWVSADRLLKHTEENLVKQKALDKKQGVEKGTKSGRSAQTKTRSSADTKADKDDTKTNAAKGKKRKHESGNEKDNVTAEKLMKIQIPASLKKQLTDDWEYIAQKDKVVKLPRSPNVDEILSKYLEFKTKKDGMVTDSVAEILKGIRSYFDKALPVMLLYKKERRQYQESIVDDTSPSTVYGAEHLLRLFVKLPDLFSYVNMEEETWSRMQQTLSDFLKFIQKNQSTFLLPSAYDSDKVSDGKGKGKDD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDPRGTKRGAEKTEVAEPRNKLPRPAPSLPTDPALYSGPFPFYRRPSELGCFSLDAQRQYHGDARALRYYSPPPTNGPGPNFDLRDGYPDRYQPRDEEVQERLDHLLCWLLEHRGRLEGGPGWLAEAIVTWRGHLTKLLTTPYERQEGWQLAASRFQGTLYLSEVETPNARAQRLARPPLLRELMYMGYKFEQYMCADKPGSSPDPSGEVNTNVAFCSVLRSRLGSHPLLFSGEVDCTDPQAPSTQPPTCYVELKTSKEMHSPGQWRSFYRHKLLKWWAQSFLPGVPNVVAGFRNPDGFVSSLKTFPTMKMFEYVRNDRDGWNPSVCMNFCAAFLSFAQSTVVQDDPRLVHLFSWEPGGPVTVSVHQDAPYAFLPIWYVEAMTQDLPSPPKTPSPK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASMRESDTGLWLHNKLGATDELWAPPSIASLLTAAVIDNIRLCFHGLSSAVKLKLLLGTLHLPRRTVDEMKGALMEIIQLASLDSDPWVLMVADILKSFPDTGSLNLELEEQNPNVQDILGELREKVGECEASAMLPLECQYLNKNALTTLAGPLTPPVKHFQLKRKPKSATLRAELLQKSTETAQQLKRSAGVPFHAKGRGLLRKMDTTTPLKGIPKQAPFRSPTAPSVFSPTGNRTPIPPSRTLLRKERGVKLLDISELDMVGAGREAKRRRKTLDAEVVEKPAKEETVVENATPDYAAGLVSTQKLGSLNNEPALPSTSYLPSTPSVVPASSYIPSSETPPAPSSREASRPPEEPSAPSPTLPAQFKQRAPMYNSGLSPATPTPAAPTSPLTPTTPPAVAPTTQTPPVAMVAPQTQAPAQQQPKKNLSLTREQMFAAQEMFKTANKVTRPEKALILGFMAGSRENPCQEQGDVIQIKLSEHTEDLPKADGQGSTTMLVDTVFEMNYATGQWTRFKKYKPMTNVS", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVKKSRRRGAAQWAAVRAQAGLTATDENEDDLGLPPSPGDSSYYQDQVDEFHEARSRAVLAKGWNEVESGEEDGDEEEEVLPLDIDDGDDEDGESSEEEEVGEDDDGGSSVQSEAEASVDPSLSWGQRKKLYYDTDYGSKSRGRQSQQEVEEEEREEEEEAQIIQRRLAQALQEDDFGVAWVEAFAKPVPQVDEAETRVVKDLAKVSVKEKLKMLKKESPELLELIEDLQAKLTEVKDELEPLLQLVEKGVIPTGRGSEYLKTKYNLYLNYCANISFYLILKARRVPAHGHPVIERLVTYRNLINKLSVVDQKLSSEIRHLLTAKDGAVKKEMTPKAKLTKTKPKSVKQAAAVALTDEPDFDGAALKYYKEMEDRQELKRKKEENSAEEQALEEQNAKRAITYQIAKNRGLTPRRKKIDRNPRVKHREKFRKAKIRRRGQVREVRREEQRYSGELSGIRAGVKKSIKLK", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVSKLSQLQTELLAALLESGLSKEALIQALGEPGPYLLAGEGPLDKGESCGGGRGELAELPNGLGETRGSEDETDDDGEDFTPPILKELENLSPEEAAHQKAVVETLLQEDPWRVAKMVKSYLQQHNIPQREVVDTTGLNQSHLSQHLNKGTPMKTQKRAALYTWYVRKQREVAQQFTHAGQGGLIEEPTGDELPTKKGRRNRFKWGPASQQILFQAYERQKNPSKEERETLVEECNRAECIQRGVSPSQAQGLGSNLVTEVRVYNWFANRRKEEAFRHKLAMDTYSGPPPGPGPGPALPAHSSPGLPPPALSPSKVHGVRYGQPATSETAEVPSSSGGPLVTVSTPLHQVSPTGLEPSHSLLSTEAKLVSAAGGPLPPVSTLTALHSLEQTSPGLNQQPQNLIMASLPGVMTIGPGEPASLGPTFTNTGASTLVIGLASTQAQSVPVINSMGSSLTTLQPVQFSQPLHPSYQQPLMPPVQSHVTQSPFMATMAQLQSPHALYSHKPEVAQYTHTGLLPQTMLITDTTNLSALASLTPTKQVFTSDTEASSESGLHTPASQATTLHVPSQDPAGIQHLQPAHRLSASPTVSSSSLVLYQSSDSSNGQSHLLPSNHSVIETFISTQMASSSQ", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MMCSRVPSEQSSGTSLLPKDGAPFSWDSLDEDGLDDSLLELSEGEEDDGDVNYTEEEIDALLKEDDPSYEQSSGEDDGGHVEKGERGSQILLDTPREKNSSYSLGPVAETPDLFKLPQLSTSSGHGPAHTKPLNRRSVLEKNLIKVTVAPFNPTVCDALLDKDETDSSKDTEKLSSLGEEMREDGLSPNESKLCTESEGISPNNSAWNGPQLSSSNNNFQQTVSDKNMPDSENPTSVFSRISDHSETPNMELSCRNGGSHKSSCEMRSLVVSTSSNKQDVLNKDSGKMKGHERRLGKVIPVLQTKTRTNVPTFSQSNLEQQKQLYLRSVIAHIEDPEDTNQGISGELCALMDQVHHMQHSKWQHPSDLTTRNYARRQKHLQRYSLTQWVDRNMRSHHRFQRLPDFSYS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASRRKSTTPCMVLASEQDPDLELISDLEEGPPVLTPVENARAESVSSDEEVHESVDSDNQQNKKVEGGYECKYCTFQTPDLNMFTFHVDSEHPNVVLNSSYVCVECNFLTKRYDALSEHNLKYHPGEENFKLTMVKRNNQTIFEQTINDLTFDGSFVKEENTEQGESIDVSSSGISISKTPIMKMMKNKVENKRITVHHNSAEGTSEEKENGVKASREENAENTSSSASESNTSTSTVNQVHPSPAGTVVTPTAVLPGLAQVITAVSAQQNSNLVPKVLIPVNSIPTYNAALDNNPLLLNTYNKFPYPTMSEITVLSAQAKYTEEQIKIWFSAQRLKHGVSWTPEEVEEARRKQFNGTVHTVPQTITVIPTHISTGSNGLPSILQTCQIVGQPGLVLTQVAGANTLPVTAPIALTVAGVPNQTNVQKSQVPAAQPAAETKPATAAVPSSPSVRPEAALVNPDSFGIRAKKTKEQLAELKVSYLKNQFPHDSEIIRLMKITGLTKGEIKKWFSDTRYNQRNSKSNQCLHLNNDSSATIIIDSSDETPEPPAAAASQPKQSWNPFPDFAPQKFKEKTAEQLRVLQASFLNSSVLTDEELNRLRAQTKLTRREIDAWFTEKNKTKALKDEKVEVDESNVGSSKEEPGENSPGDEAVAPKSAGTGKICKKTPEQLHMLKSAFVRTQWPSPEEYDKLAEESGLARTDIVSWFGDTRYAWKNGNLKWYYYYQSSNSSSLNGLSSLRKRGRGRPKGRGRGRPRGRPRGGKRMNTWDRVPSLIKFKTGTAILKDYYLKHKFLNEQDLDELVNRSHMGYEQVREWFAERQRRSELGIELFEENEEEDEVIDDQEEDEEETDDSDTWEPPRHVKRKLSKSDD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSNVAGELKNSEGKKKGRGNRYHNKNRGKSKNETVDPKKNENKVNNATNATHNNSKGRRNNKKRNREYYNYKRKARLGKSTENEGFKLVIRLLPPNLTADEFFAILRDNNNDDGDKQDIQGKLKYSDWCFFEGHYSSKVFKNSTYSRCNFLFDNLSDLEKCANFIKTCKFIDNKDNITIPDMKLSPYVKKFTQTSKKDAALVGTIEEDEIFKTFMNSMKQLNENDEYSFQDFSVLKSLEKEFSKSIELENKIAERTERVLTELVGTGDKVKNKNKKKKNKNAKKKFKEEEASAKIPKKKRNRGKKKRENREKSTISKTKNSNVVIIEEAGKEVLKQRKKKMLLQEKLKISNSSQPQSSSAQTQPSFQPKENLFVPRVKILHRDDTKK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSRGGGGDRRAAPDINGLTSLKIDNLSYQTTPNDLRRTFERYGDIGDVHIPRDKYSRQSKGFGFVRFYERRDAEHALDRTDGKLVDGRELRVTLAKYDRPSDERGGRGGGGGRRRSRSPRRRSRSPRYSRSRSPRRSRSRTRSPPSRDRRDSPDRRDNSRSRSRSPPPREDGSPKERRSRSRSASRSPSRSRSNSR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKREYQDGGGSGGGGDEMGSSRDKMMVSSSEAGEGEEVDELLAALGYKVRASDMADVAQKLEQLEMAMGMGGPAPDDGFATHLATDTVHYNPTDLSSWVESMLSELNAPPPPLPPAPPQLNASTSSTVTGGGGYFDLPPSVDSSSSTYALRPIISPPVAPADLSADSVRDPKRMRTGGSSTSSSSSSSSSLGGGAARSSVVEAAPPVAAAAAAPALPVVVVDTQEAGIRLVHALLACAEAVQQENLSAAEALVKQIPLLAASQGGAMRKVAAYFGEALARRVFRFRPQPDSSLLDAAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCRRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDETDALQQVGWKLAQFAHTIRVDFQYRGLVAATLADLEPFMLQPEGEEDPNEEPEVIAVNSVFEMHRLLAQPGALEKVLGTVRAVRPRIVTVVEQEANHNSGSFLDRFTESLHYYSTMFDSLEGGSSGGPSEVSSGGAAPAAAAGTDQVMSEVYLGRQICNVVACEGTERTERHETLGQWRNRLGNAGFETVHLGSNAYKQASTLLALFAGGDGYKVEEKEGCLTLGWHTRPLIATSAWRLAAP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGSSKKHRGEKEAAGTTAAAGTGGATEQPPRHREHKKHKHRSGGSGGSGGERRKRSRERGGERGSGRRGAEAEARSSTHGRERSQAEPSERRVKREKRDDGYEAAASSKTSSGDASSLSIEETNKLRAKLGLKPLEVNAIKKEAGTKEEPVTADVINPMALRQREELREKLAAAKEKRLLNQKLGKIKTLGEDDPWLDDTAAWIERSRQLQKEKDLAEKRAKLLEEMDQEFGVSTLVEEEFGQRRQDLYSARDLQGLTVEHAIDSFREGETMILTLKDKGVLQEEEDVLVNVNLVDKERAEKNVELRKKKPDYLPYAEDESVDDLAQQKPRSILSKYDEELEGERPHSFRLEQGGTADGLRERELEEIRAKLRLQAQSLSTVGPRLASEYLTPEEMVTFKKTKRRVKKIRKKEKEVVVRADDLLPLGDQTQDGDFGSRLRGRGRRRVSEVEEEKEPVPQPLPSDDTRVENMDISDEEEGGAPPPGSPQVLEEDEAELELQKQLEKGRRLRQLQQLQQLRDSGEKVVEIVKKLESRQRGWEEDEDPERKGAIVFNATSEFCRTLGEIPTYGLAGNREEQEELMDFERDEERSANGGSESDGEENIGWSTVNLDEEKQQQDFSASSTTILDEEPIVNRGLAAALLLCQNKGLLETTVQKVARVKAPNKSLPSAVYCIEDKMAIDDKYSRREEYRGFTQDFKEKDGYKPDVKIEYVDETGRKLTPKEAFRQLSHRFHGKGSGKMKTERRMKKLDEEALLKKMSSSDTPLGTVALLQEKQKAQKTPYIVLSGSGKSMNANTITK", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRQRRSVVAVSVKPKGFKLGHKQGSMSTTSPPPSSPDGNVSTSGPSAIKLSKNWELPQRLKPGRKPKSKRGDASANNDGSSKIKKVQTSNQKDQMTTKDHENEGAKGHEGKSDDEGNGSGDENGVDSVEKRRRQNRDAQRAYRERRTTRIQVLEEKVEMLHNLVDDWQRKYKLLESEFSDTKENLQKSIALNNELQKALPLIVNTPFQQQPENPPDNPISILEMVENFKPIGAVSLKKGKLKAHC", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSFSQESGAHHHPQDYAGLSDEEDEIDILGEDDPCSLKSHFYLQPTHSVMGDSEMLSPSKLSCTESESDSSGESEGGTSKDSSTTPTGSKAKRTLVKPPYSYIALITMAILQSPHKKLTLSGICDFISSKFPYYKDKFPAWQNSIRHNLSLNDCFIKIPREPGNPGKGNYWTLDPASEDMFDNGSFLRRRKRFKRHQQEFFKDGLMMYNSLPYYRPYSAIQPQPVLQQTSLTCMAIPETLPMSTHLAPYPDIKRKVSYPAQGVHRGFKAQDADNHPNNSQSKCSFSIENIMRKPKEPEPNIQSFNSHWNYNHVFQRPSSCLLPAVLNLSTGPLLANTQGARQYNLIQFPGCY", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTTATRQEVLGLYRSIFRLARKWQATSGQMEDTIKEKQYILNEARTLFRKNKNLTDTDLIKQCIDECTARIEIGLHYKIPYPRPIHLPPMGLTPLRGRGLRSQEKLRKLSKPVYLRSHDEVS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDSEASDIEAELSDSVSAGGEEYIDDDDYTEDIDDQIVTAKSSRRTARRSVPKGVRTSKRIRDKELSVEVDEDYDEEEDVLSPSKKRHLHTRSMDKRQVAATASEKSDIGDSKGNDGEIEDGILEEEESLEKELNRGGGKEVEKSEESYYAQNDVGQKGEEEQDGESGGYEDNEPSISKESDELVSVVNGNGNEEDDEVEATKENTTDSTRSTTTRSKMLLDLLEDGGSKKKLTDEEIQLRRAENARKRKNLSEKRLEEEKQDTINKLLKKRAGKSRSHLPNDDEKNDGSSSFVKPRRPYNSEGMTRILRRYEEDLFCTF", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVEADHPGKLFIGGLNRETNEKMLKAVFGKHGPISEVLLIKDRTSKSRGFAFITFENPADAKNAAKDMNGKSLHGKAIKVEQAQKPSFQSGGRRRPPASSRNRSPSGSLRSARGSRGGTRGWLPSHEGHLDDGGYTPDLKMSYSRGLIPVKRGPSSRSGGPPPKKSAPSAVARSNSWMGSQGPMSQRRENYGVPPRRATISSWRNDRMSTRHDGYATNDGNHPSCQETRDYAPPSRGYAYRDNGHSNRDEHSSRGYRNHRSSRETRDYAPPSRGHAYRDYGHSRRDESYSRGYRNRRSSRETREYAPPSRGHGYRDYGHSRRHESYSRGYRNHPSSRETRDYAPPHRDYAYRDYGHSSWDEHSSRGYSYHDGYGEALGRDHSEHLSGSSYRDALQRYGTSHGAPPARGPRMSYGGSTCHAYSNTRDRYGRSWESYSSCGDFHYCDREHVCRKDQRNPPSLGRVLPDPREACGSSSYVASIVDGGESRSEKGDSSRY", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRKPEVAIAASTHQVKKMKKGLWSPEEDSKLMQYMLSNGQGCWSDVAKNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEDLIIRFHSILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKKMSDTSNLINNSSSSPNTASDSSSNSASSLDIKDIIGSFMSLQEQGFVNPSLTHIQTNNPFPTGNMISHPCNDDFTPYVDGIYGVNAGVQGELYFPPLECEEGDWYNANINNHLDELNTNGSGNAPEGMRPVEEFWDLDQLMNTEVPSFYFNFKQSI", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDWVMKHNGPNDASDGTVRLRGLPFGCSKEEIVQFFQGLEIVPNGITLTMDYQGRSTGEAFVQFASKEIAENALGKHKERIGHRYIEIFRSSRSEIKGFYDPPRRLLGQRPGPYDRPIGGRGGYYGAGRGSMYDRMRRGGDGYDGGYGGFDDYGGYNNYGYGNDGFDDRMRDGRGMGGHGYGGAGDASSGFHGGHFVHMRGLPFRATENDIANFFSPLNPIRVHIDIGADGRATGEADVEFVTHEDAVAAMSKDKNNMQHRYIELFLNSTPGGGSGMGGSGMGGYGRDGMDNQGGYGSVGRMGMGNNYSGGYGTPDGLGGYGRGGGGSGGYYGQGGMSGGGWRGMY", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MATAETALPSISTLTALGPFPDTQDDFLKWWRSEEAQDMGPGPPDPTEPPLHVKSEDQPGEEEDDERGADATWDLDLLLTNFSGPEPGGAPQTCALAPSEASGAQYPPPPETLGAYAGGPGLVAGLLGSEDHSGWVRPALRARAPDAFVGPALAPAPAPEPKALALQPVYPGPGAGSSGGYFPRTGLSVPAASGAPYGLLSGYPAMYPAPQYQGHFQLFRGLQGPAPGPATSPSFLSCLGPGTVGTGLGGTAEDPGVIAETAPSKRGRRSWARKRQAAHTCAHPGCGKSYTKSSHLKAHLRTHTGEKPYACTWEGCGWRFARSDELTRHYRKHTGQRPFRCQLCPRAFSRSDHLALHMKRHL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAEPTSDFETPIGWHASPELTPTLGPLSDTAPPRDSWMFWAMLPPPPPPLTSSLPAAGSKPSSESQPPMEAQSLPGAPPPFDAQILPGAQPPFDAQSPLDSQPQPSGQPWNFHASTSWYWRQSSDRFPRHQKSFNPAVKNSYYPRKYDAKFTDFSLPPSRKQKKKKRKEPVFHFFCDTCDRGFKNQEKYDKHMSEHTKCPELDCSFTAHEKIVQFHWRNMHAPGMKKIKLDTPEEIARWREERRKNYPTLANIERKKKLKLEKEKRGAVLTTTQYGKMKGMSRHSQMAKIRSPGKNHKWKNDNSRQRAVTGSGSHLCDLKLEGPPEANADPLGVLINSDSESDKEEKPQHSVIPKEVTPALCSLMSSYGSLSGSESEPEETPIKTEADVLAENQVLDSSAPKSPSQDVKATVRNFSEAKSENRKKSFEKTNPKRKKDYHNYQTLFEPRTHHPYLLEMLLAPDIRHERNVILQCVRYIIKKDFFGLDTNSAKSKDV", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDPQGQPLDPSKIQDHFEDFYEDIFEELNKFGEVESLNVCDNLADHMIGNVYVLFKEEDHAAAALQALQGRFYSGRPIIADFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQISRELRRKLFGRYRRSYRRGSRSRSRSISPRRKREHSRERERGDVRDRDRHGNGKRSSDRSERHDRDGGGRRRHGSPKRSRSPRNVREGSEERRARIEQWNRERDEGV", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLCAHFSDQGPAHLTTSKSAFLSNKKTSTLKHLLGETRSDGSACNSGISGGRGRKIP", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPPGKVLQPVLKMKVDELFLYWLSEASTQRMLQDCLRRIKAPGRDQPTPGDGEQPGAWPTAPLAAPRPSGLEPPGTPGPGPALPLGAASSPRNAPHVRGTRRSAGTRVVQTRKEEPLPPATSQSIPTFYFPRGRPQDSVNVDAVISKIESTFARFPHERATMDDMGLVAKACGCPLYWKGPLFYGAGGERTGSVSVHKFVAMWRKILQNCHDDAAKFVHLLMSPGCNYLVQEDFVPFLQDVVNTHPGLSFLKEASEFHSRYITTVIQRIFYAVNRSWSGRITCAELRRSSFLQNVALLEEEADINQLTEFFSYEHFYVIYCKFWELDTDHDLLIDADDLARHNDHALSTKMIDRIFSGAVTRGRKVQKEGKISYADFVWFLISEEDKKTPTSIEYWFRCMDLDGDGALSMFELEYFYEEQCRRLDSMAIEALPFQDCLCQMLDLVKPRTEGKITLQDLKRCKLANVFFDTFFNIEKYLDHEQKEQISLLRDGDSGGPELSDWEKYAAEEYDILVAEETAGEPWEDGFEAELSPVEQKLSALRSPLAQRPFFEAPSPLGAVDLYEYACGDEDLEPL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDLQENLVSDAGDDHMVDIVVEPHSNRDIGIVDEFNIGGDVGFSGDLDLEPRNGIDFDTHEAAYIFYQEYAKSMGFTTSIKNSRRSKKTKDFIDAKFACSRYGVTPESESSGSSSRRSTVKKTDCKASMHVKRRPDGKWIIHEFVKDHNHELLPALAYHFRIQRNVKLAEKNNIDILHAVSERTKKMYVEMSRQSGGYKNIGSLLQTDVSSQVDKGRYLALEEGDSQVLLEYFKRIKKENPKFFYAIDLNEDQRLRNLFWADAKSRDDYLSFNDVVSFDTTYVKFNDKLPLALFIGVNHHSQPMLLGCALVADESMETFVWLIKTWLRAMGGRAPKVILTDQDKFLMSAVSELLPNTRHCFALWHVLEKIPEYFSHVMKRHENFLLKFNKCIFRSWTDDEFDMRWWKMVSQFGLENDEWLLWLHEHRQKWVPTFMSDVFLAGMSTSQRSESVNSFFDKYIHKKITLKEFLRQYGVILQNRYEEESVADFDTCHKQPALKSPSPWEKQMATTYTHTIFKKFQVEVLGVVACHPRKEKEDENMATFRVQDCEKDDDFLVTWSKTKSELCCFCRMFEYKGFLCRHALMILQMCGFASIPPQYILKRWTKDAKSGVLAGEGADQIQTRVQRYNDLCSRATELSEEGCVSEENYNIALRTLVETLKNCVDMNNARNNITESNSQLNNGTHEEENQVMAGVKATKKKTVYRKRKGQQEASQMLESQQSLQPMETISSEAMDMNGYYGPQQNVQGLLNLMEPPHEGYYVDQRTIQGLGQLNSIAPAQDSFFTNQQAMSGMVGQIDFRPPPNFTYTLQEEHLSSAQLPGSSSRQL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSIPCLTEETAKTVLRQVEFYFSDSNLPIDDFLKKTVTESEDGLVSLALICSFSKMRGYLKLGDSKGDDIPEDTIKAVADTLRTSSALKISDDGKKVGRSTELLKLEDLIEQLNARTVAASPFSYDVKREDVESFFSQYGKVNSVRMPRHVAESRIFSGVALVEFPTEEDAQNVMKQNLVFAGQELELKPKKEFDNEREKDEVKFANYQPQKGSANQKNGSDHKNNSAYEPDYPKGLIISFTLKRSAEEGTTEQKSSEEPTDKTMEESETKPADTPDADKENTGEVQAEGAEDEDDEKEEKGALATHKDNKDVVLREDLKAVFGKFGDVKFVDFKMGSETGYLRFDEPEASQKARAAAVLANEGGLAVKNFIAVLEPVIGEAEKEYWTLLRSKDRFDKGGRGGRGGRRGGRFGRKRGSDSPGGRWNKSQKVEA", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEEMEDTETEPQVYMACIQHGRRVGVSYYDCSVRQLHVLEFWEEDCSDFTLINMVKYQAKPSIIYASTKSEESFVAALQQNDGTDETTMVKLVKSSTFSYEQAWHRLVYLRVTGMDDGLNIKERICYLSSMMDVGSEVQVRVSGGLLAILESERIVETLEQNESGSASIAIDSVMEVPLNKFLKLDAAAHEALQIFQTDKHPSHMGIGRAKEGFSVFGMMNKCATPMGRRLLRSWFMRPILDLEVLDRRLNAISFFISSVELMASLRETLKSVKDISHLLKKFNSPTSLCTSNDWTAFLKSISALLHVNKIFEVGVSESLREHMRRFNLDIIEKAGLCISTELDYVYELVIGVIDVTRSKERGYQTLVKEGFCAELDELRQIYEELPEFLQEVSAMELEHFPHLHKEKLPPCIVYIQQIGYLMCIFGEKLDETALNRLTEFEFAFSDMDGETQRFFYHTSKTRELDNLLGDIYHKILDMERAIIRDLLSHTLLFSAHLLKAVNFVAELDCILSLACVAHQNNYVRPVLTVESLLDIRNGRHVLQEMAVDTFIPNDTEINDNGRIHIITGPNYSGKSIYVKQVALIVFLSHIGSFVPADAATVGLTDRIFCAMGSKFMTAEQSTFMIDLHQVGMMLRQATSRSLCLLDEFGKGTLTEDGIGLLGGTISHFATCAEPPRVVVCTHLTELLNESCLPVSEKIKFYTMSVLRPDTESANMEEIVFLYRLIPGQTLLSYGLHCALLAGVPEEVVKRAAIVLDAFESNNNVDKLSLDKISSQDQAFKDAVDKFAELDISKGDIHAFFQDIFTS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVVLRSSLELHNHSAASATGSLDLSSDFLSLEHIGRRRLRSAGAAQKKPAATTAKAGDGSSVKEVETYHRTRALRSLRKDAQNSSDSSFEKNVEITEQLANGRHFTRQLARQQADKKKEEHREDKVIPVTRSLRARNIVQSTEHLHEDNGDVEVRRSCRIRSRYSGVNQSMLFDKLITNTAEAVLQKMDDMKKMRRQRMRELEDLGVFNETEESNLNMYTRGKQKDIQRTDEETTDNQEGSVESSEEGEDQEHEDDGEDEDDEDDDDDDDDDDDDDDEDDEDEEDGEEENQKRYYLRQRKATVYYQAPLEKPRHQRKPNIFYSGPASPARPRYRLSSAGPRSPYCKRMNRRRHAIHSSDSTSSSSSEDEQHFERRRKRSRNRAINRCLPLNFRKDELKGIYKDRMKIGASLADVDPMQLDSSVRFDSVGGLSNHIAALKEMVVFPLLYPEVFEKFKIQPPRGCLFYGPPGTGKTLVARALANECSQGDKRVAFFMRKGADCLSKWVGESERQLRLLFDQAYQMRPSIIFFDEIDGLAPVRSSRQDQIHSSIVSTLLALMDGLDSRGEIVVIGATNRLDSIDPALRRPGRFDREFLFSLPDKEARKEILKIHTRDWNPKPLDTFLEELAENCVGYCGADIKSICAEAALCALRRRYPQIYTTSEKLQLDLSSINISAKDFEVAMQKMIPASQRAVTSPGQALSTVVKPLLQNTVDKILEALQRVFPHAEFRTNKTLDSDISCPLLESDLAYSDDDVPSVYENGLSQKSSHKAKDNFNFLHLNRNACYQPMSFRPRILIVGEPGFGQGSHLAPAVIHALEKFTVYTLDIPVLFGVSTTSPEETCAQVIREAKRTAPSIVYVPHIHVWWEIVGPTLKATFTTLLQNIPSFAPVLLLATSDKPHSALPEEVQELFIRDYGEIFNVQLPDKEERTKFFEDLILKQAAKPPISKKKAVLQALEVLPVAPPPEPRSLTAEEVKRLEEQEEDTFRELRIFLRNVTHRLAIDKRFRVFTKPVDPDEVPDYVTVIKQPMDLSSVISKIDLHKYLTVKDYLRDIDLICSNALEYNPDRDPGDRLIRHRACALRDTAYAIIKEELDEDFEQLCEEIQESRKKRGCSSSKYAPSYYHVMPKQNSTLVGDKRSDPEQNEKLKTPSTPVACSTPAQLKRKIRKKSNWYLGTIKKRRKISQAKDDSQNAIDHKIESDTEETQDTSVDHNETGNTGESSVEENEKQQNASESKLELRNNSNTCNIENELEDSRKTTACTELRDKIACNGDASSSQIIHISDENEGKEMCVLRMTRARRSQVEQQQLITVEKALAILSQPTPSLVVDHERLKNLLKTVVKKSQNYNIFQLENLYAVISQCIYRHRKDHDKTSLIQKMEQEVENFSCSR", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLVVEVANGRSLVWGAEAVQALRERLGVGGRTVGALPRGPRQNSRLGLPLLLMPEEARLLAEIGAVTLVSAPRPDSRHHSLALTSFKRQQEESFQEQSALAAEARETRRQELLEKITEGQAAKKQKLEQASGASSSQEAGSSQAAKEDETSDGQASGEQEEAGPSSSQAGPSNGVAPLPRSALLVQLATARPRPVKARPLDWRVQSKDWPHAGRPAHELRYSIYRDLWERGFFLSAAGKFGGDFLVYPGDPLRFHAHYIAQCWAPEDTIPLQDLVAAGRLGTSVRKTLLLCSPQPDGKVVYTSLQWASLQ", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPGRSSSNSGSTGFISFSGVESALSSLKNFQACINSGMDTASSVALDLVESQTEVSSEYSMDKAMVEFATLDRQLNHYVKAVQSTINHVKEERPEKIPDLKLLVEKKFLALQSKNSDADFQNNEKFVQFKQQLKELKKQCGLQADREADGTEGVDEDIIVTQSQTNFTCPITKEEMKKPVKNKVCGHTYEEDAIVRMIESRQKRKKKAYCPQIGCSHTDIRKSDLIQDEALRRAIENHNKKRHRHSE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGLDGNNGGGVWLNGGGGEREENEEGSWGRNQEDGSSQFKPMLEGDWFSSNQPHPQDLQMLQNQPDFRYFGGFPFNPNDNLLLQHSIDSSSSCSPSQAFSLDPSQQNQFLSTNNNKGCLLNVPSSANPFDNAFEFGSESGFLNQIHAPISMGFGSLTQLGNRDLSSVPDFLSARSLLAPESNNNNTMLCGGFTAPLELEGFGSPANGGFVGNRAKVLKPLEVLASSGAQPTLFQKRAAMRQSSGSKMGNSESSGMRRFSDDGDMDETGIEVSGLNYESDEINESGKAAESVQIGGGGKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHNELESTPPGSLPPTSSSFHPLTPTPQTLSCRVKEELCPSSLPSPKGQQARVEVRLREGRAVNIHMFCGRRPGLLLATMKALDNLGLDVQQAVISCFNGFALDVFRAEQCQEGQEILPDQIKAVLFDTAGYAGMI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAESEVLHRRAPSRSSWLRVRKARPHLLLSRRGRRRFGVLTRVELRRLRRRLLRAHALGGDWKQVAPAGAHVAVKCKLRARSRPAPRSPPTPSVPPAPCTASATCSLLNPRNHSTPQSRAGRPVRKVSPNVTQPVRDLGSGRVLMMLPPGEGFTFSGICRVTCVYGQLEVYGHIINQGQPPQDVFSVYTHSYLTINGVPYAEPEKSEKAIRREIRALLKPYTKLDDRNWVVRYFPPLGSIMILERMQSRFVDFLKTYKCSSYVLLQENAPVRVNSEFTTLKKIGIRRQKRKKAICLSESGLCALEELVSVSCDGCPVILLCGACDIGKSTFNRILINQLLNSIPGVDYLECDLGQTEFTPPGCVALLTITEPLLGPPYTHQRKPQRMVYYGKMNCYNDYENYIDIVKYVFRDYKREFPLIINTMGWVSDNGLRLLVDLIRVLSPNYVVQLYSDRCKFTPTLTSEYVELTDGLYTKSKIKRYRGFEIPEFGDNLEFTYEEKESSPLPVFTGHVLLSVHSEFLSSKNEKNRAKYNRIFRDLAVLGYLSQLMLPVPESLSPLHSLTPYQVPFSAVAIRVLHADVAPTHILYAVNASWVGLCRIVDDMKGYTRGPILLAQNPICDCLGFGICRGIDMDKRTYHILTPLPPEELKTVNCLLVGSISIPHCIFQNQPGPEGSVPYVTTDYNLNIPGATEKIGEREYGKAFPRHKLRQRRK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGSLQMQTSPESDNDPRYATVTDERKRKRMISNRESARRSRMRKQKQLGDLINEVTLLKNDNAKITEQVDEASKKYIEMESKNNVLRAQASELTDRLRSLNSVLEMVEEISGQALDIPEIPESMQNPWQMPCPMQPIRASADMFDC", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSACVPTVSSPLPLQDPMSKLWRRGSTSGAMEAPEPGETLELSLAGAHGHGVHKKKHKKHKKKHKKKHHQEEEAGPTLQTPAKPQLKLKIKLGGQVLGTKSVPTFTVIPEGPRSPSPLMVVDNEEEPMEGVPLEQYRAWLDEDSNLSPSPLRDLPGDLEGQEEEEEQRWLDALEKGELDDNGDLKKEINERLLTARQRALLQKARSQPSPTLPLPVGGGCPAPALTEEMLLKREERARKRRLQAARRAEEHKNQTIERLTKTAAPSGRGGRGAARGERRGGRAAAPAPAPMVRYCSGAQGSTLSFPPGVPTPTAVAQRPAPSGPAPRCSVPGCPHPRRYACSRTGQALCSLQCYRINLQLRLGGPEGPGSPLLAT", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTEVESSTENNVIVNKNKRYRRDKPWDTDDIDHWKIEPFTKDDSKESFLEESSFATLFPKYREKYLREVWPHVTRALDKFGITCVLDLVEGSMTVKTTRKTFDPYSILDARDLIKLLARSVPFPQAVKIMQDGVACDIIKIGNILRNKERFVKRRQRLIGTNGQTLKALELLTQCYILVQGTTVAVMGGYKGLKEVRRIVEDCMHNIHPIYHIKELMIKRELAKDPTLANESWDRFLPQFKKRNVARRKPAKIRETKEYTPFPPAQPPSKLDLEIESGEYFLKKEEKERKKRAEKKEQQKEKKKEKEKERMKAFIPPEESSKKRKRD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDLSSRLSSGSSRIPKRHRDYRDEEPRRERGSGGIGREDPRGHYGSERPRRRRRDESDFRRHRESRERSYREDERPRRERRYDDYEPRSLRYSSVGRSRSPPPSRERSVRSIEQELEQLRDVTPINQWKRKRSLWDIKPPGYELVTADQAKMSGVFPLPGAPRAAVTDPEKLLEFARSAEGSIIAPPPPLQPGASRQARRLVVTGIPNEFVEDAFVSFIEDLFISTTYHKPETKHFSSVNVCKEENFAILEVATPEDATFLWGLQSESYSNDVFLKFQRIQNYIVPQITPEVSQKRSDDYAKNDVLDSKDKIYISNLPLNLGEDQVVELLKPFGDLLSFQLIKNIADGSSKGFCFCEFKNPSDAEVAISGLDGKDTYGNKLHAQFACVGLNQAMIDKSNGMAILTELAKASSQSIPTRVLQLHNLITGDEIMDVQEYEDIYESVKTQFSNYGPLIDIKIPRSIGTRNSGLGTGKVFVRYSDIRSAEVAMEEMKGCKFNDRTIVIAFYGEDCYKANAW", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAAHATSEPAVNLPATQFESQVTEPFGVTLLVRHLPDGIPHDIVSRLFSQYGASAVRPCSGGKLRNAAFVDFKNEAFASQAHRQLNGLRFLGKVLQVQRANKPNDNKKSRQIEESVTKGNAFSTVSTNNDSKSGQILSGEPIAPKLGIDYPFPPHLQYAYPPPDANILANITNALIAVPPLYTQVLHLMNKMNLPPPFRLALPTPPLPKAGPQQTDLEHQSSSESEMESDEDIGTSKSGRKRARHGFLVGLGMDKDVPHETVGVKPSSLTPKEIPRIRKNKHVMQIKITSKVTQDEYKEESENEDPADEPKEKDSNLKPFASLEELEKGRLPPQDILSLPMFKNYTAGNPSVVLYIKNLAKDVVIDDFYYIFGSQFESSEVAKSSLGVRLMQEGRMRGQAFLTFPSVEVAHRALNLVNGFVFKGKPMIIQFGRTPGAAKPNE", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MMRNKDKSQEEDSSLHSNASSRSASEEVSGSDSGSQSESEQGSEPGSGHGSESNSSSESSESQSESESESAGSKSQPVLPEAKEKPASKKERIADVKKMWEEYPDVYGVRRSNRSRQEPSRFNVKEEASSGSESGSPKRRGQRQLKKQEKWKQDPSEDEQEQGTSAESEAEQKKGKARRPVPRRTVPKPQVKKQPKIQRGKRKKQESSDDDDDDDEAPKRQTRRRAAKNVSYKEDDDFETDSDDLIEMTGEGGDEQQDNSETIEKVLDSRLGKKGATGASTTVYAVEANGDPSDDFDTEREEGEVQYLIKWKGWSYIHSTWESEDSLQQQKVKGLKKLENFKKKEDEVKQWLGKVSPEDVEYFSCQQELASELNKQYQIVERVIAVKTSKSTLGQTDFPAHSRKPAPSNEPEYLCKWMGLPYSECSWEDEALIGKKFQNCIDSFHSRNNSKTIPTRECKALKQRPRFVALKKQPAYLGGESLELRDYQLEGLNWLAHSWCKSNSVILADEMGLGKTIQTISFLSYLFHQHQLYGPFLIVVPLSTLTSWQREFEIWAPEINVVVYIGDLMSRNTIREYEWIHSQTKRLKFNALITTYEILLKDKTVLGSINWAFLGVDEAHRLKNDDSLLYKTLIDFKSNHRLLITGTPLQNSLKELWSLLHFIMPEKFEFWEDFEEDHGKGRENGYQSLHKVLEPFLLRRVKKDVEKSLPAKVEQILRVEMSALQKQYYKWILTRNYKALAKGTRGSTSGFLNIVMELKKCCNHCYLIKAPEDSERESGQEVLQSLIRSSGKLILLDKLLTRLRERGNRVLIFSQMVRMLDILAEYLTIKHYPFQRLDGSIKGEIRKQALDHFNADGSEDFCFLLSTRAGGLGINLASADTVVIFDSDWNPQNDLQAQARAHRIGQKKQVNIYRLVTKGTVEEEIIERAKKKMVLDHLVIQRMDTTGRTVLENNSGRSNSNPFNKEELTAILKFGAEDLFKEIEGEESEPQEMDIDEILRLAETRENEVSTSATDELLSQFKVANFATMEDEEELEERPHKDWDEIIPEEQRKKVEEEERQKELEEIYMLPRIRSSTKKAQTNDSDSDTESKRQAQRSSASESETDDSDDDKKPKRRGRPRSVRKDLVEGFTDAEIRRFIKAYKKFGLPLERLECIARDAELVDKSVADLKRLGELIHNSCVSAMQEYEEQLKESTSEGKGPGKRRGPTIKISGVQVNVKSIIQHEEEFEMLHKSIPVDPEEKKKYCLTCRVKAAHFDVEWGVEDDSRLLLGIYEHGYGNWELIKTDPELKLTDKILPVETDKKPQGKQLQTRVDYLLKLLRKGLEKKGTVASGEEAKLKKRKPRVKKENKAPRLKDEHGLEPASPRHSDNPSEEGEVKDDGLEKSPTKKKQKKKENKENKEKPVSSRKDREGDKERKKSKDKKEKVKGGDGKSSSKSKRSQGPVHITAGSEPVPIGEDEDDDLDQETFSICKERMRPVKKALKQLDKPDKGLSVQEQLEHTRNCLLKIGDRIAECLKAYSDQEHIKLWRRNLWIFVSKFTEFDARKLHKLYKMAHKKRSQEEEEQKKKDDSLGGKKPFRPEASGSSRDSLISQSHTSHNLHPQKPHLPASHGPQMHGHPRDNYSHPNKRHFSNADRGDWQRERKFNYGGGNSAPWGGDRHHQYEQHWYKDHHYGDRRHMDAHRSGSYRPNNMSRKRPYEQYNSDRDHRGHRDYYDRHHHDSKRRRSDDFRPQNYHQQDFRRMSDHRPTMGYHGQGPSDHYRSFHTDKLGEYKQPMPSLHTALSDPRSPPSQKSPHDSKSPLDHRSPLERSLEQKNNPDYNWNVRKT", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEPEEERIRYSQRLRGTMRRRYEDDGISDDEIEGKRTFDLEEKLHTNKYNANFVTFMEGKDFNVEYIQRGGLRDPLIFKNSDGLGIKMPDPDFTVNDVKMCVGSRRMVDVMDVNTQKGIEMTMAQWTRYYETPEEEREKLYNVISLEFSHTRLENMVQRPSTVDFIDWVDNMWPRHLKESQTESTNAILEMQYPKVQKYCLMSVRGCYTDFHVDFGGTSVWYHIHQGGKVFWLIPPTAHNLELYENWLLSGKQGDIFLGDRVSDCQRIELKQGYTFVIPSGWIHAVYTPTDTLVFGGNFLHSFNIPMQLKIYNIEDRTRVPNKFRYPFYYEMCWYVLERYVYCITNRSHLTKEFQKESLSMDLELNGLESGNGDEEAVDREPRRLSSRRSVLTSPVANGVNLDYDGLGKTCRSLPSLKKTLAGDSSSDCSRGSHNGQVWDPQCAPRKDRQVHLTHFELEGLRCLVDKLESLPLHKKCVPTGIEDEDALIADVKILLEELANSDPKLALTGVPIVQWPKRDKLKFPTRPKVRVPTIPITKPHTMKPAPRLTPVRPAAASPIVSGARRRRVRCRKCKACVQGECGVCHYCRDMKKFGGPGRMKQSCVLRQCLAPRLPHSVTCSLCGEVDQNEETQDFEKKLMECCICNEIVHPGCLQMDGEGLLNEELPNCWECPKCYQEDSSEKAQKRKMEESDEEAVQAKVLRPLRSCDEPLTPPPHSPTSMLQLIHDPVSPRGMVTRSSPGAGPSDHHSASRDERFKRRQLLRLQATERTMVREKENNPSGKKELSEVEKAKIRGSYLTVTLQRPTKELHGTSIVPKLQAITASSANLRHSPRVLVQHCPARTPQRGDEEGLGGEEEEEEEEEEEDDSAEEGGAARLNGRGSWAQDGDESWMQREVWMSVFRYLSRRELCECMRVCKTWYKWCCDKRLWTKIDLSRCKAIVPQALSGIIKRQPVSLDLSWTNISKKQLTWLVNRLPGLKDLLLAGCSWSAVSALSTSSCPLLRTLDLRWAVGIKDPQIRDLLTPPADKPGQDNRSKLRNMTDFRLAGLDITDATLRLIIRHMPLLSRLDLSHCSHLTDQSSNLLTAVGSSTRYSLTELNMAGCNKLTDQTLIYLRRIANVTLIDLRGCKQITRKACEHFISDLSINSLYCLSDEKLIQKIS", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MERYAAALEEVADGARQQERHYQLLSALQSLVKELPSSFQQRLSYTTLSDLALALLDGTVFEIVQGLLEIQHLTEKSLYNQRLRLQNEHRVLRQALRQKHQEAQQACRPHNLPVVQAAQQRELEAVEHRIREEQRAMDQKIILELDRKVADQQSTLEKAGVAGFYVTTNPQELMLQMNLLELIRKLQQRGCRAGNAALGLGGPWQSPAAQCDQKGSPVPP", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTDRLTQLQICLDQMTEQFCATLNYIDKNHGFERLTVNEPQMSDKHATVVPPEEFSNTIDELSTDIILKTRQINKLIDSLPGVDVSAEEQLRKIDMLQKKLVEVEDEKIEAIKKKEKLLRHVDSLIEDFVDGIANSKKST", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKRQRPQDSMISVPLQNENSTTTPTKEVSHLNFPLKRPRLHSFVPTSKQAALSDITPDTPPAFKTPYSSLPYNLVPQNSSTSKKRPRAEDLLVIEPSQNSLVPSTQNNEWNEIARKRVSLESDHPDKSGQVIDLATGQILDKQTEDIDDDRNKSAVSKSLVRHPHRLKMLPFGIQSAHPYISSLNSNYPTTWHFASHYYPTDSKQLVKYHPTEVHPSWTVEEPVHYNTYDGVVNEPNSSVIIEELDDDYDELNDPMNNNDTPITNSTHSAQMSNLPTHDSMDIDMGGAVPSTST", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAVTLDKDAYYRRVKRLYSNWRKGEDEYANVDAIVVSVGVDEEIVYAKSTALQTWLFGYELTDTIMVFCDDKIIFMASKKKVEFLKQIANTKGNENANGAPAITLLIREKNESNKSSFDKMIEAIKESKNGKKIGVFSKDKFPGEFMKSWNDCLNKEGFDKIDISAVVAYTIAVKEDGELNLMKKAASITSEVFNKFFKERVMEIVDADEKVRHSKLAESVEKAIEEKKYLAGADPSTVEMCYPPIIQSGGNYNLKFSVVSDKNHMHFGAITCAMGIRFKSYCSNLVRTLMVDPSQEVQENYNFLLQLQEELLKELRHGVKICDVYNAVMDVVKKQKPELLNKITKNLGFGMGIEFREGSLVINSKNQYKLKKGMVFSINLGFSDLTNKEGKKPEEKTYALFIGDTVLVDEDGPATVLTSVKKKVKNVGIFLKNEDEEEEEEEKDEAEDLLGRGSRAALLTERTRNEMTAEEKRRAHQKELAAQLNEEAKRRLTEQKGEQQIQKARKSNVSYKNPSLMPKEPHIREMKIYIDKKYETVIMPVFGIATPFHIATIKNISMSVEGDYTYLRINFYCPGSALGRNEGNIFPNPEATFVKEITYRASNIKAPGEQTVPALNLQNAFRIIKEVQKRYKTREAEEKEKEGIVKQDSLVINLNRSNPKLKDLYIRPNIAQKRMQGSLEAHVNGFRFTSVRGDKVDILYNNIKHALFQPCDGEMIIVLHFHLKNAIMFGKKRHTDVQFYTEVGEITTDLGKHQHMHDRDDLYAEQMEREMRHKLKTAFKNFIEKVEALTKEELEFEVPFRDLGFNGAPYRSTCLLQPTSSALVNATEWPPFVVTLDEVELIHFERVQFHLKNFDMVIVYKDYSKKVTMINAIPVASLDPIKEWLNSCDLKYTEGVQSLNWTKIMKTIVDDPEGFFEQGGWSFLEPEGEGSDAEEGDSESEIEDETFNPSEDDYEEEEEDSDEDYSSEAEESDYSKESLGSEEESGKDWDELEEEARKADRESRYEEEEEQSRSMSRKRKASVHSSGRGSNRGSRHSSAPPKKKRK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNFSKTLLKNSKDGISSVIFSPSVKNELIAGCWDGSLLHYQISENPELLGKYDLSSPILSLEYTDEKTALVGNLDGTVTTLDLNTRNHEFLGNHGKGVSCISKLRLENCFISGSWDKSFRVWDVRVKQPVEGQDIGKKIFASSSRDNILVLGCSERENLVYDIRNLKLPFQRRPSSFKYMTRSVCCNQNFEGFVSSSIEGRTSVEYINPSQEAQSKNFTFKCHRQIQKDYDIVYPVNDLKFHPIHQTLATAGGDGVVAFWDIQVRKRLRVLNPSKINISSISFNVDGSMLAIATCAQEEAAGNIYVHALESNFAAPKLKS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVNTRKSSLRLLGSKSPGPGPGPGAGAEPGATGGSSHFISSRTRSSKTRAASCPAAKAGGSGGAGVTLDEARKVEVDGSLSDSHVSPPAKRTLKQPDSVCKDKSKSRSTGQREEWNLSTGQARLTSQPGATLPNGHSGLSLRSHPLRGEKKGDGDLSCINGDMEVRKSCRSRKNRFESVNQSLLFDQLVNSTAEAVLQEMDNINIRQNRRSGEVERLRMWTDTEFENMDMYSRVKRRRKSLRRNSYGIQNHHEVSTEGEEEESQEEDGDIEVEEAEGEENDRPYNLRQRKTVDRYQAPPIVPAHQKKRENTLFDIHRSPARRSHIRRKKHAIHSSDTTSSDEERFERRKSKSMARARNRCLPMNFRAEDLASGILRERVKVGASLADVDPMNIDKSVRFDSIGGLSHHIHALKEMVVFPLLYPEIFEKFKIQPPRGCLFYGPPGTGKTLVARALANECSQGDKKVAFFMRKGADCLSKWVGESERQLRLLFDQAYLMRPSIIFFDEIDGLAPVRSSRQDQIHSSIVSTLLALMDGLDNRGEIVVIGATNRLDSIDPALRRPGRFDREFLFNLPDQKARKHILQIHTRDWNPKLSDAFLGELAEKCVGYCGADIKALCTEAALIALRRRYPQIYASSHKLQLDVSSIVLSAQDFYHAMQNIVPASQRAVMSSGHALSPIIRPLLERSFNNILAVLQKVFPHAEISQSDKKEDIETLILEDSEDENALSIFETNCHSGSPKKQSSSAAIHKPYLHFTMSPYHQPTSYRPRLLLSGERGSGQTSHLAPALLHTLERFSVHRLDLPALYSVSAKTPEESCAQIFREARRTVPSIVYMPHIGDWWEAVSETVRATFLTLLQDIPSFSPIFLLSTSETMYSELPEEVKCIFRIQYEEVLYIQRPIEEDRRKFFQELILNQASMAPPRRKHAALCAMEVLPLALPSPPRQLSESEKSRMEDQEENTLRELRLFLRDVTKRLATDKRFNIFSKPVDIEEVSDYLEVIKEPMDLSTVITKIDKHNYLTAKDFLKDIDLICSNALEYNPDKDPGDKIIRHRACTLKDTAHAIIAAELDPEFNKLCEEIKEARIKRGLSVTSEQINPHSTGARKTETRVEEAFRHKQRNPMDVWHNSANKCAFRVRRKSRRRSQWGKGIIKKRKVNNLKKDEEDTKFADYENHTEDRKLLENGEFEVSTDCHEENGEETGDLSMTNDESSCDIMDLDQGQRLNNGAGTKENFASTEEESSNESLLVNSSSSLNPEQTSRKETFLKGNCLNGEASTDSFEGIPVLECQNGKLEVVSFCDSGDKCSSEQKILLEDQSKEKPETSTENHGDDLEKLEALECSNNEKLEPGSDVEVKDAELDKEGASKVKKYRKLILEQAKTTSLELVPEEPSEPVPPLIVDRERLKKLLDLLVDKSNNLAVDQLERLYSLLSQCIYRHRKDYDKSQLVEEMERTVHMFETFL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTNAMKVEGYPSMEWPTSLDIPLKASEELVGIDLETDLPDDPTDLKTLLVEENSEKEHWLTIALAYCNHGKTNEGIKLIEMALDVFQNSERASLHTFLTWAHLNLAKGQSLSVETKEHELTQAELNLKDAIGFDPTWIGNMLATVELYYQRGHYDKALETSDLFVKSIHAEDHRSGRQSKPNCLFLLLRAKLLYQKKNYMASLKIFQELLVINPVLQPDPRIGIGLCFWQLKDSKMAIKSWQRALQLNPKNTSASILVLLGEFRESFTNSTNDKTFKEAFTKALSDLNNIFSENQHNPVLLTLLQTYYYFKGDYQTVLDIYHHRILKMSPMIAKIVLSESSFWCGRAHYALGDYRKSFIMFQESLKKNEDNLLAKLGLGQTQIKNNLLEESIITFENLYKTNESLQELNYILGMLYAGKAFDAKTAKNTSAKEQSNLNEKALKYLERYLKLTLATKNQLVISRAYLVISQLYELQNQYKTSLDYLSKALEEMEFIKKEIPLEVLNNLACYHFINGDFIKADDLFKQAKAKVSDKDESVNITLEYNIARTNEKNDCEKSESIYSQVTSLHPAYIAARIRNLYLKFAQSKIEDSDMSTEMNKLLDLNKSDLEIRSFYGWYLKNSKERKNNEKSTTHNKETLVKYNSHDAYALISLANLYVTIARDGKKSRNPKEQEKSKHSYLKAIQLYQKVLQVDPFNIFAAQGLAIIFAESKRLGPALEILRKVRDSLDNEDVQLNLAHCYLEMREYGKAIENYELVLKKFDNEKTRPHILNLLGRAWYARAIKERSVNFYQKALENAKTALDLFVKESSKSKFIHSVKFNIALLHFQIAETLRRSNPKFRTVQQIKDSLEGLKEGLELFRELNDLKEFNMIPKEELEQRIQLGETTMKSALERSLNEQEEFEKEQSAKIDEARKILEENELKEQGWMKQEEEARRLKLEKQAEEYRKLQDEAQKLIQEREAMAISEHNVKDDSDLSDKDNEYDEEKPRQKRKRSTKTKNSGESKRRKAAKKTLSDSDEDDDDVVKKPSHNKGKKSQLSNEFIEDSDEEEAQMSGSEQNKNDDNDENNDNDDNDGLF", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKQKETKTSQIALVDGEKLSITDSFASLFTLDEEEENDSVDNEEIKLTKEKHEKLLLLFWLHCKFPNGLEWLHSSSDLLPEQVSEWFNFYQKYRFKRGRNFNLSARESVTPIVEEPIVPEEPDNLEGVSEETPLKETSLELSEEEIITSKSPIPSPETIHKNIDVEEKETIEPTTPVKEVETTAHAEEEKGPLTPDSEYAARQLTEELANKSSQEEGVDKQLRVVEATEKEHEEDGNEENVTVTKPVEVATDQVESKEVKKKEVSETTEPTAPPVTVAEVLEIEDKVPKVDEVEEVHSPEAKVTENDVENVQSGIDIEKTIQLLNNQEIPSEQQIISVDKATESPVQEVAVDVNEKPVDEIVEPSKLQMENKLPSEKSPTIDRTGVEAPLFELSVSMPLTLIPPSKFSEPVKPELSSEAWLLRTEMSPLHLRLKNAHKYVLSDNWSHAYREEIVRQSLHHLTVAKEKGIWSFRQPKRQNEMPRLKTHRDYVLDEMQWMSIDFSQERKWKIILAHRMANWVMDYHQASDKCTVCTPASLSKNKKPYMQENEHQKDSHEETFNEQIVSHFNLNDNNNNKVLSIPRDSLQFYNAVFSDDIFVTTNSEQIQNCVLNVPMYGPPTENNEYCEEISEKYPITPVSRFAYAKTKLKSTCAKASRKRLFNQLELSPPESFMEKKARSDENQLDGNKIKDDNQKLSSVGTFSVRPPYPPSSKDIRPEAPWLPEEDELLLLLLRRYSFNWEFVASRLTPPGLYIPLAEKRTAWDCFERWIQVDPRAANVQLTGSHARLAQQKLDESLRHSDKVSQHLSLRDEGTPNHLIKHNSYFLLPTVSRHYRPITIFEAIRKILKKREFAKKPTMTKRAIAPSAASTEKLPPVPSPLELSRLKSEREAQIQQIQAQRNFAQLQSQNRALRPQNAAVAAGAQQHNQQLAAFQAVAASQNSSNNSSAGVSPIAGRMVPRLQPYAVSSSLKLTPEQIHQLQQRKQTVPTTERTQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAGENPKKEGVDARFAGIYSDPKFKNTKTKDHKIKLDSRFSKKDLEVQHKSKVDKYGRKIKNAQNNRELEDFDKYFEKEAENDEDSEVNAKTVVDRARGEVPDDYVSSSDEFTSSDSESSGESEVESEEENEVEIENAKPESGDISKNLAVVNLDWDHVKSEDLMITFSSFVPKGGKIERVAIYPSEFGKERMQREEVEGPPKELFQKKNKNKTSKKKKTDDSDSDMDIGIKDLYEEGDADKDVDSRALRQYQLDRLRYYYAIVYCSDTTTSKAIYDNCDGTEYESTANMFDLRYVPDGMTFDDDVRDECSILPKNYRPHQFSTDALQHSSVKLTWDETPADRVEVAKRAFTQKEIDDMDFKAYLASDSDESDGQVDEEAKNKLKSLVGDFGFNSKKETPNDEDEEVDMEITFTPALEGGNEKSSEDKEETTIEKIRRKEKERRKARKQKVKELKQQSEKDKKSKLKSVNKKHTNDEEEIEKNAKSKAELELLMDDDDDTETQGTINNKAHFNMNEILRSEKEKHKKGRYQKKERIVEDTFTPDLEDPRFKEVFEDHDFAIDPTQPEFKGTQAMSKILKERSKRVKNKKRKLGGSENNMTNNADDNEDIGNLVNKLKKKSKSSKKVKV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDDDQQFCLRWNNHQSTLISVFDTLLENETLVDCTLAAEGKFLKAHKVVLSACSPYFATLLQEQYDKHPIFILKDVKYQELRAMMDYMYRGEVNISQDQLAALLKAAESLQIKGLSDNRTGGGVAPKPESSGHHRGGKLSGAYTLEQTKRARLATGGAMDTSGDVSGSREGSSSPSRRRRKVRRRSMENDAHDNSNSSVLQAAASNQSILQQTGAGLAVSALVTTQLSSGPAAGTSSQASSTQQQQPLTSTNVTKKTESAKLTSSTAAPASGASASAAVQQAHLHQQQAQTTSDAINTENVQAQSQGGAQGVQGDDEDIDEGSAVGGPNSATGPNPASASASAVHAGVVVKQLASVVDKSSSNHKHKIKDNSVSSVGSEMVIEPKAEYDDDAHDENVEDLTLDEEDMTMEELDQTAGTSQGGEGSSQTYATWQHDRSQDELGLMAQDAQQRDPQDVSTNQTVVLPHYSIYHYYSNIYYLLSHTTIYEADRTVSVSCPGKLNCLPQRNDLQETKSVTVLYTIHFFLYILMIYIFVLCKILPRIVFVWVST", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSFLLPKLTSKKEVDQAIKSTAEKVLVLRFGRDEDPVCLQLDDILSKTSSDLSKMAAIYLVDVDQTAVYTQYFDISYIPSTVFFFNGQHMKVDYGSPDHTKFVGSFKTKQDFIDLIEVIYRGAMRGKLIVQSPIDPKNIPKYDLLYQDI", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MILEKPSWIRHEGLQIFSIDIQTGGLRFATGGGDQKVRIWSMESVHKDNTNNDSKQRLLATLRDHFGSVNCVRWAKHGRYLASGSDDQVILIHERKAGSGTSEFGSGEPPDAENWKVIMTWRGHTADVVDLSWSPDDSTLASGSLDNTIHIWNMNNGICTAVLRGHTSLVKGVTWDPIGSFIASQSDDKTVMIWRTSDWSLAHKTEGHWTKSLGSTFFRRLAWSPCCHFITTTHGFQKPRHSAPVLERGEWAATFDFLGHNAPIVVVKFNNSTFRKNFSSDQDPKAAPVGWANGASKTPTKEQQPYNVIAIGSQDRTITVWTTASARPLFVARHFFSQSVVDLSWSPDGYSLFACSLDGSAANFHFEVKELGHRLSDSEMDEWKRNRYGDVGGRQSNLAESPAQLLLEQASAKQSAGEKVTSIVEQGKAPPKVSAGVPNPGLVVLSLEVPEVSHEDSKKTAGPTADDVKKGNQLSSPVKQREYRRPDGRKRIIPEAVGFASNQDNIPNHSQNHPVNFSSLDQRMNGTKPSYGSNSNSNNCGVKDRTSVTARANITESLVIQKASAGAGNDGRLSIEHTRSMAPSSLTPCSALSIHVINKNGNEDALPVCLEARPVERGAGDMIGVGALSTKETEIKCIKGTKTLWSDRISGKVTVLAGNANFWAVGCEDGFLQVYTRCGVRAMPAMMMGSAAVFIDCDDSWKLLLVTGRGLMYIWNLYDRACILHDSLASLVASPDESSAKDAGTVKVISATFSRCGSPLVALASRHAFLYDMSLKCWLRIADDCFPASNFASSFSFPQGGELGKLQIDIGKFMARKPIWSRVTDDGLQTRAHLENQLASSLALKSAQEYRQCLLSYVRFLAREADESRLREVCESFLGPPMGKVGSASPTDPKNLAWDPDVLGMKKHKLLKEDILPSMASNRKVQRLLNEFMDLLLEYETDVTLIPQPGTEGNGNGNDKVMTS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MATGQNRTTVPENLKKHLAVSVRNIQWSYGIFWSVSASQSGVLEWGDGYYNGDIKTRKTIQASEIKADQLGLRRSEQLSELYESLSVAESSSSGVAAGSQVTRRASAAALSPEDLADTEWYYLVCMSFVFNIGEGMPGRTFANGEPIWLCNAHTADSKVFSRSLLAKSAAVKTVVCFPFLGGVVEIGTTEHITEDMNVIQCVKTSFLEAPDPYATILPARSDYHIDNVLDPQQILGDEIYAPMFSTEPFPTASPSRTTNGFDQEHEQVADDHDSFMTERITGGASQVQSWQLMDDELSNCVHQSLNSSDCVSQTFVEGAAGRVAYGARKSRVQRLGQIQEQQRNVKTLSFDPRNDDVHYQSVISTIFKTNHQLILGPQFRNCDKQSSFTRWKKSSSSSSGTATVTAPSQGMLKKIIFDVPRVHQKEKLMLDSPEARDETGNHAVLEKKRREKLNERFMTLRKIIPSINKIDKVSILDDTIEYLQELERRVQELESCRESTDTETRGTMTMKRKKPCDAGERTSANCANNETGNGKKVSVNNVGEAEPADTGFTGLTDNLRIGSFGNEVVIELRCAWREGVLLEIMDVISDLHLDSHSVQSSTGDGLLCLTVNCKHKGSKIATPGMIKEALQRVAWIC", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEVNCLTLKDLISPRQTRLDFAIEDAENAQKENIFVDRSRMTPKTPMKNEPIDLSKQRIFTPDRNPITPVKPVDRQPQVEPWTPTANLKMLISAASPDIRDREKKKELFRPIENKEDAFVNSLQLDVAGDGAVDEYEKQRPSRKQKSLGLLCQKFLARYPSYPLSTEKTTISLDEVAVSLGVERRRIYDIVNVLESLHLVSRVAKNQYGWHGRHSLPKTLRTLQRLGEEQKYEEQMACLQQKELDLMGYRFGERRKDGSPDPRDPHLLDFSEADYPSSSANSRKDKSLRIMSQKFVMLFLVSKTKIVTLDVAAKILIEESQDTPDHSKFKTKVRRLYDIANVLTSLALIKKVHVTEERGRKPAFKWIGPVDFSSIDEELLDVSASILPELKKEAYGQIRVCAKERLVRYGSFNTVHTSEKIQRKVSSEPSSPQGERQGSAYSLEIGSLAAIYRQKVEDNSQEEAFVSNTAVPPASILDPALSMDSEYCVKPLAQPVFSVAQTDLPAFSAQNGPSGQVGVPVPSAASDTENLKPALLAGQPLVYVPSTQLFMLYGSVQEGLSPESRSEEDGGGSDVPADLSVTPSAQKRLCEERDPQEEEDEPAMKRQSQEFEDSPLSLVMPKKPSSSTDLACPVTMGNGSSPPLEDACVKGQLPAAEEVTGKAAPNCYVASECGNPARNPDTEKPSNENEITKDPSLMQYLYVQSPAGLNGFNMVLPGTQTPHTVAPSPAQLPSFGVPCMFLQSPGLGPFPVLYSPAIPGPISSAPGTHPNPGPMNFGLSTLASASHLLISPAAMVNPKPSTLPCTDPQLRCQPSLNLNPVMPGSHGVIHPESPCYVRHPVSMVKAEQSPAPATPKSIQRRHRETFFKTPGSLGDPVFRRKERNQSRNTSSAQRRLEISSSGPD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDFENLFSKPPNPALGKKPAADPEERIDEIDGTEVEETQTEKVKWKVKRDREQIPKKFKHLGNAATSPKSLLRKKSRSKDYDPYSDGETCSQGSEDNFDKELQQYIQAKEMANAAQPSLLPEESVKKAGAEGTQQTAKQKNKKSKAGHKKVKQKKMKRKWPGTGDKGSRALLKNSGSREQTDEPEEKQPRVRMSQGFINQHTVERKGKQVCKYFLERKCIKGDQCKFDHDAEIEKKKEMCKYYVQGYCTKGENCLYLHSEYPCKFYHTGTKCYQGDHCNFSHAPLTAETQELLAKVLDTDKKSCK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSAEEYIEVSSSPDIFTDDDDMITIEPELNKNPKDCNSKRKRSVTECCEIRLITSKCDFESTQQLVHHNCTGHKVHEHNLNAVDEEDFDTENLPLLFSSFSDNESDILEPDLNTRVAEDNDVLLSRYSKIKNSASCRNTFEHSAYHSNREEISSSGFYYHRKPQLFEKSLEKLGNKSIEANRSPLIKELCESANSTENVCFSVSTVDEIQQRHPSAGHSIDSTCQSNSFLEGDSATHKKKKTDNIKEFTSCEFNDRSRTLLNYAGYMDTNKNADNEAKSLKEKLENFPVEKLRAIAESYGFKSSDSKATLIKIVESCLDAIDSRSQSKKLGKETPHDYLITSTKTVLEFDDIVTQTHRAISQVVKQAKDNSVWIKILTYSAIDVEEFQLWLKRKNLNVSLDLIKSWCDKYGVLMKGSWH", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAEKLPAPLLALFAPRPPLRYLPPMDVPPEKRSTPRVSGIAKYLKYAQSHDQQYHPTESLEEKRLRLRDEKQKQQRERLRSMIKVWDPDHDRHVIGDPYKTMFLSRLSYDTKESDIEREFTRYGPIERIRVVRNKVTGKSMGYAFVVFERERDLKVAYKASAGLMLNGRRIVVDVERGRTVKGWLPRKLGGGLGGRHYTKERPRRERGSRFRGDSGFRGGYRGGFRKSSGGGSRFGRGPTRSSHSSDYGGRDSSPKRRRYN", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTEDRAHKVADEPAASGRQSPERKKRKWDQPAEDLVSAAVTAAAVSGMPVMNFGALPGVVLPGVTAYGAATLPSVVPVPYSLPPHIAPSVLQNAAAAAQKLSQAKIPDEVIAREIVINDADPSVRYKLTKRQTQEEIQKCTNTVIITRGKYHPPNLLPDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGTTSESISVPFSSSTGQAVRPFSASVFLGFDADPSLNITARIRGPNDQYINHIMKETGVTVVLRGKDSENLGSCHSEASQQPLHLYLTSMHLKNLEAAKVLAENLLDTVAAEFGASRISSSKVYGAVPPPQQLLAGVDTSGTKSDVHYIVGPNVLSGATHSFASTGVIAPVVAPAVTVQSGAPTYSGVPLPSNMAYPIPPANGGAFYSGYGDIYPQATPLQQLAFTLKHASSSATQAVPVTSTPTSMATKGNSILDAEMDKRSRRKFQELPVSKGPATESQNSQQGSKFVKTGLDSSGNIGSSSIAPPKKVHPGSNGMLPQEEADMPSHLSISTKMLPPPLKSMLPLPPRSMPPPPPKSMPPPPPKFPSDEFLSRNENKFFPLKEPTAPPRSFDAISVLPSERRPREPKEEKNKRHTCV", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSARRVTLNTRVSRASTSTPVGGASTSSRVGATSPTSPTRTSRQQEKEELQHLNDRLACYIDRMRNLENENSRLTQELNLAQDTVNRETSNLKAVYEKELAAARKLLDETAKEKAKLEIDIKRLWEENDDLKPRLDKKTKEATVAENNARLYENRYNEVNGKYNQSLADRKKFEDQAKELALENERLRRQLDDLRKQLEAETLARVDLENQNQSLREELAFKDQVHTQELTETRSRRQIEISEIDGRLSRQYEAKLQQSLQELRDQYEGQMRINREEIELLYDNEIQNLKAAANRAAQGSALATEEVRLMRTKIDGLNAKLQNLEDTNAGLNARIRELENLLDTERQRHNQYIASLEAELQRMRDEMAHQLQEYQGLMDIKVSLDLEIAAYDKLLCGEERRLNIESPGRPTTDSGISSNGSHLTASASSRSGRVTPSGRRSATPGISGSSAVKRRRTVIDESEDRTLSEYSVNAAAKGDLEIIEADVEGRFIKLHNKGTEEINLTGWQLTRIAGDEELAFKFSRGSKVLGGASVTIWSVDAGTAHDPPNNLVMKKKWPVANSMRSVLANADKEDVASYDRVRANVSSHTSRHRSSGTPSTGFTLGSGAGSTGVRSLFSLLF", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSSAAQQQQKAAAAEQEEVEHGPFPIEQLQASGIAALDVKKLKDSGLHTVEAVAYTPRKDLLQIKGISEAKADKIIEAASKIVPLGFTSASQLHAQRLEIIQVTTGSRELDKILEGGIETGSITEIYGEFRSGKTQLCHTPCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRFALMVVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQFKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQLASEGIADVKD", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKGFIDDANYSVGLLDEGTNLGNVIDNYVYEHTLTGKNAFFVGDLGKIVKKHSQWQTVVAQIKPFYTVKCNSTPAVLEILAALGTGFACSSKNEMALVQELGVSPENIIFTSPCKQVSQIKYAAKVGVNIMTCDNEIELKKIARNHPNAKVLLHIATEDNIGGEDGNMKFGTTLKNCRHLLECAKELDVQIIGVKFHVSSACKEYQVYVHALSDARCVFDMAGEFGFTMNMLDIGGGFTGTEIQLEEVNHVISPLLDIYFPEGSGIQIISEPGSYYVSSAFTLAVNIIAKKVVENDKFSSGVEKNGSDEPAFVYYMNDGVYGSFASKLSEDLNTIPEVHKKYKEDEPLFTSSLWGPSCDELDQIVESCLLPELNVGDWLIFDNMGADSFHEPSAFNDFQRPAIYFMMSFSDWYEMQDAGITSDAMMKNFFFAPSCIQLSQEDSFSTEA", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNIAQEVAVLQYLDIQQENPNAPNEELFNRTAESSLLLEPIEQDQLKDLVEEWMHDSNKSDSKLLSNQVSQNDNDARKNLRKRLRNDVLKDLAEEIQGCEKKLESLYEEVAKAKAKAVEDQLALEEADKEAKKAKTEAPVEAANKSLRSRKKTPEIAAPANIEPEVAPTTKTPKKRAALSNEEKQSLKKFQSAMLPMLDNISNHRFGAPFSHPVNRKEAPDYDSLVYKPQDLRTLKNMIKEGNITEVDELYREVLRIFANCKMYNGSDPANAMSIWGDECFRYTEELFDIYRQASTRSQ", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLSHADLLDTRLGMKDAAAELLVHREALKCRLGGTDSGHPGDLTSATETVEGTTLLPGEEISNGGSNPNGMQQVNAKDQEKQQQQNSNQTGGQQNQQKQKRHRTRFTPAQLNELERSFAKTHYPDIFMREELALRIGLTESRVQVWFQNRRAKWKKRKKTTNVFRAPGTLLPTHGLPQFPSAAAAAMGDSLCSFHANDTRWAAAGMPGVSQLQIPPSLGRQQAMAQSLSQCSLGAGPPPNNMGLSGSLSSNGSGLQSHLYQPTFPGMVPASLSGPTNVTGSPQLCSSPDSDMWRGTSIASLRRKALEHTVSMSFT", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSGDYKPNYQSSPSRKRLPLQSKDQASIYKYQTPSTLNLYNNTVNNNSSNNSNNHLLHNSNPNSSYLYDSSKQYSNQINIRNNSNSNSNTNNITSKKASSSYSINNKVDHNSHNNNDDDDIEDDVDINYSTNNASSNILHNRFSNSNKDDSYIDYSTDENPKILKQPQPLYNHLNNQIQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRNNNNNSNSSNNNNTSTTIKRNNQQIDNNSNKNIISKFIGDPWKNFYYGSNKSLWPFERNNNSNNSSNNNNKVNFKQAIWIFIFSVLFIGCLLGLFSTNFYGIHIYFPSFSTTKTNSPFNSTNNNIQFSNLITKEQLYPIIDEYFKKNEILKSYNKLFEKIENDIKYLSEREQYKDIINEIKEELKLVKLSNMDEDRVNQLISKMINHYNNNENNKQELKELLSKSIEELTKLKSDSKEQLIQISTESMNQLGQLKSESINQLGQVKSESIDKFQSTLKSLSKEEQSKIEREFNHQFNQLNKDADQLLSQHSLKIEKLREEINENQQSSLLKLTQEYKQLEERLKEFSSKLQQSISSSSMDQFESWKLVFIKDIEERINKESSKLTNQYIQLTQQFTKIQSFIKDNPSIDSLTNTIESLEGIKLLIEDILEVYSADKIAKVDYALGLAGASIEYNALHYRVSETYPPIKGSGSGSGSGGANGNSLGLYYYNLATNWIFPQPKPNPPETILDPMVNTGSCWGFYTGNGTIVIRLAKKIAITEVTMEHISSNISHHIDSAPKEFQVFGLINSSDIGQSLGVFTYDTTINRHLQTFKVNKIQSTTTTTTNQDQNDDDNIQEFSHVALRILSNHGYRYTCIYRFRVHGYQIPHPEQEQIQIIQEEQSFKQEEINQQQIEQIEQIEQIEKQQQSDEL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MELSAVGERVFAAEALLKRRIRKGRMEYLVKWKGWSQKYSTWEPEENILDARLLAAFEEREREMELYGPKKRGPKPKTFLLKAQAKAKAKTYEFRSDSARGIRIPYPGRSPQDLASTSRAREGLRNMGLSPPASSTSTSSTCRAEAPRDRDRDRDRDRERDRERERERERERERERERERGTSRVDDKPSSPGDSSKKRGPKPRKELPDPSQRPLGEPSAGLGEYLKGRKLDDTPSGAGKFPAGHSVIQLARRQDSDLVQCGVTSPSSAEATGKLAVDTFPARVIKHRAAFLEAKGQGALDPNGTRVRHGSGPPSSGGGLYRDMGAQGGRPSLIARIPVARILGDPEEESWSPSLTNLEKVVVTDVTSNFLTVTIKESNTDQGFFKEKR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSKLWRRGSTSGAMEAPEPGEALELSLAGAHGHGVHKKKHKKHKKKHKKKHHQEEDAGPTQPSPAKPQLKLKIKLGGQVLGTKSVPTFTVIPEGPRSPSPLMVVDNEEEPMEGVPLEQYRAWLDEDSNLSPSPLRDLSGGLGGQEEEEEQRWLDALEKGELDDNGDLKKEINERLLTARQRALLQKARSQPSPMLPLPVAEGCPPPALTEEMLLKREERARKRRLQAARRAEEHKNQTIERLTKTAATSGRGGRGGARGERRGGRAAAPAPMVRYCSGAQGSTLSFPPGVPAPTAVSQRPSPSGPPPRCSVPGCPHPRRYACSRTGQALCSLQCYRINLQMRLGGPEGPGSPLLAT", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDASAGSSAPHSHGNPGKQGGGGGGGGGRGKAPAAEIRGEAARDDVFFADDTFPLLPDFPCLSSPSSSTFSSSSSSNSSSAFTTAAGGGCGGEPSEPASAADGFGELADIDQLLDLASLSVPWEAEQPLFPDDVGMMIEDAMSGQPHQADDCTGDGDTKAVMEAAGGGDDAGDACMEGSDAPDDLPAFFMEWLTSNREYISADDLRSIRLRRSTIEAAAARLGGGRQGTMQLLKLILTWVQNHHLQKKRPRTAIDDGAASSDPQLPSPGANPGYEFPSGGQEMGSAAATSWMPYQAFTPPAAYGGDAMYPGAAGPFPFQQSCSKSSVVVSSQPFSPPTAAAAGDMHASGGGNMAWPQQFAPFPVSSTSSYTMPSVVPPPFTAGFPGQYSGGHAMCSPRLAGVEPSSTKEARKKRMARQRRLSCLQQQRSQQLNLSQIHISGHPQEPSPRAAHSAPVTPSSAGCRSWGIWPPAAQIIQNPLSNKPNPPPATSKQPKPSPEKPKPKPQAAATAGAESLQRSTASEKRQAKTDKNLRFLLQKVLKQSDVGSLGRIVLPKEAEVHLPELKTRDGVSIPMEDIGTSQVWNMRYRFWPNNKSRMYLLENTGDFVRSNELQEGDFIVIYSDIKSGKYLIRGVKVRRAAQEQGNSSGAVGKHKHGSPEKPGVSSNTKAAGAEDGTGGDDSAEAAAAAAAGKADGGGCKGKSPHGVRRSRQEAAAAASMSQMAVSI", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDIDLRLHSGDLCKGDDEDRGLDNVLHNEEDMDIGKIEDVSVEVNTDDSVGMGVPTGELVEYTEGMNLEPLNGMEFESHGEAYSFYQEYSRAMGFNTAIQNSRRSKTTREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPENMAGRRTCAKTDCKASMHVKRRPDGKWVIHSFVREHNHELLPAQAVSEQTRKIYAAMAKQFAEYKTVISLKSDSKSSFEKGRTLSVETGDFKILLDFLSRMQSLNSNFFYAVDLGDDQRVKNVFWVDAKSRHNYGSFCDVVSLDTTYVRNKYKMPLAIFVGVNQHYQYMVLGCALISDESAATYSWLMETWLRAIGGQAPKVLITELDVVMNSIVPEIFPNTRHCLFLWHVLMKVSENLGQVVKQHDNFMPKFEKCIYKSGKDEDFARKWYKNLARFGLKDDQWMISLYEDRKKWAPTYMTDVLLAGMSTSQRADSINAFFDKYMHKKTSVQEFVKVYDTVLQDRCEEEAKADSEMWNKQPAMKSPSPFEKSVSEVYTPAVFKKFQIEVLGAIACSPREENRDATCSTFRVQDFENNQDFMVTWNQTKAEVSCICRLFEYKGYLCRHTLNVLQCCHLSSIPSQYILKRWTKDAKSRHFSGEPQQLQTRLLRYNDLCERALKLNEEASLSQESYNIAFLAIEGAIGNCAGINTSGRSLPDVVTSPTQGLISVEEDNHSRSAGKTSKKKNPTKKRKVNPEQDVMPVAAPESLQQMDKLSPRTVGIESYYGTQQSVQGMVQLNLMGPTRDNFYGNQQTMQGLRQLNSIAPSYDSYYGPQQGIHGQGVDFFRPANFSYDIRDDPNVRTTQLHEDASRHS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSAIGDKNQFRIIVGSYEHNILCLSLDIPNQKENDAAKTPHFMPIFHFQAHSLSIKCLAVSRRYLVSGSNDEHIRIYDLQKRKELGTLLSHQGSITALQFSHPASSSEDAAVSKGSKNSKWLLSASEDHKIMVWRVKDWETVGTLKGHTARVNDVDIHPTNRIAISVSDDHSIRLWNLMTLRNAAVLKLRKYNTNGTCVRWLGAKGDYFAVGLRDRVLIYETGSAKVFKEIVFQRKTLMHIETHILPFDNKEYLSVGISDGNVHFYPCEELFEKVEENEKQEDDDDKEDISPAFSLLGHTNRIKDFKFYTNEFGTYLVTIGSDGKIVVWDMSTKEQVAVYDCGERLNCLTLCDESIEKYNTMKKRDAETADIGDQSEVESDTEELKKIMFGEKKKLNKKKRKQLKKSKVSVELE", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPRGFLVKRSKKSTPVSYRVRGGEDSDRALLLSPGCGGARAEPPVPSPGPLPPPPPPALAERAHAALAAALACAPGPPPPPPPGPRAAHFGNPEAAHPAPLYSPTRPVSREHEKHKYFERSFNLGSPVSAESFPTPAALLAGGGSGANGAGGGGGGTCGGDALLFAPAELKMGTAFSAGAEAARGPGTGPPLSPAAALRPPGKRPAPPAAVATEPPAKAAKAPSAKKPKAIRKLHFEDEVTTSPVLGLKIKEGPVEAPRGRAGGATRPLGEFICQLCKEEYADPFALAQHKCSRIVRVEYRCPECAKVFSCPANLASHRRWHKPRPVPAAARAPEPEAATRAEAREAAGGGSSDRDTPSPGGVSESGSEDGLYECHHCAKKFRRQAYLRKHLLAHHQALQAKGAPPPPPPPPPPAEDILAFYAGPDEKAPQEASGDGEAAGVLGLSATAQCHLCPVCGETFPSKGAQERHLRLLHAAQVFPCKYCPATFYSSPGLTRHINKCHPSENRQVILLQVPVRPAC", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNSYETKGLSFESPSFIEWLKPQSSTTSSKSVLYRGKTRDAISRSNHHQSQMNMLERSLFLYQPQEPLNTSIQCLPLLNKLMENNSQASDIKEENKDDVVTLQIGFPKYHRGSSEDGSDITFDHQKKPIKREIIEDGVVMMKKRRKMKFDEEIIDSDVEVCGKRFWIPSPAQIHVGPMQFACSICSKTFNRYNNMQMHMWGHGSEFRKGADSLKGTIQPAAILRLPCYCCAEGCKNNINHPRSKPLKDFRTLQTHYKRKHGSKPFSCGKCGKALAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRSFGSGHSPHPSLLFDGFEEDTECVTTE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVDELVLLLHALLMRHRALSIENSQLMEQLRLLVCERASLLRQVRPPSCPVPFPETFNGESSRLPEFIVQTASYMLVNENRFCNDAMKVAFLISLLTGEAEEWVVPYIEMDSPILGDYRAFLDEMKQCFGWDDDEDDDDEEEEDDY", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAVLCGVCGIKEFKYKCPRCLVQTCSLECSKKHKTRDNCSGQTHDPKEYISSEALKQADDDKHERNAYVQRDYNYLTQLKRMVHVQKMDARMKNKRVLGPVGGHNSNFKKRRYDIDEDDRDSTECQRIIRRGVNCLMLPKGMQRSSQNRSKWDKTMDLFVWSVEWILCPMQEKGEKKELFKHVSHRIKETDFLVQGMGKNVFQKCCEFYRLAGTSSCIEGEDGSETKEERTQILQKSGLKFYTKTFPYNTTHIMDSKKLVELAIHEKCIGELLKNTTVIEFPTIFVAMTEADLPEGYEVLHQEPRPLEHTSTLNKFIDNAREEEDAEEDSQPTEEPVQKETQDASDSDSDSDDDYNPGLSMDFLTA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MESFENLSIRDSFTSGMEHVDEELGGLSDLSISKQGPTLSPQLINRFMPHFPSSPSPLRNTLDFSAAKADEEEDDRMEIDEVDDTSFEEEYNNEPIETHTEATENAVVEEIEATPEERQKQEKNESQDQSVEEVENIVSPHRSTVIKALLSPTDLGVAAATKVEGVVPLPPSANQDDNESSNNNAEGEDIIRNEEVEDEIKSSLGNHKSSQYANAFDSEIIKRELRSRSKYQPIQVSFNTHNYFYSDKDGIKTYSLTKPNHNKIDEFYDQNEAFKLPKPWSPNSHPASRASYALMSYLQLFLNAITTVVIFSFILSFIIALQKDLKSTWEQRKHELQYESRICQEQYLTNRCNQTPGLPALGEQCAIWKQCMDRNNDIFFRARSTLSAKLFGDIINSFIDPLNWKTLFVIFCGVITWCFSSNFLLGFVRAKSYYGNGIKTYPLPSSPKSPTSEETHSSMTASGEDSHLLKQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAHSKTRTNDGKITYPPGVKEISDKISKEEMVRRLKMVVKTFMDMDQDSEEEKELYLNLALHLASDFFLKHPDKDVRLLVACCLADIFRIYAPEAPYTSPDKLKDIFMFITRQLKGLEDTKSPQFNRYFYLLENIAWVKSYNICFELEDSNEIFTQLYRTLFSVINNGHNQKVHMHMVDLMSSIICEGDTVSQELLDTVLVNLVPAHKNLNKQAYDLAKALLKRTAQAIEPYITNFFNQVLMLGKTSISDLSEHVFDLILELYNIDSHLLLSVLPQLEFKLKSNDNEERLQVVKLLAKMFGAKDSELASQNKPLWQCYLGRFNDIHVPIRLECVKFASHCLMNHPDLAKDLTEYLKVRSHDPEEAIRHDVIVSIVTAAKKDILLVNDHLLNFVRERTLDKRWRVRKEAMMGLAQIYKKYALQSAAGKDAAKQICWVKDKLLHIYYQNSIDDRLLVERIFAQYMVPHNLETTERMKCLYYLYATLDLNAVKALNEMWKCQNLLRHQVKDLLDLIKQPKTDASVKAIFSKVMVITRNLPDPGKAQDFMKKFTQVLEDDEKIRKQLEALVSPTCSCKQAEGCVREITKKLGNPKQPTNPFLEMIKFLLERIAPVHIDTESISALIKQVNKSIDGTADDEDEGVPTDQAIRAGLELLKVLSFTHPISFHSAETFESLLACLKMDDEKVAEAALQIFKNTGSKIEEDFPHIRSALLPVLHHKSKKGPPRQAKYAIHCIHAIFSSKETQFAQIFEPLHKSLDPSNLEHLITPLVTIGHIALLAPDQFAAPLKSLVATFIVKDLLMNDRLPGKKTTKLWVPDEEVSPETMVKIQAIKMMVRWLLGMKNNHSKSGTSTLRLLTTILHSDGDLTEQGKISKPDMSRLRLAAGSAIVKLAQEPCYHEIITLEQYQLCALAINDECYQVRQVFAQKLHKGLSRLRLPLEYMAICALCAKDPVKERRAHARQCLVKNITVRREYLKQHAAVSEKLLSLLPEYVVPYTIHLLAHDPDYVKVQDIEQLKDVKECLWFVLEILMAKNENNSHAFIRKMVENIKQTKDAQGPDDTKMNEKLYTVCDVAMNIIMSKSTTYSLESPKDPVLPARFFTQPDKNFSNTKNYLPPEMKSFFTPGKPKTANVLGAVNKPLSSAGKQSQTKSSRMETVSNASSSSNPSSPGRIKGRLDSTEMDHSENEDYTMSSPLPGKKSDKREDSDLVRSELEKPRSRKKASVTDPEEKLGMDDLSKLVQEQKPKGSQRGRKRGHAASESEEQQWPEEKRHKEELLGNEDEQNSPPKKGKRGRPPKPLGGTSKEEPVVKTSKKGNKKKPAPPVVDEDEEEERQMGNTEQKSKSKQQRTSKRAQQRAESPETSAVESTQSTPQKGRGRPSKTPSPSQPKKNIRVGRSKQVATKENDSSEEMDVLQASSPVSDDTTQEGAEEEDISAGNVRRRSSKRERR", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAKKSNSKKSTPVSTPSKEKKKVIEKKSSTAIPRERVIKAVNELIKFTSKPQDENNEEGNNGKKNLLEDDEEELKKDLQLIVVNNKSFTGTSKSFKLKLLNVKHSFYKPWKEASATAVKDFKVLLILKDSDIKKVSEDDLFDQLDSEGIKVDEIICGKDLKTVYKAYEARNAFISQFSLILADDSIVTSLPKLMGGKAYNKVETTPISIRTHANKEFSLTTLTNNIKKVYMNQLPVKLPRGTTLNVHLGNLEWLRPEEFVDNVELISEQLIKAYQIRSIFIKTNRSPVLPLYYNQDVLDELEAKKDKIEETHEDDMVTIDGVQVHLSTFNKGLMEIANPSELGSIFSKQINNAKKRSSSELEKESSESEAVKKAKS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MARSLVHDTVFYCLSVYQVKISPTPQLGAASSAEGHVGQGAPGLMGNMNPEGGVNHENGMNRDGGMIPEGGGGNQEPRQQPQPPPEEPAQAAMEGPQPENMQPRTRRTKFTLLQVEELESVFRHTQYPDVPTRRELAENLGVTEDKVRVWFKNKRARCRRHQRELMLANELRADPDDCVYIVVD", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSSERACMLCGIVQTTNEFNRDGCPNCQGIFEEAGVSTMECTSPSFEGLVGMCKPTKSWVAKWLSVDHSIAGMYAIKVDGRLPAEVVELLPHYKPRDGSQVE", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAGLTLFVGRLPPSARSEQLEELFSQVGPVKQCFVVTEKGSKACRGFGYVTFSMLEDVQRALKEITTFEGCKINVTVAKKKLRNKTKEKGKNENSECPKKEPKAKKAKVADKKARLIIRNLSFKCSEDDLKTVFAQFGAVLEVNIPRKPDGKMRGFGFVQFKNLLEAGKALKGMNMKEIKGRTVAVDWAVAKDKYKDTQSVSAIGEEKSHESKHQESVKKKGREEEDMEEEENDDDDDDDDEEDGVFDDEDEEEENIESKVTKPVQIQKRAVKRPAPAKSSDHSEEDSDLEESDSIDDGEELAQSDTSTEEQEDKAVQVSNKKKRKLPSDVNEGKTVFIRNLSFDSEEEELGELLQQFGELKYVRIVLHPDTEHSKGCAFAQFMTQEAAQKCLLAASPENEAGGLKLDGRQLKVDLAVTRDEAAKLQTTKVKKPTGTRNLYLAREGLIRAGTKAAEGVSAADMAKRERFELLKHQKLKDQNIFVSRTRLCLHNLPKAVDDKQLRKLLLSATSGEKGVRIKECRVMRDLKGVHGNMKGQSLGYAFAEFQEHEHALKALRLINNNPEIFGPLKRPIVEFSLEDRRKLKMKELRIQRSLQKMRSKPATGEPQKGQPEPAKDQQQKAAQHHTEEQSKVPPEQKRKAGSTSWTGFQTKAEVEQVELPDGKKRRKVLALPSHRGPKIRLRDKGKVKPVHPKKPKPQINQWKQEKQQLSSEQVSRKKAKGNKTETRFNQLVEQYKQKLLGPSKGAPLAKRSKWFDS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAEAVFHAPKRKRRVYETYESPLPIPFGQDHGPLKEFKIFRAEMINNNVIVRNAEDIEQLYGKGYFGKGILSRSRPSFTISDPKLVAKWKDMKTNMPIITSKRYQHSVEWAAELMRRQGQDESTVRRILKDYTKPLEHPPVKRNEEAQVHDKLNSGMVSNMEGTAGGERPSVVNGDSGKSGGVGDPREPLGCLQEGSGCHPTTESFEKSVREDASPLPHVCCCKQDALILQRGLHHEDGSQHIGLLHPGDRGPDHEYVLVEEAECAMSEREAAPNEELVQRNRLICRRNPYRIFEYLQLSLEEAFFLVYALGCLSIYYEKEPLTIVKLWKAFTVVQPTFRTTYMAYHYFRSKGWVPKVGLKYGTDLLLYRKGPPFYHASYSVIIELVDDHFEGSLRRPLSWKSLAALSRVSVNVSKELMLCYLIKPSTMTDKEMESPECMKRIKVQEVILSRWVSSRERSDQDDL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPRRKQQAPRRSAAYVPEEELKAADIEEDNLEDDGLSLDVQDSEYLYNDEHEIKETPSYQNSPISSATNQDAGYGSPFSETSDHLADFKSTSSKEGQDKEDGQNTENVSYPTDSLAQIKAVYTNLLSECCWSNLALDLKKSNENSSPTTNTNKSSMSEATGSTSDPDTPTTIPSSSCTNTSTSISVTTSNSTNSNSASGYDWHQAALAKTLQQTSYGLLPEPSLFSTVQLYRQSNKIYGSVFTGASRFKCKDCSAAYDTLVELTVHMNETGHYRDDNKDRDAERTKRWSKPRKRSLMEMEGKEDAQKVLKCMYCGHSFESLQDLSVHMIKTKHYQKVPLKEPVPAITKLIPSTKKRALQDIALPDSPEQAGISPGASVSESAKDPKAANPYVTPNNRYGYQNGASYTWQFEARKAQILKCMECGSSHDSLQQLTAHMMVTGHFLKVTNSASKKGKQLVMDAVIEEKIQSIPLPPTTHARLPGSYIKKQPDSPTGSTHSEEKKDPEKEKVNNCEVEKRIKEENEDPEKIEPATLYQYLREEDLDTSPKGGLDILKSLENTVSSAISKAQNGAPSWGGYPSIHAAYQLPGTVKALQPSVQSVQIQPSYAISVKTMTPDHNSLIHSPGSLTPPTHRSNVSAMEELVEKVTGKINIKKEEKVLEKEMVIPAKPPSPVAKENKEILKAEEANGKVLKKSNEADIQKPKKETPIEPHALNGTEPLKAKVTNGCSSLGIITDHSPEPSFINPLSALQSIMNTHLGKVSKPVSPSLDPLAMLYKISNSMLDKPIYPTTPVKQVESIERYYYEDSDQPIDLTKSKNKPFVTSITDHVSSPLRESALMDISDMVKNLTGRLTPKSSTPSTVSEKSDADGSSFEEAMDELSPVHKRKGRQSNWNPQHLLILQAQFASSLRETAEGKYIMSDLGPQERVNISKFTGLSMTTISHWLANVKYQLRRTGGTKFLKNLDTGHPVFFCNDCASQFRTASTYIGHLETHLGFSLKDLSKHSLNRIQEQQNVTKVITNKALSSVGGLIEEDSSSTFQCKLCNRTFASKHAVKLHLSKTHGKSPEDHVIYVTELRKQ", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEKSLADQISDIAIKPVNKDFDIEDEENASLFQHNEKNGESDLSDYGNSNTEETKKAHYLEVEKSKLRAEKGLELNDPKYTGVKGSRQALYEEVSENEDEEEEEEEEEEKEEDALSFRTDSEDEEVEIDEEESDADGGETEEAQQKRHALSKLIQQETKQAINKLSQSVQRDASKGYSILQQTKLFDNIIDLRIKLQKAVIAANKLPLTTESWEEAKMDDSEETKRLLKENEKLFNNLFNRLINFRIKFQLGDHITQNEEVAKHKLSKKRSLKELYQETNSLDSELKEYRTAVLNKWSTKVSSASGNAALSSNKFKAINLPADVQVENQLSDMSRLMKRTKLNRRNITPLYFQKDCANGRLPELISPVVKDSVDDNENSDDGLDIPKNYDPRRKDNNAIDITENPYVFDDEDFYRVLLNDLIDKKISNAHNSESAAITITSTNARSNNKLKKNIDTKASKGRKLNYSVQDPIANYEAPITSGYKWSDDQIDEFFAGLLGQRVNFNENEDEEQHARIENDEELEAVKNDDIQIFG", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVVMPPQNSVNESEGRYLQDGFWQHGRFYGSWKPGKYLFPIDSEELNRLDIFHKVFLLARDNKPFLAPIRRTSPRIMDIGTGTGIWAINVAEECLSDAQIMAVDLNQIQPALIPPGFMPKQYDIEEPSWGPLLADCDLIHMRMLLGSIQTDLWPQVYHNAFEHLTPGIGFLEHIEVDWIPRCDDDERPANSAFVKWAELFLDGMDRFNRSVRVIPQEHRQMLEATGFTDVKQEVIKAYVCPWSADRNEREIARWFNIGLSHSLEAMSLKPLIEKLGFEAEDVRELCERAKRETCVLRYHTYCNIHVWTARKPGPQQ", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVEADRPGKLFIGGLNTETNEKALEAVFGKYGRIVEVLLMKDRETNKSRGFAFVTFESPADAKDAARDMNGKSLDGKAIKVEQATKPSFESGRRGPPPPPRSRGPPRGLRGGRGGSGGTRGPPSRGGHMDDGGYSMNFNMSSSRGPLPVKRGPPPRSGGPPPKRSAPSGPVRSSSGMGGRAPVSRGRDSYGGPPRREPLPSRRDVYLSPRDDGYSTKDSYSSRDYPSSRDTRDYAPPPRDYTYRDYGHSSSRDDYPSRGYSDRDGYGRDRDYSDHPSGGSYRDSYESYGNSRSAPPTRGPPPSYGGSSRYDDYSSSRDGYGGSRDSYSSSRSDLYSSGRDRVGRQERGLPPSMERGYPPPRDSYSSSSRGAPRGGGRGGSRSDRGGGRSRY", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTMRSAVFKAAAAPAGGNPEQRLDYERAAALGGPEDEPGAAEAHFLPRHRKLKEPGPPLASSQGGSPAPSPAGCGGKGRGLLLPAGAAPGQQEESWGGSVPLPCPPPATKQAGIGGEPAAAGAGCSPRPKYQAVLPIQTGSLVAAAKEPTPWAGDKGGAASPAATASDPAGPPPLPLPGPPPLAPTATAGTLAASEGRWKSMRKSPLGGGGGSGASSQAACLKQILLLQLDLIEQQQQQLQAKEKEIEELKSERDTLLARIERMERRMQLVKKDNEKERHKLFQGYETEEREETELSEKIKLECQPELSETSQTLPPKPFSCGRSGKGHKRKSPFGSTERKTPVKKLAPEFSKVKTKTPKHSPIKEEPCGSLSETVCKRELRSQETPEKPRSSVDTPPRLSTPQKGPSTHPKEKAFSSEIEDLPYLSTTEMYLCRWHQPPPSPLPLRESSPKKEETVARCLMPSSVAGETSVLAVPSWRDHSVEPLRDPNPSDLLENLDDSVFSKRHAKLELDEKRRKRWDIQRIREQRILQRLQLRMYKKKGIQESEPEVTSFFPEPDDVESLMITPFLPVVAFGRPLPKLTPQNFELPWLDERSRCRLEIQKKQTPHRTCRK", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAMVTGGWGGPGGDTNGVDKAGGYPRAAEDDSASPPGAASDAEPGDEERPGLQVDCVVCGDKSSGKHYGVFTCEGCKSFFKRSIRRNLSYTCRSNRDCQIDQHHRNQCQYCRLKKCFRVGMRKEAVQRGRIPHSLPGAVAASSGSPPGSALAAVASGGDLFPGQPVSELIAQLLRAEPYPAAAGRFGAGGGAAGAVLGIDNVCELAARLLFSTVEWARHAPFFPELPVADQVALLRLSWSELFVLNAAQAALPLHTAPLLAAAGLHAAPMAAERAVAFMDQVRAFQEQVDKLGRLQVDSAEYGCLKAIALFTPDACGLSDPAHVESLQEKAQVALTEYVRAQYPSQPQRFGRLLLRLPALRAVPASLISQLFFMRLVGKTPIETLIRDMLLSGSTFNWPYGSGQ", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPTSKEEPKTTQQKTEDPENSYASFQGLLALARITGSNNDEARGSCKKCGRVGHLTFQCRNFLSTKEDKEKDPGAIEAAVLSGLEKIRRGVGKGEVEEVSSEEEEESESSDSDVDSEMERIIAERFGKKKGGSSVKKTSSVRKKKKRVSDESDSDSDSGDRKRRRRSMKKRSSHKRRSLSESEDEEEGRSKRRKERRGRKRDEDDSDESEDEDDRRVKRKSRKEKRRRRSRRNHSDDSDSESSEDDRRQKRRNKVAASSDSEANVSGDDVSRVGRGSSKRSEKKSRKRHHRKERE", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDSDSWSDRLASATRRYQLAFPSRSDTFLGFEEIDGEEEFREEFACPFCSDYFDIVSLCCHIDEDHPMEAKNGVCPVCAVRVGVDMVAHITLQHANIFKMHRKRKPRRGGSYSTLSILRREFPDGNFQSLFGGSSCIVSSSSSSNVAADPLLSSFISPIADGFFTTESCISAETGPVKKTTIQCLPEQNAKKTSLSAEDHKQKLKRSEFVRELLSSTILDDSL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPLFMPDEELARLSSDAASVVAERADEYIRKIYAELDSVRAKADAASITAEQTCSLLEQKYLSLSQDFSSLESQNAKLQSDFDDRLAELAQSQAQKHQLHLQSIEKDGEVERMSTEMSELHKSKRQLMELLEQKDAEISEKNSTIKSYLDKIVKLTDTSSEKEARLAEATAELARSQAMCSRLSQEKELTERHAKWLDEELTAKVDSYAELRRRHSDLESEMSAKLVDVEKNYIECSSSLNWHKERLRELETKIGSLQEDLSSCKDAATTTEEQYTAELFTANKLVDLYKESSEEWSRKAGELEGVIKALEARLSQVESSYKERLDKEVSTKQLLEKENGDLKQKLEKCEAEIEKTRKTDELNLIPFSNFTRRVDNSGTSNMIEESQAVISKVPAGVSGTALAASLLRDGWSLAKIYEKYQEAVDAMRHEQLGRKEAEMILQRVLSELEEKAGFIQEERGEYERVVEAYCLVNQKLQDSVSEQSNMEKFIMELKADLRRRERENTLLQKDISDLQKQVTILLKECRDVQLRCGAARDDDEDDYPLLSDVEMEMESEADKIISEHLLKFKDINGLVEQNVKLRNLVRSLSEQIESRETELKETFEVDLKNKTDEASAKVATVLKRAEEQGQMIESLHTSVAMYKRLYEEEQKLHSSDSRSSDLSPAVVPGRKNFLHLLEDSEEATKRAQEKAFERIRILEEDFAKARSEVIAIRSERDKLAMEANFAREKLEGIMKESERKREEMNSVLARNIEFSQLIIDHQRKLRESSESLHAAEEISRKLSMEVSVLKQEKELLSNAEKRASDEVSALSQRVYRLQATLDTVQSTEEVREETRAAERRKQEEHIKQLQREWAEAKKELQEERSNARDFTSDRNQTLNNAVMQVEEMGKELANALKAVSVAESRASVAEARLSDLEKKIRSSDPKTLDMDSGGIVSLSDKEMSIELRTAKEEIEKLRGEVESSKSHMLQYKSIAQVNETALKQMESAHENFRLEAEKRQRSLEAELVSLRERVSELENDCIQKSEQLATAAAGKEDALLSASAEIASLREENLVKKSQIEAMNIQMSTLKNDLETEHEKWRVAQRNYERQVILLSETIQELTKTSQALAALQEEASELRKLADARGIENSELNAKWSEEKLMLEQQKNLAEKKYHELNEQNKLLHSRLEAKHLNSAEKNSRSGTISSGSTDSDHLEDSGLQRVVHYLRRTKEIAETEISLMRQEKLRLQSQLESALKMAESARGSLTAERASTRASLLTDDGIKSLQLQVSEMNLLRESNMQLREENKHNFEKCQEMREVAQKARMESENFENLLKTKQTELDLCMKEMEKLRMETDLHKKRVDELRETYRNIDIADYNRLKDEVRQLEEKLKAKDAHAEDCKKVLLEKQNKISLLEKELTNCKKDLSEREKRLDDAQQAQATMQSEFNKQKQELEKNKKIHYTLNMTKRKYEKEKDELSKQNQSLAKQLEEAKEEAGKRTTTDAVVEQSVKEREEKEKRIQILDKYVHQLKDEVRKKTEDLKKKDEELTKERSERKSVEKEVGDSLTKIKKEKTKVDEELAKLERYQTALTHLSEELEKLKHADGNLPEGTSAVQVLSGSILNDQAAAYVSAVEYFERVARSIASNSQVSTKPTDMVTEPSSGIPAAEPSTMTRVPSSTPLIKSPVATTQQLPKVASDNKEKRLISQKPSTEFRRPSGRRIVRPQLVKPEESPKVDVDMPEAEGTGDEGKQPAAHEPESQVTTSVRPVQTLVRKRQADSLVSEPQQDSLTQGETSSEIAPPASKKAKGSESHPDTSEGENLAKEPAIDELMDATTTTDGDNEETEAENAEEKTEEYVEAQQDNEADEPVEESPTETETIPTEEESRDQTEEENQEPLTDMESDKEEGELDLDTLEDLEEGTDVASMMRSPEKEEVQPETLATPTQSPSRMETAMEEAETTIETPVEDDKTDEGGDAAEEAADIPNNANDQQEAPETDIKPETSAATTSPVSTAPTTSSTLASAITSSGAPETEDPKRAPSPGGGSSTIVTLADRAQMKRRERIANIVVSRAPNPATRGARGRTVNLRGGGRLLPRGGRAPRGGRGQSPSPP", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPDHDSTALLSRQTKRRRVDIGVKRTVGTASAFFAKARATFFSAMNPQGSEQDVEYSVVQHADGEKSNVLRKLLKRANSYEDAMMPFPGATIISQLLKNNMNKNGGTEPSFQASGLSSTGSEVHQEDICSNSSRDSPPECLSPFGRPTMSQFDVDRLCDEHLRAKRARVENIIRGMSHSPSVALRGNENEREMAPQSVSPRESYRENKRKQKLPQQQQQSFQQLVSARKEQKREERRQLKQQLEDMQKQLRQLQEKFYQVYDSTDSENDEDGDLSEDSMRSEILDARAQDSVGRSDNEMCELDPGQFIDRARALIREQEMAENKPKREGSNKERDHGPNSLQPEGKHLAETLKQELNTAMSQVVDTVVKVFSAKPSRQVPQVFPPLQIPQARFAVNGENHNFHTANQRLQCFGDVIIPNPLDTFGSVQMPSSTDQTEALPLVVRKNSSEQSASGPATGGHHQPLHQSPLSATAGFTTPSFRHPFPLPLMAYPFQSPLGAPSGSFSGKDRASPESLDLTRDTTSLRTKMSSHHLSHHPCSPAHPPSTAEGLSLSLIKSECGDLQDMSDISPYSGSAMQEGLSPNHLKKAKLMFFYTRYPSSNMLKTYFSDVKFNRCITSQLIKWFSNFREFYYIQMEKYARQAINDGVTSTEELSITRDCELYRALNMHYNKANDFEVPERFLEVAQITLREFFNAIIAGKDVDPSWKKAIYKVICKLDSEVPEIFKSPNCLQELLHE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLLRDGRIPQYSIYPLPVPPMNSKTPTLGIVCSEGTISLSLEEGFEFVGVPLSGEGLKLRVEALAPSERLQEFLDDEVAYHPEENVHKVVGLSSAWLELDSEDTLIADRSEEVLLKEASYASYCGLSSIILNGPTSPMNVMRYARAVSSALNSTMNLKFLVQLAIESGHEDYFETWKMWDTIRSACGYHPRLKVALELPPACSPPIELVNRWYAEPIEMITMSCMAFVPNPNGYPVLGRKLRAIYALYLRLNPRILLWDNDAPEKIGDSPDYSIYMKHLFDSQPPAPLVEDLADSYKDYLQVPLQPLSYNLENITYEIFERDPVKYAQYEQAIFSALMDRDESSVTRIAVVGAGRGPLVDCALRAAISSSRTVDMIALEKNPNAFSMLLMRNRQDWAGKVTLVFGDMRTWNPDYKIDILVSELLGSMGDNELSPECLDGVQHVLDEETGICIPSSYISYVTPIMSPKLWSEARNMNDPNAFERQYVVLMNSFDFLAADDEFRFQSLWSFHHPNKDSEVYTKNLHNKRFASVRFQASSPGILHGFAGYFEATLYKDISLSIMPATMEAKSPDMFSWFPIYMPIKKPMYVPENSQLEFHMWRLTDGMRVWFEWCANAYLVLRNGSQIKLSSTEVHNISGKAFSCNMY", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEIVGCRAENNSCPFRPPAMLFHGISGGHIQGIMEEMERRSKTEARLTKGTQLNGRDAGMPPLSPEKPALCAGCGGKISDRYYLLAVDKQWHLRCLKCCECKLALESELTCFAKDGSIYCKEDYYRRFSVQRCARCHLGISASEMVMRARDSVYHLSCFTCSTCNKTLTTGDHFGMKDSLVYCRAHFETLLQGEYPPQLSYTELAAKSGGLALPYFNGTGTVQKGRPRKRKSPALGVDIVNYNSGCNENEADHLDRDQQPYPPSQKTKRMRTSFKHHQLRTMKSYFAINHNPDAKDLKQLAQKTGLTKRVLQVWFQNARAKFRRNLLRQENGGVDKADGTSLPAPPSADSGALTPPGTATTLTDLTNPTVTVVTTVTSNMDSHEPGSPSQTTLTNLF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDDFSRDTENFVCWLKTTAEIEVSPKIEIKDLCCDNQGRAVVATQKIKKDETLFKIPRSSVLSVTTSQLIKDYPSLKDKFLNETGSWEGLIICILYEMEVLQERSRWAPYFKVWNKPSDMNALIFWDDNELQLLKPSLVLERIGKKEAKEMHERIIKSIKQIGGEFSRVATSFEFDNFAYIASIILSYSFDLEMQDSSVNENEEEETSEEELENERYLKSMIPLADMLNADTSKCNANLTYDSNCLKMVALRDIEKNEQVYNIYGEHPNSELLRRYGYVEWDGSKYDFGEVLLENIVEALKETFETNTEFLDRCIDILRNNANIQEFLEGEEIVLDSYDCYNNGELLPQLILLVQILTILCQIPGLCKLDIKAMERQVERIVKKCLQLIEGARATTNCSATWKRCIMKRLADYPIKKCVSIEKPSKGNSLTREELRDVMARRVLKSEIDSLQVCEETIDKNYKVIPDEKLLTNILKRKLTEEEKSSVKRPCVKK", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAGREKRRRVAALDGEERRRRQEEAATLLHRIRGLVRWVVAEVAAGRSPTVALHRYQNYCSSASAAAASPCACSYDVPVGTDVLSLLHRGSHASRLNVLLRVLLVVQQLLQQNKHCSKRDIYYMYPSIFQEQAVVDRAINDICVLFKCSRHNLNVVPVAKGLVMGWIRFLEGEKEVYCVTNVNAAFSIPVSIEAIKDVVSVADYILIVEKETVFQRLANDKFCERNRCIVITGRGYPDIPTRRFLRYLVEQLHLPVYCLVDADPYGFDILATYKFGSLQLAYDANFLRVPDIRWLGVFTSDFEDYRLPDCCLLHLSSEDRRKAEGILSRCYLHREAPQWRLELEAMLQKGVKFEIEALSACSISFLSEEYIPKKIKQGRHI", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MYNMMETELKPPGPQQASGGGGGGGNATAAATGGNQKNSPDRVKRPMNAFMVWSRGQRRKMAQENPKMHNSEISKRLGAEWKLLSETEKRPFIDEAKRLRALHMKEHPDYKYRPRRKTKTLMKKDKYTLPGGLLAPGGNSMASGVGVGAGLGGGLNQRMDSYAHMNGWSNGSYSMMQEQLGYPQHPGLNAHGAAQMQPMHRYVVSALQYNSMTSSQTYMNGSPTYSMSYSQQGTPGMALGSMGSVVKSEASSSPPVVTSSSHSRAPCQAGDLRDMISMYLPGAEVPEPAAPSRLHMAQHYQSGPVPGTAKYGTLPLSHM", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKRNGETKRRRNVAEEAEQGEDPAMWENLDRNFRQVQSVLDRNRSLIQQVNDNHQSRMADNMSKNVALIQELNGNISKVVNMYSDLNTSFSSGFHGGKNGHDGGGAAGTRA", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSEENLRPAYDDQVNEDVYKRGAQSKLTKARKADFDDEKDKKKDNDKHIDKRPKSGPRLDENGNPLPKEPRLPKRKVAVMVGYCGTGYHGMQYNPPNPTIESALFKAFVEAGAISKDNSNDLKKNGFMRAARTDKGVHAGGNLISLKMIIEDPDIKQKINEKLPEGIRVWDIERVNKAFDCRKMCSSRWYEYLLPTYSLIGPKPGSILYRDIEESKTELPGVLDEDLESKEFWEEFKKDANEKFSTEEIEAILAYVPPARDEFDINEELYQKVKKYKQLENAHRRRYRISAAKLAKFRASTSQYLGAHNFHNFTLGKDFKEPSAIRFMKDIKVSDPFVIGDAQTEWISIKIHGQSFMLHQIRKMVSMATLITRCGCPVERISQAYGQQKINIPKAPALGLLLEAPVFEGYNKRLEQFGYKAIDFSKYQDEVDKFKMKHIYDKIYKEEVDENVFNAFFSYIDSFNKVTGAQGEETADKSGPAVQKSIFEFLTAKGIPGLTDAPESNKKIKQRKRMEEEEAASKKAEISSTTQSNEPEVQPEAAAN", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIAAQAKLVYHLNKYYNEKCQARKAAIAKTIREVCKVVSDVLKEVEVQEPRFISSLNEMDNRYEGLEVISPTEFEVVLYLNQMGVFNFVDDGSLPGCAVLKLSDGRKRSMSLWVEFITASGYLSARKIRSRFQTLVAQAVDKCSYRDVVKMVADTSEVKLRIRDRYVVQITPAFKCTGIWPRSAAHWPLPHIPWPGPNRVAEVKAEGFNLLSKECHSLAGKQSSAESDAWVLQFAEAENRLQMGGCRKKCLSILKTLRDRHLELPGQPLNNYHMKTLVSYECEKHPRESDWDESCLGDRLNGILLQLISCLQCRRCPHYFLPNLDLFQGKPHSALENAAKQTWRLAREILTNPKSLEKL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRDEVDLSLTIPSKLLGKRDREQKNCEEEKNKNKKAKKQQKDPILLHTSAATHKFLPPPLTMPYSEIGDDLRSLDFDHADVSSDLHLTSSSSVSSFSSSSSSLFSAAGTDDPSPKMEKDPRKIARRYQVELCKKATEENVIVYLGTGCGKTHIAVMLIYELGHLVLSPKKSVCIFLAPTVALVEQQAKVIADSVNFKVAIHCGGKRIVKSHSEWEREIAANEVLVMTPQILLHNLQHCFIKMECISLLIFDECHHAQQQSNHPYAEIMKVFYKSESLQRPRIFGMTASPVVGKGSFQSENLSKSINSLENLLNAKVYSVESNVQLDGFVSSPLVKVYYYRSALSDASQSTIRYENMLEDIKQRCLASLKLLIDTHQTQTLLSMKRLLKRSHDNLIYTLLNLGLWGAIQAAKIQLNSDHNVQDEPVGKNPKSKICDTYLSMAAEALSSGVAKDENASDLLSLAALKEPLFSRKLVQLIKILSVFRLEPHMKCIIFVNRIVTARTLSCILNNLELLRSWKSDFLVGLSSGLKSMSRRSMETILKRFQSKELNLLVATKVGEEGLDIQTCCLVIRYDLPETVTSFIQSRGRARMPQSEYAFLVDSGNEKEMDLIENFKVNEDRMNLEITYRSSEETCPRLDEELYKVHETGACISGGSSISLLYKYCSRLPHDEFFQPKPEFQFKPVDEFGGTICRITLPANAPISEIESSLLPSTEAAKKDACLKAVHELHNLGVLNDFLLPDSKDEIEDELSDDEFDFDNIKGEGCSRGDLYEMRVPVLFKQKWDPSTSCVNLHSYYIMFVPHPADRIYKKFGFFMKSPLPVEAETMDIDLHLAHQRSVSVKIFPSGVTEFDNDEIRLAELFQEIALKVLFERGELIPDFVPLELQDSSRTSKSTFYLLLPLCLHDGESVISVDWVTIRNCLSSPIFKTPSVLVEDIFPPSGSHLKLANGCWNIDDVKNSLVFTTYSKQFYFVADICHGRNGFSPVKESSTKSHVESIYKLYGVELKHPAQPLLRVKPLCHVRNLLHNRMQTNLEPQELDEYFIEIPPELSHLKIKGLSKDIGSSLSLLPSIMHRMENLLVAIELKHVLSASIPEIAEVSGHRVLEALTTEKCHERLSLERLEVLGDAFLKFAVSRHLFLHHDSLDEGELTRRRSNVVNNSNLCRLAIKKNLQVYIRDQALDPTQFFAFGHPCRVTCDEVASKEVHSLNRDLGILESNTGEIRCSKGHHWLYKKTIADVVEALVGAFLVDSGFKGAVKFLKWIGVNVDFESLQVQDACIASRRYLPLTTRNNLETLENQLDYKFLHKGLLVQAFIHPSYNRHGGGCYQRLEFLGDAVLDYLMTSYFFTVFPKLKPGQLTDLRSLSVNNEALANVAVSFSLKRFLFCESIYLHEVIEDYTNFLASSPLASGQSEGPRCPKVLGDLVESCLGALFLDCGFNLNHVWTMMLSFLDPVKNLSNLQISPIKELIELCQSYKWDREISATKKDGAFTVELKVTKNGCCLTVSATGRNKREGTKKAAQLMITNLKAHENITTSHPLEDVLKNGIRNEAKLIGYNEDPIDVVDLVGLDVENLNILETFGGNSERSSSYVIRRGLPQAPSKTEDRLPQKAIIKAGGPSSKTAKSLLHETCVANCWKPPHFECCEEEGPGHLKSFVYKVILEVEDAPNMTLECYGEARATKKGAAEHAAQAAIWCLKHSGFLC", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MCKMAIIPDWLRSHPHTRKFTHSRPHSSPCRVYSRNGSPNKFRSSSTTAVANPTLSSLDVKRILFQKITDRGDELQKAFQLLDTGQNLTVSKSELRRIITDFLMPLTREQFQDVLAQIPLSTSGTVPYLAFLSRFGGIDLYINGIKRGGGNEMNCCRTLRELEIQVGEKVFKNIKTVMKAFELIDVNKTGLVRPQELRRVLETFCMKLRDEEYEKFSKHYNIHKDTAVDYNVFLKNLSINNDLNLRYCMGNQEVSLENQQAKNSKKERLLGSASSEDIWRNYSLDEIERNFCLQLSKSYEKVEKALSAGDPCKGGYVSFNYLKIVLDTFVYQIPRRIFIQLMKRFGLKATTKINWKQFLTSFHEPQGLQVSSKGPLTKRNSINSRNESHKENIITKLFRHTEDHSASLKKALLIINTKPDGPITREEFRYILNCMAVKLSDSEFKELMQMLDPGDTGVVNTSMFIDLIEENCRMRKTSPCTDAKTPFLLAWDSVEEIVHDTITRNLQAFYNMLRSYDLGDTGRIGRNNFKKIMHVFCPFLTNAHFIKLCSKIQDIGSGRILYKKLLACIGIDGPPTVSPVLVPKDQLLSEHLQKDEQQQPDLSERTKLTEDKTTLTKKMTTEEVIEKFKKCIQQQDPAFKKRFLDFSKEPNGKINVHDFKKVLEDTGMPMDDDQYALLTTKIGFEKEGMSYLDFAAGFEDPPMRGPETTPPQPPTPSKSYVNSHFITAEECLKLFPRRLKESFRDPYSAFFKTDADRDGIINMHDLHRLLLHLLLNLKDDEFERFLGLLGLRLSVTLNFREFQNLCEKRPWRTDEAPQRLIRPKQKVADSELACEQAHQYLVTKAKNRWSDLSKNFLETDNEGNGILRRRDIKNALYGFDIPLTPREFEKLWARYDTEGKGHITYQEFLQKLGINYSPAVHRPCAEDYFNFMGHFTKPQQLQEEMKELQQSTEKAVAARDKLMDRHQDISKAFTKTDQSKTNYISICKMQEVLEECGCSLTEGELTHLLNSWGVSRHDNAINYLDFLRAVENSKSTGAQPKEKEESMPINFATLNPQEAVRKIQEVVESSQLALSTAFSALDKEDTGFVKATEFGQVLKDFCYKLTDNQYHYFLRKLRIHLTPYINWKYFLQNFSCFLEETADEWAEKMPKGPPPTSPKATADRDILARLHKAVTSHYHAITQEFENFDTMKTNTISREEFRAICNRRVQILTDEQFDRLWNEMPVNAKGRLKYPDFLSRFSSETAATPMATGDSAVAQRGSSVPDVSEGTRSALSLPTQELRPGSKSQSHPCTPASTTVIPGTPPLQNCDPIESRLRKRIQGCWRQLLKECKEKDVARQGDINASDFLALVEKFNLDISKEECQQLIIKYDLKSNGKFAYCDFIQSCVLLLKAKESSLMHRMKIQNAHKMKEAGAETPSFYSALLRIQPKIVHCWRPMRRTFKSYDEAGTGLLSVADFRTVLRQYSINLSEEEFFHILEYYDKTLSSKISYNDFLRAFLQ", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDSIPNEDDLEKLLVAEQNVPYGKNYESFEDEENYLLELRNANALENLEHRSIPNDLFHSSQPVGSPTRNGDDIPSTLDLYSSDNAAIDTDISEDETINQRHAPQTDYRYPNTSANPKMGLEESMDIDMPSIDLDISNAAAFPRDSNLLFRNYNSHTKTSEKGSPVNFEKENQDSNVLFSTGRTSVLHIDAEQSQTNNTVTPLKDDALSLFSFENDVNGAVNDNSLDQKLSASKNSQRVSLPFFSKIELDEGSSFSGPKISARTSSGKIIYFPKKKNRHSDGLLLQPKRLADEISQVNEIGKDFNQDLLNSVRIWSENFLIVSKEKSVALKTEKLDSLQITNCTTKPQSQKLWVDTYRPQLFRDLLGDERVHRAAMHWIKAWDPCVFGKSRLQPSKSMRFNPRFTNITSDSDRPDKRIMMLTGLAGAGKTTLAHVIAHQAGYKVLEINASDDRTAHTVHEKVSSAISNHSALSSQPTCVIVDEIDGGDPAFVRALLSLLESDEKATEYSQAGNSKKKKKFKKLCRPIICICNDLYTPALRPLRPYAQIIYFRPPPQASLVGRLRTICRNENIAVDSRSLTLLTDIYNSDIRSCINSLQLLSLNNKRIDSETIKLLQPKSNSFSTSSLIQSLFLQLDNKQIRAIEASQPTYSHLDALLARIDGANDSESVLMNCFHTYLDLPFTDSLLSKPALTSEWLYFFDQLHSQCYKGNYELWRYIPYSIIHFHYLYATPEKCRLPHPPRSDLEALKLYRTRKEILDSFISTLNAYENQMHGERSILLELIRTILITINPTLKQKEDSMPRSALPSKIEHAINILNHYNLRFQQLPVGDGNYVYRLEPPLDELVWDAPTSSYSVRQMLSQELLKKRLADIKKQTLTDNPTSSNSSRKRKDFNSGKAIKRDFFGRIISEPKSEAVTSNNAALNTGDHPVSIKHAINIKFHDGFSNAVRKPISLNEILNF", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVNEYKRIVLLRGLECINKHYFSLFKSLLARDLNLERDNQEQYTTIQIANMMEEKFPADSGLGKLIEFCEEVPALRKRAEILKKERSEVTGETSLEKNGQEAGPATPTSTTSHMLASERGETSATQEETSTAQAGTSTAQAGTSTAQAGTSTAQKRKSMREEETGVKKSKAAKEPDQPPCCEEPTAMCQSPILHSSSSASSNILSAKNQKSQPQNQNIPRGAVLHSEPLTVMVLTATDPFEYESPEHEVKNMFHATVATVSQYFHVKVFNIDLKEKFTKNNFITISNYFESKGILEINETSSVLEAAPKQMIEVPNCITRNANASPKICDIQKGTSGTVFYGVFTLHKKKVKTQNTSYEIKDGSGSIEVVGSGQWHNINCKEGDKLHLFCFHLKRERGQPKLVCGDHSFVKVTKAGKKKEASTVQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVIWNNDDDDFLEIDNFQSSPRSSPIHAMQCRVENLAGVAVTTSSLSSPTETTDLVQMGFSDEVFATLFDMGFPVEMISRAIKETGPNVETSVIIDTISKYSSDCEAGSSKSKAIDHFLAMGFDEEKVVKAIQEHGEDNMEAIANALLSCPEAKKLPAAVEEEDGIDWSSSDDDTNYTDMLNSDDEKDPNSNENGSKIRSLVKMGFSELEASLAVERCGENVDIAELTDFLCAAQMAREFSEFYTEHEEQKPRHNIKKRRFESKGEPRSSVDDEPIRLPNPMIGFGVPNEPGLITHRSLPELARGPPFFYYENVALTPKGVWETISRHLFEIPPEFVDSKYFCVAARKRGYIHNLPINNRFQIQPPPKYTIHDAFPLSKRWWPEWDKRTKLNCILTCTGSAQLTNRIRVALEPYNEEPEPPKHVQRYVIDQCKKWNLVWVGKNKAAPLEPDEMESILGFPKNHTRGGGMSRTERFKSLGNSFQVDTVAYHLSVLKPIFPHGINVLSLFTGIGGGEVALHRLQIKMKLVVSVEISKVNRNILKDFWEQTNQTGELIEFSDIQHLTNDTIEGLMEKYGGFDLVIGGSPCNNLAGGNRVSRVGLEGDQSSLFFEYCRILEVVRARMRGS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSDTKTLENSKGNSATDADTKNPSSSDSRAIEQLVTQGNMAYAQKNYEEAVDKYGQALMQSESIHGSESLENRNVLWLYGKSLFQIAIENSQVLGNALGAKESVSQATESFEEPEAIGSFTFSGQKIENKYTVNEENSSIAHPEKESEEKETNEASPASEEDEDDFNVAWEVLDLTRVMQSKAVDAYPDSKDEKIRLADIYDLLGELSLEIENFSQASQDLKTALEWKEKVYNVSNNTLLSEAHYKLALALEFTNPEDPSNKSRACEHVEKAAEILKNVLNERENEVTDKKGKGKQKAEESTLTSDLENLREMLSELEQKTLDLKHGAPSLEEAVMSKMHESSLLSKDSSSLAQAVAEAVKNANDLGGLVKRKRTKQEVTSSSQKEGPKDKKKKD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKFTPSIVIDAPQYYVDHFNGKYNVDKCVILRDLQLETDSESMPSSLKHLTKPTHILDLTNNDLIMIPDLSRRDDIHTLLLGRNNIVEVDGRLLPMNVQNLTLSNNSIRRFEDLQRLRRAPRTLKNLTLIGNQVCHLANYREHVLRLVPHLETLDFQNVTAEERKSAMSFPRQADGDTLGPVNTAIRDNGSRDKTMEIMNLVVSKMTVERRNELKKQLAEATSLEEIARLEKLLSGGV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGKASKATKKFTKNHLKNTIERRKQLARSKKVYGTKNRNSHTKNKLESGTNDNNKNKEDLSKLYSDVTTSNTSHEKDGSEDISVLNVNSKGASLNQVSTQKRRSEKDLLAAIAYCQKLSGTNQADALWKNVEKDLKETLDNVDFDARSKILQDLRLEYAEILLTKFNFEKKGYQNLSSALDTILHIKKFSKFPNGLVTQLCNIFVNHSKAREDIQKAVNHICKIDSSLSVAVFQVFYSPLLDFFKSSPSEVNDFDTLEELQLFLIELLSLNSRFYQKIAFAYLSQLDAHLKRCLKESESSDAYKLIYNWQFTLSLRFWLHVISFLWNDYESISKEISPIAINLTLDCIRLIPTEQYYPLRLHLLKSLVNICRSTRLYIPLSSQFLEMIPFVLRRSSPLSDDKEVMYNFDMYSTLHVPKECLLSKSYRNNVRKEVILLMTEYFAIFSNSIAFPELSAPIIAQLRGLVNESAPGKHVLTFLNKLESTFSFVESRRMNVDFTLNDTSQVEAFEKDLDWRSTPMGKLVSDTT", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGSVKRKSVEESSDSAPPQKVQREDDSTQIINEELVGCVHDVSFPENYVPLAPSVHNKPPAKDFPFTLDSFQSEAIKCLDNGESVMVSAHTSAGKTVVASYAIAMSLKENQRVIYTSPIKALSNQKYRDFKEEFSDVGLMTGDVTIDPNASCLVMTTEILRSMQYKGSEIMREVAWIIFDEVHYMRDSERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHYVFPAGGNGLYLVVDEKSKFHEDSFQKSLNALVPTNESDKKRDNGKFQKGLVIGKLGEESDIFKLVKMIIQRQYDPVILFSFSKKECEALAMQMSKMVLNSDDEKDAVETIFASAIDMLSDDDKKLPQVSNILPILKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWLSSGEYIQMSGRAGRRGIDKRGICILMVDEKMEPAVAKSMLKGSADSLNSAFHLSYNMLLNQLRCEEGDPENLLRNSFFQFQADRAIPDLEKQIKSLEEERDSLVIEEEESLKNYYNLILQYKSLKKDIREIVFTPKYCLPFLLPNRAVCLDCTNDDEEPQSFSIEDQDTWGVIMKFNKVKSLSEDDDSRRPEDANYTVDVLTRCMVSKDGVGKKKVKAVPIKERGEPVVVTVPLSQIKSLSSAIMNIPKDLVPLEARENALKKVSELLSRHPDGIPLDPEVDMKIKSSSYKKTVRRLEALENLFEKHKIAKSPLITEKLKVLQMKEELIAKIKSLKKTVRSSTALAFKDELKARKRVLRRLGYITSDNVVELKGKVACEISSAEELTLTELMFSGIFKDAKVEELVSLLSCFVWRERLPDAAKPREELDLLFIQLQDTARRVAEVQLDCKVEIDVESFVQSFRPDIMEAVYAWAKGSKFYEVMEIARVFEGSLIRAIRRMEEVLQQLIVAAKSIGETQLEAKLEEAVSKIKRDIVFAASLYL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASGPHPTSTAAAASASSAAPSAGGSSSGTTTTTTTTTGGILIGDRLYSEVSLTIDHSVIPEERLSPTPSMQDGLDLPSETDLRILGCELIQAAGILLRLPQVAMATGQVLFHRFFYSKSFVKHSFEIVAMACINLASKIEEAPRRIRDVINVFHHLRQLRGKRTPSPLILDQNYINTKNQVIKAERRVLKELGFCVHVKHPHKIIVMYLQVLECERNQTLVQTAWNYMNDSLRTNVFVRFQPETIACACIYLAARALQIPLPTRPHWFLLFGTTEEEIQEICIETLRLYTRKKPNYELLEKEVEKRKVALQEAKLKAKGLNLDGTPALSTLGGFSPASKPSSPREVKAEEKSPVSINVKTVKKEPEDRQQASKSPYNGVRKDSKRSRNSRSASRSRSRTRSRSRSHTPRRHYNNRRSRSGTYSSRSRSRSRSHSESPRRHHNHGSPHLKAKHTREDLKSSNRHGHKRKKSRSRSQSKTRDHSDVTKKHRHERGHHRDRRERSRSFERSHKGKHHGGSRSGHGRHRR", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGSKPWLHPAPQYKTLETFWDDEDDAPGPRCAHTLTAVAATKTHGPRLILFGGATAIEGGSSSVPGIRLAGVTNTVHSYDILTRKWTRLKPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDMTNDKFKWHRVVVQGDGPGPRYGHVMDLVSQRYLVTVTGNDGKRALSDAWALDTAQKPYVWQRLNPDGDRPSARMYASGSARSDGMFLLCGGRDTLGAPLGDAYGLLMHRNGQWEWTLAPGVAPSPRYQHAAVFVGARLHVSGGVLRGGRVIDAEASVAVLDTAAGVWLDRNGQVTSARGSKGQIDQDPSFELMRRCRHGAASVGIRIYVHGGLRGDVLLDDFLVAENSTFQSDISSPLLASDRTQQSSTPRFSYAARPPSGSEPSFSMSEGLSLDENSLEKLTEASAAEAEVASSVWRAAQLGAGTLDEEPSTSDASSPIVESTTDGTANEGDVRLHPRAVVVAKETVGSLGGMVRQLSLDQFQNESRRMVPMNNSDVPQPTKKFTRQKSPQGLHKKVIAALLRPRNWKPPGNRKFFLDSYEVGELCYAAEQIFMHEQTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGENDGIWAWTRFNQLFNYLPLAALIENKIICMHGGIGRSISTVEQIEKIERPITMDAGSLVLMDLLWSDPTENDSIEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPILSPENSPEHSGDDAWMQELNIQRPPTPTRGRPQPDFDRSSLAYI", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGKKKKRATEKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTASGMVIHVLQVHKENVTKVPNAKDGRDSTDIEIYGMQGIPPHVLTAHYGEEEDEPPAKVAKVEIPSAPLGGVVPRPYGMVYPPQQVPGAVPARPMYYPGPPMRHPAPVWQMPPPRPQQWYPQNPALSVPPAAHLGYRPQPLFPVQNMGMTPTPTSAPAIQPSPVTGVTPPGIPTSSPAMPVPQPLFPVVNNSIPSQAPPFSAPLPVGGAQQPSHADALGSADAYPPNNSIPGGTNAHSYASGPNTSGPSIGPPPVIANKAPSNQPNEVYLVWDDEAMSMEERRMSLPKYKVHDETSQMNSINAAIDRRISESRLAGRMAF", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNISSQNVLLPSPIPSSSPMASHKKSWLSKHPNQSMTFEKPQLGQFVLTPEPSSNTFYAPSSPASAVRREPLSPMSFVRMRSHRVNKIGRSSQQCDHVLSTVDKAISRVHAIVTCTQDRMIIECVGWNGMIVSDKMRKSVFHMKKNDRIVLVRPNSDACPVLDVFGYRVLLGWPSDSEDEWEGNLNAKNYEENREPMSPSPQEALPLMPSSPPSQDYQNDQNHLILYTNSESIPKLNLRSNELVYPPPSKDLLQKLLALEKDGQVEKSDCSKNTQLKPSFLPKNTDDLLNGTDDNNIVLREVKVSFENEKIESDDLDKNEEISEGEEYTPIEESKEPITVRRDSVIQIDESSAGLTDVISELNFTNHNDDSKNSNITTSNDSPVNEVEPMAPELSSAVVEKKEPEDYESISAVDENTNDSNESLPSSHDYSESTKENSAPDSLLLGLVLDELVFSTTSTTPLPALSHLFPSNMPLQLIQDKLRDLAAKHPYFEEVKRYGTDANGDPLWSEWFYNPDVDDDLERRMRYAPLMRPVRSSRRVHKQYYWKKPRARPRSSGHSSRRRRLS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVVSAEVIRKDAVFHVAWILGTGDSKKLSRREILDQNLPELCHSIIEMVPERHRGSATKTGLYLLSLLTYGTVLIHQVQVDFLKRDVEKLKELMKKKSFILLMAERFDRNQELQRKEDKFARLRSKPIMCVEELDRVDLAHLQAIGDELGINGNPGDFIMMDALPNMNQWIDNNSELNAIYGCVEPYLREKEITMHSTFVEGNGSNEHNKERRNDAVIADFSQLLFPEIPEITLGEKFPIDVDSRKRSAILQEEQEEALQLPKEASEIVQEEPTKFVSIALLPSETVEQPAPQEPIQEPIQPIIEEPAPQLELPQPELPPQLDAIDLVTIPASQQDMVVEYLQLINDLPDDENSRLPPLPKDLELFEDVILPPPAKKSKVEEEEDALERARRRPSSRPVTPINQTDLTDLHSTVRPEDPSFAIDSQIHDVLPQRKKSKRNLPIIHSDDLEIDEAVQKVLQADYSSLVRKKEDVIAKIPPKTDAVAVLMNLPEPVFSIGYRLPPEVRDMFKACYNQAVGSPVSDDEEDEDEEEEEEYKYAKVCLLSPNRIVEDTLLLEEQPRQPEEFPSTDNINPPRQLQENPVFENLEYEAPPHPIRTARTPTPIKDLKYSVISLFPTPEKRRETSIIAELNLDPIPVEEIDPLLTMRTEEELENVRRRQKSSLGVQFMRTDDLEEDTRRNRLFEDEERTRDAREDELFFYSSGSLLPNNRLNIHKELLNEAEARYPEWVNFNEFTADHDRKKAATAFEGLLLSLKNMKVEAKQEDPYFPILVRHISHEEM", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVKLSSIIKARKANALAKKNDKIKKKDTDKGIRQKHSKKEGKDETLKRDVEKFIQNVNSEDTPSEDDSMSMDAFLEGGFEELDSANSNDAGSSRKRKNLPNENTQDSTSESSEEEEDGLESYQKQLEGLKEKDPEFYKFLEQNDQDLLEFNAAETDAMAKEIDENERLKSSSGKIVLTSDTIQQWQKLLETNHSLTTLQKVVQAFKAAAFLNEEEAEDLKYTISDSKVFNDLLLLAIQYVPKVLNYHVPIQEDAKGKKFINTDSKVLPKLRPVLKSYGFSILRLLEGMTDAKNISLLLREAQNVLPYMITYRKFLKQFTQATVEVWSSTRDDSVRFSAVVLLRTLCLTADITLLEFVLKEVYLGMARQSAYTTVHTLDKINFLKNSAVNLFLLDAESCYLIGFRYIRQLAITLRNTIHQPSKDSRKPVQSWSYVHSLDFWARLLSQAAWLSREKGVASELQSLVYPLVQIALGVIMSSPSSQLFPMRFHIIRSLIYLSRHTGVFIPLAPSLFEVLDSSYVSRKAKASTLKPLDFDVELRASSSYLRTKVYQDGLIDQLLELLSEYYVLYATDISFPELVIPAIVRSKRFAKRSKNAKLNRGLLTLVNRLEQQSKFMTEKRNQQKFAPIDSDSVEQFAQTIDWQQTPLGIYVVTQRQTREEQRKLIRESVQQDQEHKEQMRQKKKQALKSDDIELDDLSEEEAEDIDE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVMQFQGLENPIQISLHHSHRLSGFVPEGMSVKPAKGMLTEHAAGPLGQNLDLESYSPYNNVPFPQVQPQISSSSYYSNLGFYPQQPEDWYSPGIYELRRMPAETGYQGETEVSEMPVTKKPRMAAASAGRIKGDELCVVCGDRASGYHYNALTCEGCKGFFRRSITKNAVYKCKNGGNCVMDMYMRRKCQECRLRKCKEMGMLAECMYTGLLTEIQCKSKRLRKNVKQHADQTANEDDSEGRDLRQVTSTTKFCREKTELTADQQTLLDYIMDSYNKQRMPQEITNKILKEEFSAEENFLILTEMATSHVQILVEFTKKLPGFQTLDHEDQIALLKGSAVEAMFLRSAEIFNKKLPAGHADLLEERIRKSGISDEYITPMFSFYKSVGELKMTQEEYALLTAIVILSPDRQYIKDREAVEKLQEPLLDVLQKLCKMYQPENPQHFACLLGRLTELRTFNHHHAEMLMSWRVNDHKFTPLLCEIWDVQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDFTPNSAINHLNLKFDDVPVSDDFSKDDLAEQLNVFTNPYFLDLEPSSMLSEGYYGFVSQPSGSSNSNKQEKNVQQQNPEKISTLQQVKEEEVSNTFSAPLNATGNFSSANPASIDLAYLDLQKLLTLPDHSKETQEKTSSQRELFEQKSSVASASKDNVSSSSILQGSASSKLLPDQSARQHQVLVGQTAIPTSEASSSINNTPLQAPVSSFADQNAFTNPLSTFASPDLASVSSPSLSSYKGAQSPNANSKRTKATSAIRTAAEEDKRRRNTAASARFRIKKKLKEQQLERTAKELTEKVAILETRVRELEMENNWLKGLIRPTSNF", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAEFLDSEAEESEEEEELDVNERKRLKKLKAAVSDSSEEEEDDEERLREELKDLIDDNPIEEDDGSGYDSDGVGSGKKRKKHEDDDLDDRLEDDDYDLIEENLGVKVERRKRFKRLRRIHDNESDGEEQHVDEGLVREQIAEQLFDENDESIGHRSERSHREADDYDDVDTESDADDFIVDDNGRPIAEKKKKRRPIFTDASLQEGQDIFGVDFDYDDFSKYEEDDYEDDSEGDEYDEDLGVGDDTRVKKKKALKKKVVKKTIFDIYEPSELKRGHFTDMDNEIRKTDIPERMQLREVPVTPVPEGSDELDLEAEWIYKYAFCKHTVSEQEKPESREKMRKPPTTVNKIKQTLEFIRNQQLEVPFIAFYRKEYVKPELNIDDLWKVYYYDGIWCQLNERKRKLKVLFEKMRQFQLDTLCADTDQPVPDDVRLILDSDFERLADVQSMEELKDVHMYFLLNYSHELPRMQAEQRRKAIQERREAKARRQAAAAENGDDAAEAIVVPEPEDDDDPELIDYQLKQASNSSPYAVFRKAGICGFAKHFGLTPEQYAENLRDNYQRNEITQESIGPTELAKQYLSPRFMTTDEVIHAAKYVVARQLAQEPLLRKTMREVYFDRARINIRPTKNGMVLIDENSPVYSMKYVAKKPVSDLFGDQFIKLMMAEEEKLLEITFLEEFEGNACANGTPGDYVEESKALYQLDQFAKHVQEWNKLRAECVQLALQKWVIPDLIKELRSTLHEEAQQFVLRSCTGKLYKWLKVAPYKPQLPPDFGYEEWSTLRGIRVLGLAYDPDHSVAAFCAVTTVEGDISDYLRLPNILKRKNSYNLEEKAQKLADLRKLSDFIKMKKPHIVVIGAESRDAQNIQADIKEILHELETSEQFPPIEVEIIDNELAKIYANSKKGESDFKEYPPLLKQAASLARKMQDPLVEYSQLCDADDEILCLRYHPLQERVPREQLLEQLSLQFINRTSEVGLDINLMVQNSRTINLLQYICGLGPRKGQALLKLLKQSNQRLENRTQLVTVCHLGPRVFINCSGFIKIDTSSLGDSTEAYVEVLDGSRVHPETYEWARKMAIDAMEYDDEETNPAGALEEILESPERLKDLDLDAFAVELERQGFGSKSITLYDIRNELSCLYKDYRTPYTKPSAEELFDMLTKETPDSFYVGKCVTAMVTGFTYRRPQGDQLDSANPVRLDSNESWQCPFCHKDDFPELSEVWNHFDANACPGQPSGVRVRLENGLPGFIHIKNLSDRQVRNPEERVRVSQMIHVRIIKIDIDRFSVECSSRTADLKDVNNEWRPRRDNYYDYVTEEQDNRKVSDAKARALKRKIYARRVIAHPSFFNKSYAEVVAMLAEADQGEVALRPSSKSKDHLTATWKVADDIFQHIDVREEGKENDFSLGRSLWIGTEEFEDLDEIIARHIMPMALAARELIQYKYYKPNMVTGDENERDVMEKLLREEKANDPKKIHYFFTASRAMPGKFLLSYLPKTKVRHEYVTVMPEGYRFRGQIFDTVNSLLRWFKEHWLDPTATPASASASNLTPLHLMRPPPTISSSSQTSLGPQAPYSVTGSVTGGTPRSGISSAVGGGGSSAYSITQSITGYGTSGSSAPGAGVSSSHYGSSSTPSFGAINTPYTPSGQTPFMTPYTPHASQTPRYGHNVPSPSSQSSSSQRHHYGSSSGTGSTPRYHDMGGGGGGGVGGGGGSNAYSMQPHHQQRAKENLDWQLANDAWARRRPQQHQSHQSYHAQQQHHHSQQQPHMGMSMNMGITMSLGRGTGGGGGGGYGSTPVNDYSTGGGHNRGMSSKASVRSTPRTNASPHSMNLGDATPLYDEN", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "METESEQNSNSTNGSSSSGGSSRPQIAQMSLYERQAVQALQALQRQPNAAQYFHQFMLQQQLSNAQLHSLAAVQQATIAASRQASSPNTSTTQQQTTTTQASINLATTSAAQLISRSQSVSSPSATTLTQSVLLGNTTSPPLNQSQAQMYLRPQLGNLLQVNRTLGRNVPLASQLILMPNGAVAAVQQEVPSAQSPGVHADADQVQNLAVRNQQASAQGPQMQGSTQKAIPPGASPVSSLSQASSQALAVAQASSGATNQSLNLSQAGGGSGNSIPGSMGPGGGGQAHGGLGQLPSSGMGGGSCPRKGTGVVQPLPAAQTVTVSQGSQTEAESAAAKKAEADGSGQQNVGMNLTRTATPAPSQTLISSATYTQIQPHSLIQQQQQIHLQQKQVVIQQQIAIHHQQQFQHRQSQLLHTATHLQLAQQQQQQQQQQQQQQQPQATTLTAPQPPQVPPTQQVPPSQSQQQAQTLVVQPMLQSSPLSLPPDAAPKPPIPIQSKPPVAPIKPPQLGAAKMSAAQQPPPHIPVQVVGTRQPGTAQAQALGLAQLAAAVPTSRGMPGTVQSGQAHLASSPPSSQAPGALQECPPTLAPGMTLAPVQGTAHVVKGGATTSSPVVAQVPAAFYMQSVHLPGKPQTLAVKRKADSEEERDDVSTLGSMLPAKASPVAESPKVMDEKSSLGEKAESVANVNANTPSSELVALTPAPSVPPPTLAMVSRQMGDSKPPQAIVKPQILTHIIEGFVIQEGAEPFPVGCSQLLKESEKPLQTGLPTGLTENQSGGPLGVDSPSAELDKKANLLKCEYCGKYAPAEQFRGSKRFCSMTCAKRYNVSCSHQFRLKRKKMKEFQEANYARVRRRGPRRSSSDIARAKIQGKCHRGQEDSSRGSDNSSYDEALSPTSPGPLSVRAGHGERDLGNPNTAPPTPELHGINPVFLSSNPSRWSVEEVYEFIASLQGCQEIAEEFRSQEIDGQALLLLKEEHLMSAMNIKLGPALKICAKINVLKET", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVTSEGLASVDSWLYRQGFNVDSWLLSDTFSHDNDLLARALHTTVTAPHTLTPSSAFFDSSAVSHPSSTNTLSSTVSGASDPEIIGGGAKRKRNCLLTDGKAAKRRARASKKSQTTFITADPSNFRQMVQQVTGAKYIDDSSSFGIFDPIVKPEPLRFVNKLPCGPSDRSTAVPMLDTSAFLSNHHQENLAVGNAFSGNSSSVGLPSGKPSATADPGGSAVEFDNYPTFPTLESWKVM", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRRSGTSLSRDLERKIHERLVSLKDTIQQTEIEEWPVSTRRAIQFVQERDMSLRRIKKPILEKVVEKVLDTLKAEVEEKLASSQDLVLVDSDMEEQSDSNLMEVKDTNVINKSITSLWSSPNLKEIDGEDEKKSVGQESITGSAKRKDRRSKTNGSKRQKAEANREPPSDISLSDIGGLDDCINELLELVAMPIKHPEVYQYTGIHPPRGVLLHGPPGCGKTMLANALANELGVPFISISAPSIVSGMSGESEKKVREVFEEAKSLAPCLMFIDEIDAVTPKRESAQREMERRIVAQFLTCMDELSFEKTDGKPVLVIGATNRPDSLDSALRRAGRFDREICLTVPSQDAREKILRTMAKGLKLSGDFDFRQLAKQTPGYVGADLKALTAAAGIIAIKRIFNEISPLNKLDLNSDPRFNELDSDMALDSNDSLPLDHSSIIQRYLNAHPDPLSPEELEPLAICPQDFIEALAKVQPSSKREGFATVPGVSWNNIGALKSIRVELQMAIVQPIKRPELYQSVGISAPTGVLLWGPPGCGKTLLAKAVANESKANFISIRGPELLNKYVGESERAVRQVFLRARASSPCVIFFDELDAMVPRRDDSLSEASSRVVNTLLTELDGLSDRSGVYVIAATNRPDIIDPAMLRPGRLDKTLLVDLPDAHERVEILKTLTKQTPLHEEVNLDVLGRDERCSNFSGADLAALVREAAVTALRSAVFADIASNEPEITQHSALEPIRVTNADFELAFKNIKPSVSDRDRQKYQRLAKRWSSASTNDAD", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSSPLQRAVGDTKRALSASSSSSASLPFDDRDSNHTSEGNGDSLLADEDTDFEDSLNRNVKKRAAKRPPKTTPVAKHPKKGSRVVHRHSRKQSEPPANDLFNAVKAAKSDMQSLVDEWLDSYKQDQDAGFLELVNFFIQSCGCKGIVTPEMFKKMSNSEIIQHLTEQFNEDSGDYPLIAPGPSWKKFQGSFCEFVRTLVCQCQYSLLYDGFPMDDLISLLTGLSDSQVRAFRHTSTLAAMKLMTSLVKVALQLSVHQDNNQRQYEAERNKGPGQRAPERLESLLEKRKELQEHQEEIEGMMNALFRGVFVHRYRDVLPEIRAICIEEIGCWMQSYSTSFLTDSYLKYIGWTLHDKHREVRLKCVKALKGLYGNRDLTTRLELFTSRFKDRMVSMVMDREYDVAVEAVRLLILILKNMEGVLTDADCESVYPVVYASHRGLASAAGEFLYWKLFYPECEIRMMGGREQRQSPGAQRTFFQLLLSFFVESELHDHAAYLVDSLWDCAGARLKDWEGLTSLLLEKDQNLGDVQESTLIEILVSSARQASEGHPPVGRVTGRKGLTSKERKTQADDRVKLTEHLIPLLPQLLAKFSADAEKVTPLLQLLSCFDLHIYCTGRLEKHLELFLQQLQEVVVKHAEPAVLEAGAHALYLLCNPEFTFFSRADFARSQLVDLLTDRFQQELEELLQSSFLDEDEVYNLAATLKRLSAFYNTHDLTRWELYEPCCQLLQKAVDTGEVPHQVILPALTLVYFSILWTLTHISKSDASQKQLSSLRDRMVAFCELCQSCLSDVDTEIQEQAFVLLSDLLLIFSPQMIVGGRDFLRPLVFFPEATLQSELASFLMDHVFIQPGDLGSGDSQEDHLQIERLHQRRRLLAGFCKLLLYGVLEMDAASDVFKHYNKFYNDYGDIIKETLTRARQIDRSHCSRILLLSLKQLYTELLQEHGPQGLNELPAFIEMRDLARRFALSFGPQQLQNRDLVVMLHKEGIQFSLSELPPAGSSNQPPNLAFLELLSEFSPRLFHQDKQLLLSYLEKCLQHVSQAPGHPWGPVTTYCHSLSPVENTAETSPQVLPSSKRRRVEGPAKPNREDVSSSQEESLQLNSIPPTPTLTSTAVKSRQPLWGLKEMEEEDGSELDFAQGQPVAGTERSRFLGPQYFQTPHNPSGPGLGNQLMRLSLMEEDEEEELEIQDESNEERQDTDMQASSYSSTSERGLDLLDSTELDIEDF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGEEVQMSDYDVSGDGDRVSEWEMGLPSDEDLASLSYSLIPPNLAMAFSITPERSRTIQDVNRASETTLSSLRGGSSGPNTSSSNNNVEEEDRVGSSSPGSDSKKQKTSNGDGDDGGGVDPDSAMAAEEGDSGTEDLSGKTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLTNEGPSASDKLFSSTPVPPQSFQDIGGGGGSSGNVGVPIPGAYGTQQMMQMPVYAHHMGMQGYHHQNHNHDPYHQNHRHHHGAGGNGAFESNPYMMQQNKFGSMASYPSVGGGSANEN", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEPDDSQLSDILKDARIPDSQDIGVNLTQNLSFDTVQKMIDGVFTPIFSQGTEDSLEKDILKTPGISTIYNGILGNGEETKKRTPKISDAFEPDLNTSGDVFDSDKSEDGLMNDESYLSNTTLSQVVLDSQKYEYLRVRTEEEQQLVIEKRARERFIRKSMKIAEETALSYENDGSRELSETMTQKVTQMDFTETNVPFDGNDESSNLAVRVQSDMNLNEDCEKWMEIDVLKQKVAKSSDMAFAISSEHEKYLWTKMGCLVPIQVKWKLDKRHFNSNLSLRIRFVKYDKKENVEYAIRNPRSDVMKCRSHTEREQHFPFDSFFYIRNSEHEFSYSAEKGSTFTLIMYPGAVQANFDIIFMCQEKCLDLDDRRKTMCLAVFLDDENGNEILHAYIKQVRIVAYPRRDWKNFCEREDAKQKDFRFPELPAYKKASLESINIKQEVNLENMFNVTNTTAQMEPSTSYSSPSNSNNRKRFLNECDSPNNDYTMMHRTPPVTGYASRLHGCVPPIETEHENCQSPSMKRSRCTNYSFRTLTLSTAEYTKVVEFLAREAKVPRYTWVPTQVVSHILPTEGLERFLTAIKAGHDSVLFNANGIYTMGDMIREFEKHNDIFERIGIDSSKLSKYYEAFLSFYRIQEAMKLPK", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSALGAAAPYLHHPADSHSGRVSFLGSQPSPEVTAVAQLLKDLDRSTFRKLLKLVVGALHGKDCREAVEQLGASANLSEERLAVLLAGTHTLLQQALRLPPASLKPDAFQEELQELGIPQDLIGDLASLAFGSQRPLLDSVAQQQGSSLPHVSYFRWRVDVAISTSAQSRSLQPSVLMQLKLTDGSAHRFEVPIAKFQELRYSVALVLKEMAELEKKCERKLQD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSRPRFNPRGTFPLQRPRAPNPPGMRPPGPFVRPGSMGLPRFYPAGRARGIPHRFPGHGSYQNMGPQRMNVQVTQHRTDPRLTKEKLDFPEAQQKKGKPHGSRWDDESHITPPVEVKQSSVTQVTEQSPKVQSRYTKESASSILASFGLSNEDLEELSRYPDEQLTPENMPLILRDIRMRKMSRRLPNLPSHSRNKETLSNETVSSNVIDYGHASKYGYTEDPLEVRIYDPEIPTDEVKNEFQPQQSISATVSTPNVICNSVFPGGDMFRQMDFPGESSSQSFFPVESGTKMSGLHISGQSVLEPVKSISQSISQTVSQTTSQSLNPPSMNQVPFTFELDAVLRQQERISQKSVISSADAHGGPTESKKDYQSEADLPIRSPFGIVKASWLPKFTQAGAQKMKRLPTPSMMNDYYAASPRIFPHLCSLCNVECSHLKDWIQHQNTSTHIESCRQLRQQYPDWNPEILPSRRNESNRKENETPRRRSHSPSPRHSRRSSSGHRIRRSRSPVRYIYRPRSRSPRICHRFISKYRSRSRSRSRSRSPYRSRNLLRRSPKSYRSASPERTSRKSVRSDRKKALEDGGQRSVHGTEVTKQKHTETVDKGLSPAQKPKLASGTKPSAKSLSSVKSDSHLGAYSAHKSENLEDDTLPEGKQESGKSALAQRKPQKDQSLSSNSILLVSELPEDGFTEEDIRKAFLPFGKISDVLLVPCRNEAYLEMELRKAVTSIMKYIETMPLVIKGKSVKVCVPGKKKPQNKEMKKKPSDIKKSSASALKKETDASKTMETVSSSSSAKSGQIKSSTVKVNKCAGKSAGSVKSVVTVAAKGKASIKTAKSGKKSLEAKKSGNIKNKDSNKPVTVPANSEIKASSEDKATGKSAEESPSGTLEATEKEPVNKESEEMSVVFISNLPNKGYSTEEIYNLAKPFGALKDILVLSSHKKAYIEINKKSADSMVKFYTCFPISMDGNQLSISMAPEHVDLKDEEALFTTLIQENDPEANIDKIYNRFVHLDNLPEDGLQCVLCVGHQFGKVDRYMFMSNKNKVILQLESPESALSMYNFLKQNPQNIGEHVLTCTLSPKTDSEVQRKNDLELGKGSTFSPDLKNSPVDESEVQTAADSSSVKPSEVEEETTSNIGTETSVHQEELGKEEPKQALCESDFAIQTLELEAQGAEVSIEIPLVASTPANIELFSENIDESALNQQMYTSDFEKEEAEVTNPETELAVSDSVFIEERNIKGIIEDSPSETEDIFSGIVQPMVDAIAEVDKHETVSEVLPSACNVTQAPGSYIEDEKVVSKKDIAEKVILDEKEEDEFNVKETRMDLQVKTEKAEKNEAIIFKEKLEKIIAAIREKPIESSVIKADPTKGLDQTSKPDETGKSSVLTVSNVYSSKSSIKATVVSSPKAKSTPSKTESHSTFPKPVLREQIKADKKVSAKEFGLLKNTRSGLAESNSKSKPTQIGVNRGCSGRISALQCKDSKVDYKDITKQSQETETKPPIMKRDDSNNKALALQNTKNSKSTTDRSSKSKEEPLFTFNLDEFVTVDEVIEEVNPSQAKQNPLKGKRKEALKISPSPELNLKKKKGKTSVPHSVEGELSFVTLDEIGEEEDATVQALVTVDEVIDEEELNMEEMVKNSNSLLTLDELIDQDDCIPHSGPKDVTVLSMAEEQDLQQERLVTVDEIGEVEESADITFATLNAKRDKRDSIGFISSQMPEDPSTLVTVDEIQDDSSDFHLMTLDEVTEEDENSLADFNNLKEELNFVTVDEVGDEEDGDNDSKVELARGKIEHHTDKKGNRKRRAVDPKKSKLDSFSQVGPGSETVTQKDLKTMPERHLAAKTPMKRVRLGKSSPSQKVAEPTKGEEAFQMSEGVDDAELKDSEPDEKRRKTQDSSVGKSMTSDVPGDLDFLVPKAGFFCPICSLFYSGEKAMANHCKSTRHKQNTEKFMAKQRKEKEQNETEERSSR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSKLSKDYVSDSDSDDEVISNEFSIPDGFKKCKHLKNFPLNGDNKKKAKQQQVWLIKFPSNVDISKLKSLPVDFESSTTMTIDKHDYKIMDDTDIESSLTQDNLSNMTLLVPSESKESLKIASTAKDNAPLQFDKVFSVSETAKIPAIDYSKVRVPRKDVPKVEGLKLEHFATGYDAEDFHVAEEVKENKKEPKKRSHHDDEEESSEKKKKKKEKREKREKKDKKDKKKKHRD", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGKKQTKPINAKSRSESNVVADPRFQSVHSDPRFSRLKRGNFKVKVDERFKSLKEDKDFKTTASVDRYGRPLNQDKATKEIDRLYELENEGSSSSSESSEITDNEEVASASSKSTKSEELTDEESEDEEVYDPARGEGIISTSESSDESDAESETEAQPEISELAGIEPEENIPRGSETNRLAVVNMDWDNLQAVDLFVALSSFCPPGGKLLKVSIYPSEFGKSRMAAEHVQGPPRDIFTPADNQPSSAELHEAQKFGFDNNESDQDEEDALIEEDLGNEFDMVKLRQYQLERLRYYYAVVECDSVRTAKVIYETCDGAEYETSANIYDLRFIPDDVTFDDDESREVCTKAPEKYEPRDFVTDALQHSKVKLSWDAEDPHRKDLIKKAFTSQDIEDLDFSAYIASSESEDEDVDVIRSRYQKLLSGDADDFQANSNPFEDDDKLEGANGEMEVTFTSGFDVDNNANSSEKDETTIEKYKRKAAERKQRRKELRQLKKTKDDEGEGSDVDLGFDDPFFKDKDASRNNKKNKKGKHTQIEDPTAASKEELENLVREDENDSEQLDHFDMKSILKAEKFKKNRKLKKKASNLEGLQEGFEADVSDPRFAALYTNHNFALDPTNPHFKRTKTVEKIMDESRKRRSNQLEQTQDGKPELKIKKRKAEKGDQRQELDRIVKSIKRSGK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTKTRILNPTRFPSPKPLRGCGDANFMEQLLLHCATAIDSNDAALTHQILWVLNNIAPPDGDSTQRLTSAFLRALLSRAVSKTPTLSSTISFLPQADELHRFSVVELAAFVDLTPWHRFGFIAANAAILTAVEGYSTVHIVDLSLTHCMQIPTLIDAMASRLNKPPPLLKLTVVSSSDHFPPFINISYEELGSKLVNFATTRNITMEFTIVPSTYSDGFSSLLQQLRIYPSSFNEALVVNCHMMLRYIPEEPLTSSSSSLRTVFLKQLRSLNPRIVTLIEEDVDLTSENLVNRLKSAFNYFWIPFDTTDTFMSEQRRWYEAEISWKIENVVAKEGAERVERTETKRRWIERMREAEFGGVRVKEDAVADVKAMLEEHAVGWGMKKEDDDESLVLTWKGHSVVFATVWVPI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSHQNQLIPQAYISNFHNRLTNEDDGIPIFTMAQQTRQHKRAKVVNYAEYDNDLFDEFNMNGSNFNNADTHYKDNAVSHENTPALTNGVTMDGSEYNVLENMNGADSIISNNKYDAGSNMVVESLSGLNSNNNASNGPSNKAQAQDIGNAVLPDLQDQHHNPFNILRYPKIRDTFINGKVVSPYRLNTDQETKANANSGEAIMIPITLDIEHMGHTIKDQFLWNYNDDSISPEEFASIYCKDLDMTSATLQTQIANIIKEQLKDLENIAATEIMSDLHVIINLTCNLQDRFFEDNFQWNLNDKSLTPERFATSIVQDLGLTREFIPLISQSLHETILKIKKDWVDGHLIQDHVPNDAAFGYLSGIRLDIDELGSNWCPRVEILTKEEIQKREIEKERNLRRLKRETDRLSRRGRRRLDDLETTMRM", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSDSVAGDFPPDPLLASGAFISSAGDGTLDSSAKRRPIQGGIGISGSGESVRIGMANGTDQVNHQTESKSRKRAAPGDNWLPPGWRVEDKIRTSGATAGSVDKYYYEPNTGRKFRSRTEVLYYLEHGTSKRGTKKAENTYFNPDHFEGQGSNRVTRTATVPPPPPPPLDFDFKNPPDKVSWSMANAGEEGWIPNIGDVKVQDSVRRDWSTAFTFITSRNPSKVSA", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSRGKLEDMEQKETSEVDWIICFALIQSRNPTLWKRALSRKKGDVEDVGALKSEKNLKINPRENSKHIYKWVAPFENGFLNNKSLFAHLEPIYNFLCQNKYKSFEDAVGLKELQSFSKDVSTADINNWFLPRYKILLKILSLKTKEIDFRGLSQVFQTLQILLVSHYSHRIDSDSSFKRTLIDVHVFNFIAKFLFNRILLKKNQNDPKWLQNFYDQGDGKHLCDKVDYKRLCSLHFTLIYSIINIQLIKIKTNQTFEPQILKYVSVLKLIEHILIIIESLIHVLIRFVSKHKLICINRKKAYCRVYLERELSLKKTYLKNFYSVISGVPEKELGGLLKILKIVILSLLETFESIEWQHLKPFLEKFPAHEISLQKKRKYIQAALLITAERNLIARFRLSRWFNETENI", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEEKEQLRRQIRLLQGLIDDYKTLHGNGPALGNSSATRWQPPMFPGGRTFGARYSRPSRRGFSSHHGPSWRKKYSLVNQPVESSDPASDPAFQTSLRSEDSQHPEPQQYVLERQVQLSPDQNMVIKIKPPSKSGAINASGVQRGSLEGCDDPSWSGQRPQGSEVEVPGGQLQPARPGRTKVGYSVDDPLLVCQKEPGKPRVVKSVGRVSDSSPEHRRTVSENEVALRVHFPSVLPHHTAVALGRKVGPHSTSYSEQFIGDQRANTGHSDQPASLGPVVASVRPATARQVREASLLVSCRTSKFRKNNYKWVAASEKSPRVARRALSPRTTLESGNKATLGTVGKTEKPQPKVDPEVRPEKLATPSKPGLSPSKYKWKASSPSASSSSSFRWQSEAGSKDHTSQLSPVPSRPTSGDRPAGGPSSLKPLFGESQLSAYKVKSRTKIIRRRGNTSIPGDKKNSPTTATTSKNHLTQRRRQALRGKNSPVLRKTPHKGLMQVNRHRLCCLPSSRTHLSTKEASSVHMGIPPSNKVIKTRYRIVKKTPSSSFGAPSFPSSLPSWRARRIPLSRSLVLNRLRPAITGGGKAPPGTPRWRNKGYRCIGGVLYKVSANKLSKTSSRPSDGNRTLLRTGRLDPATTCSRSLASRAIQRSLAIIRQAKQKKEKKREYCMYYNRFGRCNRGECCPYIHDPEKVAVCTRFVRGTCKKTDGSCPFSHHVSKEKMPVCSYFLKGICSNSNCPYSHVYVSRKAEVCSDFLKGYCPLGAKCKKKHTLLCPDFARRGICPRGSQCQLLHRNQKRHGRRTAAPPIPGPSDGAPRSKASAGHVLRKPTTTQRSVRQMSSGLASGAEAPASPPPSPRVLASTSTLSSKATAASSPSPSPSTSSPAPSLEQEEAVSGTGSGTGSSGLCKLPSFISLHSSPSPGGQTETGPQAPRSPRTKDSGKPLHIKPRL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAEEGNQEFTSKMENSSDSASTSPDAPQPSENPPSPPTSPAAPQTSENPPSPPTSPAVPQTRENPPSPPTSPAAPQPRENPPSPPTSPAAPQPRENPPSPPTSPAAPQPRENPPSPHSNSSGKQPLSGTPKERLKKARSSSHSFCSVVKRMKVENDENNETLSEPGESSKEENCSKAQESLKNKDSEPGEKSSEEKNTCESKSSDTGSSNALPKESENAIIREKLKQEKIRLIRQVEEKEDLLRRLKLVKMYRIKNDVTELENLIKKWRKCGQRLLCELQSIMSEDEDEKLTLTELIDFYGIDDNLLHYNRSEEEFTGV", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MELEKRIYVGGLSSSIESSDLESRFSRFGSVSNLEIINKSTPVGTIQRFAYLNFRTDEDKWQKCKSYLSNATFKGSKLRIEEARPYYLVKLQQEKKIADLQSTNNDEKNEDHSSKVDLEDPVFHGEIIVPGKHSKNMQVVTDSDVRKDPPRKGWKKGPYGRAIVVLRMYNKNTKKTRFFYPLGKNCLQKLWGRVETNMDNTTAFYDSDHDEYVSYGGKRASRDKIRMQAKLGIKASTQKDDNDFELLNNTTGEIEVTKELNEEQLDALRKKDKDTAASVLAELFGSENTEEIDTVSKTSGVLELDNDSTNNFQKEGLDEQDNLQKEESVHIDVPAEFEAFDERTAVVNVDNLKEMFSSNAQDTSKFSLFGNENGVGEESEMESEIDDRNYETMEEEADGETPLAIVKASSGTKGWPKMFTLPNPSSLFQPGNEDYTSREALESWWSENRLFLTRDYKRKRKDAVKRQRRAHEKRIRLV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGSRRAAGRGWGLGGRAGAGGDSEDDGPVWTPGPASRNYLLSVRPEASLSSNRLSHPSSGRSTFCSIIAQLTEETQPLFETTLKSRAVSEDSDVRFTCIVTGYPEPEVTWYKDDIELDRYCGLPKYEITHQGNRHTLQLYRCQEEDAAIYQASARNTKGIVSCSGVLEVGTMTEYKIHQRWFAKLKRKAAAKMREIEQSWKHGKEASGEADTLLLRKISPDRFQRKRRLSGVEEAVLSTPVREMEEGSSAAWQEGETESAQHPGLGLINSFAPGEAPTNGEPAPENGEDEERGLLTYICEVMELGPQNSPPKESGAKKKRKDEESKPGEQKLELEKAEGSQCSSENVVPSTDKPNSSRREKSTDTQPAQTQPRGRVARGPGIESTRKTASVLGIQDKVQDVPAPAPAPAPAPAPAPAPVPVPAPTPVPSRSSEQVYFSLKDMFMETTRAGRSQEEEKPPPPSTRVAGESPPGKTPVKSRLEKVPMVSSQPTSSMVPPPIKPLNRKRFAPPKSKVESTTTSLSSQTSESMAQSLGKALPSASTQVPTPPARRRHGTRDSPLQGQTSHKTPGEALESPATVAPTKSANSSSDTVSVDHDSSGNQGATEPMDTETQEDGRTLVDGRTGSRKKTHTDGKLQVDGRTQETEHKTEHTLSPRTQAGEKDVVTQGSERPQSDRSSWKNLVTQRRVDMQVGQMQAGERWQQDPGDARIQEEEKETQSAAGSIPVAFETQSEQLSMASLSSLPGALKGSPSGCPRESQAIECFEKSTEAPCVQERSDLMLRSEEAAFRSHEDGLLGPPSGNRTYPTQLPPEGHSEHLGGQTHQRSEQEDSLSQCPKKEQPQEPLHVGLSGGHSTGLSQEVPAMPSLPGTGLTSSLQEELPGTAASLHTNTDVPLPSRDQDFPSSAPTLQLGPGSPTQSHPPEAMTTSSEGACAKEPNVDGRSSGTRSCDPGLIDSLKNYLLLLLKLSSPETSEARAESQEVADTGGLTSSSTLVPTMEVAGLSPRTSRRILERVENNHLVQSAQTLLLSPCTSRRLTGLLDREVQAGQQALAAAQCSRGPCPTPLTIPAIVVGEEGSAGEDSEERTSQESDKKGLLGEVEGHTVESRTQEPCQEEAMPGEALTGLPAATPEELALGARRKRFLPKVRAGSDGEANKAEERESPTVSPRGPRKGLTPGSPGTPGRERRSPTQARKASMLEVPGAEEEPATGDLVSRSKDSGLDSEPAVDEGKQEALAKQRKAKDLLKAPQVIRKIRVEQFPDSSGSLKLWCQFFNIVSDSVLTWAKDQHPVGEVNRSAGDEGPAALAIVQASPTDCGVYRCTIQNEHGSASTDFCLSPEVLSGFISREEGEVGEEIEMTPMVFAKGLADSGCWGDKLFGRLVSEELRGGGHGLQKASRAKVIYGLEPIFESGRTCIIKVSSLLVFGPSSETSLLGRNYDVTIQGCKIQNMSREYCKIFAAEARAASGFGEVPEIIPLYLIYRPANNIPYATLEEDLGKPLQTYCSRQWGCAGAPAAASSSEALQKCQTFQHWLYQWTNGSFLVTDLTGADWKMTDVQIATKLRGYQGLKESCFPALLDQFASSHQCNTYCDMLGLKPLKGPEAAHPQAKAKGSKSPSAGRKGSQLSPQPQKKGLPSPQGSRKSAPSSRATLQASQAATVQLLGQPPVQDGSSKAQSMR", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDAQGQPLDPRKIQEHFEDFFEDLFEELGKFGEIESLNICDNLADHMIGNVYVQFKEEDQAAAALQALQGRFYSGRPIIADFSPVTDFREATCRQYEENNCNRGGYCNFMHVKLVSRELRRKLFGRYRRSYRRGSRSRSRSRSISPRNKRDNDRRDPSHREFSHRDRDREFYRHGSGKRSSERSERQERDGSRGRRQASPKRGGSPGGGREGSEERRARIEQWNREREEKEEGGA", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVEKGPEVSGKRRGRNNAAASASAAAASAAASAACASPAATAASGAAASSASAAAASAAAAPNNGQNKSLAAAAPNGNSSSNSWEEGSSGSSSDEEHGGGGMRVGPQYQAVVPDFDPAKLARRSQERDNLGMLVWSPNQNLSEAKLDEYIAIAKEKHGYNMEQALGMLFWHKHNIEKSLADLPNFTPFPDEWTVEDKVLFEQAFSFHGKTFHRIQQMLPDKSIASLVKFYYSWKKTRTKTSVMDRHARKQKREREESEDELEEANGNNPIDIEVDQNKESKKEVPPTETVPQVKKEKHSTQAKNRAKRKPPKGMFLSQEDVEAVSANATAATTVLRQLDMELVSVKRQIQNIKQTNSALKEKLDGGIEPYRLPEVIQKCNARWTTEEQLLAVQAIRKYGRDFQAISDVIGNKSVVQVKNFFVNYRRRFNIDEVLQEWEAEHGKEETNGPSNQKPVKSPDNSIKMPEEEDEAPVLDVRYASAS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDTLPPATSEESFEIPNADVAGSAVLETETSQDIHQLEIEKDAGETDSDAGSIAMNVHQLDTAGEPLQSMNEDEVDPNNESTALDKKEPQSAPEGSENLGNDLFVSGIASRMQEDELQQIFSKFGTVTHVRIMREPVTKASRGFGFLSFSTVEEATSAIDNLNSQEFYGRVLNVQKAKRSRPHSPTPGKYMGYDRRRNSRDFPSNNKDGGYRRNNYRDRDSNRYRNSYRPSRPQREHSPGNYRKERYNVDSRPRRERHFHGRSFAHAEHHSVPNMRNDTPGNEALPSHSSVPPNEDQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MCTTISFAEPEIVLGHGRRVLFVNPDDLQIFKEIELPPDLGLKGHTSQSQESCTAAAAASTATAASGQAPGGKEQQLANQPEEGGTSASASGLGCATSTSVQNVAYSPDGQLLAVTTSGKQKALLLYRSRPENARLLSARPLARASSALRFCSDSSSILVTDKTGDCYQYDCVEVEAPPRLLLGHLSVVYDILWSEDQQHIITCDRDDKIRVTNYPATFDIHSYCLGHREFVSGLALLTEQHIASASGDKTLRVWNYIQGKELLQHELPAPAVRLLVRQLEPEKVFQAAVLFYEHVDALGLYRLERSSDDTWSVTATQLVCAEAGSWSISNFTLTSDRIYITGAENERLSLRVYDIATGQPASSGVPEGWLKMVLDGLGANEEGAPPFIPEDLSVWFKKRFDNVSDYLERKKRRIEEQQQQKCG", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSVNLDGSEEKMELKKHVPYILNGELYRIEKQVGDNVTVKCCYCPPDRIYRGSVRSTGNFHMHIKRRHSSLLGKLHEMKVAALEERRDRIMKNRRFAKSRKKAPVAVAATSTAAQSDSGVFVDMQAAVPSGNESHELKIKTVFQRHKQEQEGATRKLEDSTSDKANLPNIPKNLGIVVQNVSNISVETLPGGSTPASVSFLGRPVKPEQGSGPSFLADQPAAIDLSQVPPVQGESKSSGSLASSMEDVSMEYSRSQALSQSLSMAHFLEHPQRDVLQRLERSMAQISQELHCRNRIEHNRMLLEAAKFKFLNPNFQFEPNL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPEEPEKTADDKRSHLPWIEKYRPVKFKEIVGNEDTVARLSVFATQGNAPNIIIAGPPGVGKTTTIQCLARILLGDSYKEAVLELNASNERGIDVVRNKIKMFAQQKVTLPRGRHKIVILDEADSMTEGAQQALRRTMEIYSSTTRFALACNTSEKIIEPIQSRCAMLRFTKLSDAQVLAKLIEVAKWEKLNYTEDGLEAIVFTAQGDMRQGLNNLQSTAQGFGDITAENVFKVCDEPHPKLLEEMIHHCAANDIHKAYKILAKLWKLGYSPEDIIANIFRVCKRINIDEHLKLDFIREIGITHMKIIDGINSLLQLTALLAKLCIAAEKH", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRRSLAPSQRGPLRPESRHSFTPPLLKKNKRSCQQELEREQELDRRRLGALRDASNTSELPLPIRFTANSEYELAIAKVLARKFKVPMDNYVPDYGGKRVLGVRRCISRRPLHDPMACNALVLFHPPAYTEHERMGMDPTKVLVHVVVDPLLSNILRPHQREGVRFMYECVEGKRGNFNGCIMADEMGLGKTLQCVTLVWTLLRQGPECKPTINKAIVVSPSSLVKNWEKEFTKWLHGRLLCLPMEGGTKENTIRALEQFSMTSARLGTPVLLISYETFRIYAEILCKYEVGMVICDEGHRLKNSDNLTYQALMGLKTKRRVLLSGTPIQNDLTEYYSLVNFVNPEMLGTAAVFKRNFESAILRGQNTDSTEQERQRAIEKTQELIGLVDQCIIRRTNQILTKYLPVKFEMVICAKLTAIQLELYTNFLKSDQVRRSLADCNEKASLTALADITTLKKICSHPDLIYEKLTAREKGFENSQNVLPSNYKPKDLNPELSGKFMLLDFMLAAIRAEGNDKVVLISNYTQTLDLFEQLARKRKYGFVRLDGTMSIKKRSKVVDRFNDPESDSFLFMLSSKAGGCGLNLIGANRLFMFDPDWNPANDEQAMARVWRDGQKKPCYIYRLVASGSIEEKILQRQTHKKSLSSTIIDNNESAEKHFTRDDLKDLFTFDANILSDTHDKLKCKRCVQNIQMKPPPEDTDCTSHLSQWYHCSNNRGLPDNILAQAWMDCKCVSFVFHHRSQAQEIVPSAEEEATDQPEEKPESRKRSSTPASDDSADEDFRGF", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGKARKHSRGRPSGFVPDYMQAVEPDEFVYSERMNSEASPPLKRRRFGLNGDNNGVSKEVLSLSKMSRSERKNLVHKLKMELQQVRDLSKKIASFSSDTVLLSPYNDHSCSDGPRRPPPENFATFVGSQGKKRPPVRSDKQRNKKGPSRLNVPTSYTVASVMKECETLLNRLWSHKSGWPFRTPVDPVMLNIPDYFNVIKHPMDLGTIRSRLCKGEYSSPLDFAADVRLTFSNSIAYNPPGNQFHTMAQGISKYFESGWKSIEKKIPMSKPPVIPLTSSASLESEIPFEVAPMRKKEAAMNDNKLRVEPAKLVMTDGEKKKLGQDLMALEEDFPQKIADLLREQSGSDGQSGEGEIEIDIEALSDEILFMVRKLLDDYLREKKKSMEKSEPCEMEIVHDSGFSNSPLQPSKGDLQIDEDVDIVGGNDPSVSSHPPLKIEKDAACRNNESSSSSSSSSESGSSSSDSDSCSSSGSETDSIKASKPTSREEKKQPGVGIDKKEDDSNSEKIVVNDSLNELDQLEHTVGEKSTTMDAVVLVPDEETAPPERQISPDSPDKRYRAAFLKNRFADTIMKAREKAFTKGEKGDPEKLRIEREEFEKRLREEKERLQAEAKAAEEARRKAKAEAAEKARREREQEREAARQALQKMEKTVEINEGIRFMEDLQMLRATGTEGDQLPTSMEVMSPKFSEDMLGLGSFKMESNSNPLEHLGLYMKMDEDEDEEEDPPHFSQRKVEDNPFDRSEKQEHSPHRVEGEDQLVSGNEEPVSQEAHDNGDQEDGKPINPNEIERQLENMPEQESGVGDKEEQETEVVDMRKQENEVVDMGVEEVHPLDRSEGRTLSPHRKEREDPRASGNEESVSEKAQDYENQRDEKINQSEREEQLENVLEQESSRDDDTGEQETEVVGVGKELSLDKSEGQTLSPHREEGENQLDCGNEELVSQKTQDNGNQEDEKSINKIEGEEQLANVPEQESRVTEKEEQETGVVDLGEQKSEVVEKGVEENEAVDNGEGVQGTEVSDKGGNETVVDGNGKVETEVVDKAGQKTDLVDQGEEDIDVEID", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVQSCSAYGCKNRYDKDKPVSFHKFPLTRPSLCKEWEAAVRRKNFKPTKYSSICSEHFTPDCFKRECNNKLLKENAVPTIFLCTEPHDKKEDLLEPQEQLPPPPLPPPVSQVDAAIGLLMPPLQTPVNLSVFCDHNYTVEDTMHQRKRIHQLEQQVEKLRKKLKTAQQRCRRQERQLEKLKEVVHFQKEKDDVSERGYVILPNDYFEIVEVPA", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MALSLPLGPRLGSEPLNHPPGAPREADIVGCTVCPASGEESTSPEQAQTLGQDSLGPPEHFQGGPRGNEPAAHPPRWSTPSSYEDPAGGKHCEHPISGLEVLEAEQNSLHLCLLGLGRRLQDLEQGLGHWALAQSGMVQLQALQVDLRGAAERVEALLAFGEGLAQRSEPRAWAALEQILRALGAYRDSIFRRLWQLQAQLVSYSLVFEEANTLDQDLEVEGDSDWPGPGGVWGPWAPSSLPTSTELEWDPAGDIGGLGPLGQKTARTLGVPCELCGQRGPQGRGQGLEEADTSHSRQDMLESGLGHQKRLARHQRHSLLRKPQDKKRQASPHLQDVRLEGNPGAPDPASRQPLTFLLILFLLFLLLVGAMFLLPASGGPCCSHARIPRTPYLVLSYVNGLPPV", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDDDGSLSIRNWGFYETMKGNLGLQLMPSVTGGHRDTKPLLPNGTFLQHHTPPHHPPHSHHPRDYGNGEPSGGMPAEPPAIHMDFVRNEAWMHPSQHQHQHQHQHQHQHQHQHQLQHQHQHQHSRELKVLNAVPVGPAPHIGHPGHAVHHHPTGFGMMPDARGAHTLQMMQPQEPPVPDEEKITPPLVEDHSVVGSKPPVKKRQQGRQPKVPKPKKPKKDATPGEDGAPKARAPRSRGPLKPVEMVINGIDFDISRIPTPVCSCTGAPQQCYRWGAGGWQSACCTTSISTYPLPMNTKRRGARIAGRKMSQGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIR", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEAGGAYNPRTVEEVFRDFKGRRAGMIKALTTDVQEFFRLCDPEKENLCLYGHPNEHWEVNLPAEEVPPELPEPVLGINFARDGMAEKDWLSLVAVHSDAWLLAVAFFFGARFGFDKADRKRLFNMVNDLPTIFEVVAGTAKKQGKDKSSVSNNSSNRSKSSSKRGSESRAKFSKPEPKDDEEEEEEGVEEEDEDEQGETQCGACGESYAADEFWICCDLCEMWFHGKCVKITPARAEHIKQYKCPSCSNKRARS", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPVSMAVCETANVVNAALRESLGGNSSAGSSTDQAKSGEDTNGSLQNHIVANAKRILMAKIEYEEVPNYHESVLENLKSKYIVIKPGNPGAINGFSGKNNTGKLVGANGHDNNGARKQAEHPNNQSHHINHHNHQHPTSNPNELPKPKRVLYPRENIRIGWKQSERKWQVGTGMINVGNTCYLNSTLQALLHIPALANWLVSEQAHLADCNVAEPGSGCIICAMTKTLLATQSNQSAVRPFLIYSKLKQICKHMVVGRQEDAHEFLRFLVEAMERAYLMRFRNYKELDQLVKETTPLGQIFGGYLRSEVRCLSCNHVSITFQHFQDLLLDIRKADSLEDAFEGHFSRERLEDMGYKCEGCKKKVSATKQFSLERAPITLCIQLKRFSMIGNKLTKQISFKSRIDLSKYAARSQAAQAQPLTYRLVSMVTHLGASQHCGHYTAIGSTDTGSFYNFDDSYVRPIAMHSVCNTNAYIMFFELDLSQAASPAANRPNGVRLTNGHSTTPVPAATVSSPSPTRFIGPQLPAGGANGYTNGNAQKTAIQFKQQNQQSPQNGLQLGTGKFQDTAKPPLVGAHAKGEATSAPTANGNKSSSPSSNSSSNHKSINQQQYLPISSDDEDIEDEMKPRPTTAQLPSMPNMTENHTEPKAKSPVKIQVKTPVKTPLKSLVPYESASEEEEAPLPNPRKRPSGEDSSESDQESGQTNGHSKTNGSHTNGSASSSVHVNNSKQKTDAIDEIFKSLKKSADSDEDDDEEEPSIQLTNGWHPQKQSQSQSKAPPSPKTPPSPAVIKSKTGIWKVTRNDEVDAIEDDVDVVVVEGSPVKIPTPNKNHRNPFSSSKPSTDSPATPGAKRQKLLNGSALKSHQQPRVGNGYQSNATSNGSTINELLKQSYRGYGSPVLSWNGKPAELEKELLVDAREQRQRDIDDDEENEMDRGRQRKVKSGSAKGNNASNSTPGYNPFQEYEGQKRWNKNGGGGGFPRFYNQNYRQNFQQRNKFKFNRFGGPGSAKFQQQRALQRHLSAGGGFSRRQPSAQQQQQT", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDTRFPFSPAEVSKVRVVQFGILSPDEIRQMSVIHVEHSETTEKGKPKVGGLSDTRLGTIDRKVKCETCMANMAECPGHFGYLELAKPMYHVGFMKTVLSIMRCVCFNCSKILADEEEHKFKQAMKIKNPKNRLKKILDACKNKTKCDGGDDIDDVQSHSTDEPVKKSRGGCGAQQPKLTIEGMKMIAEYKIQRKKNDEPDQLPEPAERKQTLGADRVLSVLKRISDADCQLLGFNPKFARPDWMILEVLPIPPPPVRPSVMMDATSRSEDDLTHQLAMIIRHNENLKRQEKNGAPAHIISEFTQLLQFHIATYFDNELPGQPRATQKSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDELGVPWSIALNLTYPETVTPYNIERLKELVDYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDQHLELGYKVERHLQDGDFVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNTLMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLLRYSAWHADTETGFITPGDTQVRIERGELLAGTLCKKTLGTSNGSLVHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFTIGIGDTIADSSTMEKINETISNAKTAVKDLIRQFQGKELDPEPGRTMRDTFENRVNQVLNKARDDAGSSAQKSLAETNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFDGRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKSEFDRTFKYEIDDENWNPTYLSDEHLEDLKGIRELRDVFDAEYSKLETDRFQLGTEIATNGDSTWPLPVNIKRHIWNAQKTFKIDLRKISDMHPVEIVDAVDKLQERLLVVPGDDALSVEAQKNATLFFNILLRSTLASKRVLEEYKLSREAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKRIKTPSLSVYLTPEASKSKEGAKTVQCALEYTTLRSVTQATEVWYDPDPMSTIIEEDFEFVRSYYEMPDEDVSPDKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAQKLILRIRIMNDEGPKGELQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKQVRKSRFDEEGGFKTSEEWMLDTEGVNLLAVMCHEDVDPKRTTSNHLIEIIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAAYAETDCLRGVTENIMLGQLAPIGTGDCELYLNDEMLKNAIELQLPSYMDGLEFGMTPARSPVSGTPYHEGMMSPNYLLSPNMRLSPMSDAQFSPYVGGMAFSPSSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYGPTSPSYNPQSAKYSPSIAYSPSNARLSPASPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYSSGASPDYSPSAGYSPTLPGYSPSSTGQYTPHEGDKKDKTGKKDASKDDKGNP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAGGVDVSRSSVAVKKDYDFYRNGSRDVYVRQSGRDDERRQIKRPSDHDLRRNDGRHRSRLAYEKGELREEAEVQRPSEKRRKFSPIVWNAEKVGRAPSREKTKSPFPVPTTTVISNQAVAGKTTSNDQVNALMSPEPSYLAPVQPSEALLAVKHPVDDLEEGQLEEEQVMQEDVKEGLLEEEQVMQEPNIKTSRWGTGLTSPKEELISVNVSKTNRWNRSSLTPECEEVMVSEEQQCYSSGSGSGHLSVEKLSADGNSGREYYSSDHDELEHEDQDSLTPGEMNMMFGSRSVNEFQKLNKINEGTYGIVYKARDEKTKEIVALKKIKMKEDRFEEEYGFPLTSLREINILLSCNHPAIVNVKEVVVGGKNDNDVYMVMEHLEHDLRGVMDRRKEPFSTSEVKCLMMQLLDGLKYLHTNWIIHRDLKPSNLLMNNCGELKICDFGMARQYGSPIKPYTQMVITQWYRPPELLLGAKEYSTAVDMWSVGCIMAELLSQKPLFPGKSELDQLQKIFAVLGTPNEAIWPGFSSFPNAKAKFPTQPYNMLRKKFPAISFVGGQILSERGFDLLNSLLTLDPEKRLTVEDALNHGWFHEVPLPKSKDFMPTYPPKR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEERERGARSAGAGSPARPPSPRLDVSSDSFDPLLALYAPRLPPIPYPNAPCFNNVAEYESFLRTGVRGGGRGRGRARGAAAGSGVPAAPGPSGRTRRRPDAPAPDPERIQRLRRLMVAKEEGDGAAGAGRRGPGRSRKAPRNVLTRMPLHEGSPLGELHRCIREGVKVNVHIRTFKGLRGVCTGFLVAFDKFWNMALTDVDETYRKPVLGKAYERDSSLTLTRLFDRLKLQDSSKKEADSKSAVEDSTLSRYSQTSTWKLASVWGRADTGRGSHKRSRSVPSSLQASAREESRSELSGRTTRTDGSSVGGTFSRATTLSRGQSRKKKRKPKVDYQQVFTRHINQIFIRGENVLLVHLAQ", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MARRRSTRRALVETPSDLNAQDSDSLSVSTKEELGDDALAAEEGQSVLDEQEIEEALEEDDTNYEEDIIDDEESAQVDEEELEEEEEEEEDATPEPVVTSKKNSRSKPKNGGASKRKASRRTVVDEDSENLEGDEEDGSFSDLKDLYSNPMPAQTTPVSMRMTKRQRAIQGILEEGEEDELLELPPETSGRKKLTPEEMALRRIENARRRKNQSERRLEEEKMETINRLLKRQSNTGKPRRGRAPNNPTSDSISRSKAVPKDRINMYQPFQCVRFKSTKEGSSLGVPEPLIRFFS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFGTPSSSPSFGTPSSTPAFGTSSPAFGTPSATPAFGTPSNPSFSSGGFGSSLFSSPFSSQQPQQQQQQQQQQQPSSLFQQQPSSNFGFQSPFNNTAQQQQQTPFPNAQLTTQMAPVAPIPYSLADRDVQAIIEAYKEDPTNPKYAFQHLLFSVTEPQYRVKPAAVSDIMWAEAMSKLEGMDSTERERLWPQLVQGFKDLSQRLKLQDEVLVSDRDRIKTTQSNVKMLQRHLQASTFPSIERLRQKEQSLQRRMLRVMRIIEGLEGKGFRLPLTKGEAELSEKLTAITRQVKGPGAELSRRVQSLQTISRAQANSIAAGSSLYLPGSTKIDEQSLIDMQEVLQQETEAIGRLGNVLKRDMRDMEIMVAEDTEMALDS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEPLQQQQQQQQQQQKQPHLAPLQMDAREKQGQQMREAQFLYAQKLVTQPTLLSATAGRPSGSTPLGPLARVPPTAAVAQVFERGNMNSEPEEEDGGLEDEDGDDEVAEVAEKETQAASKYFHVQKVARQDPRVAPMSNLLPAPGLPPHGQQAKEDHTKDASKASPSVSTAGQPNWNLDEQLKQNGGLAWSDDADGGRGREISRDFAKLYELDGDPERKEFLDDLFVFMQKRGTPINRIPIMAKQILDLYMLYKLVTEKGGLVEIINKKIWREITKGLNLPTSITSAAFTLRTQYMKYLYAYECEKKALSSPAELQAAIDGNRREGRRPSYSSSLFGYSPAAATAAAAAGAPALLSPPKIRFPILGLGSSSGTNTSSPRISPATTLRKGDGAPVTTVPVPNRLAVPVTLASQQAGTRTAALEQLRERLESGEPAEKKASRLSEEEQRLVQQAFQRNFFSMARQLPMKIRINGRAEDRAEASAAALNLTTSSIGSINMSVDIDGTTYAGVLFAQKPVVHLITGSAPQSLGSSASSSSSSHCSPSPTSSRGTPSAEPSTSWSL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSVAEDNSFTCDIATIFVAICRNPPANPSDSLFQYEISTLYQEAHSRWLKPPEVLFILQNHESLTLTNTAPQRPTSGSLLLFNKRVLKFFRKDGHQWRRKRDGRAIAEAHERLKVGNAEALNCYYAHGEQDPTFRRRIYWMLDPEYEHIVLVHYRDVSEREEGQQTGGQVYQFAPILSTQNVSYNQYIGDSSDIYQQSSTSPGVAEVNSNLEGSASSSEFGQALKMLKEQLSIGDEHVNSVDPHYIQPESLDSLQFLEYSDIDHLAQPTTVYQRPENNKLERCYGGNFGAQYSAKNDSNKLERCYGGYVGGAEYHSSNLMLVKNGSGPSGGTGGSGDQGSESWKDVLEACEASIPLNSEGSTPSSAKGLLAGLQEDSNWSYSNQVDQSTFLLPQDLGSFQLPASYSALVAPENNGEYCGMMEDGMKIGLPFEQEMRVTGAHNQKFTIQDISPDWGYANETTKVIIIGSFLCDPTESTWSCMFGNAQVPFEIIKEGVIRCEAPQCGPGKVNLCITSGDGLLCSEIREFEYREKPDTCCPKCSEPQTSDMSTSPNELILLVRFVQTLLSDRSSERKSNLESGNDKLLTKLKADDDQWRHVIGTIIDGSASSTSTVDWLLQELLKDKLDTWLSSRSCDEDYITCSLSKQEQGIIHMVAGLGFEWAFYPILAHGVNVDFRDIKGWSALHWAAQFGSEKMVAALIASGASAGAVTDPSRQDPNGKTAASIAASNGHKGLAGYLSEVALTNHLSSLTLEETENSKDTAQVQTEKTLNSISEQSPSGNEDQVSLKDTLAAVRNAAQAAARIQAAFRAHSFRKRKQREAALVACLQEYGMYCEDIEGISAMSKLTFGKGRNYNSAALSIQKNFRGYKDRKCFLELRQKVVKIQAHVRGYQIRKNYKVICWAVRILDKVVLRWRRKGVGLRGFRQDVESTEDSEDEDILKVFRKQKVDVAVNEAFSRVLSMSNSPEARQQYHRVLKRYCQTKAELGKTETLVGEDDDGLFDIADMEYDTLFSLP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSLPTKRSTFSAASASDDSSYSSPPMKKAKNDLHHSPQHPNTADKVVGFHMEEDPTPAAANLSRKKATLPQPTKKFVIKLNKAKPTLPTNFEENTWEKLQSAIRAIFLKKKISFDLESLYQAVDNLCLHKLDGKLYDQIEKECEEHISAALQSLVGQNTDLTVFLSRVEKCWQDFCDQMLMIRSIALTLDRKYVIQNPNVRSLWEMGLQLFRKHLSLAPEVEQRTVKGLLSMIEKERLAEAVNRTLLSHLLKMFTALGIYMESFEKPFLEGTSEFYAAEGMKYMQQSDVPEYLKHVEGRLHEENERCILYIDAVTRKPLITTVERQLLERHILVVLEKGFTTLMDGRRTEDLQRMQTLFSRVNALESLRQALSSYVRKTGQKIVMDEEKDKDMVQSLLDFKASLDIIWEESFYKNESFGNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELESVLEKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFSKGKKELAVSLFQAVVLMLFNDAMKLSFEDIKDSTSIEDKELRRTLQSLACGKVRVLQKNPKGRDVEDGDEFEFNDEFAAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQIDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLEREKSNPQIYNYLA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFEARLGQATILKKILDAIKDLLNEATFDCSDSGIQLQAMDNSHVSLVSLTLRSDGFDKFRCDRNLSMGMNLGSMAKILKCANNEDNVTMKAQDNADTVTIMFESANQEKVSDYEMKLMNLDQEHLGIPETDFSCVVRMPAMEFARICRDLAQFSESVVICCTKEGVKFSASGDVGTANIKLAQTGSVDKEEEAVIIEMQEPVTLTFACRYLNAFTKATPLSTQVQLSMCADVPLVVEYAIKDLGHIRYYLAPKIEDNET", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPFLGQDWRSPGWSWIKTEDGWKRCESCSQKLERENNRCNISHSIILNSEDGEIFNNEEHEYASKKRKKDHFRNDTNTQSFYREKWIYVHKESTKERHGYCTLGEAFNRLDFSSAIQDIRRFNYVVKLLQLIAKSQLTSLSGVAQKNYFNILDKIVQKVLDDHHNPRLIKDLLQDLSSTLCILIRGVGKSVLVGNINIWICRLETILAWQQQLQDLQMTKQVNNGLTLSDLPLHMLNNILYRFSDGWDIITLGQVTPTLYMLSEDRQLWKKLCQYHFAEKQFCRHLILSEKGHIEWKLMYFALQKHYPAKEQYGDTLHFCRHCSILFWKDYHLALLFKDSGHPCTAADPDSCFTPVSPQHFIDLFKF", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVNPGHGRGPDSGTAAGGSNSDPFPANLRVLVVDDDPTCLMILERMLMTCLYRVTKCNRAESALSLLRKNKNGFDIVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADDSKSVVLKGVTHGAVDYLIKPVRIEALKNIWQHVVRKKRNEWNVSEHSGGSIEDTGGDRDRQQQHREDADNNSSSVNEGNGRSSRKRKEEEVDDQGDDKEDSSSLKKPRVVWSVELHQQFVAAVNQLGVDKAVPKKILEMMNVPGLTRENVASHLQKYRIYLRRLGGVSQHQGNMNHSFMTGQDQSFGPLSSLNGFDLQSLAVTGQLPPQSLAQLQAAGLGRPTLAKPGMSVSPLVDQRSIFNFENPKIRFGDGHGQTMNNGNLLHGVPTGSHMRLRPGQNVQSSGMMLPVADQLPRGGPSMLPSLGQQPILSSSVSRRSDLTGALAVRNSIPETNSRVLPTTHSVFNNFPADLPRSSFPLASAPGISVPVSVSYQEEVNSSDAKGGSSAATAGFGNPSYDIFNDFPQHQQHNKNISNKLNDWDLRNMGLVFSSNQDAATATATAAFSTSEAYSSSSTQRKRRETDATVVGEHGQNLQSPSRNLYHLNHVFMDGGSVRVKSERVAETVTCPPANTLFHEQYNQEDLMSAFLKQEGIPSVDNEFEFDGYSIDNIQV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEKKDEGESWKEMVRKMLPPGAPLPEDPSEFDYSIALEYTGPPPVHDIPRVSPVDVNPRVNNPIPLPVSRIAGGVTSSSGGSPASSESVVSVLHNNPESSSGSASVSPVSGHRQNGNQVRRPVVKFKPVDDHDRIEGREAAEEEDNNVEAETERERKVHECTASTKRRKKKKKSECYRCGKAKWENKETCIVCDEKYCGNCVLRAMGSMPEGRKCVSCIGQAIDESKRSKLGKHSRVLSRLLSPLEVKQIMKAEKECTANQLRPEQLIVNGYPLKPEEMADLLNCLLPPQKLKPGRYWYDKESGLWGKEGEKPDRVISSNLNFTGKLSPDASNGNTEVYINGREITKLELRILKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFMCALFSLPVPQGQPRGTVQPSSNYATVPNYIEHKKIQKLLLLGIEGSGTSTIFKQAKFLYGNKFSVEELQDIKLMVQSNMYRYLSILLDGRERFEEEALSHTRGLNAVEGDSGGEEANDEGTVTTPQSVYTLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQATYRRKDELHFLPDVAEYFLSRAMEVSSNEYEPSERDIVYAEGVTQGNGLAFMEFSLSDHSPMSESYPENPDALSSPQPKYQLIRVNAKGMNDSCKWVEMFEDVRAVIFCISLSDYDQINITPESSGTVQYQNKMIQSKELFESMVKHPCFKDTPFILILNKYDQFEEKLNRAPLTSCDWFSDFCPVRTNNNVQSLAYQAYFYVAMKFKLLYFSITGQKLFVWQARARDRANVDEGFKYVREVLKWDEEKEESYLNGGGEDSFYSTDMSSSPYRPEE", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MYEYCSVVIKKYSKYTIPSFAPNGFQSMLEPPQIDKWQHLSANCTLQFRVLLMDSRQILINVVLNNSTLLENIRLPLGDNQDLIQFSCKSPIISCKYISEEFGPRMLRRFQMNLPNDVEFNRTVVSLKNLNFVLRTARTSIAQSTITSQVQGNNNGTKVCFTEGPKVSSYTNPNTQFQTQNMIMDFSQRYQEESERESNNRSNITLPHDSIQIAQQIWPNTDLNVVQSSQDLNTPMATQTVLGRPESLIVQPLEVSQSPPNTTNCLPNAENKKKKVDTTSDFTSRKEIALCKTGLLETIHIPKERESQMQSVTGLDATPTIIWSPGKDNTAKKNTSNKKNIDDKLTNPQKSGNTHTPDRNKEVLPNGTLNETRKEASPSEGLTIRVKNVNRNASRKISKRLIKEKLKDEEFMKWVNKVETVLNKMFEK", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSHFGRSGPPDISDTYSLLVLNITFRTTADDLYPLFAKYGKVVDVFIPRDRRTGDSRGFAFVRYKYKDEAHKAVERLDGRVVDGREITVQFAKYGPNAEKISKGRVVEPPPKSRRSRSRSPRRSRSPRRSRSPPRRRSPRRSRSPRRRSRDDYREKDYRKRSRSRSYDRRERHEEKDRDHRRRTRSRSASPDEKRRVRGRYDNESRSHSRSLSASPARRSPRSSSPQKTSPAREVSPDKRSNERSPSPRRSLSPRSPALQKASPSKEMSPERRSNERSPSPGSPAPLRKVDAASRSQSPYAAE", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAHYNFKKITVVPSAKDFIDLTLSKTQRKTPTVIHKHYQIHRIRHFYMRKVKFTQQNYHDRLSQILTDFPKLDDIHPFYADLMNILYDKDHYKLALGQINIAKNLVDNVAKDYVRLMKYGDSLYRCKQLKRAALGRMCTVIKRQKQSLEYLEQVRQHLSRLPTIDPNTRTLLLCGYPNVGKSSFINKVTRADVDVQPYAFTTKSLFVGHMDYKYLRWQVVDTPGILDHPLEDRNTIEMQAITALAHLRAAVLYVMDLSEQCGHGLREQLELFQNIRPLFINKPLIVVANKCDVKRIAELSEDDQKIFTDLQSEGFPVIETSTLTEEGVIKVKTEACDRLLAHRVETKMKGNKVNEVLNRLHLAIPTRRDDKERPPFIPEGVVARRKRMETEESRKKRERDLELEMGDDYILDLQKYWDLMNLSEKHDKIPEIWEGHNIADYIDPAIMKKLEELEKEEELRTAAGEYDSVSESEDEEMLEIRQLAKQIREKKKLKILESKEKNTQGPRMPRTAKKVQRTVLEKEMRSLGVDMDDKDDAHYAVQARRSRSITRKRKREDSAPPSSVARSGSCSRTPRDVSGLRDVKMVKKAKTMMKNAQKKMNRLGKKGEADRHVFDMKPKHLLSGKRKAGKKDRR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGDPGSEIIESVPPAGPEASESTTDENEDDIQFVSEGPLRPVLEYIDLVSSDDEEPSTSYTDENIKRKDHIDYQKDKVALTLARLARHVEVEKQQKEEKNRAFREKIDFQHAHGLQELEFIRGHSDTEAARLCVDQWLKMPGLKTGTINCGTKSSFRRGGHTWVSGKPILCPIMHCNKEFDNGHLLLGHLKRFDHSPCDPTITLHGPFFSSFACVVCYKKFVTQQQYRDHLFDKEATDDGHNNNLLPQIIQCFACPNCFLLFSRKEECSKHMSGKNHFHQSFKLGDNKGIAHPISFPSFAKKLLISLCKDVPFQVKCVACHKTLRSHMELTAHFRVHCRNAGPVAVAEKSITQVAEKFILRGYCPDCNQVFVDETSTQNHKQNSGHKVRVINSVEESVLLYCHSSEGNKDPSSDLHLLLDQSKFSSLKRTMSIKESSSLECIAIPKKKMNLKDKSHEGVACVQKEKSVVKTWFCECNQRFPSEDAVEKHVFSANTMGYKCVVCGKVCDDSGVIRLHMSRIHGGAHLNNFLFWCRTCKKELTRKDTIMAHVTEFHNGHRYFYEMDEVEGETLPSSSTTLDNLTANKPSSAITVIDHSPANSSPRGKWQCRICEDMFDSQEYVKQHCMSLASHKFHRYSCAHCRKPFHKIETLYRHCQDEHDNEIKIKYFCGLCDLIFNVEEAFLSHYEEHHSIDYVFVSEKTETSIKTEDDFPVIETSNQLTCGCRESYICKVNRKEDYSRCLQIMLDKGKLWFRCSLCSATAQNLTDMNTHIHQVHKEKSDEEEQQYVIKCGTCTKAFHDPESAQQHFHRKHCFLQKPSVAHFGSEKSNLYKFTASASHTERKLKQAINYSKSLDMEKGVENDLSYQNIEEEIVELPDLDYLRTMTHIVFVDFDNWSNFFGHLPGHLNQGTFIWGFQGGNTNWKPPLNCKIYNYLNRIGCFFLHPRCSKRKDAADFAICMHAGRLDEQLPKQIPFTILSGDQGFLELENQFKKTQRPAHILNPHHLEGDMMCALLNSISDTTKECDSDDNMGAKNTSIGEEFISTEDVELEEAIRRSLEEM", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAKRNAEKELTDRNWDQEDEAEEVGTFSMASEEVLKNRAIKKAKRRNVGFESDTGGAFKGFKGLVVPSGGGRFSGFGSGAGGKPLEGLSNGNNITSAPPFASAKAAADPKVAFGSLAANGPTTLVDKVSNPKTNGDSQQPSSSGLASSKACVGNAYHKQLAALNCSVRDWIVKHVNTNPLCDLTPIFKDYEKYLANIEQQHGNSGRNSESESNKVAAETQSPSLFGSTKLQQESTFLFHGNKTEDTPDKKMEVASEKKTDPSSLGATSASFNFGKKVDSSVLGSLSSVPLTGFSFSPGNSSLFGKDTTQSKPVSSPFPTKPLEGQAEGDSGECKGGDEEENDEPPKVVVTEVKEEDAFYSKKCKLFYKKDNEFKEKGIGTLHLKPTANQKTQLLVRADTNLGNILLNVLIPPNMPCTRTGKNNVLIVCVPNPPIDEKNATMPVTMLIRVKTSEDADELHKILLEKKDA", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MMSEHDLADVVQIAVEDLSPDHPVVLENHVVTDEDEPALKRQRLEINCQDPSIKTICLRLDSIEAKLQALEATCKSLEEKLDLVTNKQHSPIQVPMVAGSPLGATQTCNKVRCVVPQTTVILNNDRQNAIVAKMEDPLSNRAPDSLENVISNAVPGRRQNTIVVKVPGQEDSHHEDGESGSEASDSVSSCGQAGSQSIGSNVTLITLNSEEDYPNGTWLGDENNPEMRVRCAIIPSDMLHISTNCRTAEKMALTLLDYLFHREVQAVSNLSGQGKHGKKQLDPLTIYGIRCHLFYKFGITESDWYRIKQSIDSKCRTAWRRKQRGQSLAVKSFSRRTPNSSSYCPSEPMMSTPPPASELPQPQPQPQALHYALANAQQVQIHQIGEDGQVQVGHLHIAQVPQGEQVQITQDSEGNLQIHHVGQDGQLLEATRIPCLLAPSVFKASSGQVLQGAQLIAVASSDPAAAGVDGSPLQGSDIQVQYVQLAPVSDHTAGAQTAEALQPTLQPEMQLEHGAIQIQ", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTWCNDRSDVQTVERIIPSPGAAESPVASLPVSCHKTCPSCGHNFKFHEQAGIHDLPGLPAGVKFDPTDQEVLEHLEGKVRDDAKKLHPLIDEFIRTIDGENGICYTHPEKLPGVNKDGTVRHFFHRPSKAYTTGTRKRRKVHTDSDVGGETRWHKTGKTRPVLAGGRVRGYKKILVLYTNYGKQKKPEKTNWVMHQYHLGTSEEEKEGELVVSKVFYQTQPRQCGGSVAAAATAKDRPYLHGLGGGGGRHLHYHLHHNNGNGKSNGSGGTAGAGEYYHNIPAIISFNQTGIQNHLVHDSQPFIP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDTPPLSDSESESDESLVTDRELQDAFSRGLLKPGLNVVLEGPKKAVNDVNGLKQCLAEFKRDLEWVERLDVTLGPVPEIGGSEAPAPQNKDQKAVDPEDDFQREMSFYRQAQAAVLAVLPRLHQLKVPTKRPTDYFAEMAKSDLQMQKIRQKLQTKQAAMERSEKAKQLRALRKYGKKVQTEVLQKRQQEKAHMMNAIKKYQKGFSDKLDFLEGDQKPLAQRKKAGAKGQQMRKGPSAKRRYKNQKFGFGGKKKGSKWNTRESYDDVSSFRAKTAHGRGLKRPGKKGSNKRPGKRTREKMKNRTH", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGDLENLLLEAAGRTNSAGRSRHPPSSRRREGSYSDGSSDSRDDSDEDRGYASRKPSGSQVPLKKRLEAEREDRAARVEGGYGDGPSDREGDSSEESDFGDDLYKNEEDRQKLAGMTEFQREMILSERADKKGDKNFTEKLRSKRESEKTPVSKKETQPLPASRGVRSSARSADRAAAKDDALNELRAKRMKQQDPAALRKLRDASKGGSGSRDFSSTKRKPLASSNLSSSSQSDSDSRSQSDDEGSNGGMLDSDDDRSDVPTFEDVKEVTIRRSKLAKWLMEPFFEELIVGCFVRVGIGRSKSGPIYRLCWVKNVDATDPDKTYKLENKTTHKYLNVVWGNETSAARWQMAMISDGHPLEEEYRQWIREVERTNGRMPTKQDISEKKEAIQRTNSFVYSAETVKQMLQEKKSASVRPMNVAAEKDRLRKELEIAQSKNDEAGVERIKSKIKQLDASRNKKGVDKKALKLAEMNKKNRAENFKNASEVKSITASLKAGEAGYDPFSRRWTRSSNYYNGKNKGKDGEENEAAVAAAVETNGADAGAGVEATEAALEAAAEAGKLIDTRAPIGQGAEHNQLHNFELSLSLTALQKYGGPQGVQKAFMARKQLTEATVGCRVAENDGKRHGLTLTVSDYKRRRGLL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDFRITEGSSPTSLSVSEKIAKLESCNDSRITCRPVRESKPTYTSGQKHSALLSKLKRSKVTTDFCKLEESKGIICQENLHTEGAKSKTENISGEDKSSQRRTRLKQIQEFISHRRSFLNSNANSVESEKILAENNHMFNVKSKLSNKESFIKTRRPRTNGELSDLSLQPKRIFSEPVNSHPSQSMFGNGVRASSGSYSLKRDLKDYEEELPSSKKRQRTPPPIVVTNFPQEIFPSKKISLSAKRRIQGKYSGENVRARIELARERNRKRDYVSNLSKGHTTNALEENPFNLGPNYRASTRKCNRIKEAINLFAAKNGSMEVPPKVSVGDSVLTTSQKFLQVIREKTALLMNQDSNSVQPQALAAAESPTTKAPTTKAPTSEAPPKGHVKQLAKQLGNIYMPQSINNVEPTSHSSISKVVNPSEKVISKIERACLAGNGNVHPSIKMEKNLELNPHPRTLNATEHKINSRIQVSKLNTKNELANADPKMYLLENLSDRLYFCKLAKLLLRKYPLDIAEHQFALVYSRFQRIPLKQISCLKQSLVAYYSVLSEVGITNEIMLRENRFSSPKTPEGLVSISKLLLDDREHLSHDERSYIQQLQSQIKSQSVHHENAAEEIRKMRNLRNSRINSQQVGEKVFVNPDVKTMDIQETFLQDYEDETFANEGLSASKFKEEFLLISDSKSDLNSEEIATPNSLEFKNNPRIKVPRSLLTILNLHDRSQLKLFEVCHNSEFKDPINLSNCLRNLLEKQLLSYNFTDWFASLGSEYENVYVKFISHYDFSSLNVYASFQKLCCDLYYGSDDYIHSPILQVFASCWLKQNSNYGFLNEDIIVKIVLILIDLHKSTYSKKLNSYVVPMETFVKYALEKLRPLISPDSVCILSKEDKKHWLKYKKNRSTFAKLLFSTWSNLPSDIGFILECMLKEYYDTFLKSPFAVPNAVQAQLHNQVRGDLTPKRNRSSLISELMKSSKLLKQESSGNKNSTSLESDAFKESSFVLNEENGGIYAGKEIDLPEPSVIDGRPHFSVFNYTHHMQEEKTHNRLPWHRRGMISYKKMVLSKNNRWVAGYWKKKYCIVDSGKLIFYKSDHLDPNACSNVSPIHREFGLQSCLASPNLPPSINSNRNNVFYLNIPGNECYLFEAPSVLAMNEWIHSLNFNAAMITCPPLPENITNTEYGWGYILTRAEKKAYYTAADGTKTFVGDLAQLTRWSPMDIQGLQDIPRPLRDKVHILRDCVPSLLETCLLFQSLPEKMEKCFAAGSKNYLKAMDNWNRKMKFLYERSMMYKEYQRVLECEYEYRKSHDFYPTLSPVRYPYDFKGL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSRPNTRNKNKRQRPDAVDSSSQILRKIHEANDVTDDDINQLFMIWKPVCQGCRVNTRDNPNCFCGLVPPLNGSRKSGLWQKTSEIIQSLGPDPTLDRRDSESTPAGLTNLGATCYANSILQCLYMNTAFREGVFSVEVHVLKQNPVLDQIARLFAQLHASQKSFVDSDAFVKTLELDNGVQQDTHEFLTLLLSLLERCLLHSGVKAKTIVQDLFSGSVSHVTTCSKCGRDSEASSKMEDFYALELNVKGLKSLDASLNDYLSLEQLNGDNQYFCGSCNARVDATRCIKLRTLPPVITFQLKRCIFLPKTTAKKKITSSFSFPQVLDMGSRLAESSQNKLTYDLSAVLIHKGSAVNSGHYVAHIKDEKTGLWWEFDDEHVSELGKRPCNEASSSTPQSESNGTASSGNITDGIQSGSSDCRSAIKSEVFSSSDAYMLMYSLRCDKQENQEGQKENPIDITKGEVKQLKGGYLPKHLSEWINNMNAVFLESCKQYNLRKEKELNALTERRQEVRTILSEAAVQSLEEQYFWISTDWLRLWADTTLPPALDNTPLLCSHGKVHASKVNCMKRISELAWIKLESKFNGGPKLGKGDYCRDCLMDGARMVVSSDSYRDRRTFMKSIANDVLSGKCEDGMYYISRAWLQQWIKRKNLDAPTEADAGPTNAITCNHGELMPEQAPGAKRVVVPENFWSFLFEDALKVMSEDTLDCTCFPVDSSQCCHCTEVLSEVACFEDSLRTLKVKQRQNHEKLATGKGIPLTPQSRYFLLPSPWLVQWRIYINMTGKNSSSAPEPERLDGVINTLKCKKHTRLLERLPELVCRRGSYFQKNPSTDKLTIIPELDWKYFCDEWGGLMENGISAFIEVGNTDQSSSPDVIDLEKDSSPDDNMDVDAQQLILRASPEICEECIGERESCELMQKLSYSEGDVFVCFVRGKEAPKAMLEASDSSFEVDRRTSKRSRRTNYGNLTSLKVSATTTVYQLKMMIWELLGVMKENQELHKGSKVIDQESATLADMNIFPGDRLWVRDTEMHEHRDIADELCEKKPGAQDIEEGFRGTLLTGNISSEAC", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRPIKKSRSLKLPKSILEEIGESDSSARRGKRNHNLPHREKRKFARISRGKNGYENRKITEEGDSKSSELNDDYLDAHRKSSTKKKSSQNKQAKESKLLDPIAFQHKIALEEDDREIAHLEKMLGIKSKDKSAHSKIDKEFGWLLEDLDQEIDDIGVPGTEDPSYGHSEDSEVSDDTGDHGSVDELESEREGNSGEEEEEFHGFESNSDEFHQPETKPIRMDPLKPAVPSLPNANVGSKYVPPSLRKKLGGDKESEDALRLRRKLQGSLNKLSIANISSIIKEIEVLYMENSRHSVTSTITNLLLQTVMGRESMLDQLAIVYAALATALYRIVGNDFGAHLLQTLVERFLQLYKSKEKEPLSSHKETSNLIVFFVELYNFQLVSCVLVYDLIRLFLRSLTELNVEMLLKIVLNCGGQLRSDDPTSLQDIVTEMNLLLASADPSTISVRTKFMVESITNLKENKKTKVANASAQSKFEAVNQLKKFLGSLGNRSLNAREPLRVTLEDIEQIETKGRWWLVGASWNNVPSGDNTLSTEALQDKKKSEELTAHSKILQAAKKLRLNSTLRTSIFVALVGSEDYIDAWERVLKLHLKRNQLPEIAYVILHCVGNEKLYNPFYGLVALKCCTLQHNLKKSFQFSLWDFFNELQPDDDSEEREISMRRIVNLAKLYASLVIEAAQPLTILKHVDFMAINAQMQTFLLVFFTDIILGVKDDLQLVKIFENCKAEKNLSSKVDWFLKTYVRKNPLVDNSKKALFKSNLAMASAILQSISKEEI", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDSSSFIQFDVPEYSSTVLSQLNELRLQGKLCDIIVHIQGQPFRAHKAVLAASSPYFRDHSALSTMSGLSISVIKNPNVFEQLLSFCYTGRMSLQLKDVVSFLTAASFLQMQCVIDKCTQILESIHSKISVGDVDSVTVGAEENPESRNGVKDSSFFANPVEISPPYCSQGRQPTASSDLRMETTPSKALRSRLQEEGHSDRGSSGSVSEYEIQIEGDHEQGDLLVRESQITEVKVKMEKSDRPSCSDSSSLGDDGYHTEMVDGEQVVAVNVGSYGSVLQHAYSYSQAASQPTNVSEAFGSLSNSSPSRSMLSCFRGGRARQKRALSVHLHSDLQGLVQGSDSEAMMNNPGYESSPRERSARGHWYPYNERLICIYCGKSFNQKGSLDRHMRLHMGITPFVCKFCGKKYTRKDQLEYHIRGHTDDKPFRCEICGKCFPFQGTLNQHLRKNHPGVAEVRSRIESPERTDVYVEQKLENDASASEMGLDSRMEIHTVSDAPD", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MECPHLSSSVCIAPDSAKFPNGSPSSWCCSVCRSNKSPWVCLTCSSVHCGRYVNGHAKKHYEDAQVPLTNHKKSEKQDKVQHTVCMDCSSYSTYCYRCDDFVVNDTKLGLVQKVREHLQNLENSAFTADRHKKRKLLENSTLNSKLLKVNGSTTAICATGLRNLGNTCFMNAILQSLSNIEQFCCYFKELPAVELRNGKTAGRRTYHTRSQGDNNVSLVEEFRKTLCALWQGSQTAFSPESLFYVVWKIMPNFRGYQQQDAHEFMRYLLDHLHLELQGGFNGVSRSAILQENSTLSASNKCCINGASTVVTAIFGGILQNEVNCLICGTESRKFDPFLDLSLDIPSQFRSKRSKNQENGPVCSLRDCLRSFTDLEELDETELYMCHKCKKKQKSTKKFWIQKLPKVLCLHLKRFHWTAYLRNKVDTYVEFPLRGLDMKCYLLEPENSGPESCLYDLAAVVVHHGSGVGSGHYTAYATHEGRWFHFNDSTVTLTDEETVVKAKAYILFYVEHQAKAGSDKL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVDTESPICPLSPLEADDLESPLSEEFLQEMGNIQEISQSIGEESSGSFGFADYQYLGSCPGSEGSVITDTLSPASSPSSVSCPVIPASTDESPGSALNIECRICGDKASGYHYGVHACEGCKGFFRRTIRLKLVYDKCDRSCKIQKKNRNKCQYCRFHKCLSVGMSHNAIRFGRMPRSEKAKLKAEILTCEHDLKDSETADLKSLGKRIHEAYLKNFNMNKVKARVILAGKTSNNPPFVIHDMETLCMAEKTLVAKMVANGVEDKEAEVRFFHCCQCMSVETVTELTEFAKAIPGFANLDLNDQVTLLKYGVYEAIFTMLSSLMNKDGMLIAYGNGFITREFLKNLRKPFCDIMEPKFDFAMKFNALELDDSDISLFVAAIICCGDRPGLLNIGYIEKLQEGIVHVLKLHLQSNHPDDTFLFPKLLQKMVDLRQLVTEHAQLVQVIKKTESDAALHPLLQEIYRDMY", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKTIKPLPEGVRHSMRSGIIMFDMARVVEELVFNSLDAGATKVSIFVGVVSCSVKVVDDGSGVSRDDLVLLGERYATSKFHDFTNVETASETFGFRGEALASISDISLLEVRTKAIGRPNGYRKVMKGSKCLHLGIDDDRKDSGTTVTVRDLFYSQPVRRKYMQSSPKKVLESIKKCVFRIALVHSNVSFSVLDIESDEELFQTNPSSSAFSLLMRDAGTEAVNSLCKVNVTDGMLNVSGFECADDWKPTDGQQTGRRNRLQSNPGYILCIACPRRLYEFSFEPSKTHVEFKKWGPVLAFIERITLANWKKDRILELFDGGADILAKGDRQDLIDDKIRLQNGSLFSILHFLDADWPEAMEPAKKKLKRSNDHAPCSSLLFPSADFKQDGDYFSPRKDVWSPECEVELKIQNPKEQGTVAGFESRTDSLLQSRDIEMQTNEDFPQVTDLLETSLVADSKCRKQFLTRCQITTPVNINHDFMKDSDVLNFQFQGLKDELDVSNCIGKHLLRGCSSRVSLTFHEPKLSHVEGYESVVPMIPNEKQSSPRVLETREGGSYCDVYSDKTPDCSLGSSWQDTDWFTPQCSSDRGCVGIGEDFNITPIDTAEFDSYDEKVGSKKYLSSVNVGSSVTGSFCLSSEWSPMYSTPSATKWESEYQKGCRILEQSLRLGRMPDPEFCFSAANNIKFDHEVIPEMDCCETGTDSFTAIQNCTQLADKICKSSWGHADDVRIDQYSIRKEKFSYMDGTQNNAGKQRSKRSRSAPPFYREKKRFISLSCKSDTKPKNSDPSEPDDLECLTQPCNASQMHLKCSILDDVSYDHIQETEKRLSSASDLKASAGCRTVHSETQDEDVHEDFSSEEFLDPIKSTTKWRHNCAVSQVPKESHELHGQDGVFDISSGLLHLRSDESLVPESINRHSLEDAKVLQQVDKKYIPIVACGTVAIVDQHAADERIRLEELRTKVLAGKARTVTYLSADQELVLPEMGYQLLQSYSEQIRDWGWICNITVEGSTSFKKNMSIIQRKPTPITLNAVPCILGVNLSDVDLLEFLQQLADTDGSSTIPPSVLRVLNSKACRGAIMFGDSLLPSECSLIIDGLKQTSLCFQCAHGRPTTVPLVDLKALHKQIAKLSGRQVWHGLQRREITLDRAKSRLDNAKS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKLKMNKACDIASISVLPPRRTGGSSGASASGSVAVAVASQPRSQPLSQSQQSFSQGASASLLHSQSQFSQVSLDDNLLTLLPSPTRDQRFGLHDDSSKRMSSLPASSASCAREESQLQLAKLPSNPVHRWNPSIADTRSGQVTNEDVERKFQHLASSVHKMGMVVDSVQSDVMQLNRAMKEASLDSGSIRQKIAVLESSLQQILKGQDDLKALFGSSTKHNPDQTSVLNSLGSKLNEISSTLATLQTQMQARQLQGDQTTVLNSNASKSNEISSTLATLQTQMQADIRQLRCDVFRVFTKEMEGVVRAIRSVNSRPAAMQMMADQSYQVPVSNGWTQINQTPVAAGRSPMNRAPVAAGRSRMNQLPETKVLSAHLVYPAKVTDLKPKVEQGKVKAAPQKPFASSYYRVAPKQEEVAIRKVNIQVPAKKAPVSIIIESDDDSEGRASCVILKTETGSKEWKVTKQGTEEGLEILRRARKRRRREMQSIVLAS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVQQTNNAENTEALLAGESSDSGAGLELGIASSPTPGSTASTGGKADDPSWCKTPSGHIKRPMNAFMVWSQIERRKIMEQSPDMHNAEISKRLGKRWKLLKDSDKIPFIREAERLRLKHMADYPDYKYRPRKKVKSGNANSSSSAAASSKPGEKGDKVGGSGGGGHGGGGGGGSSNAGGGGGGASGGGANSKPAQKKSCGSKVAGGAGGGVSKPHAKLILAGGGGGGKAAAAAAASFAAEQAGAAALLPLGAAADHHSLYKARTPSASASASSAASASAALAAPGKHLAEKKVKRVYLFGGLGTSSSPVGGVGAGADPSDPLGLYEEEGAGCSPDAPSLSGRSSAASSPAAGRSPADHRGYASLRAASPAPSSAPSHASSSASSHSSSSSSSGSSSSDDEFEDDLLDLNPSSNFESMSLGSFSSSSALDRDLDFNFEPGSGSHFEFPDYCTPEVSEMISGDWLESSISNLVFTY", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNSYFEQGGFYGAHGVHQGGGGGDQYRGFPLGLTYAQPHALHQPRPQDSPYDASVAAACKLYAGEQQYAKADCSKAGGEQQNGYGGKEAWGSGLGALVRPAACTPEARYSESSSPGRALPWGNQCALPGAAASAQPVQHQPTNHTFYPWMAIAGANGLRRRGRQTYTRYQTLELEKEFHTNHYLTRRRRIEMAHALCLTERQIKIWFQNRRMKLKKEIQAIKELNEQEKQAQAQKAAAAAAAAAAAAQGHPEH", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPKGGCPKAPQQEELPLSSDMVEKQTGKKDKDKVSLTKTPKLERGDGGKEVRERASKRKLPFTAGANGEQKDSDTEKQGPERKRIKKEPVTRKAGLLFGMGLSGIRAGYPLSERQQVALLMQMTAEESANSPVDTTPKHPSQSTVCQKGTPNSASKTKDKVNKRNERGETRLHRAAIRGDARRIKELISEGADVNVKDFAGWTALHEACNRGYYDVAKQLLAAGAEVNTKGLDDDTPLHDAANNGHYKVVKLLLRYGGNPQQSNRKGETPLKVANSPTMVNLLLGKGTYTSSEESSTESSEEEDAPSFAPSSSVDGNNTDSEFEKGLKHKAKNPEPQKATAPVKDEYEFDEDDEQDRVPPVDDKHLLKKDYRKETKSNSFISIPKMEVKSYTKNNTIAPKKASHRILSDTSDEEDASVTVGTGEKLRLSAHTILPGSKTREPSNAKQQKEKNKVKKKRKKETKGREVRFGKRSDKFCSSESESESSESGEDDRDSLGSSGCLKGSPLVLKDPSLFSSLSASSTSSHGSSAAQKQNPSHTDQHTKHWRTDNWKTISSPAWSEVSSLSDSTRTRLTSESDYSSEGSSVESLKPVRKRQEHRKRASLSEKKSPFLSSAEGAVPKLDKEGKVVKKHKTKHKHKNKEKGQCSISQELKLKSFTYEYEDSKQKSDKAILLENDLSTENKLKVLKHDRDHFKKEEKLSKMKLEEKEWLFKDEKSLKRIKDTNKDISRSFREEKDRSNKAEKERSLKEKSPKEEKLRLYKEERKKKSKDRPSKLEKKNDLKEDKISKEKEKIFKEDKEKLKKEKVYREDSAFDEYCNKNQFLENEDTKFSLSDDQRDRWFSDLSDSSFDFKGEDSWDSPVTDYRDMKSDSVAKLILETVKEDSKERRRDSRAREKRDYREPFFRKKDRDYLDKNSEKRKEQTEKHKSVPGYLSEKDKKRRESAEAGRDRKDALESCKERRDGRAKPEEAHREELKECGCESGFKDKSDGDFGKGLEPWERHHPAREKEKKDGPDKERKEKTKPERYKEKSSDKDKSEKSILEKCQKDKEFDKCFKEKKDTKEKHKDTHGKDKERKASLDQGKEKKEKAFPGIISEDFSEKKDDKKGKEKSWYIADIFTDESEDDRDSCMGSGFKMGEASDLPRTDGLQEKEEGREAYASDRHRKSSDKQHPERQKDKEPRDRRKDRGAADAGRDKKEKVFEKHKEKKDKESTEKYKDRKDRASVDSTQDKKNKQKLPEKAEKKHAAEDKAKSKHKEKSDKEHSKERKSSRSADAEKSLLEKLEEEALHEYREDSNDKISEVSSDSFTDRGQEPGLTAFLEVSFTEPPGDDKPRESACLPEKLKEKERHRHSSSSSKKSHDRERAKKEKAEKKEKGEDYKEGGSRKDSGQYEKDFLEADAYGVSYNMKADIEDELDKTIELFSTEKKDKNDSEREPSKKIEKELKPYGSSAINILKEKKKREKHREKWRDEKERHRDRHADGLLRHHRDELLRHHRDEQKPATRDKDSPPRVLKDKSRDEGPRLGDAKLKEKFKDGAEKEKGDPVKMSNGNDKVAPSKDPGKKDARPREKLLGDGDLMMTSFERMLSQKDLEIEERHKRHKERMKQMEKLRHRSGDPKLKEKAKPADDGRKKGLDIPAKKPPGLDPPFKDKKLKESTPIPPAAENKLHPASGADSKDWLAGPHMKEVLPASPRPDQSRPTGVPTPTSVLSCPSYEEVMHTPRTPSCSADDYADLVFDCADSQHSTPVPTAPTSACSPSFFDRFSVASSGLSENASQAPARPLSTNLYRSVSVDIRRTPEEEFSVGDKLFRQQSVPAASSYDSPMPPSMEDRAPLPPVPAEKFACLSPGYYSPDYGLPSPKVDALHCPPAAVVTVTPSPEGVFSSLQAKPSPSPRAELLVPSLEGALPPDLDTSEDQQATAAIIPPEPSYLEPLDEGPFSAVITEEPVEWAHPSEQALASSLIGGTSENPVSWPVGSDLLLKSPQRFPESPKRFCPADPLHSAAPGPFSASEAPYPAPPASPAPYALPVAEPGLEDVKDGVDAVPAAISTSEAAPYAPPSGLESFFSNCKSLPEAPLDVAPEPACVAAVAQVEALGPLENSFLDGSRGLSHLGQVEPVPWADAFAGPEDDLDLGPFSLPELPLQTKDAADGEAEPVEESLAPPEEMPPGAPGVINGGDVSTVVAEEPPALPPDQASTRLPAELEPEPSGEPKLDVALEAAVEAETVPEERARGDPDSSVEPAPVPPEQRPLGSGDQGAEAEGPPAASLCAPDGPAPNTVAQAQAADGAGPEDDTEASRAAAPAEGPPGGIQPEAAEPKPTAEAPKAPRVEEIPQRMTRNRAQMLANQSKQGPPPSEKECAPTPAPVTRAKARGSEDDDAQAQHPRKRRFQRSTQQLQQQLNTSTQQTREVIQQTLAAIVDAIKLDAIEPYHSDRANPYFEYLQIRKKIEEKRKILCCITPQAPQCYAEYVTYTGSYLLDGKPLSKLHIPVIAPPPSLAEPLKELFRQQEAVRGKLRLQHSIEREKLIVSCEQEILRVHCRAARTIANQAVPFSACTMLLDSEVYNMPLESQGDENKSVRDRFNARQFISWLQDVDDKYDRMKTCLLMRQQHEAAALNAVQRMEWQLKVQELDPAGHKSLCVNEVPSFYVPMVDVNDDFVLLPA", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPKSGFTKPIQSENSDSDSNMVEKPYGRKSKDKIASYSKTPKIERSDVSKEMKEKSSMKRKLPFTISPSRNEERDSDTDSDPGHTSENWGERLISSYRTYSEKEGPEKKKTKKEAGNKKSTPVSILFGYPLSERKQMALLMQMTARDNSPDSTPNHPSQTTPAQKKTPSSSSRQKDKVNKRNERGETPLHMAAIRGDVKQVKELISLGANVNVKDFAGWTPLHEACNVGYYDVAKILIAAGADVNTQGLDDDTPLHDSASSGHRDIVKLLLRHGGNPFQANKHGERPVDVAETEELELLLKREVPLSDDDESYTDSEEAQSVNPSSVDENIDSETEKDSLICESKQILPSKTPLPSALDEYEFKDDDDEEINKMIDDRHILRKEQRKENEPEAEKTHLFAKQEKAFYPKSFKSKKQKPSRVLYSSTESSDEEALQNKKISTSCSVIPETSNSDMQTKKEYVVSGEHKQKGKVKRKLKNQNKNKENQELKQEKEGKENTRITNLTVNTGLDCSEKTREEGNFRKSFSPKDDTSLHLFHISTGKSPKHSCGLSEKQSTPLKQEHTKTCLSPGSSEMSLQPDLVRYDNTESEFLPESSSVKSCKHKEKSKHQKDFHLEFGEKSNAKIKDEDHSPTFENSDCTLKKMDKEGKTLKKHKLKHKEREKEKHKKEIEGEKEKYKTKDSAKELQRSVEFDREFWKENFFKSDETEDLFLNMEHESLTLEKKSKLEKNIKDDKSTKEKHVSKERNFKEERDKIKKESEKSFREEKIKDLKEERENIPTDKDSEFTSLGMSAIEESIGLHLVEKEIDIEKQEKHIKESKEKPEKRSQIKEKDIEKMERKTFEKEKKIKHEHKSEKDKLDLSECVDKIKEKDKLYSHHTEKCHKEGEKSKNTAAIKKTDDREKSREKMDRKHDKEKPEKERHLAESKEKHLMEKKNKQSDNSEYSKSEKGKNKEKDRELDKKEKSRDKESINITNSKHIQEEKKSSIVDGNKAQHEKPLSLKEKTKDEPLKTPDGKEKDKKDKDIDRYKERDKHKDKIQINSLLKLKSEADKPKPKSSPASKDTRPKEKRLVNDDLMQTSFERMLSLKDLEIEQWHKKHKEKIKQKEKERLRNRNCLELKIKDKEKTKHTPTESKNKELTRSKSSEVTDAYTKEKQPKDAVSNRSQSVDTKNVMTLGKSSFVSDNSLNRSPRSENEKPGLSSRSVSMISVASSEDSCHTTVTTPRPPVEYDSDFMLESSESQMSFSQSPFLSIAKSPALHERELDSLADLPERIKPPYANRLSTSHLRSSSVEDVKLIISEGRPTIEVRRCSMPSVICEHTKQFQTISEESNQGSLLTVPGDTSPSPKPEVFSNVPERDLSNVSNIHSSFATSPTGASNSKYVSADRNLIKNTAPVNTVMDSPVHLEPSSQVGVIQNKSWEMPVDRLETLSTRDFICPNSNIPDQESSLQSFCNSENKVLKENADFLSLRQTELPGNSCAQDPASFMPPQQPCSFPSQSLSDAESISKHMSLSYVANQEPGILQQKNAVQIISSALDTDNESTKDTENTFVLGDVQKTDAFVPVYSDSTIQEASPNFEKAYTLPVLPSEKDFNGSDASTQLNTHYAFSKLTYKSSSGHEVENSTTDTQVISHEKENKLESLVLTHLSRCDSDLCEMNAGMPKGNLNEQDPKHCPESEKCLLSIEDEESQQSILSSLENHSQQSTQPEMHKYGQLVKVELEENAEDDKTENQIPQRMTRNKANTMANQSKQILASCTLLSEKDSESSSPRGRIRLTEDDDPQIHHPRKRKVSRVPQPVQVSPSLLQAKEKTQQSLAAIVDSLKLDEIQPYSSERANPYFEYLHIRKKIEEKRKLLCSVIPQAPQYYDEYVTFNGSYLLDGNPLSKICIPTITPPPSLSDPLKELFRQQEVVRMKLRLQHSIEREKLIVSNEQEVLRVHYRAARTLANQTLPFSACTVLLDAEVYNVPLDSQSDDSKTSVRDRFNARQFMSWLQDVDDKFDKLKTCLLMRQQHEAAALNAVQRLEWQLKLQELDPATYKSISIYEIQEFYVPLVDVNDDFELTPI", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSPARLPISRESCLTIPAGFSPSALLDSPVLLTNFKVEPSPTTGSLGMAAILHKSAHPDMLPSPRDKSVRNAHEDRGSRDFEFKPHLNSSSQSLAPAMSDLKKHEHSMQNQSMNPSSSSSNMVNENRPPCSRESSLTVNVSAQNQPVGMVGLTDSMPAEVGTSEPQQMNSSDNAMQEPQSENVADKSADDGYNWRKYGQKHVKGSENPRSYYKCTHPNCEVKKLLERAVDGLITEVVYKGRHNHPKPQPNRRLAGGAVPSNQGEERYDGASAADDKSSNALSNLANPVHSPGMVEPVPASVSDDDIDAGGGRPYPGDDATEEEDLESKRRKMESAGIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSTGCPVRKHVERASHDPKSVITTYEGKHNHEVPAARNATHEMSAPPMKNVVHQINSNMPSSIGGMMRACEARNYTNQYSQAAETDTVSLDLGVGISPNHSDATNQMQSSGPDQMQYQMQTMGSMYGNMRHPSSMAAPAVQGNSAARMYGSREEKGNEGFTFRATPMDHSANLCYSSAGNLVMGP", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGFPRIISKNNKIYTKLGAFCLSGDGQQFWIVCHTCQEELQTQDKFWKHIQDEHNFMHGPKQEQGRTAAQAYMEAAEAAAMTPLPLYRKVSENDQQRDDVVSTEDEDMQKEPKDYTEMRAHDDQQQTAAVAIDIKLEPSSLSQQSAVQAQQQQQQQQQQQQQQQQQQQQQEQLQQQQQQQQTQQIEITTPLMYQIPQVHPPVSAYAALVQAPAINTLNMSVAAAAAAVASNQVPSTMANLLPQELQYKQELQYKQEVQQHKESTNNSTTASASSAMSSDDGERFYICDFENCGLKFKYHSRLELHRSVHSKVRRFACEICGASFKQSCNLSTHRKKKHALKGTTKATLVPSQSF", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTQAEKGDTENGKEKGGEKEKEQRGVKRPIVPALVPESLQEQIQSNFIIVIHPGSTTLRIGRATDTLPASIPHVIARRHKQQGQPLYKDSWLLREGLNKPESNEQRQNGLKMVDQAIWSKKMSNGTRRIPVSPEQARSYNKQMRPAILDHCSGNKWTNTSHHPEYLVGEEALYVNPLDCYNIHWPIRRGQLNIHPGPGGSLTAVLADIEVIWSHAIQKYLEIPLKDLKYYRCILLIPDIYNKQHVKELVNMILMKMGFSGIVVHQESVCATYGSGLSSTCIVDVGDQKTSVCCVEDGVSHRNTRLCLAYGGSDVSRCFYWLMQRAGFPYRECQLTNKMDCLLLQHLKETFCHLDQDISGLQDHEFQIRHPDSPALLYQFRLGDEKLQAPMALFYPATFGIVGQKMTTLQHRSQGDPEDPHDEHYLLATQSKQEQSAKATADRKSASKPIGFEGDLRGQSSDLPERLHSQEVDLGSAQGDGLMAGNDSEEALTALMSRKTAISLFEGKALGLDKAILHSIDCCSSDDTKKKMYSSILVVGGGLMFHKAQEFLQHRILNKMPPSFRRIIENVDVITRPKDMDPRLIAWKGGAVLACLDTTQELWIYQREWQRFGVRMLRERAAFVW", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKAAAQSNSKNYVFLPFSKRVENLKIDVAHKIPRAADLEDEDVESYFISCLRKWEDLNLSTHYVNFLRSVTPYSQSLPQIVYHQKTIFDLIVQYAREGDSLSLQPILELLTQFARDLALEFASYIDQTLELLCILVQNNELEVVDWSFHAAAYLFKYLRKILAPRLIHTYDILSPLLGKEKQKSHVTRFTAEALSFLCKTVSYENAIEFTGHVLLDLNDHYTPQYHEGVVILFSRIIQGVDTSIHFKGKAFFEILLRSEIYTLPRSKSVIIPVLISTIHHCTSDSFNELEKLIISKITGEPLLYINLFKATLVTRKGSRVSDYPSFFKAYLQIPNLVSWENIESDLSVLIFEISALLFVYPQISDLMPHTVRIISFLQQGPLHLFFSFVDVVKQLNMQRYDSFIKPSLPKFISSLVSDESQKSLAILESVLDNSVPVPVDVASVSLNHFVYCIERLQIVSPSYEDIISLWSSLMIIISSSLGSDEIYKACLLFLRKLEDVSSESVLLGDICGIVLHLLQRKVSRLFLDSSYYKPILDSLLGVFGFLADSKVFLESIRPFFGTSYDFCSSYTSLMDRLINNLSRGLTSLRAASIDLIIALCKSLQKNEVLQSLSLVKKLSELPFDPSTSRDASVLLRNLSAKSSALEKDTRRVVLHALLGLTITRFTPLWPDLSRTAASIVTKEVEDEFLAIIYSWLSLPSPPSGLLGPTDTAVFVKPDLSLEKLPTLEINTFNCPAISYFETSFDECFSKFASSDNYIIGNLLKTNQEDLSNLPTFRSQALRVLNELPEIASRNINVLDNYLFSLQHGFDLNTEWARPDVYLLLGLYSKFTGIKEFVNRDARKEFFLWALTINDPKVQKLVLDIILLYSEEAITTYEENLRNLLDDKKCRDELITFLFVDYADSKIQDIHRPLLMPVVISILYGKMVSKGYGGQKNQAARRSTILSALGNMQVEDLQILVDIMLRPYNGLEVKLNANNNLEIDTGNIPSLTLRRQIGFLTMTEELLLQISSKLSSVAPKILNAVLYNLVVSDDQISSQEAFENFEVKMAYTVRQLSLKVFLLFLKSCSDVDFKPYNVFIYTAFVVPRLDRFADENTQSVSNLMKIFRCWFENEAYLDSVLEFSSHILTALLNTASHTAVKLPVLLYILDTLNLVITHLQSEESESQLREKILANLVMPNITLIFASLSNILKNPQFCNNNRVMDGSVQALSAVSEYMSLDIDSSPLLNLLVSFLRKPNRLVPSNVKSNILVLLCKLLPTNTKWLHASISQTNDFDTIMHLYTSMVDIKARQHLNDLLKIYSTIDDNLIFSSVFVEEINSISKKRLDEPDFERRLSAFTSFNEKHFSLISDLAWLPVLYNFFFYVQDAEELAIRASASLGIKRFIESITMNDASNQFKIDVFVKFIFPFIKNQMKNKNELIRQEFIGLLSYSIKSLTMVDAISDMQPLLYEGDEEANFFNNILHIQLHRRKRAMKRLVNVCAIGVIRSGNISQIFLPLLENFCLGNDTVQTLLDESVITIGEIIKWAHWNQYQAILKRYVSLLKNNAIDQKVVVRLITAVVSALRPLDDAVASYTNSEMNIEQFDGQKKKCVLASSLPSEERFTEVLTNDFFPTLMLYLHIRDESTVTLRVAIALSIVQLVALLPEEEIVLRLTPVLIDTCHILRSRSLESRDATRKALAAISKFLGPKYFSFIISQLQTSLKRGYQLHVLGYTVHYLLLAIEDVYPYGSIDYCMDSLAQIFVDEIFGEVGVEKDSEDYKSNVKEIKGNKSYDSYEIVARISSFDSLSTLLRPVKNVLFETNVPKSLRKVDELCRRLSLGIVANKQSASQSSLIFCYNVYEFVVKEKETVAALKQQENDGYRSAPNFFLENSKKLIRFTFDVLRGVSNKHKELLTARNMAAFVPLIGESLLSSSEEVQISALRFLVLLLPLKIDQVFSGSSVFTSQAVKYIQNSPSTNTELCQASFKFLASILPYENVKIKESTINYLLERVGTDIQEPDRQGVMFSLVRAVIARKIMTPELYKIIDLIRDMMVTNHTKSTRQTCRHLYYSFLLDYPQGKTRLSKQISFILKNLEYEFAPGRESVMELLHLILNNFSDALLKEYHQGIFIALVMVLANDSEPHCREMSAELIKLVYQRADNENFNLIRQLLSHWTSVEKAGKNLVRVSMQLFGLLFETFGFERMEEVHLFTKVFERVLSTTISHPEEATNEWELNYFGLQSWLKLVLADPKKSCEKEFSKIWESMRYLILFKHAWVRLSVSRLFGHFFAIIGDSNFGKLSLGIDGVVFSLDFVTQISNALQAQLRSPVLSEELGMQVAKNLIFLTRWFNSIRSSDDSPFLEIFRRMRKTLKKQTIEEYSINKKYLMQWFASVIHVFSGEELQPVLSEIIAALYRYTELQEAERKSQQELADLVTESLQVLQEKVGATVFARAYQEVRNAAIEVRRERREKRAIEQVVAPEVASRKKIRKNERKRENRKQKTNHHRMVNSIFKNR", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVSNQDNVQRNDVQKYPITRFVKRKSNVVLKKNKGAMKSNSNSRSRGNKKLKESQFSSRDNFRTTQTQASSSSEPSDNTNRLVPVVIIDNNTPKKEESNAEKLKLDKDSSSVNYENQISKPLITFSDIHETVNVPFLCLDKHDSKNEVAINSHEDSPVCLEDITGSLSSTYGNDSLGESNLEELPTSDKIKGENMGSRKKRKGFVIDSEDSDTGIPREENVTITRKTKLSSNILYSDSDTERQSDSGSKNVARQFSRIKRKRKVLSSSSEDDESSSPEDLLKPIIRSTEEMENLNELEQEVQDLDPIDEGFEEKVPRFRNPSKKAFYEKLHSLRNRSYSKLESLTSEKSDTLITKSELANESEEDDFIVDDEDTEVMMNARSLLPAEFSMTSHQGLKAHFRNFMMFIVQQAIDPIDASDISDHYLFSRRTIRKQLYSSVDSNIISSIWQSEFIKLIKTVPNMKSAKIDATYGCDACNIHTRMSTQIVYFKGMPYNEHNYKELDSFEPITKEAWMLGNSCFNRARIAHSIYHWEYKVSRHIQVELKFREASNTSEQGKNIVESIYEDLKDSNFFETTWVELCNLLKLASSSFESNFHSRSSM", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAGIESKQRRAQKKAAKAAMKEKKNKESNESSTSVEALNEKEMINTIKSPIIETADTADQENESEGSDEVELSDLEGIELEEDADLIRKRKLAINNTVALENIYERIKYPDDISFVENQAVTTKEPIIIENVEDDLARELAFYKQGVSSVKAAFAKLREANVLISRPHDYFAEMLKSDDHMEKVRQELIKEATAKKLSQQAKKQRELKKFGKQVQLAKQEERQREKKETLEKINLLKRKHTGGDLTTEDDFDIALSSASADTFKKGSRSTKSRPQPNPKRQKKNEKYGFGGPKHRSKSNDLDSLAATEFGRKGLKNIKSKKRPGKARREKARK", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPLNVSFTNRNYDLDYDSVQPYFYCDEEENFYQQQQQSELQPPAPSEDIWKKFELLPTPPLSPSRRSGLCSPSYVAVTPFSLRGDNDGGGGSFSTADQLEMVTELLGGDMVNQSFICDPDDETFIKNIIIQDCMWSGFSAAAKLVSEKLASYQAARKDSGSPNPARGHSVCSTSSLYLQDLSAAASECIDPSVVFPYPLNDSSSPKSCASQDSSAFSPSSDSLLSSTESSPQGSPEPLVLHEETPPTTSSDSEEEQEDEEEIDVVSVEKRQAPGKRSESGSPSAGGHSKPPHSPLVLKRCHVSTHQHNYAAPPSTRKDYPAAKRVKLDSVRVLRQISNNRKCTSPRSSDTEENVKRRTHNVLERQRRNELKRSFFALRDQIPELENNEKAPKVVILKKATAYILSVQAEEQKLISEEDLLRKRREQLKHKLEQLRNSCA", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTYVTYLHKPSSIRNAVFCKFVNASSWNVIVAKVNCLEVYSYENNRLCLITSANIFAKIVNVKAFKPVSSPTDHIIVATDSFRYFTLFWDANDNTVSNGIKIQDCSERSLRESQSGPLLLVDPFQRVICLHVYQGLLTIIPIFKSKKRFMTSHNNPSLHDNFSVRIQELNVVDIAMLYNSSRPSLAVLYKDSKSIVHLSTYKINVREQEIDEDDVVCHDIEEGKLIPSENGGVFVFGEMYVYYISKDIQVSKLLLTYPITAFSPSISNDPETGLDSSIYIVADESGMLYKFKALFTDETVSMELEKLGESSIASCLIALPDNHLFVGSHFNNSVLLQLPSITKNNHKLEILQNFVNIAPISDFIIDDDQTGSSIITCSGAYKDGTLRIIRNSINIENVALIEMEGIKDFFSVSFRANYDNYIFLSLICETRAIIVSPEGVFSANHDLSCEESTIFVSTIYGNSQILQITTKEIRLFDGKKLHSWISPMSITCGSSFADNVCVAVAGGLILFFEGITEVGRYQCDTEVSSLCFTEENVVYVGLWSADIIMLTYCQDGISLTHSLKLTDIPRSIVYSQKYGDDGGTLYVSTNNGYVLMFNFQNGQVIEHSLRRNQLGVAPIILKHFDSKEKNAIFALGEKPQLMYYESDKLVITPLSCTEMLNISSYVNPSLGVNMLYCTNSYISLAKMSEIRSLNVQTVSVKGFPRRICSNSLFYFVLCMQLEESIGTQEQRLLSFLRVYEKNTLSEIAHHKFNEYEMVESIILMNDDKRVVVGTGFNFPDQDAPDSGRLMVFEMTSDNNIEMQAEHKVQGSVNTLVLYKHLIVAGINASVCIFEYEHGTMHVRNSIRTPTYTIDISVNQDEIIAADLMKSITVLQFIDDQLIEVARDYHPLWATSVEILSERKYFVTEADGNAVILLRDNVSPQLSDRKKLRWYKKFYLGELINKTRHCTFIEPQDKSLVTPQLLCATVDGSLMIVGDAGMSNTPLLLQLQDNIRKVIPSFGGLSHKEWKEYRGENETSPSDLIDGSLIESILGLREPILNEIVNGGHEGTKLDISVQDLKSIIENLEKLHP", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSDNEDNFDGDDFDDVEEDEGLDDLENAEEEGQENVEILPSGERPQANQKRITTPYMTKYERARVLGTRALQIAMCAPVMVELEGETDPLLIAMKELKARKIPIIIRRYLPDGSYEDWGVDELIITD", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSGPVPSRARVYTDVNTHRPREYWDYESHVVEWGNQDDYQLVRKLGRGKYSEVFEAINITNNEKVVVKILKPVKKKKIKREIKILENLRGGPNIITLADIVKDPVSRTPALVFEHVNNTDFKQLYQTLTDYDIRFYMYEILKALDYCHSMGIMHRDVKPHNVMIDHEHRKLRLIDWGLAEFYHPGQEYNVRVASRYFKGPELLVDYQMYDYSLDMWSLGCMLASMIFRKEPFFHGHDNYDQLVRIAKVLGTEDLYDYIDKYNIELDPRFNDILGRHSRKRWERFVHSENQHLVSPEALDFLDKLLRYDHQSRLTAREAMEHPYFYTVVKDQARMGSSSMPGGSTPVSSANMMSGISSVPTPSPLGPLAGSPVIAAANPLGMPVPAAAGAQQ", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEADESGISLPSGPDGRKRRVSYFYEPTIGDYYYGQGHPMKPHRIRMAHSLIIHYHLHRRLEISRPSLADASDIGRFHSPEYVDFLASVSPESMGDPSAARNLRRFNVGEDCPVFDGLFDFCRASAGGSIGAAVKLNRQDADIAINWGGGLHHAKKSEASGFCYVNDIVLGILELLKMFKRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIRDVGAEKGKYYALNVPLNDGMDDESFRSLFRPLIQKVMEVYQPEAVVLQCGADSLSGDRLGCFNLSVKGHADCLRFLRSYNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNEYFEYFGPDYTLHVDPSPMENLNTPKDMERIRNTLLEQLSGLIHAPSVQFQHTPPVNRVLDEPEDDMETRPKPRIWSGTATYESDSDDDDKPLHGYSCRGGATTDRDSTGEDEMDDDNPEPDVNPPSS", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDRSREAEMELRRGPSPPRAGRSHEVDGDKAACHSCCICGKSFPFQSSLSQHMRKHTGEKPYKCPYCDHRASQKGNLKIHIRSHRTGTLIQGHEPEAGEAQLGEMRVSEGLDGCASPTKSTSACNRVLNGAVPMDGSKILLRSSRKEVEGAASAQEDTEATVPCSFCKSRFERKKDLELHVHQAHKPFKCRLCSYVTLREESLLSHIERDHITAQVPNGSEACVENGKPELSPGEFPCEVCGQAFSQTWFLKAHMKKHRGSFDHGCHICGRRFKEPWFLKNHMKAHGPKAGSKNRPKSELDPIATINNVVQEEVIVAGLSLYEVCTKCGNLFTNLDSLNAHNAIHRKVEASRIRAPAEEGDSEDPLDTKQFFLQCLNLTPYVAGDVSPGGQAGRRVAELDPVNSYQAWQLATRGKVAEPAEYLKYGTWDEALAGDVAFDKDKREYILVSQEKRKREQDAPATQAPPRKRASVPGDPMLSGHLDPRPTSRPNRRASATTGQGKSSECFECGKIFRTYHQMVLHSRVHRRARRDRDPEGDRAARARCGSLSEGDSASQPSSPGSACAIADSPGLAEEVVDDSGEEAVPEPASGGQPRHCCSSGEVTPTALSNGDQNHKLGNNLPEKDISEPKVGSAMPSVSILENSSRETTKGPEQHRYSLDLKMPAFHPKQEVPSTTDRVDFPASMEITSLQHTLDSQAGHSKEKLSDLHKEHCGVGKRASAPDLVPLDLSMRSSRDEPSGKEACSLQAALVIHPCPYCTHKTYYPEVLWMHKRIWHRVSCSSVAPPWTQPSGHKSIRSNLVFLTRSGRTGPPPALGGKECQPLLLSRFARTQVPGGAPGSKGSSSPLGVTTKAASMPKNKESHSGGPCALWASGPDGYRQTRAGHGQEPPSAAVQGPLAKPKQEGSSRLAPSPGSGSLSRSTTPTPSVITRVGAQPSANSKPVEKLGGPAVGTGFTPPNKHSAPDSLKAKFSPQPQGQPPLKGEGGSPLPPREPSVKAAQELRTLATCAAGSRGEAALQAPPGAPPTLNSAKQEPAAEGQEKRLDILSIFKTYIPKDFATLYQGWGVSSPGPEHRGTSLTGTPRTQAHQGDFVCVECGKSFHQPSQLRAHLRAHTVVFECDGPRDSEVHTASTDAPKQGRDHTTPGTVPAGPLRKGI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEELRCPEAKLAPPEVVIATEAPPPSLVDRYFTRWYKADVKGKPCEDHCILQHSNRICVITLAGSHPVLQSGKAIQRISYQISNNCSRLENKVSGKFKRGAQFLTELAPLCKIYCSDGEEYTISSCVRGRLMEVNENILHQPSLLQEKPSTEGYIAVVLPKFEESKSVTEGLLTQQQYEEVVVKRTNATATTP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKPGFSPRGGGFGGRGGFGDRGGRGGRGGFGGGRGRGGGFRGRGRGGGGGGGGGGGGGRGGGGFHSGGNRGRGRGGKRGNQSGKNVMVEPHRHEGVFICRGKEDALVTKNLVPGESVYGEKRVSISEGDDKIEYRAWNPFRSKLAAAILGGVDQIHIKPGAKVLYLGAASGTTVSHVSDIVGPDGLVYAVEFSHRSGRDLINLAKKRTNIIPVIEDARHPHKYRMLIAMVDVIFADVAQPDQTRIVALNAHTFLRNGGHFVISIKANCIDSTASAEAVFASEVKKMQQENMKPQEQLTLEPYERDHAVVVGVYRPPPKVKN", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAGAGTAGPASGPGVVRDPAASQPRKRPGREGGEGARRSDTMAGGGGSSDGSGRAAGRRASRSSGRARRGRHEPGLGGPAERGAGEARLEEAVNRWVLKFYFHEALRAFRGSRYGDFRQIRDIMQALLVRPLGKEHTVSRLLRVMQCLSRIEEGENLDCSFDMEAELTPLESAINVLEMIKTEFTLTEAVVESSRKLVKEAAVIICIKNKEFEKASKILKKHMSKDPTTQKLRNDLLNIIREKNLAHPVIQNFSYETFQQKMLRFLESHLDDAEPYLLTMAKKALKSESAASSTGKEDKQPAPGPVEKPPREPARQLRNPPTTIGMMTLKAAFKTLSGAQDSEAAFAKLDQKDLVLPTQALPASPALKNKRPRKDENESSAPADGEGGSELQPKNKRMTISRLVLEEDSQSTEPSAGLNSSQEAASAPPSKPTVLNQPLPGEKNPKVPKGKWNSSNGVEEKETWVEEDELFQVQAAPDEDSTTNITKKQKWTVEESEWVKAGVQKYGEGNWAAISKNYPFVNRTAVMIKDRWRTMKRLGMN", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MMKSQGLVSFKDVAVDFTQEEWQQLDPSQRTLYRDVMLENYSHLVSMGYPVSKPDVISKLEQGEEPWIIKGDISNWIYPDEYQADGRQDRKSNLHNSQSCILGTVSFHHKILKGVTRDGSLCSILKVCQGDGQLQRFLENQDKLFRQVTFVNSKTVTEASGHKYNPLGKIFQECIETDISIQRFHKYDAFKKNLKPNIDLPSCYKSNSRKKPDQSFGGGKSSSQSEPNSNLEKIHNGVIPFDDNQCGNVFRNTQSLIQYQNVETKEKSCVCVTCGKAFAKKSQLIVHQRIHTGKKPYDCGACGKAFSEKFHLVVHQRTHTGEKPYDCSECGKAFSQKSSLIIHQRVHTGEKPYECSECGKAFSQKSPLIIHQRIHTGEKPYECRECGKAFSQKSQLIIHHRAHTGEKPYECTECGKAFCEKSHLIIHKRIHTGEKPYKCAQCEEAFSRKTELITHQLVHTGEKPYECTECGKTFSRKSQLIIHQRTHTGEKPYKCSECGKAFCQKSHLIGHQRIHTGEKPYICTECGKAFSQKSHLPGHQRIHTGEKPYICAECGKAFSQKSDLVLHQRIHTGERPYQCAICGKAFIQKSQLTVHQRIHTVVKS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGFWGLEVKPGKPQAYNPKNEQGKIHVTQATLGTGLSKEKSVIQCSIGDKAPIALCSLLPNKIECCPLNLEFDDDDEPVEFTVTGDRSIHLSGFLEYYQDDEDDYEHDEDDSDGIDVGESEEDDSCEYDSEEDEQLDEFEDFLDSNLERYRNAAAPKSGVIIEEIEDEEKPAKDNKAKQTKKKSQASEGENAKKQIVAIEGAHVPVLESEDEDEDGLPIPKGKSSEVENASGEKMVVDNDEQGSNKKRKAKAAEQDDGQESANKSKKKKNQKEKKKGENVLNEEAGQVQTGNVLKKQDISQISSNTKAQDGTANNAMSESSKTPDKSAEKKTKNKKKKKPSDEAAEISGTVEKQTPADSKSSQVRTYPNGLIVEELSMGKPNGKRADPGKTVSVRYIGKLQKNGKIFDSNIGKSPFKFRLGIGSVIKGWDVGVNGMRVGDKRKLTIPPSMGYGVKGAGGQIPPNSWLTFDVELINVQ", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MFRAYGNNGLKNPERISGENPDLYTQTRAAVQQRATTTLKRNEKQKLAVQNDSVFQQVGIGESDSDDDNGGVRIRMSPHRYIDPDDVFTLPEVKKQNALRDAKIAARAAQATAYNTFPSVKSLNGCQDPPETSQQSTSRKRSASNSRSPSRSHSRRYDRDNGRQRSRSREKKRRKKERRRKRSSSRSSSSSRSRDRSSRARDTSSHTLMKMNKPAKYAFLTDEEYRTCDAYISSAFITQTKSDCENYTQGVPKKEIAKCRLSVKFIVGLEHNNILFNNIYGAEYARDKENRPFWEQLDRYLKDVPKETFFRYVPPVGGYWKIRDRVDLLNLDHIDDDVLANDSDNRKDAFTFELEQAKKTFSENVHNIDALIKVISMEEEMCRRNVGSFSSSNPAALAERHQEMVKKAIKADGRNAKLRLMKIELLIKMDPNSPTIIDDFKNLTITFPHEPMVWIKYLDYIQYDSNVYNYKKLKNAFEDCIRQVTGLTNGTLLSHLNAVNDRPLLRMFHLWIYIRYLKWMISCAHTPVVLANIQATFEYNFGLADVEKRTSTNSKEREVRLEEFWESGLPRIGDEGAVGAEKMLKQSEELSDEDIQKLENDDFDILISRTEETIATCLQAQRDVQISWIEVEREMMNIDARVKRTKLKDCELYEDHVDDLETCELWDIIPFDRIRYYEAPGDCANFDFVQPFLELLGVKFLNSTNCFTTTEQIISDWISNDSTVNFYKTPTYTEKKCFEVGNNILKFMLYNRLKLTENNPEYLDKTMVKYLLAMLVTEASEQEKKLNFHSFKLNLKNLVGTFITKHPDIFKRAMLSKITGIVYMEKFVSWWERALKEQEKVVEADERRKNYKEIKMEEGVVDDVKFDVILLKKDKERVQTIRDKIRDMIDIAIPKSTEKLIQSADSSLPTLQLHLYANVLRGRLSILNQNALEETRDVFCKEILGIHTSEFESDEALLLALDQGLNELLEHCKEKDNLESVDSIPELPRAEALCEALKVVAVFVFLDKMAFSRRAVDCLIANAITKFEQFEAKKNDFNRGTYEKYCDQIDLKFITDTLITFFSHKKHRFIYNENFKKLIFQASQAFPCDSKYAKMLGELHSSGRLQVMKLQGFTDSRNSILNAKRDQQFDPELETRLLMNSLTIMFSWMNAANRIGDAGNQILYKNWKREAANTRDPAIWRQVIRVASKLSQKILKDDAYTRARGQCTWALNLHFDYIEAKTVRKNGDLMEMIYLILEQSMGQEHSLFVTDEEYMKTQQEIGLQYSESGR", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPKAKKRVSLASKASSRLPSSGKPSQQASIPNVELSSTVTSNSQVLNNDPLKETKKDKRKDRHFNWQQKFSNPKISASARKRRNRKARENLKVNVSSIGEVLPEIDLDISVANSRLKPVIKDSLSSKQTKSSMKRNTVEEIERFQAILKHPSFVSNPLETVREHLGNSLDSR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MYITKIVIQGFKSYKDYTVIEPLSPHHNVIVGRNGSGKSNFFAAIRFVLSDAYTHLSREERQALLHEGPGATVMSAYVEVTFANADNRFPTGKSEVVLRRTIGLKKDEYSLDKKTVSKTEVINLLESAGFSRSNPYYIVPQGRVTSLTNAKDSERLELLKEVAGTQIYENRRAESNKIMDETIQKSEKIDELLQYIEERLRELEEEKNDLAVYHKKDNERRCLEYAIYSREHDEINSVLDALEQDRIAALERNDDDSGAFIQREERIERIKAEITELNHSLELLRVEKQQNDEDYTNIMKSKVALELQSSQLSRQIEFSKKDESSKLNILSELESKISEKENELSEILPKYNAIVSEADDLNKRIMLLKNQKQSLLDKQSRTSQFTTKKERDEWIRNQLLQINRNINSTKENSDYLKTEYDEMENELKAKLSRKKEIEISLESQGDRMSQLLANITSINERKENLTDKRKSLWREEAKLKSSIENVKDDLSRSEKALGTTMDRNTSNGIRAVKDIAERLKLEGYYGPLCELFKVDNRFKVAVEATAGNSLFHIVVDNDETATQILDVIYKENAGRVTFMPLNKLRPKAVTYPDASDALPLIQYLEFDPKFDAAIKQVFSKTIVCPSIETASQYARSHQLNGITLSGDRSDKKGALTAGYRDYRNSRLDAIKNVKTYQIKFSDLQESLEKCRSEIESFDQKITACLDDLQKAQLSLKQFERDHIPLKDELVTITGETTDLQESMHHKSRMLELVVLELHTLEQQANDLKSELSSEMDELDPKDVEALKSLSGQIENLSHEFDAIIKERAHIEARKTALEYELNTNLYLRRNPLKAEIGSDNRIDESELNSVKRSLLKYENKLQIIKSSSSGLEEQMQRINSEISDKRNELESLEELQHEVATRIEQDAKINERNAAKRSLLLARKKECNEKIKSLGVLPEEAFIKYVSTSSNAIVKKLHKINEALKDYGSVNKKAYEQFNNFTKQRDSLLARREELRRSQESISELTTVLDQRKDEAIERTFKQVAKSFSEIFVKLVPAGRGELVMNRRSELSQSIEQDISMDIDTPSQKSSIDNYTGISIRVSFNSKDDEQLNINQLSGGQKSLCALTLIFAIQRCDPAPFNILDECDANLDAQYRSAIAAMVKEMSKTSQFICTTFRPEMVKVADNFYGVMFNHKVSTVESISKEEAMAFVEG", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSYMLPHLHNGWQVDQAILSEEDRVVVIRFGHDWDPTCMKMDEVLYSIAEKVKNFAVIYLVDITEVPDFNKMYELYDPCTVMFFFRNKHIMIDLGTGNNNKINWAMEDKQEMVDIIETVYRGARKGRGLVVSPKDYSTKYRY", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDSDNWNFQNNPYFQDYNIGNPNNNTNGINGSSNGTMNLSNSASLFLNKNLGNSDFQPFSLQPSLYLSNSSNNTNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNIINNNTDEFVFKKISANNLQTPTSPPKDLGFIQTILQHQQELFQKKLSESGVTNLQPDQIQFMFMQEQQKYLTSLNGNDNTLPPLENNFSFQHVNNNNLTSPPQQQQQQQQQQQQQQHQQHQQHQQHQQQQQQQQQQQQQQHQHQQQQQQQQQQQQQQQQQQQQQQLKQQQPQQHPIQSPQPIQSPTPSPSLQQHQTYSYTPSTQQTSLQQLQQQQMQQLLQMSPQHQQQHNQIQQQQAAAAAAALLQQQLAQQQAQQLAQQQQLAQQQLAQQQQQQQQQHVQSLHQAQVQQAHMSQLQQQQLAQQAFQQAQQQQFHQQNIQQHQNQNQQQLQLPQPQQQQHKSTPPTQNTPPVKSPAPQTPTLTTNGKGSKSTPPTTTTTTTTTTSSSSSSSSSSSSSKKKTSNKKTGNLQVPPTPPHHHHHHHNNHHHHHSEGFSDENDEEFIDENEDKSKNKSRSSQNIASRNYRQRKKDHISEVEFKVQQLSLENERLKQENHLLKKGDLGDVMRPDFDFQQVLLESQKLMSQLQDAVNKQDHATIENLLQLYYFASQLRTTVVEREVEKIVHPYTQARLAVMGYRSNAETSILLRPFSTNLWWPKYADEVGLTEEQRKASDILWTDHLKIDMELRTERDQLDREIKELFLKKIVSHGTKRPELLFERETLSTFNSESDYPSSPSSASNSSNSPPTSSPTIITPPDSPLTNNQNNQNNNQMINSNSNNSNNNSHHHHHHHHSHLHGHSPYPVPNGTVHPPPTTAVSEHNKPIELSELLDVTRKLEMLKKNFVKHRNLICDTDLVLSTILTPFQHAKLILRLNSVTCYDFSIVDTITGIWGSINSTLKEGSFIQNLMPDQDGKFEVLEKFKQNQLLDLKGQRPIKLESLQRTYEKLYQTVLGSESPNCQFVKQADYQHQNLLTASPNYTNSPLITSSPSQLTPNSPRPPLDISNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNSNNNNNTNNNNNNYNNNGNNNNGNNNNGNNNNGNNGNNGNNSNNSNNGNINSVDIQALHQQQKQNLQPQQLQQNQQLQQNQNTPPQQSQQVCSPSSPMTPELNKQPEIVGGDNTNKKNKSKKYQWYSYKTPNI", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDDLKELEYLSLVSKVASEIRNHTGIDDNTLAEFIINLHDQSKNYDEFKNNVLSCGGEFTDSFLQNISRLIKEIKPKDDIPTDNVNNGSNSVNGASHDLDSKDVDKQHQRKMFPGLSIPNSTNNLRDRPALMDNAMDELEELSTLAKTRRNDRDSRRDERHYLNGIRERRERSISPSFSHHSRTSISGQSHSSRSSRGPLLNAPTLYGIYSGVVSGIKDFGAFVTLDGFRKRTDGLVHISNIQLNGRLDHPSEAVSYGQPVFVKVIRIDESAKRISLSMKEVNQVTGEDLNPDQVSRSTKKGSGANAIPLSAQNSEIGHVNPLETFTSNGRKRLTSPEIWELQQLAASGAISATDIPELNDGFNTNNAAEINPEDDEDVEIELREEEPGFLAGQTKVSLKLSPIKVVKAPDGSLSRAAMQGQILANDRREIRQKEAKLKSEQEMEKQDLSLSWQDTMSNPQDRKFAQDVRDSAARQLTSETPSWRQATRNANISYGKRTTLSMKEQREGLPVFKLRKQFLEAVSKNQILVLLGETGSGKTTQITQYLAEEGYTSDSKMIGCTQPRRVAAMSVAKRVAEEVGCRVGEEVGYTIRFEDKTSRMTQIKYMTDGMLQRECLVDPLLSKYSVIILDEAHERTVATDVLFGLLKGTVLKRPDLKLIVTSATLDAERFSSYFYKCPIFTIPGRSYPVEIMYTKQPEADYLDAALMTVMQIHLSEGPGDILVFLTGQEEIDTSCEILYERSKMLGDSIPELVILPVYSALPSEIQSRIFEPAPPGGRKVVIATNIAETSLTIDGIYYVVDPGFVKQSCFDPKLGMDSLIVTPISQAQARQRSGRAGRTGPGKCYRLYTESAYRNEMLPSPIPEIQRQNLSHTILMLKAMGINDLLNFDFMDPPPAQTMIAALQNLYALSALDDEGLLTPLGRKMADFPMEPQLSKVLITSVELGCSEEMLSIIAMLSVPNIWSRPREKQQEADRQRAQFANPESDHLTLLNVYTTWKMNRCSDNWCYEHYIQARGMRRAEDVRKQLIRLMDRYRHPVVSCGRKRELILRALCSGYFTNVAKRDSHEGCYKTIVENAPVYMHPSGVLFGKAAEWVIYHELIQTSKEYMHTVSTVNPKWLVEVAPTFFKFANANQVSKTKKNLKVLPLYNRFEKPDEWRISKQRKGGR", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAGSQLKNLKAALKARGLTGQTNVKSKNKKNSKRQAKEYDREEKKKAIAEIREEFNPFEIKAARNKRRDGLPSKTADRIAVGKPGISKQIGEEQRKRAFEARKMMKNKRGGVIDKRFGERDKLLTEEEKMLERFTRERQSQSKRNANLFNLEDDEDDGDMFGDGLTHLGQSLSLEDELANDEEDFLASKRFNEDDAELQQPQRKKTKAEVMKEVIAKSKFYKQERQKAQGIMEDQIDNLDDNFEDVMSELMMTQPKKNPMEPKTDLDKEYDIKVKELQLDKRAAPSDRTKTEEEKNAEAEEKKRELEQQRLDRMNGMIELEEGEERGVEDLDDGFWENEEDYEDDNDGIADSDDDIKFEDQGRDEGFSQILKKKNISISCPRTHDALLDQVKKLDLDDHPKIVKNIIKAYQPKLAEGNKEKLGKFTAVLLRHIIFLSNQNYLKNVQSFKRTQNALISILKSLSEKYNRELSEECRDYINEMQARYKKNHFDALSNGDLVFFSIIGILFSTSDQYHLVITPALILMSQFLEQIKFNSLKRIAFGAVLVRIVSQYQRISKRYIPEVVYFFQKILLTFIVEKENQEKPLDFENIRLDSYELGLPLDVDFTKKRSTIIPLHTLSTMDTEAHPVDQCVSVLLNVMESLDATISTVWKSLPAFNEIILPIQQLLSAYTSKYSDFEKPRNILNKVEKLTKFTEHIPLALQNHKPVSIPTHAPKYEENFNPDKKSYDPDRTRSEINKMKAQLKKERKFTMKEIRKDAKFEARQRIEEKNKESSDYHAKMAHIVNTINTEEGAEKNKYERERKLRGGKK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLVHTYSAMERPDGLGAAAGGTRLSSLPQAAYGPAPPLCHTPAASATADYHPPYFPPPYPQAPLPYGQGPDATAAFPHLAADPYGGLAPLAQPQPPQAAWAAPRAAARAHDEPPGLLAPPARALGLDPRRDYAAAVPRLLHSLADGAHGLADAPLGLPGLAEPPGLEELQAIDDPGMSLLDQSVIKKVPIPSKAGSLSTLALSKDSLVGGISNPSEVFCSVPGRLSLLSSTSKYKVTVGEVQRRLSPPECLNASLLGGVLRRAKSKNGGRCLRERLEKIGLNLPAGRRKAANVTLLTSLVEGEAVHLARDFGYVCETEFPAKAAAEYLCRQHADPGELHSRKSMLLAAKQICKEFADLMAQDRSPLGNSRPALILEPGVQSCLTHFSLITHGFGGPAICAALTAFQNYLLESLKGLEKMFLSGAGGGHGESKASEKDTKHRK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGTSCNKINSNSNKGKENMHFVLDDNGDSKGNASNQQVERDDKLDMETTRWNGKEFEEPLSTNKKLIIQSNNTSSQHSTPPLSISDTSTHTGSSTDNVEANPNTGFSSARKRSLRSSNLKKKFVPLSSPEESNESEFIDDDESDEVASIIDIKEDETFDSKVEIPEAAPSSSTESDEESIPLSYQSKRRRVSARASSSASSSSRTQAKSIPSHERTHYRLIRQHPELEHVWEKLEEEAPREVKQIEQPKELVLNLLPFQREGVYWLKRQEDSSFGGGILADEMGMGKTIQTIALLLSEPRGKPTLVVAPVVAIMQWKEEIDTHTNKALSTYLYYGQARDISGEELSSYDVVLTSYNVIESVYRKERSGFRRKNGVVKEKSLLHQMEFYRIILDEAHGIKSRTCNTARAVCGLRTTRKICLSGTPLQNRIGELFSLLRFLRADPFAYYYCLQCECKSLHWRFSDRSNCDECGHKPMSHTCYFNAEMLKPIQKFGYEGPGKLAFKKVHSLLKHIMLRRTKLERADDLGLPPRVVEVRKDLFNEEEEDVYQSLYMDSKRKFNTYLAEGVVLNNYANIFQLITRMRQMADHPDLVLASKRKTVDIENQENIVCKICDEVAQDAIESRCHHTFCRLCVTEYINAAGDGENVNCPSCFIPLSIDLSAPALEDFSEEKFKNASILNRIDMNSWRSSTKIEALVEELYLLRKKDRTLKSIVFSQFTSMLDLIHWRLRKAGFNCVKLDGGMTPKARAATIEAFSNDINITIFLVSLKAGGVALNLTEASQVFMMDPWWNGAVQWQAMDRIHRIGQKRPIKVITLCIENSIESKIIELQEKKAQMIHATIDQDEKALNQLSVEDMQFLFSN", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MERGRDRLHLRRTTEQHVPEVEVQVKRRRTASLSNQECHLYPRRSQQQQVPVVDFQAELRQAFLAETPRGG", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MERYAGALEEVADGARQQERHYQLLSALQSLVKELPSSFQQRLSYTTLSDLALALLDGTVFEIVQGLLEIQHLTEKSLYNQRLRLQNEHRVLRQALRQKHQEAQQACRPHNLPVLQAAQQRELEAVEHRIREEQRAMDQKIVLELDRKVADQQSTLEKAGVAGFYVTTNPQELMLQMNLLELIRKLQQRGCWAGKAALGLGGPWQLPAAQCDQKGSPVPP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEQGGGGGGNEVVEEASPISSRPPANNLEELMRFSAAADDGGLGGGGGGGGGGSASSSSGNRWPREETLALLRIRSDMDSTFRDATLKAPLWEHVSRKLLELGYKRSSKKCKEKFENVQKYYKRTKETRGGRHDGKAYKFFSQLEALNTTPPSSSLDVTPLSVANPILMPSSSSSPFPVFSQPQPQTQTQPPQTHNVSFTPTPPPLPLPSMGPIFTGVTFSSHSSSTASGMGSDDDDDDMDVDQANIAGSSSRKRKRGNRGGGGKMMELFEGLVRQVMQKQAAMQRSFLEALEKREQERLDREEAWKRQEMARLAREHEVMSQERAASASRDAAIISLIQKITGHTIQLPPSLSSQPPPPYQPPPAVTKRVAEPPLSTAQSQSQQPIMAIPQQQILPPPPPSHPHAHQPEQKQQQQPQQEMVMSSEQSSLPSSSRWPKAEILALINLRSGMEPRYQDNVPKGLLWEEISTSMKRMGYNRNAKRCKEKWENINKYYKKVKESNKKRPQDAKTCPYFHRLDLLYRNKVLGSGGGSSTSGLPQDQKQSPVTAMKPPQEGLVNVQQTHGSASTEEEEPIEESPQGTEKKTL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPLGRSSWICCAKYFVNTKSRFNEILPPRFTLIVSFYSMNTSENDPDGHYDFPEMTEHHSDRASSYANANNVNSTQPQLVSSEQALLAILGGGLQSITPNSVNQNAYSRSTYRTDGGLNSQPVSSLNNQWGNYNPAFLPSRYDSSFHPYTISQAANQPFPQHLLGNSNAGVAQQSGMRTIGLPPTVGSSFPQQKSSTYENFFDANSPSSQQFPSTYPSRSQNPLSSSGDGSTAIHAGPIQHQNSNAFSNYPYPLDASHLSSQQLLSMYRDQVSHGVTPSTFRNHESFMPTQLVSATELSKSVDNAVLPIPPTTAPAVVSPPASSFPLMSSAATSGNISSPALFDSELGARPEGSVAIEPSRVLLQWSSQSSSHTIPSAGASIPTSSLKSFFEHAAEAARKCNLDPRALESFEQHMLSDRLHDPVVLFHYFQIRNSICWLWIKNPTHAISRVEAQGVCVDRCLFQLASLAYEFLVRYGYINYGCLSFDSSFTNETNTGTTSSSASKQKTIAVVGAGLTGLICARQLTGLFSQYSSSFLSKNELPPKVIILEAKERTGGRIYSRALPVSHTSATQINHHTSNSNSISSNSTSLNPKDVTDPSHIPSAIDLGFQFLFSPMDDILLNLLNKQLGIEVTEMTGSDLVYDETDTKVLDMVEVKKLNILWEKLLEYVSVCFFINVEESVRISWISQFQLFIDEMFPDHLSKSLSLNASHEFSFKKTMLILIDEVSSYAKLGNSQKKFLIWCFKVAELDDTLYPLNTVDTDFSKDILIPKVARRGLSQLPWALQSYPSPLNIHYEKFVSKVTIENDKCTLDCKDNSSYEVDQVVIACSPSHFSSNIEFSPGLPNFVTENIKSIDFKPGKKVILRYAAAFWRKNIRSFGIIPKSLSQEMNNDENDGKSCFVLRIWNMLPETGVPILVADINPQMTSSSSNETSHLIQELHSLIVDHFQNDSNSSADLLDAWVTNWSRNGVYDGLNSYPNFANDKQQYEKRFRQSQLSYNLGRLHIAGDYIFSCVGCRTLQRSFLSGLSVCTGIIDSLAPISLTIPIIGETSRKELDQFLRNSKVNNFDPNAEAQRHLSYQARYRLKKQERLDEHKEEQEQLVTELLGYLPEPPSKPNANPFLLYQKMQWHVCRALADEDKRRLTGDSTAKATINETRAKLGKTWRQLDDLGKKPWIDEIAAQREAYAGKILRYQRLTKEYEMRAEQIRNDYAAKCQDEPIPDDEARLFMQAQREEEQRKQTQDDNISKSREASDEEYHDDGSSDSGYNGTRY", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSNLHSSLNGSASNLPDGSGRSFTASYSGQSGAPSPSFHHTGNLQGLHNIHGNYNVGNMQGTLTSRNSSMNSIPSAGVQQPNGSFSSGRFASNNLPVNLSQLSHGSSHGHSGIPNRGLNVVGNPGFSSNANGVGGSIPGILSTSAGLSNRNSVPGMGISQLLGNSGPRITNSMGNMVGGGNLGRNISSGGLSIPGLSSRLNLAANSGSGLNVQGQNRMMGGVLPQGSQVMSMLGNSYHTGGGPLSQNHVQSVNNMMLSDHPNDSSLFDINNDFPQLTSRPGSAGGTQGHLGSLRKQGLGVPLVQQNQEFSIQNEDFPALPGYKGGNSEYPMDLHQKEQLHDNAMSMMHSQNFSMGRSGGFNLGATYSSHRPQQQPQHTSSTGGLQGLGLRPLSSPNAVSSIGYDQLIQQYQQHQNQSQFPVQQMSSINQFRDSEMKSTQSEADPFCLLGLLDVLNRSNPELTSLALGIDLTTLGLDLNSTGNLYKTFASPWTNEPAKSEVEFTVPNCYYATEPPPLTRASFKRFSYELLFYTFYSMPKDEAQLYAADELYERGWFYHKELRVWFFRVGEPLVRAATYERGTYEYLDPNSFKTVRKEHFVIKYELMEKRPSLLQL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MARGPGDTDMDEASADAAIPSSTPNPTVAFRCTHALSGHTKAVAAVKFSPDGSLLASGSADRTVALWDAATGARVNTLAGHSCGVSDVAWNPNGRYLATAADDHSLKLWDAETGACLRTLTGHTNYVFCCNFDGAAGHLLASGSFDETLRLWDVRSGRCLREVPAHSDPVTSAAFSYDGSMVVTSSLDGLIRLWDTQTGHCLKTLFDRDSPPVSFAAFTPNAKYVLCNTLDGRAKLWDYAAGRTRRTYAGGHVNTQFCISSGFLGGSSSASFDLGCSMVVTGSEDGSLAAYDISTGHVVGRGAAAAAAAEGGGDEGSAAAAAAGGVAGGHTAAVLSVNVHPSAPLVATGGHHPDNSVRVWAASRTEPAAA", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDKFLVRPDLRDLQGGGEEPAPTGGASGDLKSPDWRHLRAEGLSCDYTVLFGKAEADKIFRELEQEVEYFTGALAKVQVFGKWHSVPRKQATYGDAGLTYTFSGLTLTPKPWVPVLERVRDRVCEVTGQTFNFVLVNRYKDGCDHIGEHRDDERELAPGSPIASVSFGACRDFIFRHKDSRGKRPRRTVEVVRLQLAHGSLLMMNPPTNTHWYHSLPIRKRVLAPRVNLTFRKILPTKK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MADKEAAFDDAVEERVINEEYKIWKKNTPFLYDLVMTHALEWPSLTAQWLSDVTRPDGKDFSIHRLVLGTHTSDEQNHLVIASVQLPNDDAQFDASHYDSEKGEFGGFGSVSGKIEIEIKITHDGEVNRARYMPQNPCIIATKTPTSDVLVFDYTKHPSKPDPSGECNPNLRLRGHQKEGYGLSWNPNLSGNLLSASDDHTICLWDISAVPKEGKVVDAKTIFTGHTAVVEDVSWHLLHESLFGSVADDQKLMIWDTRSNNTSKPSHSVDAHTAEVNCLSFNPYSEFILATGSADKTVALWDLRNLKLKLHSFESHKDEIFQVQWSPHNETILASSGTDRRLNVWDLSKIGEEQSPEDAEDGPPELLFIHGGHTAKISDFSWNPNEPWVICSVSEDNIMQVWQMAENIYNDEDTEGGVDPEGQGS", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGVPAFFRWLTKKYPATVVNANEDRQRDQDGNRVPVDCTQPNPNFQEFDNLYLDMNGIIHPCTHPEDRPAPKNEDEMFALIFEYIDRIYSIVRPRRLLYMAIDGVAPRAKMNQQRSRRFRASKEMAEKEASIEEQRNRLMAEGIAVPPKKKEEAHFDSNCITPGTPFMARLADALRYYIHDRVTNDASWANIEIILSDANVPGEGEHKIMDYVRKQRGNPAHDPNTVHCLCGADADLIMLGIATHEANFNIIREEFVPNQPRACDLCGQYGHELKECRGAENETDLGDDYCKPEQREKNFIFLRIPVLREYLEKELSMPNLPFKFDVERALDDWVFLCFFVGNDFLPHLPSLEIREGAIDRLIKLYKEMVYQMKGYLTKDGIPELDRVEMIMKGLGRVEDEIFKRRQQDEERFQENQRNKKARMQMYGGGGRGGRGRGRGRGQQPAFVPTHGILAPMAAPMHHSGESTRQMASEARQTAMKFTNDANETAAANLKALLNVKGEESPADIASRKRKAEQPLIKPEEEEDEGPKDDIRLYESGWKDRYYRAKFDVGSDDIEFRHRVAWAYVEGLCWVLRYYYQGCASWDWYFPYHYAPFASDFETVGEFQPDFTRPTKPFNPLEQLMSVFPAASKQHLPVEWQKLMIQDDSPIIDLYPADFRIDLNGKKYAWQGVALLPFVDETRLLATLQSVYPTLTAEEKQRNTRGPNRIFIGRNHKSFEFFQQVAESKSDDLVPLDPTLLNGVSGKIAYDSTATAPGLPFVSPVNHDECQDLPTNCGICVLYEDPEYPQDYIFPALRLDGAKEPEKTLKPDDWNDRRDGRYQPQVGFNRNAPRGSLDQSGHRQVHHYVRGGGGGGGGYRGNSYDDRRGGGGGGGGYNDRQDFGRNYGGRDGGGPQRYHDQQQQRQGGYQGGGYGGGYGGGGGGGGGGGGGSYHQPYNQDQRRGGRGGGGGPPGYQRPPYRGGGGGGYHGNSSWR", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQVDPVVYQLQRAVSQDPIAVKDAEGHLNNWKKEPGFFGKLYSIFLDKQNDMSLRWIAIIQLRNSIDIIWRKNTKMSLLPEERDFIRCNALLGSIKSENLLSIQNALVVSRIARLDYPTEWPSLFHDLLGKLQQSLGTGDYDVALRLLITLHHIIKAMAGNRLLRSRQIFYKLAPELLTILQPILHSSLSSWMMILESSKEIKDSTLLSYMQISRYTLKACRRLVVFGFQNPSESEFSERMLAFCAVHQRKLLSMLGTMLQSSRSPIVVGECLEMAFAHAFLFNKPFFDFSFYSPCLTKFPATIDYISLHYDFLGQISSHLSSYKEKFEESSKNFEKLVIMSLRVFILVIQEFCNTKSSHPETAQVLYNSFLVDNRINNLLDLLITKLLILKEEDFEEWTDSPQQWVLEQSTQDVEFNVRPCAEKLLKCFFDAYGDIIVSPFKDMIYSVFECPKTLTQAVQQDTLISSFGVGYTQLKSIFPFAKWLQEAAVPNMASINDIGISRVYRRRIAIFLSQWIEDSSSEQLLEVIYKLYCSFLNLTDPCNDAVVILTTIDAFKTVLDDWNFSENSFLSIKENLFVHVLSLFKAFESVDARTSILSLLGTLLARAGEHVAPMESTIASLLSQLWDGWKKEPLLRARVLAVMHQFVNAIKAKSFEFSTFLYTVIEYCVNPESPEHVIFEADAMELWSTFLMYIQKLPETFTLLIPHLLYHLSQATSTLPFVLMIVSSYQLLDNTVLMKDYSFTIFEKLNDLLDDVKNETLQALCKTVCLLIETTPMDMIYESLLNSSLLSRLLLSIATNDKHPQVLIEYLLVVSRISLREPELILKVCQTKNINIAMLIGNWILLNDHINHSKDRKLNTLALSSLLRTNHPDVLAVLDSIMNLWFSVLSEVEEDANGDATIYYKNDDYSAVGFYLDETSEEMTRRKQLLLKDPVHSVNSRSFFISVFMFCRDANGGMENFQNQYLSTVNPALLEQFQSML", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MYPNWGRYGGSSHYPPPPVPPPPPPVALPEASPGPGYSSSTAPAAPSSSGFMSFREQHLAQLQQLQQMHQKQMQCVLQPHHLPPPPLPPPPVMPGGGYGDWQPPPPPMPPPPGPALSYQKQQQYKHQMIHHQRDGPPGLVPMELESPPESPPVPPGSYMPPSQSYMPPPQPPPSYYPPSSAQPYLPPAQPSPSKPQLPPPPPSIPSGNKTTIQQEPLETGAKNKNAEQKQAAPEPDPSTMTPQEQQQYWYRQHLLSLQQRTKVHLPGHKKGLVTAKDVPEPIKEEAPVPATSQIAEPLAAEEPPLPPPNEEMPPPLPPEEPQNNSSEMSEDPEEDARLKQLQAAAAHWQQHQQHRVGFQYQGIMQRHTQLQQILQQYQQVIQHSPHIQTMSLDVQLRHYEMQQQQFQRLYQEWEREFQLWEEQLHSYPHKDQLEEYEKQWKSWQGHMRATQTYLQEKVTSFQAVKNQYMGNMAMPPPFVPYSQMPPPLPTMPPPVLPPSLPPPVMPPALPSTIPPPGMPPPVMPPSLPTSVPPPGMPPSLSSAVLPPPSLSSAGPPPVLPPPSLSGAPPVLPLPPLSSATPPPGIPPPGVPQGMPPQLTAPVPPASSSQNSQVPEKPRQALLPTPVSFGSTPPSPYHPPPQSEQGNSKPLNKVFSSEQGLGESSSALSQSVIAAKDTPVKSGGLLADPPKGSFLEGPRGPREQKEQLQKLKDFGSEPQTADHLPPPDSRLQNTSRPGMYPPPGSYRPPPPMGKPPGSIVRPSAPPARSCVPMTRPPVPIPPPPPPPPPPPPPPPVIKPKTSSVKQERWDEDSFFGLWDTNDDQGLNSEFKRDTAAIPSAPVLPPPPVHPSIPPPGPMPMGMPPMSKPPPVQHTVDYGHGRDMPTNKVEQIPYGERITLRPDPLPERSAFDADHAGQRDRYDRDRDREPYFDRQSNMTDHRDFKRDRETHRDRDRVLDYERDRFDRERRPRDDRNQSYRDKKDHSSSRRGGFDRPSYDRKSDRPPYEGPPMFGGERRTYPEERMPLPAPSLGHQPPPVPRVEKKPESKNVDDILKPPGRESRPERIVVIMRGLPGSGKTHVAKLIRDKEVEFGGPAPRVLSLDDYFIAEVEKEEKDPDSGKKVKKKVMEYEYEADMEETYRTSMFKTFKKTLDDGFFPFIILDAINDRVRHFDQFWSAAKTKGFEVYLAEMSADNQTCGKRNIHGRKLKEINKMAEHWEAAPRHMMRLDIRSLLQDAAIEEVEMEDFDANIEDQKEEKKDAEEEESELGYIPKSKWEMDTSEAKLDKLDGLRTGTKRKRDWEAIASRMEDYLQLPDDYETRASEPGKKRVRWADLEEKKDADRKRAIGFVVGQTDWEKITDESGHLAERALNRTKYI", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGIGNSQPNSQEAQLCTLPEKAEQPTDDNTCQQNNVVPATVSEPDQASPAIQDAETQVESIVDKRKNKKGKTEYLVRWKGYDSEDDTWEPEQHLVNCEEYIHDFNRRHNERQKEGSLARASRASPSNARKQISRSTHSTLSKTNSKALVVGKDHESKSSQLLAASQKFRKNPAPSLANRKNMDLAKSGIKILVPKSPVKGRTSVDGFQGESPEKLDPVDQGAEDTVAPEVTAEKPTGALLGPGAERARMGSRPRIHPLVPQVSGPVTAAMATGLAVNGKGTSPFMDALAANGTVTIQTSVTGVTAGKRKFIDDRRDQPFDKRLRFSVRQTESAYRYRDIVVRKQDGFTHILLSTKSSENNSLNPEVMKEVQSALSTAAADDSKLVLLSAVGSVFCCGLDFIYFIRRLTDDRKRESTKMADAIRNFVNTFIQFKKPIIVAVNGPAIGLGASILPLCDVVWANEKAWFQTPYTTFGQSPDGCSTVMFPKIMGGASANEMLFSGRKLTAQEACGKGLVSQVFWPGTFTQEVMVRIKELASCNPVVLEESKALVRCNMKMELEQANERECEVLKKIWGSAQGMDSMLKYLQRKIDEF", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MENITQPTQQSTQATQRFLIEKFSQEQIGENIVCRVICTTGQIPIRDLSADISQVLKEKRSIKKVWTFGRNPACDYHLGNISRLSNKHFQILLGEDGNLLLNDISTNGTWLNGQKVEKNSNQLLSQGDEITVGVGVESDILSLVIFINDKFKQCLEQNKVDRIRSNLKNTSKIASPGLTSSTASSMVANKTGIFKDFSIIDEVVGQGAFATVKKAIERTTGKTFAVKIISKRKVIGNMDGVTRELEVLQKLNHPRIVRLKGFYEDTESYYMVMEFVSGGDLMDFVAAHGAVGEDAGREISRQILTAIKYIHSMGISHRDLKPDNILIEQDDPVLVKITDFGLAKVQGNGSFMKTFCGTLAYVAPEVIRGKDTSVSPDEYEERNEYSSLVDMWSMGCLVYVILTGHLPFSGSTQDQLYKQIGRGSYHEGPLKDFRISEEARDFIDSLLQVDPNNRSTAAKALNHPWIKMSPLGSQSYGDFSQISLSQSLSQQKLLENMDDAQYEFVKAQRKLQMEQQLQEQDQEDQDGKIQGFKIPAHAPIRYTQPKSIEAETREQKLLHSNNTENVKSSKKKGNGRFLTLKPLPDSIIQESLEIQQGVNPFFIGRSEDCNCKIEDNRLSRVHCFIFKKRHAVGKSMYESPAQGLDDIWYCHTGTNVSYLNNNRMIQGTKFLLQDGDEIKIIWDKNNKFVIGFKVEINDTTGLFNEGLGMLQEQRVVLKQTAEEKDLVKKLTQMMAAQRANQPSASSSSMSAKKPPVSDTNNNGNNSVLNDLVESPINANTGNILKRIHSVSLSQSQIDPSKKVKRAKLDQTSKGPENLQFS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKDKQKRKKERTWAEAARLVLENYSDAPMTPKQILQVIEAEGLKEMRSGTSPLACLNAMLHSNSRGGEGLFYKLPGRISLFTLKKDAVQWSRNAATVDGDEPEDSADVESCGSNEASTVSGENDVSLDETSSNASCSTESQSRPLSNPRDSHRASSQANKQKKRTGVMLPRVVLTPLKVNGAHVEPASGFSGRHADGESGSPSSSSSGSLALGNSAIRGQAEVTRDPAPLLRGFRKPATGQMKRNRGEEVDFETPGSILVNTNLRALINSRTFHALPLHFQQQLLLLLPEVDRQVGTDGLLRLSGSALNNEFFTHAAQSWRERLADGEFTHEMQVRLRQEMEKEKKVEQWKEKFFEDYYGQKLGLTKEESLQQKEVQEEAKVKSGLCVSGESVRPQRGPNTRQRDGHFKKRSRPDLRTRSRRNIYKKQEPEQAGVAKDASAAPDVSLSKDTKTDLAGVNSTPGPDVSSATSGQEGPKCPSEPVASQIQAERDNLACASASPDRIPTLPQDTVDQETKDQKRKSFEQEASASFPEKKPRLEDRQSFRNTIESVHTEKPQPTKEEPKVPPIRIQLSRIKPPWVAKGRPTYQICPRIVPITESSCRGWTGARTLADIKARALQARGARGYHCNRETATTAIGGGGGPGGGGSGAIDEGGGRDSSSGDGSEACGHPEPRGAPSTSGESASDLQRTQLLPPCPLNGEHTPAEAAMPRARREDSASLRKEESCLLKRVPGVLTSGLEDASQPPIAPTGDQPCQALPPLSSQTPVAEMLTEQPKLLLDDRTECESSREDQGPTIPSESSSGRFPLGDLLGGGSDQAFDNMKEPVSMTPTFISELSLANYLQDRPDDDGLGLGATGLLIRESSRQEALTEAFASGSPTSWVPILSNYEVIKTSDPESRENIPCPEPQDEKEWERAVPLIAATESVPQPESCISHWTPPPAAVGSTGSDSEQVDLERLEMNGISEAPSPHSESTDTASDSEGHLSEDSSEVDASEVTVVKGSLGGDEKQDWDPSASLSKVNNDLSVLTRTGGVAASQSWVSRVCSVPHKIPDSLLLSSTECQPRSVCPLRPGSSVEVTNPLVMHLLHGNLPLEKVLPPGHRSSRLESSQLPLREQSQDRGTLQGTGENNRLAARINPGSAQTLKESILAQSYGASAGLVRAMASKAPAMSQKIAKMVTSLDSQHPETELTPSSGNLEEIDSKEHLSSFLCEEQKEGHSLSQGSDPGAAPGQCLGDHTTSKVPCFSSTNVSLSFGSEQTDGTLSDQNNAGGHEKKLFGPGNTVTTLQCPRSEEQTPLPAEVPPVFPSRKIEPSKNSVSGGVQTTRENRMPKPPPVSADSIKTEQTFLRDPIKADAENRKAAGYSSLELVGHLQGMPFVVDLPFWKLPREPGKGFSQPLEPSSIPSQLNIKQALYGKLSKLQLSPTSFNYSSSSATFPKGLAGGVVQLSHKASFGTGHTASLSLQMFADSSAVESISLQCACSLKAMIMCQGCGAFCHDDCIGPSKLCVLCLVVR", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSFRRINSRYFENRKGSSMEEKKAKVPPNVNLSLWRKNTVESDVHRFNSLPSKISGALTREQIYSYQVMFRIQEITIKLRTNDFVPPSRKNRSPSPPPVYDAQGKRTNTREQRYRKKLEDERIKLVEIALKTIPYFVPPDDYKRPTKFQDKYYIPVDQYPDVNFVGLLLGPRGRTLRKLQEDSNCKIAIRGRGSVKEGKNASDLPPGAMNFEDPLHCLIIADSEDKIQKGIKVCQNIVIKAVTSPEGQNDLKRGQLRELAELNGTLREDNRPCPICGLKDHKRYDCPNRKIPNIQGIVCKICGQTGHFSRDCNSSSQRMSRFDRNATVNNSAPIQSNDVHYNSNTHPIQAPKRSRYDNNSTEPPLKFPASSRYAPSPSPPASHISRQAQNVTPTPPPGLTSSSFSSGVPGIAPPPLQSPPESEQPKFSLPPPPGMTTVQSSIAPPPGLSGPPGFSNNMGNDINKPTPPGLQGPPGL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRDADADAGGGADGGDGRGGHSCRGGVDTAAAPAGGAPPAHAPGPGRDAASAARGSRMRPHIFTLSVPFPTPLEAEIAHGSLAPDAEPHQRVVGKDLTVSGRILVVRWKAEDCRLLRISVINFLDQLSLVVRTMQRFGPPVSR", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MQNSHSGVNQLGGVFVNGRPLPDSTRQKIVELAHSGARPCDISRILQTHADAKVQVLDSENVSNGCVSKILGRYYETGSIRPRAIGGSKPRVATPEVVSKIAQYKRECPSIFAWEIRDRLLSEGVCTNDNIPSVSSINRVLRNLASEKQQMGADGMYDKLRMLNGQTGSWGTRPGWYPGTSVPGQPTQDGCQQQEGQGENTNSISSNGEDSDEAQMRLQLKRKLQRNRTSFTQEQIEALEKEFERTHYPDVFARERLAAKIDLPEARIQVWFSNRRAKWRREEKLRNQRRQASNTPSHIPISSSFSTSVYQPIPQPTTPVSSFTSGSMLGRTDTALTNTYSALPPMPSFTMANNLPMQPPVPSQTSSYSCMLPTSPSVNGRSYDTYTPPHMQTHMNSQPMGTSGTTSTGLISPGVSVPVQVPGSEPDMSQYWPRLQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTDYRLQPTMNLWTTDDNASMMEAFMSSSDISTLWPPASTTTTTATTETTPTPAMEIPAQAGFNQETLQQRLQALIEGTHEGWTYAIFWQPSYDFSGASVLGWGDGYYKGEEDKANPRRRSSSPPFSTPADQEYRKKVLRELNSLISGGVAPSDDAVDEEVTDTEWFFLVSMTQSFACGAGLAGKAFATGNAVWVSGSDQLSGSGCERAKQGGVFGMHTIACIPSANGVVEVGSTEPIRQSSDLINKVRILFNFDGGAGDLSGLNWNLDPDQGENDPSMWINDPIGTPGSNEPGNGAPSSSSQLFSKSIQFENGSSSTITENPNLDPTPSPVHSQTQNPKFNNTFSRELNFSTSSSTLVKPRSGEILNFGDEGKRSSGNPDPSSYSGQTQFENKRKRSMVLNEDKVLSFGDKTAGESDHSDLEASVVKEVAVEKRPKKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAIAYINELKSKVVKTESEKLQIKNQLEEVKLELAGRKASASGGDMSSSCSSIKPVGMEIEVKIIGWDAMIRVESSKRNHPAARLMSALMDLELEVNHASMSVVNDLMIQQATVKMGFRIYTQEQLRASLISKIG", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDSNSWINCPPVFSSSPSSRRYQSRSDLYLGDVEGEDDLKAEFMCPFCADEFDIVGLCCHIDVNHPVEAKNGVCPVCTKKVGLDIVGHITTQHGNVFKVQRRRRLRKGGYSSTYLTLKKELREANLQSLGGSSTFIPSSNIDSDPLLSSFMFKPPSAIPITEGDSVAQVSPKDTSKSKIQQESFSNEDQEKAKKSKFVRGLLWSTMLEDKF", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGKAKKTRKFGLVKRTLNTKKDQRLKKNQENIKTKEDPELTRNIPQVSSALFFQYNQAIKPPYQVLIDTNFINFSIQKKVDIVRGMMDCLLAKCNPLITDCVMAELEKLGPKYRIALKLARDPRIKRLSCSHKGTYADDCLVHRVLQHKCYIVATNDAGLKQRIRKIPGIPLMSVGGHAYVIEKLPDVF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MIDDSSLTAEMEEEESPATTIVPREPPKIQRLEESVVNRIAAGEVIQRPVSAVKELVENSLDADSSSISVVVKDGGLKLIQVSDDGHGIRREDLPILCERHTTSKLTKFEDLFSLSSMGFRGEALASMTYVAHVTVTTITKGQIHGYRVSYRDGVMEHEPKACAAVKGTQIMVENLFYNMIARRKTLQNSADDYGKIVDLLSRMAIHYNNVSFSCRKHGAVKADVHSVVSPSRLDSIRSVYGVSVAKNLMKVEVSSCDSSGCTFDMEGFISNSNYVAKKTILVLFINDRLVECSALKRAIEIVYAATLPKASKPFVYMSINLPREHVDINIHPTKKEVSLLNQEIIIEMIQSEVEVKLRNANDTRTFQEQKVEYIQSTLTSQKSDSPVSQKPSGQKTQKVPVNKMVRTDSSDPAGRLHAFLQPKPQSLPDKVSSLSVVRSSVRQRRNPKETADLSSVQELIAGVDSCCHPGMLETVRNCTYVGMADDVFALVQYNTHLYLANVVNLSKELMYQQTLRRFAHFNAIQLSDPAPLSELILLALKEEDLDPGNDTKDDLKERIAEMNTELLKEKAEMLEEYFSVHIDSSANLSRLPVILDQYTPDMDRVPEFLLCLGNDVEWEDEKSCFQGVSAAIGNFYAMHPPLLPNPSGDGIQFYSKRGESSQEKSDLEGNVDMEDNLDQDLLSDAENAWAQREWSIQHVLFPSMRLFLKPPASMASNGTFVKVASLEKLYKIFERC", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKFEDLLATNKQVQFAHAATQHYKSVKTPDFLEKDPHHKKFHNADGLNQQGSSTPSTATDANAASTASTHTNTTTFKRHIVAVDDISKMNYEMIKNSPGNVITNANQDEIDISTLKTRLYKDNLYAMNDNFLQAVNDQIVTLNAAEQDQETEDPDLSDDEKIDILTKIQENLLEEYQKLSQKERKWFILKELLLDANVELDLFSNRGRKASHPIAFGAVAIPTNVNANSLAFNRTKRRKINKNGLLENIL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSFLSKLSQIRKSTTASKAQVQDPLPKKNDEEYSLLPKNYIRDEDPAVKRLKELRRQELLKNGALAKKSGVKRKRGTSSGSEKKKIERNDDDEGGLGIRFKRSIGASHAPLKPVVRKKPEPIKKMSFEELMKQAENNEKQPPKVKSSEPVTKERPHFNKPGFKSSKRPQKKASPGATLRGVSSGGNSIKSSDSPKPVKLNLPTNGFAQPNRRLKEKLESRKQKSRYQDDYDEEDNDMDDFIEDDEDEGYHSKSKHSNGPGYDRDEIWAMFNRGKKRSEYDYDELEDDDMEANEMEILEEEEMARKMARLEDKREEAWLKKHEEEKRRRKKGIR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPEEASLPPAKRFRPGSCPPGRRVVMLLTAGGGGGAGGGRRQTPPLAQPSASPYREALELQRRSLPIFRARGQLLAQLRNLDNAVLIGETGSGKTTQIPQYLYEGGISRQGIIAVTQPRRVAAISLATRVSDEKRTELGKLVGYTVRFEDVTSEDTRIKFLTDGMLLREAISDSLLRKYSCVILDEAHERTIHTDVLFGVVKTAQKRRKELGKLPLKVIVMSATMDVDLFSQYFNRAPVLYLEGRQHPIQIFYTKQPQQDYLHAALVSVFQIHQEAPASQDILVFLTGQEEIEAMSKTCRDIARHLPDGCPSMLVLPLYASLPYSQQLRVFQGAPKGYRKVIISTNIAETSITITGIKYVVDTGMVKAKKYNPDSGLEVLAVQRVSKTQAWQRTGRAGREDSGICYRLYTEDEFEKFEKMTVPEIQRCNLASVILQLLAMKVPNVLTFDFMSKPSPDHIEAAIAQLDLLGALEHKDDQLTLTPIGRKMAAFPLEPRFAKTILLSSKFHCTEEILTIVSLLSVDSVLYNPPARRDEVQSVRKKFISSEGDHITLLNIYRTFKNIGGNKDWCKENFVNSKNMLLVAEVRAQLREICLKMSMPIMSSRGDMESVRRCMAHSLFMNTAELQTDGTYATTDTHQPVAIHPSSVLFHCKPACVVYTSLLYTNKCYMRDLCVVDAEWLYEAAPDYFRRKLRTARN", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSVQDTKAVEFSMGHIRSSSVSLVAEATSNTNSEDKLSKVQLYEDLCRYEDTLSKLVESVDRFKPNLDIAKDLIRTDEALFENVKLLAEYDNIYRNLQKIDKDSEELDSKTRKILEILNECHDELKALPMLEQVEFEKNTILQQRSKINSTELLDYATKLSKFTKIPPTFDKGAVGPNNFIWPAEDALRRGMLAMASLHSKELTRIPGEEVEETEVPTVPPSQSEEQKGQMAKKEGTPKTDSFIFDGTAKEVGDEADNTKDKEKEENNDDALDLDLDLFDPDDF", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MQVEGPDTNFVSDLALGSKKRRLSKTSVQEDDHTNVVSEVNKNKKKKKAKPMTCTLLKSVVEKGIGIKDVRDMTQYLLQAENNSPKWIDICNRSSLQKMIVLFIPGLQPDDFENGKNTFNEISDDNFKYIPGEIASTFHTFPVMAPGSKMTLFSPYNSFINVGLSKMEKINKLKELQKKKKITINDLVLSEQQLVANDYPLDSGDTNFDTDWVQTVDFTHGGSHIFALDCEMCLSEQGLVLTRISLVNFDNEVIYEELVKPDVPIVDYLTRYSGITEEKLTVGAKKTLREVQKDLLKIISRSDILIGHSLQNDLKVMKLKHPLVVDTAIIYHHKAGDPFKPSLKYLSETFLNKSIQNGEHDSVEDARACLELTKLKILNGLAFGIGINTENLFTKLHRFEVKTVLLNDMIIKNHTEDDSKGQLIRCVEDDETWTHIHENLNKDVKLIVGRIKNLERSRNYNKKPRKETPSFDASMVLHDIGQHLTQLYENATPGTMILIMSGTGDTRPWNNLSTELEFIQDKKERLDKRREREPEIVEAIKLARGGVASFTVK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPRSFLVKSKKAHSYHQPRSPGPDYSLRLENVPAPSRADSTSNAGGAKAEPRDRLSPESQLTEAPDRASASPDSCEGSVCERSSEFEDFWRPPSPSASPASEKSMCPSLDEAQPFPLPFKPYSWSGLAGSDLRHLVQSYRPCGALERGAGLGLFCEPAPEPGHPAALYGPKRAAGGAGAGAPGSCSAGAGATAGPGLGLYGDFGSAAAGLYERPTAAAGLLYPERGHGLHADKGAGVKVESELLCTRLLLGGGSYKCIKCSKVFSTPHGLEVHVRRSHSGTRPFACEMCGKTFGHAVSLEQHKAVHSQERSFDCKICGKSFKRSSTLSTHLLIHSDTRPYPCQYCGKRFHQKSDMKKHTFIHTGEKPHKCQVCGKAFSQSSNLITHSRKHTGFKPFGCDLCGKGFQRKVDLRRHRETQHGLK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRPVFVGNFEYETRQSDLERLFDKYGRVDRVDMKSGYAFVYFEDERDAEDAIRKLDNFPFGYEKRRLSVEWAKGERGRPRGDAKAPSNLKPTKTLFVINFDPIRTKEHDIEKHFEPYGKVTNVRIRRNFSFVQFETQEDATKALEATQRSKILDRVVSVEYALKDDDERDDRNGGRSPRRSLSPVYRRRPSPDYGRRPSPGQGRRPSPDYGRARSPEYDRYKGPAAYERRRSPDYGRRSSDYGRQRSPGYDRYRSRSPVPRGRP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNEKIKSPQTQQQQQGGAPAPAATPPSAGAAPGAATPPTSGPPTPNNNSNNGSDPSIQQQQQNVAPHPYGAPPPPGSGPGGPPGPDPAAVMHYHHLHQQQQQHPPPPHMQQQQHHGGPAPPPPGGAPEHAPGVKEEYTHLPPPHPHPAYGRYHADPNMDPYRYGQPLPGGKPPQQQQPHPQQQPPQQPGPGGSPNRPPQQRYIPGQPPQGPTPTLNSLLQSSNPPPPPQHRYANTYDPQQAAASAAAAAAAQQQQAGGPPPPGHGPPPPQHQPSPYGGQQGGWAPPPRPYSPQLGPSQQYRTPPPTNTSRGQSPYPPAHGQNSGSYPSSPQQQQQQQQQQQQQAGQQPGGPVPGGPPPGTGQQPPQQNTPPTSQYSPYPQRYPTPPGLPAGGSNHRTAYSTHQYPEPNRPWPGGSSPSPGSGHPLPPASPHHVPPLQQQPPPPPHVSAGGPPPSSSPGHAPSPSPQPSQASPSPHQELIGQNSNDSSSGGAHSGMGSGPPGTPNPQQVMRPTPSPTGSSGSRSMSPAVAQNHPISRPASNQSSSGGPMQQPPVGAGGPPPMPPHPGMPGGPPQQQQSQQQQASNSASSASNSPQQTPPPAPPPNQGMNNMATPPPPPQGAAGGGYPMPPHMHGGYKMGGPGQSPGAQGYPPQQPQQYPPGNYPPRPQYPPGAYATGPPPPPTSQAGAGGANSMPSGAQAGGYPGRGMPNHTGQYPPYQWVPPSPQQTVPGGAPGGAMVGNHVQGKGTPPPPVVGGPPPPQGSGSPRPLNYLKQHLQHKGGYGGSPTPPQGPQGYGNGPTGMHPGMPMGPPHHMGPPHGPTNMGPPTSTPPQSQMLQGGQPQGQGASGGPESGGPEHISQDNGISSSGPTGAAGMHAVTSVVTTGPDGTSMDEVSQQSTLSNASAASGEDPQCTTPKSRKNDPYSQSHLAPPSTSPHPVVMHPGGGPGEEYDMSSPPNWPRPAGSPQVFNHVPVPQEPFRSTITTTKKSDSLCKLYEMDDNPDRRGWLDKLRAFMEERRTPITACPTISKQPLDLYRLYIYVKERGGFVEVTKSKTWKDIAGLLGIGASSSAAYTLRKHYTKNLLTFECHFDRGDIDPLPIIQQVEAGSKKKTAKAASVPSPGGGHLDAGTTNSTGSSNSQDSFPAPPGSAPNAAIDGYPGYPGGSPYPVASGPQPDYATAGQMQRPPSQNNPQTPHPGAAAAVAAGDNISVSNPFEDPIAAGGGPGSGTGPGPGQGPGPGAASGGAGAVGAVGGGPQPHPPPPHSPHTAAQQAAGQHQQQHPQHQHPGLPGPPPPQQQQGQQGQQPPPSVGGGPPPAPQQHGPGQVPPSPQQHVRPAAGAPYPPGGSGYPTPVSRTPGSPYPSQPGAYGQYGSSDQYNATGPPGQPFGQGPGQYPPQNRNMYPPYGPEGEAPPTGANQYGPYGSRPYSQPPPGGPQPPTQTVAGGPPAGGAPGAPPSSAYPTGRPSQQDYYQPPPDQSPQPRRHPDFIKDSQPYPGYNARPQIYGAWQSGTQQYRPQYPSSPAPQNWGGAPPRGAAPPPGAPHGPPIQQPAGVAQWDQHRYPPQQGPPPPPQQQQQPQQQQQQPPYQQVAGPPGQQPPQAPPQWAQMNPGQTAQSGIAPPGSPLRPPSGPGQQNRMPGMPAQQQQSQQQGGVPQPPPQQASHGGVPSPGLPQVGPGGMVKPPYAMPPPPSQGVGQQVGQGPPGGMMSQKPPPMPGQAMQQQPLQQQPPSHQHPHPHQHPQHQHPHQMPPNQTAPGGYGPPGMPGGGAQLVKKELIFPHDSVESTTPVLYRRKRLMKADVCPVDPWRIFMAMRSGLLTECTWALDVLNVLLFDDSTVQFFGISNLPGLLTLLLEHFQKNLAEMFDERENEEQSALLAEDADDDADSGTVMCEKLRTSGRQPRCVRSISSYNRRRHYENMDRSGKDGAGNGSDSEDADEGIDLGQVRVQPNPEERSLLLSFTPNYTMVTRKGVPVRIQPAENDIFVDERQKAWDIDTNRLYEQLEPVGSDAWTYGFTEPDPLDGIIDVFKSEIVNIPFARYIRSDKKGRKRTELASSSRKPEIKTEENSTEEQTFNKKRRLVSGGSSSSGAHAEGKKSKLTSEEFAQPNAEVKKEPGTADSDCRPVDMDIEAPQQRLTNGVAPCSSTPAIFDPRTTAKDEARVLQRRRDSSFEDECYTRDEASLHLVSESQDSLARRCIALSNIFRNLTFVPGNETVLAKSTRFLAVLGRLLLLNHEHLRRTPKTRNYDREEDTDFSDSCSSLQGEREWWWDYLITIRENMLVAMANIAGHLELSRYDELIARPLIDGLLHWAVCPSAHGQDPFPSCGPNSVLSPQRLALEALCKLCVTDANVDLVIATPPFSRLEKLCAVLTRHLCRNEDQVLREFSVNLLHYLAAADSAMARTVALQSPCISYLVAFIEQAEQTALGVANQHGINYLRENPDSMGTSLDMLRRAAGTLLHLAKHPDNRSLFMQQEQRLLGLVMSHILDQQVALIISRVLYQVSRGTGPIHSVEFRLLQQRQQQQLRPGPAGKQAASAGGSATVKAETASTETSSTEAKPAPAATTAVVNDENSNSSQQLPPAATFNDVSNSSTNSNSCGTASSNQTNNSTTNSSHSSSAISSQSAITVAAPSAAATGAGSATAAAIASDQQQVSKVAAAAAAAAALSNASAAAAAAAAAAAASVGPPTSSSVSAGAAVAQPAAPPPTNAGTTTAVA", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAVSYKVNERVLCFHGPLLYEAKIVDTEMKGDVTTYLIHYKGWKNSWDEWVEQDRILQWTEENLKTQKELKNAAISTRQKPTSKKSASSTSKHDSTGVKTSGKRSRESSTVTVDGDSHELPSRIKTQKSESPIPQQVKRDGTTDAKNEETTKPENNEKDDFEEEPPLPKHKISVPDVLKLWLVDDWENITKNQQLIAIPRNPTVRAAIAAFRESKISHLNNEIDVDVFEQAMAGLVIYFNKCLGNMLLYRFERQQYLEIRQQYPDTEMCDLYGVEHLIRLFVSLPELIDRTNMDSQSIECLLNYIEEFLKYLVLHKDEYFIKEYQNAPPNYRSLVGV", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGAVAINRKRSDESFNFINQQSTNPLRNSPYFQASKKRRFSFAMSEDSGKPASSNPTISRISRYPDAKAPLRREIHAPSRGILRYGKAKSNDYCEKDANFFVRKYDDAKRSALEALRFVNKGKDFVDLGDEVEKEEVVSDDSSVQAIEVIDCDDDEEKKNLQPSFSSGVTDVKKGENFRVEDTSMMLDSLSLDRDVDNDASSLEAYRKLMQSAEKRNSKLEALGFEIVLNEKKLSLLRQSRPKTVEKRVEVPREPFIPLTEDEEAEVYRAFSGRNRRKVLATHENSNIDITGEVLQCLTPSAWLNDEVINVYLELLKERETREPKKYLKCHYFNTFFYKKLVSDSGYNFKAVRRWTTQRKLGYALIDCDMIFVPIHRGVHWTLAVINNRESKLLYLDSLNGVDPMILNALAKYMGDEANEKSGKKIDANSWDMEFVEDLPQQKNGYDCGMFMLKYIDFFSRGLGLCFSQEHMPYFRLRTAKEILRLRAD", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDRPRQNDHLGVNRIGKNIRKSPLHQSTFAASTSNGAAPRLQTQPQVYNISKNDFRSIVQQLTGSPSRESLPRPPQNNSLRPQNTRLQRIRPSPLTQLNRPAVPLPSMAPPQSHPQFARQPPHQPPFPQTTQQPMMGHRDQFWSNTAESPVSEYMRYLQSSLGDSGPNANQMQPGHEQRPYIPGHEQRPYVPGNEQQPYMPGNEQRPYIPGHEQRSYMPAQSQSQSQPQPQPQPQQHMMPGPQPRMNMQGPLQPNQYLPPPGLVPSPVPHNLPSPRFNAPVPVTPTQPSPMFSQMYGGFPSPRYNGFGPLQSPTSQFLQPSPTGYPNMFSPRSPYPLLSPGVQYPQPLTPNFSFSQIAQQGSLGPGAGPSQGPPQPPPSPGLMFPLSPSGFFPMPSPRWNDY", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MYPKQFSLYNYSLETMSKDENVESKETIRVDKRVREDEEEEEEKKIDTFFKLIKHYQEARKRRREELAENSGVVRRKSNGGERSGIVVPAFQPEDFSQCRTGLKPPLMFVSDHKEENTKVEQEEDQTEERNEDKALDLNLAL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTVRRLESKSEHISDDEERKEQLDYKKQMDVDTDRNIVLNGRLESQIAKLSVPPHEMRVVDDYSNSKNAERHSGEIQAYAKFAGSTWTYYVKKIRIILGREPANPSPKGKNEDLEVIDMNFGPSKVVSRKHAVVEYDLDDQTWNCSVYGRNGIKVDGKLFKNGETVKLTSGSILEVAGLQMMFVLPNAAEQKQTDESTIKEDAIKSEISAAVNDAAEYGDNKKPPYSYSVMIAQAILSSSECMMTLSNIYSWISTHYPYYRTTKSGWQNSIRHNLSLNKAFRKVPRKSGEQGKGMKWSIVPEFREEFIAKTRKTPRKRSPSSPVPLLAKKREGSPSLPIPILPKMKDTSIPAAEPASSTTSARDQTPSTPKDVGSPSTAETSAEEKQMETYKTPTHAALSDIISTHDYALDANSASQTKKAAFGSPIGSSTYPTSSPAPFWKYVAVPNPHDWPQVGSYDTISPYRNPVNSHLIYSQIQQSSPKKIDEQLHDLQGVDLVNGFEGISSWRESMVNKLRSSVSDSPTMNLANSNSKSSPVAVQRVSTLPQASANKQAKEMESKMSNSPTQKSKTEENNQAVRAILDASATMEKQYDLHRLPTPTSQTESASVPQIANPPNSQNLVKEKSPQQYIQVPQSNVKSSA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAEEADVDIEGDVVAAAGAQPGSGENTASVLQKDHYLDSSWRTENGLIPWTLDNTISEENRAVIEKMLLEEEYYLSKKSQPEKVWLDQKEDDKKYMKSLQKTAKIMVHSPTKPASYSVKWTIEEKELFEQGLAKFGRRWTKISKLIGSRTVLQVKSYARQYFKNKVKCGLDKETPNQKTGHNLQVKNEDKGTKAWTPSCLRGRADPNLNAVKIEKLSDDEEVDITDEVDELSSQTPQKNSSSDLLLDFPNSKMHETNQGEFITSDSQEALFSKSSRGCLQNEKQDETLSSSEITLWTEKQSNGDKKSIELNDQKFNELIKNCNKHDGRGIIVDARQLPSPEPCEIQKNLNDNEMLFHSCQMVEESHEEEELKPPEQEIEIDRNIIQEEEKQAIPEFFEGRQAKTPERYLKIRNYILDQWEICKPKYLNKTSVRPGLKNCGDVNCIGRIHTYLELIGAINFGCEQAVYNRPQTVDKVRIRDRKDAVEAYQLAQRLQSMRTRRRRVRDPWGNWCDAKDLEGQTFEHLSAEELAKRREEEKGRPVKSLKVPRPTKSSFDPFQLIPCNFFSEEKQEPFQVKVASEALLIMDLHAHVSMAEVIGLLGGRYSEVDKVVEVCAAEPCNSLSTGLQCEMDPVSQTQASETLAVRGFSVIGWYHSHPAFDPNPSLRDIDTQAKYQSYFSRGGAKFIGMIVSPYNRNNPLPYSQITCLVISEEISPDGSYRLPYKFEVQQMLEEPQWGLVFEKTRWIIEKYRLSHSSVPMDKIFRRDSDLTCLQKLLECMRKTLSKVTNCFMAEEFLTEIENLFLSNYKSNQENGVTEENCTKELLM", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFRSDKAEKMDKRRRRQSKAKASCSEEVSSIEWEAVKMSEEEEDLISRMYKLVGDRWELIAGRIPGRTPEEIERYWLMKHGVVFANRRRDFFRK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MFEKNGRTLLAKRKTQGTIKTRASKKIRKMEGTLERHSLLQFGQLSKISFENRPSSNVASSAFQGLLDSDSSELRNQLGSADSDANCGEKDFILSQDFFCTPDYITPDNQNLMSGLDISKDHSPCPRSPVKLNTVKSKRCRQESFTGNHSNSTWSSKHRVDEQENDDIDTDEVMGDKLQANQTERTGYVSQAAVALRCRAMPPPCLKNPYVLNQSETATDPFGHQRSKCASFLPVSTSGDGLSRYLTDFHEIRQIGAGHFSRVFKVLKRMDGCLYAVKHSTRKLYLDSERRKAMMEVQALAALGFHENIVGYYSSWFENEQLYIQLELCDHSLSALPKKSSLKVSEREILVIMHQIAKALHFVHEKGIAHLDVKPDNIYIKNGVCKLGDFGCATRLDKSLPVEEGDARYMPQEILNEDYEHLDKVDIFSLGVTVYELIKGSPLTESRNQSLNIKEGKLPLLPGHSLQLQQLLKTMMDRDPKRRPSARELLDHPMFDRIRG", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEFLSEKFALKSPPSKNSDFYMGTGGALEHVMETLDNESFYGKATAGKCVQAFGPLPRAEHHVRLDRTSPCQDSSVNYGITKVEGQPLHTELNRAMDNCNNLRMSPVKGMPEKSELDELGDKCDSNVSSSKKRRHRTTFTSLQLEELEKVFQKTHYPDVYVREQLALRTELTEARVQVWFQNRRAKWRKRERYGQIQQAKSHFAATYDISVLPRTDSYPQIQNNLWAGNASGGSVVTSCMLPRDASSCMTPYSHSPRTDSSYTGFSNHQNQFSHVPLNNFFTDSLLTGATNGHAFETKPEFERRSSSIAVLRMKAKEHTANISWAM", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDPKDESAHVWPTSADHEQSTAQVHFVPDAGTVAQIVYTDDQVRPPQQVVYTADGASYTSVDGPEHTLVYIHPVEAAQTLFTDPAQVAYVQQDATAQQVLPSIESVHGSDPLATLQNPIARLDAKEEEEEEEDEDEDTEEEEEEDAEDTDVDDWQPDPPRPFDPHDLWCEECNNAHSSVCPKHGPLHPIPNRPVLTRARASLPLVLYIDRFLGGVFSKRRIPKRTQFGPVEGPLVRGSELKDCYIHLKVSLDKGDRKDRDLHEDLWFELSDETLCNWMMFVRPAQNHLEQNLVAYQYGHHVYYTTIKNVEPKQELKVWYAASYAEFVNQKIHDISEEERKVLREQEKNWPCYECNRRFISSEQLQQHLNSHDEKLDVFTRTRGRGRGRGKRRFGPGRRPGRPPKFIRLEITSENGEKSDDGTQDLLHFPTKEQFDEAEPATLNGLDQPEQASIPIPQLPQETPPSLEQEPETHTLHLQPQQEESLVPTQTTLTADDMRRAKRIRNAALQHLFIRKSFRPFKCLQCGKAFREKDKLDQHLRFHGREGNCPLTCDLCNKGFISSASLESHMKLHSDQKTYSCIFCPESFDRLDLLKDHVAIHVNDGCFTCPTCKKRFPDFIQVKKHVRSFHSEKIYQCTECDKAFCRPDKLRLHMLRHSDRKDFLCSTCGKQFKRKDKLREHMQRMHNPEREAKKADRISRSKTFKPRITSTDYDSFTFKCRLCMMGFRRRGMLVNHLSKRHPDMKIEEVPELTLPIIKPNRDYFCQYCDKNEMSYFALSKKVALYIAFMVKYLTLQVYKSASKRKAHILKNHPGAELPPSIRKLRPAGPGEPDPMLSTHTQLTGTIATPPVCCPHCSKQYSSKTKMVQHIRKKHPEYAQLPNTIHTPLTTAVISATPAVLTTDSATGETVVTTDLLTQAMTELSQTLTTDYRTPQGDYQRIQYIPVSQSASGLQQPQHIQLQVVQVAPATSPHQSQQSTVDVGQLHDPQTYTQHAIQVQHIQVTEPAPAAPSASQVAGQPLSPSAQQVQQGLSPSHIQGSSSTQGQALQQQQNSSVQHTYLPNAWNSFRGYSAVSAGDTSHESASEIQMMTLPPGQFVITDSGVATPVTSGQVKAVTPGHYVLSESQPELEEKQASALSGAVQVQPSAHSDSLDSTGPSQQQTTQYIITTTTNGNGGSEVHITKP", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVDVEKMSDAELRAELNVRGANVGPVTGTTRSLYEKKLKKLLSGGAKTPARPTVAKPAPKPTPKSAPAPKSPKSPPARRSIPRAAATAANSTINSTFNRSEIEEMSDSDDDMRDDDDDDEEILSPKSKQSSFRSANSTASSVGRGRPVSSTPNKRLSPVYKPSPVPKNTPRTTSSSSKTTINTTTTRIPSTPRRITSVPGLITDFTPSFSTFGSDRPGATPPRKSIYTSKVSKVLHDLGNTTGEEDDDDEFEGQETSRIIYKTEEPSRRGIVKNAWNKVLGYGFDASKNPGDSYDLRAGASRIRVQKNPRTGKVTVKQTNIFNEAIYFALYVILILFVVLGIAYALTTTHRPKTADFSGYWGVLKAAGRDSLNFFYNYAILPVVSLGIFVVLGAGIYFGHRKYKEAKEQEEAKLYELIERITELIRESSIDGDPYVSQPHVRDVLFPPAKRRSAELARWEQAVKFIDTNESRVATDVLVLPSGNECAVWKWIGNQSQKRW", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDEDEKDRAKRASRNKSEKKRRDQFNVLIKELSSMLPGNTRKMDKTTVLEKVIGFLQKHNEVSAQTEICDIQQDWKPSFLSNEEFTQLMLEALDGFVIVVTTDGSIIYVSDSITPLLGHLPADVMDQNLLNFLPEQEHSEVYKILSSHMLVTDSPSPEFLKSDNDLEFYCHLLRGSLNPKEFPTYEYIKFVGNFRSYNNVPSPSCNGFDNTLSRPCHVPLGKDVCFIATVRLATPQFLKEMCVADEPLEEFTSRHSLEWKFLFLDHRAPPIIGYLPFEVLGTSGYNYYHIDDLELLARCHQHLMQFGKGKSCCYRFLTKGQQWIWLQTHYYITYHQWNSKPEFIVCTHSVVSYADVRVERRQELALEDPPTEAMHPSAVKEKDSSLEPPQPFNALDMGASGLPSSPSPSASSRSSHKSSHTAMSEPTSTPTKLMAENSTTALPRPATLPQELPVQGLSQAATMPTALHSSASCDLTKQLLLQSLPQTGLQSPPAPVTQFSAQFSMFQTIKDQLEQRTRILQANIRWQQEELHKIQEQLCLVQDSNVQMFLQQPAVSLSFSSTQRPAAQQQLQQRPAAPSQPQLVVNTPLQGQITSTQVTNQHLLRESNVISAQGPKPMRSSQLLPASGRSLSSLPSQFSSTASVLPPGLSLTTIAPTPQDDSQCQPSPDFGHDRQLRLLLSQPIQPMMPGSCDARQPSEVSRTGRQVKYAQSQVMFPSPDSHPTNSSASTPVLLMGQAVLHPSFPASRPSPLQPAQAQQQPPPYLQAPTSLHSEQPDSLLLSTFSQQPGTLGYAATQSTPPQPPRPSRRVSRLSES", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPGETEEPRPPEQQDQEGGEAAKAAPEEPQQRPPEAVAAAPAGTTSSRVLRGGRDRGRAAAAAAAAAVSRRRKAEYPRRRRSSPSARPPDVPGQQPQAAKSPSPVQGKKSPRLLCIEKVTTDKDPKEEKEEEDDSALPQEVSIAASRPSRGWRSSRTSVSRHRDTENTRSSRSKTGSLQLICKSEPNTDQLDYDVGEEHQSPGGISSEEEEEEEEEMLISEEEIPFKDDPRDETYKPHLERETPKPRRKSGKVKEEKEKKEIKVEVEVEVKEEENEIREDEEPPRKRGRRRKDDKSPRLPKRRKKPPIQYVRCEMEGCGTVLAHPRYLQHHIKYQHLLKKKYVCPHPSCGRLFRLQKQLLRHAKHHTDQRDYICEYCARAFKSSHNLAVHRMIHTGEKPLQCEICGFTCRQKASLNWHMKKHDADSFYQFSCNICGKKFEKKDSVVAHKAKSHPEVLIAEALAANAGALITSTDILGTNPESLTQPSDGQGLPLLPEPLGNSTSGECLLLEAEGMSKSYCSGTERVSLMADGKIFVGSGSSGGTEGLVMNSDILGATTEVLIEDSDSAGP", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPTKTKKRSVLEAERKKIGLDHAPKEDESVDDNFPKQFKRLLQQKEYHESKKKEIKKGNLKNKKKKDYGKIQRLPGERLSEFSQRVNKAIPVSFKSGPSKIDEFTDKKEKKKIAKRKEKRERDWNEIEENFEDKTWEADTTGQFIQIESRKKRKNSPDPWANLQTKPSFGETVQAPPELPELKIKETKYLENVPKVNNMGQTESMARRQALGKQRLELIEKYRELMKTKRK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MHASSAAATALVEYSDVSSEDFSDQEAGDLDADAGKGAGNIKKPKPAPDNQFSKGRLDAKPDKEGYDNYRSRRAEDSSDPVAAGSRQTSSSEATNPREEPSQASNTSKDELWGREIYMSSDSIDTDELEAEMKRQKRKKQKKEKHKHKSKKKSKKRKKKRAKSYSSIDSMSDNDINALLDRRYTPPTAPSKSNERTVSAAPSSFTPHNLKESSSPATPPPVRRPNTNSNYYGESSLETANSALGSNLQVTVTNKQSISNRLRSPPPSSRSSGNGPRFGNSPRTPPPSHYSSSGGGGVGSGSVVRDSRSSRYVNSPHKEDVSAHHRSSHDHGYQGRYSGAGSSSHDTRKVKRLSPELDRYNHQPSTPPHKRRKFSDGREVGLGNFEHSRHHSGKYERYSRDRYSRRSSRSPSVQHSRSRQSPSGGLSSGSNAFRHGGSHKHKYGTTVSSTPSHTTRTSKRASGTGTSGDRYSRSPRTSSRYMESSPPSPVGASGSHHYHHRRSPRMRQRTRGDSRRRSPSSASSESSASRSRSPTSRDLKHKREEYIKKISETSLFAELVKDRHKRQKALKEIIERQEENSNSNSNGALTINDNSSSVDGNTPNAADGRSAPGSGTPAAASTTSNGLQALGSKPDLDLNNIPMPNKQNDSVVSNPASNADVPDSVAQLKQPLLVPPFSASKNNIKPKSLTSLPLPPGMNVLDLAGARSPSPGQKKESDEKNVTSSGSANKSVLNLPMPPVIPGSEELSGDDDVIDSPEDFDAPAVGTVHGHGGGPGTTRQRPVILNRRDSRNNVRDWGERCVDVFEMIAQIGEGTYGQVYKARDHHTNDMVALKKVRLEHEKEGFPITAVREIKILRQLNHRNIVNLHEIVTDKQDAVEFRKDKGSFYLVFEYMDHDLMGLLESGMVDFNEENNASIMKQLLDGLNYCHKKNFLHRDIKCSNILMNNRGKVKLADFGLARLYNADDRERPYTNKVITLWYRPPELLLGEERYGPSIDVWSCGCILGELFVKRPLFQANAEMAQLETISKICGSPVPAVWPNVIKLPLFHTLKQKKTHRRRLREDFEFMPAPALDLLDKMLDLDPDKRITAEDALRSPWLRKINPDEMPTPQLPTWQDCHELWSKKRRRQMREQQESLPPTVIASTKYQQHGATMVGDA", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MERLNSKLFVENCYIMKENERLRKKAELLNQENQQLLFQLKQKLSKTKNSNNGSNNDNKSSSASGQS", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPEHPRHCDFQRGNVEIGLGPGGDLLGKRLSCPCITSHCSSEKKARSKDPQATSLLPELESTMAPEDHYHQLMSALSEASSFEETQRLYHLGIPSHDLLRVRQEVATATLRGPSGLEVHLPSSTADHRRKQGLVQRREGAVPAAATSFSEREMSQPPPLLSPQNAAHITMSSHLRPPFLGMPTAVCQTPGFSFLPSAQAEMLARQQELLRKQSLARLEMSELLRQKELGSVHRPLLPAPEVALHIPEGPDELQRRGSMLVLKHSSAPLLALPPQGPPGPGPPIPPKESARSRSEKGSLGVQPSQPKETTGAGLWAQEVSEEPSKDSDGEDPETAAAREGTSTPSQVPAGGTRAEGRGLLSGSTLPPPLPLGFPCGAVSPYFHTGTMGGLFTDEETTTLEDVNKWTVDDVCNFVGGLSGCGEYARVFGEQGIDGETLPLLTEEHLLNTMGLKLGPALKIRAQVAKRLGRVFYMASFPVALPLQPPSLQAPELSPGHQPLSPATTTSPYEGTHLPTGQASPKQENGSGTIALLSGAPDPSQLLQ", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGGEEEVVSVELPAPSSWKKLFYPNKVGSVKKTEVVFVAPTGEEISNRKQLEQYLKSHPGNPAIAEFDWTTSGTPRRSARISEKTKATPSPDKEPPKKRGRTKSPVSKKDAEGEKSEGGGEENSHVKDTEMNPPEGIAENENVTDKNGSGETERVNDAKENIVAEETPNAAPVQEEGESMKEKALDSVDDKSKETDKEKDTGSIEKNSVDVEKKTVEASDEKKNSEAETRNHEENGLTTEAEGKEKTAEGEATG", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAGPQPLALQLEQLLNPRPSEADPEADPEEATAARVIDRFDEGEDGEGDFLVVGSIRKLASASLLDTDKRYCGKTTSRKAWNEDHWEQTLPGSSDEEISDEEGSGDEDSEGLGLEEYDEDDLGAAEEQECGDHRESKKSRSHSAKTPGFSVQSISDFEKFTKGMDDLGSSEEEEDEESGMEEGDDAEDSQGESEEDRAGDRNSEDDGVVMTFSSVKVSEEVEKGRAVKNQIALWDQLLEGRIKLQKALLTTNQLPQPDVFPLFKDKGGPEFSSALKNSHKALKALLRSLVGLQEELLFQYPDTRYLVDGTKPNAGSEEISSEDDELVEEKKQQRRRVPAKRKLEMEDYPSFMAKRFADFTVYRNRTLQKWHDKTKLASGKLGKGFGAFERSILTQIDHILMDKERLLRRTQTKRSVYRVLGKPEPAAQPVPESLPGEPEILPQAPANAHLKDLDEEIFDDDDFYHQLLRELIERKTSSLDPNDQVAMGRQWLAIQKLRSKIHKKVDRKASKGRKLRFHVLSKLLSFMAPIDHTTMNDDARTELYRSLFGQLHPPDEGHGD", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRLLEKLCSSAAGSSAPKPAFAKVLTPNRIPEFCIPPRLPAPCTLESPIRAAAVPRRCAAESDLWPRAADEDAGRTDWDPRSQAALSLPHLPRVRTTYGFCALLESPHTRRKESLLLGGPPAPRPRAHSCGGGGGPDAPLGTLCGPRGPGPATPAAPGGPRLPQDALAAGPRRCRLLRVPDGLLSRALRAGRSRRLARVRSVSSGNEDEERRAGSESPARAPSSSPLSSRAPLPERLEAKGTVALGRAGDALRLAAEYCPGTRRLRLRLLRAESLFGGAPGPRAVRCRLSLVLRPPGTARWQCSAVVGRSRKASFDQDFCFDGLSEDEVRRLAVRVKARDEGRGRDRGRLLGQGELSLGALLLL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MYQASAVSLLPRDIPSCHSPSPGFSHLPTSSSQLAPDLLQFPLGQDPSFLAIPILTLPPSDSLVPPYIVWYIVWPSALISFLGCTLTVQFSNGKLQSPGNMRFTLYENKDSTNPRKRNQRILAAETDRLSYVGNNFGTGALKCNTLCRHFVGILNKTSGQMEVYDAELFNMQPLFSDVSVESELALESQTKTYREKMDSCIEAFGTTKQKRALNTRRMNRVGNESLNRAVAKAAETIIDTKGVTALVSDAIHNDLQDDSLYLPPCYDDAAKPEDVYKFEDLLSPAEYEALQSPSEAFRNVTSEEILKMIEENSHCTFVIEALKSLPSDVESRDRQARCIWFLDTLIKFRAHRVVKRKSALGPGVPHIINTKLLKHFTCLTYNNGRLRNLISDSMKAKITAYVIILALHIHDFQIDLTVLQRDLKLSEKRMMEIAKAMRLKISKRRVSVAAGSEEDHKLGTLSLPLPPAQTSDRLAKRRKIT", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTVDQRTTAKAIMPPVEMPPVQPGRKKRPRRSRDGPTSVAETIKRWAELNNQQELDPQGPKKARKAPAKGSKKGCMKGKGGPENTRCDFRGVRQRTWGKWVAEIREPNQQSRLWLGTFPTAEAAACAYDEAARAMYGPMARTNFGQHHAPAASVQVALAAVKCALPGGGLTASKSRTSTQGASADVQDVLTGGLSACESTTTTINNQSDVVSTLHKPEEVSEISSPLRAPPAVLEDGSNEDKAESVTYDENIVSQQRAPPEAEASNGRGEEVFEPLEPIASLPEDQGDYCFDIDEMLRMMEADPTNEGLWKGDKDGSDAILELGQDEPFYYEGVDPGMLDNLLRSDEPAWLLADPAMFISGGFEDDSQFFEGL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAGLSDLELRRELQALGFQPGPITDTTRNVYRNKLRRLRGEARLRDDERLREDAGPREDAGPRGPERQREEARLREEAPLRARPAASVLRSEPWPLSPSPPAPSAASDASGPYGNFGASASPWAASRGLSYPPHAGPGPLRRRASVRGSSEDDEDTRTPDRHAPGRGRHWWAPPSASARPHSALLGADARPGLKGSRTGSAGAGRTRPEVGRWLERCLSRLLLWASLGLLLGFLAILWVKMGKPSAPQEAEDNMKLLPVDCERKTDEFCQAKQKAALLELLHELYNFLAIQAGNFECGNPEKLKSKCIPVLEAQEYIANVTSSPSSRFKAALTWILSSNKDVGIWLKGEDPSELATTVDKVVCLESARPRMGIGCRLSRALLTAVTHVLIFFWCLAFLWGLLILLKYRWRKLEEEEQAMYEMVKKIIDVVQDHYVDWEQDMERYPYVGILHVRDSLIPPQSRRRMKRVWDRAVEFLASNESRIQTESHRVAGEDMLVWRWTKPSSFSDSER", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MERHGVAAPPVELKDQEPPAIVESGEHRQSENHEETPGSVAPSASCQLPGPFSSLDSSIETLKKKAQELIENINESRQKDHALMTNFRDSLKMKVSDLTEKLEERMYQVYSHHSKIIQERLQEFTQKMAKINHLEMELKQVCQTVETVYKDLCVQSEVPTCEEQNYKDGEC", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MATPQVKDLVLRSPAGSSDVISFAWPLQIGHGQDKHDNGIDIIDTIKFVCDELPSMSSAFEETNLHQIDTACYKTMTGLVDRFNKAVDSIVALEKGTSLPAERLNKFAHPSLLRHILQLVYNAAVLDPDKLNQYEPFSPEVYGETSYELVQQMLKHVTVSKEDTFIDLGSGVGQVVLQMAGSFPLKTCIGIEKADTPARYAERMDVIFRQYMGWFGKRFCEYKLIKGDFLVDEHRENITSSTLVFVNNFAFGPTVDHQLKERFADLRDGARVVSSKSFCPLNFRITDRNLSDIGTIMHVSEIPPLKGSVSWTCKPVSYYLHVIDRTILERYFQRLKTKGGNDHESVGTVRTTRDRAKREANVGQHHHNNHHSNNHANSNNHQRDREQSNGATATAAHQQRHQSQSPANVSGAGIVLAASGQQAASKTRQQLQHQHNQQQRSLDMESSTESDGDATNGNGGNTTTATNTTSASNGPMTRKVWSDWCSSKGKSSQSDDEENNNSNSNGGSNGGSIGGGSVGRQARATTQKKRKKLTRKAAIASKSAAAAQREAEAAAAAAVSVPSKESSSKEDPPRAASAGPGRKGRMKKGARGRKSLKIVGLEALHKQTVLSTSLDTMTKKLPAAPGTVDQQLTALLTENMSHAELDIPTAPQDTPYALQILLDVFRSQYTSMIEHMKSSAYVPQVQKQIAQEQERMARLKNRASQLDKQIKVLIDDSVALLKVRMNELGIHVNSPNDLIAQAKEIVGRHKDLQHTASRMRNEVTFYEGEQKLLLNKQLKNLPEYQKLCGTVNGKVKLEVPPELSETTAQELVLKEIANTLSQRKKLYAQVSTIEQETSVLQKTAEERSTAATLLAQGTNMIVSTGSSSSSSTTVCASAVTAQSNKLNSVKNSRRNREHRARSQEWPEVPEVGKIQESNPEVLAQKIVETCRQIEAGKFQGAGAPSSQVNGKNKAIIEVPPPPATAPVSIKSSPGHHYKDTTLMPAPKQQQQQQMTLSQLPKCELPGLSTSRKQESPKVANFEDRLKSIITTALNEDQEQRSKAVESSPSPSPLHSPAPKRSKQHPAGAINPAQSLPNNLHNIITVSTQGLMHLNANTTISPITPPLPGPGAGATASTAPPPPANLPYGAYGGAVAKTTISGKYQAAKEPKYSPVRQAPLPPPPSHMASLYPAGQQTTPADLGYQRRRSSVSATSYEHYMVQQQQQLQQQQLMLAAAAHAAQRQQMRVEEQQQQQQHQHHHHHHHHHPQHRLPQHVQHQHPHQHHPNEFKAPPADSHLQRSSSREQLIVEPPQTQPLELLPRASSANSDYSGYRIRPPSRPSSNSSQPDYTQVSPAKMALRRHLSQEKLSQHVTPQATPPLPGHGGAPTSGKTIGDLVNGEIERTLEISHQSIINAAVNMSTSGASFMERAFLNERSNDRLLINLNAQRPERVHVRPLSEESQDPQPTSYAQERGPGLGAGGAAAGGNSNLATLAHVAYAQKAQGGARANAGTAPPATHSSSARSGRDYQPVALPRAELKGSIEAYFHEEQQQKQSKGAGSAGSSSLRGPRLNGANPPLEGLAASLQDHVRARKYKEETEERQRRAAAAASSSAGPPAGMELPTHYAHQAPPAHSYHHHGASINGTPHKVELGIKRSSPLAPHQQPPRPSKLAHYEPPTTQQQHAHAHLYANGQVLPPPPAHDATTPSPTPSSSSSSCGRRSNSNNGKLLVDPPLLMSPEINSLLGDERPLQLSHHQQQQQQMLHHHQSQQQQHLQLTQQQLRVAHLGHGLSHGHSTMPTLGGQRNGNGNAADDVNDLATQRTITNYDPRRRLRTTLSGPTKLSAAHSNQNLNGYVMADSSSSCPTIPQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFNNNNEYECLCILEEKLVKHLDVIDKLIENIYDNINNLNEYINKKYNEIKILASKKPTRINWMKCDDKTHMSLFFDKKIGFIPSNEDALKILDSQLMLSNYRKKYEYKKNSWTKKDIDKLFETVDITLKKYACYYLIDQNLSCDEKINKKKMIEQSEPKQIFSQIKLFFDKYNKENTHNKGNEDNVNKNINDNISKNNITHTQNCYEPIEKEQDNSNNIFSYTKNDKNIEHNFLYFSETFWNEVSEKLSNNQNAKECQKMWLYYGCFEDDKQKKWTKDEVDKLLCLSKKYEQRNWKCIARELNTNRSPLSCFEQYIKINKLYENKEKVKLERIAFNVLEDIQLQILVSIIGDKNWAEVKKHMESLNSNTSRIKKRKTNLNFFEKEKQKKFLNDEISYKRRYLRLISATNNMEQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSQALNESANSIGSDEQDDTREEANGTDHSGSGSGSGSSGSDSDSDSSSGNSSDGRSSPEPEDKSLSVAGFPPTAAAAQADSKTNGFTDDQEDSSSDGSSGSDSDSDAEGPSDQRNQSINNANTSSSLPKPEQNEEEDNETEAGQQQPASDASADESSDSSANVSPTSSSSSSEEEEEDYRPKRTRQARKPPTAAEKSKKAPAPKNKKKTWDSDESDESEDSDDEVSTAQKRKPAATTSRSKLAQQQQRRRVKPFSSEDSDDDDASKRCATRRKGAAVSYKEASEDEATDSEDLLEFEYDESQAATTAATAEEEEKCETIERILAQRAGKRGCTGNQTTIYAIEENGFDPHAGFDEKQTPDAETEAQFLIKWKGWSYIHNTWESEATLRDMKAKGMKKLDNFIKKEKEQAYWRRYAGPEDIDYFECQLELQHELLKSYNNVDRIIAKGSKPDDGTEEYLCKWQSLPYAESTWEDAALVLRKWQRCAEQFNDRESSKCTPSRHCRVIKYRPKFSRIKNQPEFLSSGLTLRDYQMDGLNWLLHSWCKENSVILADEMGLGKTIQTICFLYSLFKIHHLYGPFLCVVPLSTMTAWQREFDLWAPDMNVVTYLGDIKSRELIQQYEWQFESSKRLKFNCILTTYEIVLKDKQFLGTLQWAALLVDEAHRLKNDDSLLYKSLKEFDTNHRLLITGTPLQNSLKELWALLHFIMPDKFDTWENFEVQHGNAEDKGYTRLHQQLEPYILRRVKKDVEKSLPAKVEQILRVEMTSLQKQYYKWILTKNFDALRKGKRGSTSTFLNIVIELKKCCNHAALIRPSEFELMGLQQDEALQTLLKGSGKLVLLDKLLCRLKETGHRVLIFSQMVRMLDVLADYLQKRHFPFQRLDGSIKGEMRRQALDHFNAEGSQDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAQARAHRIGQKNQVNIYRLVTARSVEEQIVERAKQKMVLDHLVIQRMDTTGRTVLDKSGNGHSSNSNPFNKDDLSAILKFGAEELFKDEQEHDDDLVCDIDEILRRAETRNEDPEMPADDLLSAFKVASIAAFEEEPSDSVSKQDQNAAGEEDDSKDWDDIIPEGFRKAIDDQERAKEMEDLYLPPRRKTAANQNEGKRGAGKGGKGKQQADDSGGDSDYELGSDGSGDDGRPRKRGRPTMKEKITGFTDAELRRFIRSYKKFPAPLHRMEAIACDAELQEKPLAELKRLGEMLHDRCVQFLHEHKEEESKTAATDETPGAKQRRARATFSVKLGGVSFNAKKLLACEQELQPLNEIMPSMPEERQQWSFNIKTRAPVFDVDWGIEEDTKLLCGIYQYGIGSWEQMKLDPTLKLTDKILLNDTRKPQAKQLQTRAEYLLKIIKKNVELTKGGQRRQRRPRASRANDAKAASQSASSTIDAKPHDGEDAAGDARTVAESSNSQVDPSTASPHNAPATEQHGDPAKKAKKSKARSKKTSASDNNGNKPMHFTANNEPRALEVLGDLDPSIFNECKEKMRPVKKALKALDQPDVSLSDQDQLQHTRDCLLQIGKQIDVCLNPYAETEKKEWRSNLWYFVSKFTELDAKRLFKIYKHALKQKAGGDGEAKGKDKGSSGSPAKSKPNGVTTEEKEKERDRSGGKKKKKDKDKERSGQARYPETGIPTSGRYADPPLKRKRDENDADASSGLAGAPGGGIGDNLKSMSFKRLNMDRHEDRKKHHRGPDYYGGSGPPMGSGSYEGGSNSRRQGPTSPSTPRTGRGGYDPPPAPSGYTPEMERWQSRDRYSQDYKRDRYDGYGRSGGGQGSYHRERDRRPEKRRYPSGLPPHPYSSHYLPPNYYGLPNGAVPGLPPPSSVYRSDPRGYPVMPRDYPADYRRSDYERRTQT", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTNAQELGQEGFMWGISNSDDSGGGCKRIEKEPLPSHPSHPSPEIQTTTVKKGKKRTKRNDKNHEEESPDHEIHIWTERERRKKMRDMFSKLHALLPQLPPKADKSTIVDEAVSSIKSLEQTLQKLEMQKLEKLQYSSASTNTTPTTTFAYAPSSSSSPTALLTPISNHPIDATATDSYPRAAFLADQVSSSSAAAANLPYPCNDPIVNFDTWSSRNVVLTICGNEAFFNLCVPKHKPGVFTSVCYLFEKYNMEVLFANVSSNVFWSTYVIQAQVNPSCENQLLGNGLGVVDVFKQVSQELVLYFSSL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKRKPEEQEGFVFVRKGKEKAGSKRRKSSVEDDPDTSQTDGLVELPVSDTPIVKRNKELRKGKGRRSSLDQRGKRASSIGTGFEALPHADVPSHEYYRHISKDLSEPLRIKQLLLWASSKALEEQRKKYGETEEASEAAIARSIVQEVLNELLANKVSVSWYQRPPDAVIPNKPHPQNLKNAQLVDELSAKLTQLHNEEAAWRAVAANSVSSDKSILSFKKAVESIDSKQDLDKQDSPLPPDDAPELPNISKLKPKFHTLLDMLAENIHTLHSLTNAGPEVRSSYGRLAAQDFIAHRKSLLSFSKYVDTMNLLRLLSEASYKSSSNESV", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSWTTIESDAGVFTDLIENLGVKDVEVDELYSLDVDSLRQFPDIYGIIFLFKWNSKVDKPDGTMDYDSMDNIFFAKQVINNACATQALLSVLLNHSDEIDLGTTLSEFKDFSKTLPPELKGEALGNSEHIRCCHNSFARSDPFISEEVRAATDEDEVYHFIAYTNINNVFYELDGLQAAPINHGSCTKEEFAEKAVSVIQARIANYDPAEIRFNLMVICKDKKASLLTREDLTDEEKAASIAVEDEKRLRWKRENQLRRHNFVGLFVELSKLLVKDRIDKNTWNSTLETAKAKYASQKRP", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKRRTDPECTAPIKKQKKRVAELALSLSSTSDDEPPSSVSHGAKASTTSLSGSDSETEGKQHSSDSFDDAFKADSLVEGTSSRYSMYNSVSQKLMAKMGFREGEGLGKYSQGRKDIVEASSQKGRRGLGLTLRGFDQELNVDWRDEPEPSACEQVSWFPECTTEIPDTQEMSDWMVVGKRKMIIEDETEFCGEELLHSVLQCKSVFDVLDGEEMRRARTRANPYEMIRGVFFLNRAAMKMANMDFVFDRMFTNPRDSYGKPLVKDREAELLYFADVCAGPGGFSEYVLWRKKWHAKGFGMTLKGPNDFKLEDFYSASSELFEPYYGEGGIDGDGDITRPENISAFRNFVLDNTDRKGVHFLMADGGFSVEGQENLQEILSKQLLLCQFLMALSIVRTGGHFICKTFDLFTPFSVGLVYLLYCCFERVCLFKPITSRPANSERYVVCKGLKVGIDDVRDYLFAVNIKLNQLRNTDSDVNLVVPLEVIKGDHEFTDYMIRSNESHCSLQIKALAKIHAFVQDTTLSEPRQAEIRKECLRLWGIPDQARVAPSSSDPKSKFFELIQGTEIDIFSYKPTLLTSKTLEKIRPVFDYRCMVSGSEQKFLIGLGKSQIYTWDGRQSDRWIKLDLKTELPRDTLLSVEIVHELKGEGKAQRKISAIHILDVLVLNGTDVREQHFNQRIQLAEKFVKAVSKPSRPDMNPIRVKEVYRLEEMEKIFVRLEMKIIKGSSGTPKLSYTGRDDRHFVPMGLYIVRTVNEPWTMGFSKSFKKKFFYNKKTKDSTFDLPADSIAPFHICYYGRLFWEWGDGIRVHDSQKPQDQDKLSKEDVLSFIQMHRA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLRSTGFFRAIDCPYWSGAPGGPCRRPYCHFRHRGARGSGAPGDGGEAPPAAGLGYDPYNPELPKPPAQRENGTLGLGEEPRPDVLELELVNQAIEAVRSEVELEQRRYRELLETTREHRSAEAPALAPRGPNASPTVGPDEDAFPLAFDYSPGSHGLLSPDAGYQPTPLAAPAEPGSKYSLASLDRGQGRGGGGGGALEYVPKAVSQPRRHSRPVPSGKYVVDNSRPPTDLEYDPLSNYSARHLSRASSRDERAAKRPRGSRGSEPYTPAPKKLCDPFGSCDARFSDSEDEAATVPGNEPTTASTPKARADPEIKATGQPPSKEGLEAEGGGLRETKETAVQCDVGDLQPPPAKPASPAQVQSSQDGGCPKEGKPKKKKTGAPPAPSCKDGAQGKDKTKDKGRGRPVEKPRADKKGPQASSPRRKAERPEGTKKKPSSATPVATSGKGRPDRPARRPSPTSGDSRPAAGRGPPRPLQLPDRKSTKAPSGKLVERKARSLDEGASQDAPKLKKRALSHADLFGDESEDEAAGPGVPSVWPSALPSLSSDSDSDSDSSLGFPEAQGPPKRLKASPPPSPAPSSSSSSSSSTSSAGADVDYSALEKEVDFDSDPMEECLRIFNESTSVKTEDRGRLARQPPKEEKSEEKGLSGLTTLFPGQKRRISHLSKQGQEVEPPRRGPAVPPARPPTAQEVCYLRAQQAQRASASLLQAPARLAEKSPSVHISAPGEKRRIAHIPNPRLAAAPTGAKRTLAASGSQSSNGPEPGGQQLKTRTLSGMASKTTTTIIPKRIAHSPSLQSLKKPIIPKEFGGKVPTVIRQRYLNLFIEECLKFCTSNQEAIEKALNEEKVAYDRSPSKNIYLNVAVNTLKKLRGLAPSAVPGLSKTSGRRVVSHEVVLGGRLAAKTSFSLSRPSSPRVEDLKGAALYSRLREYLLTQDQLKENGYPFPHPERPGGAIIFTAEEKRPKDSSCRTCCRCGTEYLVSSSGRCIRDEECYYHWGRLRRNRVAGGWETQYMCCSAAAGSVGCQVAKQHVQDGRKERLEGFVKTFEKELSGDTHPGIYALDCEMSYTTYGLELTRVTVVDTDVHVVYDTFVKPDNEIVDYNTRFSGVTEADLADTSVTLRDVQAVLLSMFSADTILIGHSLESDLLALKVIHSTVVDTSVLFPHRLGLPYKRSLRNLMADYLRQIIQDNVDGHSSSEDAGACMHLVIWKVREDAKTKR", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSAPSPFIKKLAANDRKTRDKALESLQRFLSQKKKFERLDFLKLWKGLFYCMWMADKPLYQQKLSDNLAALVPIVWIDNRILFQSTFWETMGREWTGIDILRTDKFYLLMRRFCAAAFRDIQTRSKTALLDKVVAEYNQMWMDGPFNTENLAFPNGILFHLADIWTEELRKVYPEDVPKADWYLPFDSTIKSSHNVVLRKTLPKRLDRVSEYTKDSQ", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSFNPGNNQNSGFSFGKPAQPNSAAQGAATPAATGLFGNTNNNTSSTAPSGGLFGSNNASNTSAPSTFSFGKAATTGNSTNASTSSPFSFGSTNTNNTAGAKPLFGGLGSTGSANSTGDKSKNTASSATGAATTNPSGSTFNFGSSNNSFNFGKPASTTNTTTPAAASTGSLFGKPAATGTTSNAPPASSTSTTPATGSGGFSFGKPASLGSTNNASTSTTANSGFSFGKPATTSAPGSNTTVTPSSSITGTNDSKPAASNTGSAPTTGFSFGKPAGQAASTATDKGTTTTSSAGTGFSFGKPATTEDTNKPTAPNSAFTKPATSTGDNKPTFSFGNTSKPTENTSTTATSAPPLSNNTKPAEGANQTSSGFSFGKPATDTTTSTSKTGPLFGNKPADPSAKPGATASTTPSEPPPSSIKHKTLQEILNKWSTDLTTQTEVFNKLCDQVSDWDRTLVDNGALISKLYTETVEAEQMSNRIDDGLEYVSSSQQELFKLLDSYETQLETFDGRATSALNVERERAFGVADDILSRLDRLGEDLGTVINQMNDFSKPDDSISEIVKVLNAQLASLGWVENRIFQMEEKLDTIKKKNSDVLF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGDPTNNNDSETKPNPATYWKSRFPVARIKKIMQADQDVGKVAQVTPVIMSKALELFMQSIIQESCKQTRLHQAKRVTVSHLKHAVQSVEQFDFLQDIVEKVPDAPPIKAERKTKRPRARRAANEGEHNESVPAKKVKKNTVKEEEIEKDDESATTETPVKEEPTGEETEADHEEKASVDHGNFSDKTTSEASSASGDE", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPVHGVIYYSSPSMATFLSPAQDNLVRTYFAQHLKKWVVQYKLYRNAVTPKTLEFLKQNINPSMLACVDEATMIDAEPELEDIIVRTKLWNFRQSFTIEGSIYEVGSFKVAIANVLQKSVWKGILFHVTYDGTESVDLARPIIQEFFLKCFLQNNKSVTPVYESFFNQPRHSLDSKLLLQLFKQRIDTVSQRT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTTKRIDRDLPSSDDPSSAKRRIEFPEETLENDGAAAIKLLSLLLQCAEYVATDHLREASTLLSEISEICSPFGSSPERVVAYFAQALQTRVISSYLSGACSPLSEKPLTVVQSQKIFSALQTYNSVSPLIKFSHFTANQAIFQALDGEDSVHIIDLDVMQGLQWPALFHILASRPRKLRSIRITGFGSSSDLLASTGRRLADFASSLNLPFEFHPIEGIIGNLIDPSQLATRQGEAVVVHWMQHRLYDVTGNNLETLEILRRLKPNLITVVEQELSYDDGGSFLGRFVEALHYYSALFDALGDGLGEESGERFTVEQIVLGTEIRNIVAHGGGRRKRMKWKEELSRVGFRPVSLRGNPATQAGLLLGMLPWNGYTLVEENGTLRLGWKDLSLLTASAWKSQPFD", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEVSGPEDDPFLSQLHQVQCPVCQQMMPAAHINSHLDRCLLLHPAGHAEPAAGSHRAGERAKGPSPPGAKRRRLSESSALKQPATPTAAESSEGEGEEGDDGGETESRESYDAPPTPSGARLIPDFPVARSSSPARKGMGKRPAAAAAAGSASPRSWDEAEAQEEEEAGVDGDGDADVDGEDDPGHWDADAADASFGVSAGRAHPRALAAEEIRQMLEGKPLADKMRPDTLQDYIGQSRAVGQETLLRSLLEANEIPSLILWGPPGCGKTTLAHIIANNSKKHSIRFVTLSATNAKTNDVRDVIKQAQNEKSFFKRKTILFIDEIHRFNKSQQDTFLPHVECGTITLIGATTENPSFQVNAALLSRCRVIVLEKLPVEAMVTILMRAINSLGIHVLDSSRPTDPLSHSSNCSSEPSVFIEDKAVDTLAYLSDGDARTGLNGLQLAVLARLSSRKVFCKKSGQTYSPSRVLITENDVKEGLQRSHILYDRAGEEHYNCISALHKAMRGSDQNASLYWLARMLEGGEDPLYVARRLVRFASEDIGLADPSALAQAVAAYQGCHFIGMPECEVLLAQCVVYFARAPKSIEVYSAYNNVKACLRSHQGPLPPVPLHLRNAPTRLMKDLGYGKGYKYNPMYSEPVDQDYLPEELRGVDFFKQRRC", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEVYNDDTEMRSPETQPIKETALEVYNDTAEIRSPETQPIEETALEVYNDTEMVSPETQPIKRRKKKSMVWEHFTIEAVEPNCRRAFCKGCNQSFAYSNGNKVAGTSHLKRHIFKGTCPALIHTHDNDNNPLMSTPYTPKTDTPRRRYRSQNNASPYVAFNQDKCRQEIAKMIIMHDYPLHMVQHPGFVSFVQSIQPHFDAVSFNNVQGDCVATYLAEKQNVMKSLEGIPGRFCLTLDFWTSKLTLGYVFITAHYIDSDWKIQKKLLNVLMESYPEADEALSLAVANCVSEWGLEGKLFNVTFNHPASNSAVENIRPQLCIKNPGILDGQLVIGNCVARTFGSLAKDVLEKGKDVIKNIRDSVKHVKTSESHEERFTELKEQLQVPSEKVLSLDDQTQWNTTYMMLVAASELKEVFSCLDTADPDYKKPPSAEDWRHVEALCTFLKPLFEAVSTLQSTGNPSAVTFFHEVWKTQSDLSRAIAGEDPFVTGIAKTMQEKVDKYWRDCSLVLAMAVVMDPRFKMKLVEFSFSKIFGEDAGKNIKTVDDGIHELFTEYMALPSPQNTTSEGGKADGLSDFDTYIMETTGQNLKSELDQYLDETLLPRVQEFDVLDWWKQNKLKYPTLSKMARDILSIPVSAAAFDYVFDMEPREMDEYKTSLRPETVEALICAREWLLESNASSSAAAQNASATIKSEA", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDELLNVASHAATFATNFALKHSISFAGKLAVQQVSSYIKRASADDQSELESVKTQLLEMIRVVTPAIELIEIMSVGENENFKSTKQLVDSLHADIEQFTKHVLSAAHSEFPPSSEKETLQKNVDTSILREMKTLLLKINDAVPLLNLSITTSGASISSSLPKSTSFSQLLRANSYIYRANVAFTGNEPLQVGPTFFLRTYKIIDNHAKSGYISNDLVMWKEEMPVCIAKMFRMPPQRLKSKDTVLAYALSLKQSFDDDRYHDEDETPVTKTISLNDVRTLFFSLSGKLLRLDDVQTPVLLLKYADDETEYSSNTAPSNWIALEALPLVSIPNESLDESDELAESLSDSEAARLQLLGIKKQESVAKKKSSFPSTIKDQPNLTLLEYLIRLCALESTTQESVLQLPDEQIALYLKDYQGRERPRDPASYNALENRSELSASPGSVSSSRHSGIFATPTFLSPWNIKNIPLVTPEVSTRQTKNVDEEDSALLMASPSVRKSNLLPQEDLISKDSVIKLKENPSVIPHSEPESSSKVINCQAKLNVEKEKKNP", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPGKLLWGDIMELEAPLEESESQKKERQKSDRRKSRHHYDSDEKSETRENGVTDDLDAPKAKKSKMKEKLNGDTEEGFNRLSDEFSKSHKSRRKDLPNGDIDEYEKKSKRVSSLDTSTHKSSDNKLEETLTREQKEGAFSNFPISEETIKLLKGRGVTYLFPIQVKTFGPVYEGKDLIAQARTGTGKTFSFAIPLIERLQRNQETIKKSRSPKVLVLAPTRELANQVAKDFKDITRKLSVACFYGGTSYQSQINHIRNGIDILVGTPGRIKDHLQSGRLDLSKLRHVVLDEVDQMLDLGFAEQVEDIIHESYKTDSEDNPQTLLFSATCPQWVYKVAKKYMKSRYEQVDLVGKMTQKAATTVEHLAIQCHWSQRPAVIGDVLQVYSGSEGRAIIFCETKKNVTEMAMNPHIKQNAQCLHGDIAQSQREITLKGFREGSFKVLVATNVAARGLDIPEVDLVIQSSPPQDVESYIHRSGRTGRAGRTGICICFYQPRERGQLRYVEQKAGITFKRVGVPSTMDLVKSKSMDAIRSLASVSYAAVDFFRPSAQRLIEEKGAVDALAAALAHISGASSFEPRSLITSDKGFVTMTLESLEEIQDVSCAWKELNRKLSSNAVSQITRMCLLKGNMGVCFDVPTTESERLQAEWHDSDWILSVPAKLPEIEEYYDGNTSSNSRQRSGWSSGRSGRSGRSGGRSGGRSGRQSRQGSRSGSRQDGRRRSGNRNRSRSGGHKRSFD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSCIFCKIVKGDIPCVKLAETALSLAFLDIAPTSKGHALVIPKEHAAKMHELSDESCADILPLVKKVTKAIGPENYNVLQNNGRIAHQFVDHVHFHIIPKPNEEYGLGVGWPSYPISPEEIKALGEEISSKIK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVEDSRVRDALKGGDQKALPASLVPQAPPVLTSKDKITKRMIVVLAMASLETHKISSNGPGGDKYVLLNCDDHQGLLKKMGRDISEARPDITHQCLLTLLDSPINKAGKLQVYIQTSRGILIEVNPTVRIPRTFKRFSGLMVQLLHKLSIRSVNSEEKLLKVIKNPITDHLPTKCRKVTLSFDAPVIRVQDYIEKLDDDESICVFVGAMARGKDNFADEYVDEKVGLSNYPLSASVACSKFCHGAEDAWNIL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVRISKPKTFQAYLDDCHRRYSCAHCRAHLANHDDLISKSFQGSQGRAYLFNSVVNVGCGPAEERVLLTGLHAVADIHCENCKTTLGWKYEQAFESSQKYKEGKYIIELNHMIKDNGWD", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSVCTLSVPVSSISPGRRCSTFGDAGILGCVSINSNTDEDDVVEGKMVAEGANKETKLPAKKKRKKGLRIKGKRRRKKLILAKKFSKDLGSGRPVADAPASLASGAPEQDEESLFEGNIEKQIYLPSTRAKTSIVWHFFHVDPQYTWRAICNLCEKSVSRGKPGSHLGTSTLQRHLQARHSPHWTRANKFGVTNGEEDFTLDLSLSPPSPGSNGSFEYIPTDSVDENRMGKKRDKSASDALRAKRGRFLIKSNIVKHALIPGTRAKTSAVWNFFYTDPQHISRAVCNICKRSVSRGRPGSHLGTSTLQRHLQATHPIHWAVANKDSGAIGNGLDETETESSDLLNDTMPGEKSSGSQDLTAEDLSDSDTDEPPCLEVENRSESPIPVADQDNPVHAQERETTTHCENAAANQISQAVIQMIVEDLHPYNYFSTPAFQRFLQIVAPDYRLPSETYFFTKAVPQLYDSVREKIFLTLENVQSQKIHLTADIWTHDPSTDYFIVTVHWVSLETASSPSNGGTPNFRKWAVLCVTGLAKDCLITNILQELNDQIGLWLSPNFLTPSFIVSDNSSNVVHAIKGGGFTHVPCFLHCLNIVIQDFFCEHKSIENMLVAARKTCHHFSHSVKARQILQEFQNDHQLPWKNLKQDETGHWISTFYMLKWLLEHCYSVHHSLGRASGVVLTSLQWTLMTYVCDILKPFEEATQRVSVKTTGLNQVLPLIHHLLFSLQRLREDFQVRGITQALNLVDSLSLKLESDALLSAMLKSKHCILATLLDPCFKNSLEDFFPQGADLETYKQILAEEVCNYMESSPGACQISSSETSGPLVRLGTDSFTSIKEGTSSAGSLDSSAAGSVAVGSKSSLLPAAVAVVDEYFKEKYSELSGGDDPLVYWQRKVSIWPALTQVAIQYLSCPMCSWQSECMFTTNSHFHPKQIMNMDFDNIEQLIFLKMNLENVNYDYSTLILSWDPENKAVQSNEKEILP", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDLDYCIICGKPTTGNLYCSRECHLQDCPGCGSTSEQCSYSKSADLHMLSSQYLDHFRRRSSMPSPSTSSSLLNGFVASRLAVL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSELKNGTTEPKKNETTQSDSKSKSTSTNKSSVPPASLVPVQPTALTSRDKTTQRLIVVLSQACLETYKMNSGGPGGDRFALLNCDDHQGLLRKMGRDIAEARPDITHQCLLTLLDSPINKAGRLQVYIQTARGVLIEVNPSVRIPRTFKRFSGLMVQLLHKLSIRSENSKEVLLKVIKNPITDHLPTKCRKVTLSFDAELKRVQDYVTTLDENESICVFVGAMARGKDNFADEFVDEKIGLSDYPLSASVACSKFCHGCEDVWGIY", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDLSLFSDIKDVVERDMKKNSKVVENSSARSLKNKTEENKTIDKHKSSVGPKEPKSSLEKPKANRKRKQSYGLLHDIKELGGTEEDLELIEDVESDEELEFEEPNRKQPKKDGTDAFANELNLFAKKLGFSKNSFDARALDTESEDETEIEKSSSENSDSVDVDSDDE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAEYKNIVLLKGLENMEDYQFRTVKSLLRKELKLTKKMQEDYDRIQLADWMEDKFPKDAGLDKLIKVCEHIKDLKDLAKKLKTEKAKVQEKKKGKCKTAGKKKGQDELSSSESLFINKESYKSVPSSKKKRKQITKTEGGKKKKLTQEQAQLPETSGTNIKKEEDCLQNPHKSPPTPSSSSSNKAPRRGTVPKEPSREEGHHQGPKQVMVLKVTEPFTYDFEETKRMFHATVATETEFFRVKVFDTALMSKFIPGKIIAISHYIGCNGFLEIYRASCVSDVNINPTMIISNTLSESAIATPKISYLLSQAKGTFVNGEFVVFKKSERHECICYGIGDDTGKMAVVVYGRLTNVRCEPGSKLRLVCFELTSTKDVCLLRSVRHSYMQVINEGKPLNPDSVRRNSLEPYF", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSYQVCFKKYVKMRQWPHVLSLRVYASYFLLYNNLPLSSAMVHDGVHLISIKTILTDQPCPNTIYYAKYQVQRKDNEDAASLLEDKEAYLRNQDCIVHAKNDLLFVYDFQAIPSIPEESSSFMLLNSGAFSRLALFQKDELALLLDLYINFLQGLKKTVLYWLCKEYNFVPIYGVLLPLKLHPSFDTQLWNIYGYPVVDLQLSVLSKGHIEFYLKPTRQTVYRLSEVDNLVKKLDTVIRLAPTGCLATLTSVHANASAQTVDALKHRYGFSLTTTSKWVGVTLESSALEFSWPLELCFLETSALRMNDDSLSSNLTDLNNLVLPSNVVNNKKELTEFANEEAEASDKRKEGFTEKEETADAVVTLVPSHSSSPVNYSINSAKSTPASIKVNEEILVADHNVSDDILMEEIDDVGITEADFDYFDLPNVEEKVEMIEPNFANTMTTLDNEEINTSISQSNTSPNLNTHENIPKQMEIQSDDRMVTEDLNPYNVEVDIPEISLNISDSKIPTSAYMPSYYSAVIFPSSISSIFQKYNYGGKYWCPSPSLSTEDLFESFSVAESVTSTDEDICSTNFIQQDFTMEYNHDFFSSSKTPTNISEQSNPDSNYDTLSLAHQVLMNESKSANFDFSFLKSLDLQPTITLGKNDLLNAILSQNLWFRSLPFWKSMTTSFMMSQDVLNFSSYMRKPIRDYLEKILLGESSAVFLSKSPENYLSSINNGHHALNDNPPSQVNFSETLVNFSQPPRVLLKYNEKKLSLDSSAPENWISLCLQPYGESKDFEVFLLSSKSPDVSSKAISFFYDVQLAYENCKLGKLNLSETSINERVMGFSTNINETDNYDDNETTQSDTATSYEQLASVCVNELSGKNVLFFYFLEDDSEKLLKACQHFICVKDSIKRLGDNKFEDKSLRICTIPNSIFDSPNSHTTNSNSFFTKVSLDIYNNDPLLMDGSLKRREPAFLLKKPLLSTLNYQLKDINPRSSALGEYALHVTYTTVEEHLLICNWNDSYGEFETERRYFLQDLEIEDALQQILEVTFTFLNSMHMDWIVIVMKIGEMSDAEYLFWDQAIIPENLQGNVSLTVGYCSAEHGPGSTSKVFSRIPYSASSTVIRNNSSHELSLVAFIREMAMPVPNDEFKKISTILARGYLALDEDESYLPLLSIHLLISRNHDPYLMLNLILKHYLSMIYLQFRTYVSFSSLPLHISTVLYQKQLLQFMASDITHPVTS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLKNKKSDNSLSRDTLQIKKRKKTTMAQNGSNTTVKLIGTWASPFAIRAQVALHLKSVEHEYVEETDVLKGKSDLLIKSNPIHKKVPVLIHGDVSICESLNIVQYVDESWPSDLSILPTLPSERAFARFWAHFVDGKLFESIDAVAGAKDDAARMTLAGNLMENLAALEEAFQKSSKGGDFFGGGNIGFVDITVGAIVGPISVIEAFSGVKFLRPDTTPGLIQWAEKFRAHEAVKPYMPTVAEFIEFAKKKFSV", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATATIALQVNGQQGGGSEPAAAAAVVAAGDKWKPPQGTDSIKMENGQSTAAKLGLPPLTPEQQEALQKAKKYAMEQSIKSVLVKQTIAHQQQQLTNLQMAAVTMGFGDPLSPLQSMAAQRQRALAIMCRVYVGSIYYELGEDTIRQAFAPFGPIKSIDMSWDSVTMKHKGFAFVEYEVPEAAQLALEQMNSVMLGGRNIKVGRPSNIGQAQPIIDQLAEEARAFNRIYVASVHQDLSDDDIKSVFEAFGKIKSCTLARDPTTGKHKGYGFIEYEKAQSSQDAVSSMNLFDLGGQYLRVGKAVTPPMPLLTPATPGGLPPAAAVAAAAATAKITAQEAVAGAAVLGTLGTPGLVSPALTLAQPLGTLPQAVMAAQAPGVITGVTPARPPIPVTIPSVGVVNPILASPPTLGLLEPKKEKEEEELFPESERPEMLSEQEHMSISGSSARHMVMQKLLRKQESTVMVLRNMVDPKDIDDDLEGEVTEECGKFGAVNRVIIYQEKQGEEEDAEIIVKIFVEFSIASETHKAIQALNGRWFAGRKVVAEVYDQERFDNSDLSA", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGFGKKKVSYFYDEDVGNYHYGPQHPMKPHRVRMVHNLVVNYNLYEKLNVITPVRATRNDMTRCHTDEYIEFLWRVTPDTMEKFQPHQLKFNVGDDCPVFDGLYEFCSISAGGSIGAAQELNSGNAEIAINWAGGLHHAKKREASGFCYVNDIALAALELLKYHQRVLYIDIDVHHGDGVEEFFYTTDRVMTCSFHKFGEYFPGTGHIKDTGIGTGKNYAVNVPLRDGIDDESYESVFKPVISHIMQWFRPEAVILQCGTDSLAGDRLGCFNLSMKGHSMCVDFVKSFNLPMICVGGGGYTVRNVARVWTYETGLLAGEELDENLPYNDYLQYYGPDYKLNVLSNNMENHNTRQYLDSITSEIIENLRNLSFAPSVQMHKTPGDFTFENAEKQNIAKEEIMDERV", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAPKKCPETQKSPDVAVLLRSKSRRGPQELEPEAKKLRVQGPVSSRTCESCCLLAELSSLQIPSRSSSIVRDLYQHKLGKATWSSLQQGLQKSFLHSLASYQVFRKAAPFDRRTTSLAWHPTHPSTLAVGSKGGDIMIWNFGIKDKPIFLKGIGAGGSITGLKFNHLNTNQFFASSMEGTTRLQDFKGNILRVYTSSNSCKVWFCSLDVSAKSRVVVTGDNMGHVILLSTDGKELWNLRMHKKKVAHVALNPCCDWLLATASIDQTVKIWDLRQIKGKDSFLYSLPHRHPVNAACFSPDGARLLTTDQNNEIRVYSASQWDSPLNLISHPHRHFQHLTPIKATWHSRHNLIVVGRYPDPNLKSCVPYELRTIDVFDGSSGKMMCQLYDPGYSGITSLNEFNPMGDTLASTMGYHILIWSQEEDGSQKDHERL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEFLSEKFALKSPPSKNSDFYMGAGGPLEHVMETLDNESFYSKASAGKCVQAFGPLPRAEHHVRLERTSPCQDSSVNYGITKVEGQPLHTELNRAMDNCNSLRMSPVKGMQEKGELDELGDKCDSNVSSSKKRRHRTTFTSLQLEELEKVFQKTHYPDVYVREQLALRTELTEARVQVWFQNRRAKWRKRERYGQIQQAKSHFAATYDISVLPRTDSYPQIQNNLWAGNASGGSVVTSCMLPRDTSSCMTPYSHSPRTDSSYTGFSNHQNQFSHVPLNNFFTDSLLTGATNGHAFETKPEFERRSSSIAVLRMKAKEHTANISWAM", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAICQFFLQGRCRFGDRCWNEHPGARGAGGGRQQPQQQPSGNNRRGWNTTSQRYSNVIQPSSFSKSTPWGGSRDQEKPYFSSFDSGASTNRKEGFGLSENPFASLSPDEQKDEKKLLEGIVKDMEVWESSGQWMFSVYSPVKKKPNISGFTDISPEELRLEYHNFLTSNNLQSYLNSVQRLINQWRNRVNELKSLNISTKVALLSDVKDGVNQAAPAFGFGSSQAATFMSPGFPVNNSSSDNAQNFSFKTNSGFAAASSGSPAGFGSSPAFGAAASTSSGISTSAPAFGFGKPEVTSAASFSFKSPAASSFGSPGFSGLPASLATGPVRAPVAPAFGGGSSVAGFGSPGSHSHTAFSKPSSDTFGNSSISTSLSASSSIIATDNVLFTPRDKLTVEELEQFQSKKFTLGKIPLKPPPLELLNV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNYLETQLNKKQKQIQEYESMNGNLIKMFEQLSKEKKNDETPKKISSTYIKELKEYNELRDAGLRLAQIIADEKQCKIKDVFEEIGYSMKD", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MADVNLPPSDSVDNRLPEKSTSSSPPPPPPSSSLPQQEQEQDQQQLPLRRERDSRERRDERDIERPPPNRRERDRSPLPPPRRDYKRRPSLSPPPPYRDRRHSPPQRRSPPQKRYRRDDNGYDGRRGSPRGGYGPPDRRFGYDHGGGYDREMGGRPGYGDERPHGRFMGRYQDWEGGRGGYGDASNSGNPQRDGLMSYKQFIQELEDDILPSEAERRYQEYKSEYITTQKRAFFNTHKEEDWLKNKYHPTNLLSVIERRNDLAQKVAKDFLLDLQSGTLDLGPAVTALNKSGRTSEPNSEDEAAGVGKRKRHGMGGAKENELLSAAPKAPSFTSDPKRILTDVEQTQALVRKLDSEKKIEENVLQGSETEKSGREKLHSGSTGPVVIIRGLTSVKGLEGVELLDTLVTYLWRVHGLDYYGKVETNEAKGLRHVRAEGKVSDAKGDENESKFDSHWQERLKGQDPLEVMAAKEKIDAAATEALDPHVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVYKHLKLKHTELVTELTTKVREELYFQNYMNDPNAPGGQPATQQSGPRDRPIRRKPSMENRLRDDRGGRRERDGRANGNDRNDRSEDQQRGDNDGGNPGEVGYDAFGGQGGVHVPPFLSDINPPPMLMPVPGAGPLGPFVPAPPEVAMQMFRDPSGPNPPFEGSGRGGPAPFLLSPAFRQDPRRLRSYQDLDAPEEEVTVIDYRSL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSAQGQALQNHNNELVKCIEDLREKREEIIKQLREDDAEKAKITQELQILTKRLAQVNESIARKTETKNEYDKVISETEAAYLKILESSQTLLTVLKREAVNIAKKKQASS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAPEKMTFPEKPSHKKYRAALKKEKRKKRRQELARLRDSGLSQKEEEEDTFIEEQQLEEEKLLERERQRLHEEWLLREQKAQEEFRIKKEKEEAAKKRQEEQERKLKEQWEEQQRKEREEEEQKRQEKKEKEEALQKMLDQAENELENGTTWQNPEPPVDFRVMEKDRANCPFYSKTGACRFGDRCSRKHNFPTSSPTLLIKSMFTTFGMEQCRRDDYDPDASLEYSEEETYQQFLDFYEDVLPEFKNVGKVIQFKVSCNLEPHLRGNVYVQYQSEEECQAALSLFNGRWYAGRQLQCEFCPVTRWKMAICGLFEIQQCPRGKHCNFLHVFRNPNNEFWEANRDIYLSPDRTGSSFGKNSERRERMGHHDDYYSRLRGRRNPSPDHSYKRNGESERKSSRHRGKKSHKRTSKSRERHNSRSRGRNRDRSRDRSRGRGSRSRSRSRSRRSRRSRSQSSSRSRSRGRRRSGNRDRTVQSPKSK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDSTNGNGADLESANGANGSGVTEALPPPPPVIPPNVEPVRVKTELAEKKGPVRVPMARKGFGTRGQKIPLLTNHFKVDVANLQGHFFHYSVALFYDDGRPVEQKGVGRKILDKVHQTYHSDLDGKEFAYDGEKTLFTYGALPSNKMDFSVVLEEVSATRANGNGSPNGNESPSDGDRKRLRRPNRSKNFRVEISYAAKIPLQALANAMRGQESENSQEAIRVLDIILRQHAARQGCLLVRQSFFHNDPTNCEPVGGNILGCRGFHSSFRTTQGGMSLNMDVTTTMIIKPGPVVDFLIANQNARDPYSIDWSKAKRTLKNLRVKVSPSGQEFKITGLSDKPCREQTFELKKRNPNENGEFETTEVTVADYFRDTRHIDLQYSADLPCINVGKPKRPTYIPLELCALVPLQRYTKALTTFQRSALVEKSRQKPQERMTVLSKALKVSNYDAEPLLRSCGISISSNFTQVEGRVLPAPKLKMGCGSETFPRNGRWNFNNKEFVEPTKIQRWVVVNFSARCNVRQVVDDLIKIGGSKGIEIASPFQVFEEGNQFRRAPPMIRVENMFKDIQSKLPGVPQFILCVLPDKKNSDLYGPWKKKNLTEFGIVTQCMAPTRQPNDQYLTNLLLKINAKLGGLNSMLSVERTPAFTVISKVPTIILGMDVSHGSPGQSDVPSIAAVVSSREWPLISKYRASVRTQPSKAEMIESLVKKNGTEDDGIIKELLVDFYTSSNKRKPEHIIIFRDGVSESQFNQVLNIELDQIIEACKLLDANWNPKFLLLVAQKNHHTKFFQPTSPENVPPGTIIDNKICHPKNNDFYLCAHAGMIGTTRPTHYHVLYDEIGFSADELQELVHSLSYVYQRSTSAISVVAPICYAHLAAAQLGTFMKFEDQSETSSSHGGITAPGPISVAQLPRLKDNVANSMFFC", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFCCLGYEWLSGGCKTWHSAWVINTLADHRHRGTDFGGSPWLLIITVFLRSYKFAISLCTSYLCVSFLKTIFPSQNGHDGSTDVQQRARRSNRRRQEGIKIVLEDIFTLWRQVETKVRAKIRKMKVTTKVNRHDKINGKRKTAKEHLRKLSMKEREHGEKERQVSEAEENGKLDMKEIHTYMEMFQRAQALRRRAEDYYRCKITPSARKPLCNRVRMAAVEHRHSSGLPYWPYLTAETLKNRMGHQPPPPTQQHSIIDNSLSLKTPSECLLTPLPPSALPSADDNLKTPAECLLYPLPPSADDNLKTPPECLLTPLPPSAPPSVDDNLKTPPECVCSLPFHPQRMIISRN", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSKKQEYIAPIKYQNSLPVPQLPPKLLVYPESPETNADSSQLINSLYIKTNVTNLIQQDEDLGMPVDLMKFPGLLNKLDSKLLYGFDNVKLDKDDRILLRDPRIDRLTKTDISKVTFLRRTEYVSNTIAAHDNTSLKRKRRLDDGDSDDENLDVNHIISRVEGTFNKTDKWQHPVKKGVKMVKKWDLLPDTASMDQVYFILKFMGSASLDTKEKKSLNTGIFRPVELEEDEWISMYATDHKDSAILENELEKGMDEMDDDSHEGKIYKFKRIRDYDMKQVAEKPMTELAIRLNDKDGIAYYKPLRSKIELRRRRVNDIIKPLVKEHDIDQLNVTLRNPSTKEANIRDKLRMKFDPINFATVDEEDDEDEEQPEDVKKESEGDSKTEGSEQEGENEKDEEIKQEKENEQDEENKQDENRAADTPETSDAVHTEQKPEEEKETLQEE", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSEKPQQEEQEKPQSRRNSFAVIEFTPEVLDRCLKQVEFYFSEFNFPYDRFLRTTAEKNDGWVPISTIATFNRMKKYRPVDKVIEALRSSEILEVSADGENVKRRVPLDLTAARNARIEQNQRTLAVMNFPHEDVEASQIPELQENLEAFFKKLGEINQVRLRRDHRNKKFNGTVLVEFKTIPECEAFLKSYSNDDESNEILSYEGKKLSVLTKKQFDLQREASKSKNFSGRSRSFNGHKKKNLPKFPKNKKKNGKEESKEDSSAIADDDEEHKE", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVQLAPAAAMDEVTFRSDTVLSDVHLYTPNHRHLMVRLNSVGQPVFLSQFKLLWSQDSWTDSGAKGGSHRDVHTKEPPSAETGSTGSPPGSGHGNEGFSLQAGTDTTGQEVAEAQLDEDGDLDVVRRPRAASDSNPAGPLRDKVHPMILAQEEDDVLGEEAQGSPHDIIRIEHTMATPLEDVGKQVWRGALLLADYILFRQDLFRGCTALELGAGTGLASIIAATMARTVYCTDVGADLLSMCQRNIALNSHLAATGGGIVRVKELDWLKDDLCTDPKVPFSWSQEEISDLYDHTTILFAAEVFYDDDLTDAVFKTLSRLAHRLKNACTAILSVEKRLNFTLRHLDVTCEAYDHFRSCLHALEQLADGKLRFVVEPVEASFPQLLVYERLQQLELWKIIAEPVT", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVKMDVVRTTGFFLRSEPTTCRITTFAYSAEIIYFHAETTFLFEKIMKEGNELEKYSKIELNSEIWEDEEEEDNSGIVSQNERLMKLVNKQREIIYELHKDLEKVKKDNTSLRMRLSKYESTDSFPSSQPSRANSPQSDSYSSPYEKGKLFPKISLKSSKDVPTASAHISSSDHEKSSSVSLSALNNYNKTTDIKARSLDRLSDMTRPKLLLNTKRSHRSSEEPGASSPVTSPILKDSQKERIQALRNKAIKTYSVSTESAERIDSIRSDNLSPLSLNTSSFRRPITKPTPFNSDSNISIDPKDNNSNKQDHFAEIEDELRQQFLDIKVGRANASSPRRKSISIVKPHGISSPKHSTNNLSSKSGKFHSDFRVVSENVLLQARSETNSPIIENKEANNFLAPTSNVPAYSTPARPTESPPPPPISSSSTTPRPDDKPSLPPRGLSEDNDSLSLQKTGSSDTRRSSFSTLKIPDSDICFTRRRSDSNRTWTVIDPHHSQSFDNDILAEIPTSKLDNSSQKSPGKLSSKGLLNSFSPISPFSKSKSHNHHPSSQVEKSTSNSKGSMLPLDTLYNNKLSFRLDESLVRYLRFELMKTSLASLSPDFDCIGLQFVVGVSASSHLASQWKDEVWSFTRSIGECRSFATSFVLDIGAPPFPTLDWFTNDSSVIQNELLRRSVDTYFRYIFQTDLKLEQRIKLLEFLSSDTLREYLHDVFFLPPEHAQKEGVLLKYIENSGLVSRYFYLKDNILYFAENRNSPVLGTIHLKDAQVNRYNANLPIFSIIDPPHEFLTGENYQSAFVIQEKQTETRTGTATVHVLLARDVEDQKSWLRAILRQVPGSTSPLNASPFSVLSSDFPGSSRYRDQSSPIRFYGKADSRPVSQEAILSQDISSSPSPVLPPSENVASYADDSLVSNLTMSPKLRDSMEQVPLENHREFEISDRVSELSFDSSTGSVLEIADTRRNQDAPEKHVPVIEIQSSRPSLEKTDQSTPVELLIDSHSQNSQNEEKRSRMKFWAFPHHKAENYEQISDTNIPVIETNVMLSPSSTTSAEPLQKHIVRKSGIFGLPLNEAVNISTQFNDSGLPIVVYRCIEYLESCRAEKEEGIYRLSGSASTIKHLKEQFNEGVDYDLLSSDEEFDVHVIAGLLKLYLRNLPTNLLDTSMHKLFELLPNVPNDSAALGELCDVISKLPPENFALLDSLLHHLRRIIAFEKVNKMNIRNVCIVFSPTLNIPSDIFMMLILNYDHIFTDISRQTNGAQNESDSDVSDDNGEDNEFF", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKALVEEIDKKTYNPDIYFTSLDPQARRYTSKKINKQGTISTSRPVKRINYSLADLEARLYTSRSEGDGNSISRQDDRNSKNSHSFEERYTQQEILQSDRRFMELNTENFSDLPNVPTLLSDLTGVPRDRIESTTKPISQTSDGLSALMGGSSFVKEHSKYGHGWVLKPETLREIQLSYKSTKLPKPKRKNTNRIVALKKVLSSKRNLHSFLDSALLNLMDKNVIYHNVYNKRYFKVLPLITTCSICGGYDSISSCVNCGNKICSVSCFKLHNETRCRNR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSSMWSEYTIGGVKIYFPYKAYPSQLAMMNSILRGLNSKQHCLLESPTGSGKSLALLCSALAWQQSLSGKPADEGVSEKAEVQLSCCCACHSKDFTNNDMNQGTSRHFNYPSTPPSERNGTSSTCQDSPEKTTLAAKLSAKKQASIYRDENDDFQVEKKRIRPLETTQQIRKRHCFGTEVHNLDAKVDSGKTVKLNSPLEKINSFSPQKPPGHCSRCCCSTKQGNSQESSNTIKKDHTGKSKIPKIYFGTRTHKQIAQITRELRRTAYSGVPMTILSSRDHTCVHPEVVGNFNRNEKCMELLDGKNGKSCYFYHGVHKISDQHTLQTFQGMCKAWDIEELVSLGKKLKACPYYTARELIQDADIIFCPYNYLLDAQIRESMDLNLKEQVVILDEAHNIEDCARESASYSVTEVQLRFARDELDSMVNNNIRKKDHEPLRAVCCSLINWLEANAEYLVERDYESACKIWSGNEMLLTLHKMGITTATFPILQGHFSAVLQKEEKISPIYGKEEAREVPVISASTQIMLKGLFMVLDYLFRQNSRFADDYKIAIQQTYSWTNQIDISDKNGLLVLPKNKKRSRQKTAVHVLNFWCLNPAVAFSDINGKVQTIVLTSGTLSPMKSFSSELGVTFTIQLEANHIIKNSQVWVGTIGSGPKGRNLCATFQNTETFEFQDEVGALLLSVCQTVSQGILCFLPSYKLLEKLKERWLSTGLWHNLELVKTVIVEPQGGEKTNFDELLQVYYDAIKYKGEKDGALLVAVCRGKVSEGLDFSDDNARAVITIGIPFPNVKDLQVELKRQYNDHHSKLRGLLPGRQWYEIQAYRALNQALGRCIRHRNDWGALILVDDRFRNNPSRYISGLSKWVRQQIQHHSTFESALESLAEFSKKHQKVLNVSIKDRTNIQDNESTLEVTSLKYSTPPYLLEAASHLSPENFVEDEAKICVQELQCPKIITKNSPLPSSIISRKEKNDPVFLEEAGKAEKIVISRSTSPTFNKQTKRVSWSSFNSLGQYFTGKIPKATPELGSSENSASSPPRFKTEKMESKTVLPFTDKCESSNLTVNTSFGSCPQSETIISSLKIDATLTRKNHSEHPLCSEEALDPDIELSLVSEEDKQSTSNRDFETEAEDESIYFTPELYDPEDTDEEKNDLAETDRGNRLANNSDCILAKDLFEIRTIKEVDSAREVKAEDCIDTKLNGILHIEESKIDDIDGNVKTTWINELELGKTHEIEIKNFKPSPSKNKGMFPGFK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSVEEKKRRLEELKDQNVVLGLAIQRSRLSVKRLKLEYGVLLERLESRIELDPELNCEDPLPTLASFKQELLTKPFRKSKTKRHKVKERDPNMPKRPTNAYLLYCEMNKERIRQNGSLDVTRDLAEGWKNLNEQDRKPYYKLYSEDRERYQMEMEIYNKKISNIDADDDKEENEQKIKNNEEGSSTKVADSKGGEDGSLVSSN", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVKQQGSGKTNLANEDEAYEAIFGGEFGSLEIGSYIGGDEGANSKDYTEHLPDAVDFEDEDELADDDDDLPEESDANLHPAMMTMGAYDDVNENGAVLGIDSNSLNMQLPEINGDLSQQFILEDDGGTPATSNALFMGMDANEIHLATETGVLDGSGANEIGHSQLSIGGVNGNDMSINGGFIMEPDMSDGKHKKATKLDLINHEKYLLKKYFPDFEKGKILKWNKLIYRRSVPYHWHSEISRVKKPFMPLNLKFKVQQDDKRLFNSRTISYVAPIYQGKNNLLQSNSSASRRGLIHVSIDELFPIKEQQKKRKIIHDEKTISEDLLIATDDWDQEKIINQGTSSTATLADSSMTPNLKFSGGYKLKSLIEDVAEDWQWDEDMIIDAKLKESKHAELNMNDEKLLLMIEKTNNLAQQKQQLDSSNLILPLNETILQQKFNLSNDDKYQILKKTHQTKVRSTISNLNIQHSQPAINLQSPFYKVAVPRYQLRHFHRENFGSHIRPGTKIVFSKLKARKRKRDKGKDVKESFSTSQDLTIGDTAPVYLMEYSEQTPVALSKFGMANKLINYYRKANEQDTLRPKLPVGETHVLGVQDKSPFWNFGFVEPGHIVPTLYNNMIRAPVFKHDISGTDFLLTKSSGFGISNRFYLRNINHLFTVGQTFPVEEIPGPNSRKVTSMKATRLKMIIYRILNHNHSKAISIDPIAKHFPDQDYGQNRQKVKEFMKYQRDGPEKGLWRLKDDEKLLDNEAVKSLITPEQISQVESMSQGLQFQEDNEAYNFDSKLKSLEENLLPWNITKNFINSTQMRAMIQIHGVGDPTGCGEGFSFLKTSMKGGFVKSGSPSSNNNSSNKKGTNTHSYNVAQQQKAYDEEIAKTWYTHTKSLSISNPFEEMTNPDEINQTNKHVKTDRDDKKILKIVRKKRDENGIIQRQTIFIRDPRVIQGYIKIKEQDKEDVNKLLEEDTSKINNLEELEKQKKLLQLELANLEKSQQRRAARQNSKRNGGATRTENSVDNGSDLAGVTDGKAARNKGKNTTRRCATCGQIGHIRTNKSCPMYSSKDNPASPK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTEADVNPKAYPLADAHLTKKLLDLVQQSCNYKQLRKGANEATKTLNRGISEFIVMAADAEPLEIILHLPLLCEDKNVPYVFVRSKQALGRACGVSRPVIACSVTIKEGSQLKQQIQSIQQSIERLLV", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASTGSQASDIDEIFGFFNDGEPPTKKPRKLLPSLKTKKPRELVLVIGTGISAAVAPQVPALKSWKGLIQALLDAAIDFDLLEDEESKKFQKCLHEDKNLVHVAHDLIQKLSPRTSNVRSTFFKDCLYEVFDDLESKMEDSGKQLLQSVLHLMENGALVLTTNFDNLLELYAADQGKQLESLDLTDEKKVLEWAQEKRKLSVLHIHGVYTNPSGIVLHPAGYQNVLRNTEVMREIQKLYENKSFLFLGCGWTVDDTTFQALFLEAVKHKSDLEHFMLVRRGDVDEFKKLRENMLDKGIKVISYGDDYADLPEYFKRLTCEISTRGTSAGMVREGQLNGSSAAHSEIRGCST", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MESAPAAPDPAASEPGSSGSEAAAGSRETPLTQDTGRKSEAPGAGRRQSYASSSRGISVTKKTHTSQIEIIPCKICGDKSSGIHYGVITCEGCKGFFRRSQQSNATYSCPRQKNCLIDRTSRNRCQHCRLQKCLAVGMSRDAVKFGRMSKKQRDSLYAEVQKHRMQQQQRDHQQQPGEAEPLTPTYNISANGLTELHDDLSTYMDGHTPEGSKADSAVSSFYLDIQPSPDQSGLDINGIKPEPICDYTPASGFFPYCSFTNGETSPTVSMAELEHLAQNISKSHLETCQYLREELQQITWQTFLQEEIENYQNKQREVMWQLCAIKITEAIQYVVEFAKRIDGFMELCQNDQIVLLKAGSLEVVFIRMCRAFDSQNNTVYFDGKYASPDVFKSLGCEDFISFVFEFGKSLCSMHLTEDEIALFSAFVLMSADRSWLQEKVKIEKLQQKIQLALQHVLQKNHREDGILTKLICKVSTLRALCGRHTEKLMAFKAIYPDIVRLHFPPLYKELFTSEFEPAMQIDG", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDRAPQRQHRASRELLAAKKTHTSQIEVIPCKICGDKSSGIHYGVITCEGCKGFFRRSQRCNAAYSCTRQQNCPIDRTSRNRCQHCRLQKCLALGMSRDAVKFGRMSKKQRDSLHAEVQKQLQQRQQQQQEPVVKTPPAGAQGADTLTYTLGLPDGQLPLGSSPDLPEASACPPGLLKASGSGPSYSNNLAKAGLNGASCHLEYSPERGKAEGRESFYSTGSQLTPDRCGLRFEEHRHPGLGELGQGPDSYGSPSFRSTPEAPYASLTEIEHLVQSVCKSYRETCQLRLEDLLRQRSNIFSREEVTGYQRKSMWEMWERCAHHLTEAIQYVVEFAKRLSGFMELCQNDQIVLLKAGAMEVVLVRMCRAYNADNRTVFFEGKYGGMELFRALGCSELISSIFDFSHSLSALHFSEDEIALYTALVLINAHRPGLQEKRKVEQLQYNLELAFHHHLCKTHRQSILAKLPPKGKLRSLCSQHVERLQIFQHLHPIVVQAAFPPLYKELFSTETESPVGLSK", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSDVEENNFEGRESRSQSKSPTGTPARVKSESRSGSRSPSRVSKHSESHSRSRSKSRSRSRRHSHRRYTRSRSHSHSHRRRSRSRSYTPEYRRRRSRSHSPMSNRRRHTGSRANPDPNTCLGVFGLSLYTTERDLREVFSRYGPLSGVNVVYDQRTGRSRGFAFVYFERIDDSKEAMERANGMELDGRRIRVDYSITKRAHTPTPGIYMGRPTHSGGGGGGGGGGGGGGGGRRRDSYYDRGYDRGYDRYEDYDYRYRRRSPSPYYSRYRSRSRSRSYSPRRY", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSAKRSLKLDSVKKYNPVEASPLAKKKDLNSYSPTTGTCQISPFSSPTSHNAEDLRNGLSHGDETNSESRLSRRGQPQTAEDAFAELQSNVKSSLVRILKARENLTSLQALEGSRELENIIGVSDSSHILSAEVQKTQALMSQAEELQLLKRNHGQLPAREYAQPASSSAFLQLLLNSL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVARGRTDEISTDVSEANSEHSLMITETSSPFRSIFSHSGKVANAGALEESDKQILEWAGKLELESMELRENSDKLIKVLNENSKTLCKSLNKFNQLLEQDAATNGNVKTLIKDLASQIENQLDKVSTAMLSKGDEKKTKSDSSYRQVLVEEISRYNSKITRHVTNKQHETEKSMRCTQEMLFNVGSQLEDVHKVLLSLSKDMHSLQTRQTALEMAFREKADHAYDRPDVSLNGTTLLHDMDEAHDKQRKKSVPPPRMMVTRSMKRRRSSSPTLSTSQNHNSEDNDDASHRLKRAARTIIPWEELRPDTLESEL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MESRKLISATDIQYSASLLNSLNEQRGHGLFCDVTVIVEDRKFRAHRNILSASSTYFHQLFSVAGQVVELSFIRAEIFAEILNYIYSSKVVRVRADLLDELIKSGQLLGVKFIAELGVPLSQVKSISGTEQDGTAETLPSSSSDKSLDMEKSKDEAQDNGATVMPIITESFSLSAEDNEMKKIIVTDSDDDDDDDVIFCSEILPAKEDLPSNNTATQVQPNPASVAISEVTPCASNNSPPVTNITPTQLPTPVNQATLSQTQGSEELLVSSASTHLTPNIILLNQAPLTAPPSASSSLPNHMSSSVNVLVQNQQTPNSAVLTGNKAEEEEEIIDDDDDIISSSPDSAVSNTSLVPQADNSKSTTLDGSLTQKMQIPVLPQEPPSNSLKISDVITRNTNDPGLRSKHVMEGQKIITLDTATEIEGLSTGCKVYANIGEDTYDIVIPVKDDPDGGEAKLDNELPKTSGSEPPNKRMKVKHDDHYELIVDGRVYYICIVCKRSYVCLTSLRRHFNIHSWEKKYQCRYCDKVFPLAEYRTKHEIHHTGERRYQCLTCGKSFINYQFMSSHIKSVHSQDPSGDSKLYRLHPCKSLQIRQYAYLSNKSSAMPVMKDDAVGYKVDAGKEPPVGTTSTPPQNKSTFWEDIFIQQENDSIFKQNVTDGSTEFEFIIPESY", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVTADETVLATTTNTTSMSVEPTDPRSAGESSSDSEPDTIEQLKAEQREVMADAANGSEVNGNQENGKEEAASADVEVIEIDDTEESTDPSPDGSDENGDAASTSVPIEEEARKKDEGASEVTVASSEIEQDDDGDVMEITEEPNGKSEDTANGTVTEEVLDEEEPEPSVNGTTEIATEKEPEDSSMPVEQNGKGVKRPVECIELDDDDDDEIQEISTPAPAKKAKIDDVKATSVPEEDNNEQAQKRLLDKLEEYVKEQKDQPSSKSRKVLDTLLGAINAQVQKEPLSVRKLILDKVLVLPNTISFPPSQVCDLLIEHDPEMPLTKVINRMFGEERPKLSDSEKRERAQLKQHNPVPNMTKLLVDIGQDLVQEATYCDIVHAKNLPEVPKNLETYKQVAAQLKPVWETLKRKNEPYKLKMHRCDVCGFQTESKLVMSTHKENLHFTGSKFQCTMCKETDTSEQRMKDHYFETHLVIAKSEEKESKYPCAICEEDFNFKGVREQHYKQCKKDYIRIRNIMMPKQDDHLYINRWLWERPQLDPSILQQQQQAALQQAQQKKQQQLLHQQQAAQAAAAAQLLRKQQLQQQQQQQQARLREQQQAAQFRQVAQLLQQQSAQAQRAQQNQGNVNHNTLIAAMQASLRRGGQQGNSLAVSQLLQKQMAALKSQQGAQQLQAAVNSMRSQNSQKTPTHRSSKLVTTPSHATVGSSSAPTFVCEICDASVQEKEKYLQHLQTTHKQMVGKVLQDMSQGAPLACSRCRDRFWTYEGLERHLVMSHGLVTADLLLKAQKKEDGGRCKTCGKNYAFNMLQHLVADHQVKLCSAEIMYSCDVCAFKCSSYQTLEAHLTSNHPKGDKKTSTPAKKDDCITLDD", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDGSMNLGNEPPGDGGGGGGLTRQGSIYSLTFDEFQSSVGKDFGSMNMDELLKNIWSAEETQAMASGVVPVLGGGQEGLQLQRQGSLTLPRTLSQKTVDQVWKDLSKVGSSGVGGSNLSQVAQAQSQSQSQRQQTLGEVTLEEFLVRAGVVREEAQVAARAQIAENNKGGYFGNDANTGFSVEFQQPSPRVVAAGVMGNLGAETANSLQVQGSSLPLNVNGARTTYQQSQQQQPIMPKQPGFGYGTQMGQLNSPGIRGGGLVGLGDQSLTNNVGFVQGASAAIPGALGVGAVSPVTPLSSEGIGKSNGDSSSLSPSPYMFNGGVRGRKSGTVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAEVAKLKEENDELQRKQARIMEMQKNQETEMRNLLQGGPKKKLRRTESGPW", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSRKNSKKLKVYYLPVTLTQFQKDLSEILISLHAKSFKASIIGEPQADAVNKPSGLPAGPETHPYPTLSQRQLTYIFDSNIRAIANHPSLLVDHYMPRQLLRMEPTESSIAGSHKFQVLNQLINSICFRDREGSPNEVIKCAIIAHSIKELDLLEGLILGKKFRTKRLSGTSLYNEKHKFPNLPTVDSTINKDGTPNSVSSTSSNSNSTSYTGYSKDDYDYSVKRNLKKRKINTDDWLFLATTKHLKHDQYLLANYDIDMIISFDPMLEVELPALQVLRNNANKDIPIIKLLVQNSPDHYLLDSEIKNSSVKSSHLSNNGHVDDSQEYEEIKSSLLYFLQARNAPVNNCEIDYIKLVKCCLEGKDCNNILPVLDLITLDEASKDSSDSGFWQPQLTKLQYSSTELPLWDGPLDIKTYQTELMHRAVIRLRDIQDEYAKGTVPLYEKRLNETQRQNQLDEIKNSVGLTFKKKQEVEKSINDSEKRLKHAMTESTKLQNKINHLLKNRQELENFNKLPSNTISSENHLEEGSALADKLKEYIDKNATLFNKLKELQQANAEKSKLNDELRSKYQIESSKAAESAQTLKILQESMKSLENEVNGPLTKFSTESLKKELERLQNDFQSLKARNKFLKNYITLMNRQYDLKNKNNVQVEKAAANGTRFRSTRSNTPNYT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSVQFTSEHVCYVNCNYCNTILVVNVPNNCSYNIVTVRCGHCTMVLSMDLAPFHQARTVQDHQVQNRGFQGNNFGSYDIASRNQRTSTAMYPMPTSQQQVSPIRPPEKRQRVPSAYNRFIKEEIQRIKTSNPEISHREAFSAAAKNWAHLPRLHFGLSVADGGGGGGSN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKREYQEAGGSSGGGSSADMGSCKDKVMAGAAGEEEDVDELLAALGYKVRSSDMADVAQKLEQLEMAMGMGGVSAPGAADDGFVSHLATDTVHYNPSDLSSWVESMLSELNAPLPPIPPAPPAARHASTSSTVTGGGGSGFFELPAAADSSSSTYALRPISLPVVATADPSAADSARDTKRMRTGGGSTSSSSSSSSSLGGGASRGSVVEAAPPATQGAAAANAPAVPVVVVDTQEAGIRLVHALLACAEAVQQENFAAAEALVKQIPTLAASQGGAMRKVAAYFGEALARRVYRFRPADSTLLDAAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCHRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDETDALQQVGWKLAQFAHTIRVDFQYRGLVAATLADLEPFMLQPEGEADANEEPEVIAVNSVFELHRLLAQPGALEKVLGTVHAVRPRIVTVVEQEANHNSGSFLDRFTESLHYYSTMFDSLEGGSSGQAELSPPAAGGGGGTDQVMSEVYLGRQICNVVACEGAERTERHETLGQWRNRLGRAGFEPVHLGSNAYKQASTLLALFAGGDGYRVEEKEGCLTLGWHTRPLIATSAWRVAAA", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDLLRILDTKPIPTIVDATTLGISGNTSGDYWLPTTMSLYQKELTDQIVSLHYSDILRYFETSHYKEDVILESMKTMCLNGSLVATHPYLLIDHYMPKSLITRDVPAHLAENSGKFSVLRDLINLVQEYETETAIVCRPGRTMDLLEALLLGNKVHIKRYDGHSIKSKQKANDFSCTVHLFSSEGINFTKYPIKSKARFDMLICLDTTVDTSQKDIQYLLQYKRERKGLERYAPIVRLVAINSIDHCRLFFGKKFDKNSREYLENVTAAMVILRDRLGTLPPDLRPIYSQKLHYLVEWLENPTVPWPLPDIYPLKQYTSMDVERSLLTEVHFKKSDDQLEDAFSNCSKKRGRHGANKAASSTVAGIEDNITPSFYSTKRLKNDYYTNPLKQDMTQLTGITTADNSSNVNYHLSSGIITHKLIQSMGEVYMDICVQKQELDDYSCLDDLQNDHLKFFSNEDEKIIKEYETVLRTNNENLNRSHELEVENNLKFSQIETLEKDIETLKGSLMAQGETLSKLKDAFVKTDNVQDEIEKEERVSVSRDTEKKYMEQEIKRAVDAIRENEEETHKLNEKQNGLESELKLKFEKSEISTKELNEKIGFLKKELKLENDLNEELVGQLSKTMDNLENLTIPRVRTQNGNTKKKSRAKKPGNV", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAGQREARPQVSLTFEDVAVLFTRDEWRKLAPSQRNLYRDVMLENYRNLVSLGLPFTKPKVISLLQQGEDPWEVEKDGSGVSSLGSKSSHKTTKSTQTQDSSFQGLILKRSNRNVPWDLKLEKPYIYEGRLEKKQDKKGSFQIVSATHKKIPTIERSHKNTELSQNFSPKSVLIRQQILPREKTPPKCEIQGNSLKQNSQLLNQPKITADKRYKCSLCEKTFINTSSLRKHEKNHSGEKLFKCKECSKAFSQSSALIQHQITHTGEKPYICKECGKAFTLSTSLYKHLRTHTVEKSYRCKECGKSFSRRSGLFIHQKIHAEENPCKYNPGRKASSCSTSLSGCQRIHSRKKSYLCNECGNTFKSSSSLRYHQRIHTGEKPFKCSECGRAFSQSASLIQHERIHTGEKPYRCNECGKGFTSISRLNRHRIIHTGEKFYNCNECGKALSSHSTLIIHERIHTGEKPCKCKVCGKAFRQSSALIQHQRMHTGERPYKCNECGKTFRCNSSLSNHQRIHTGEKPYRCEECGISFGQSSALIQHRRIHTGEKPFKCNTCGKTFRQSSSRIAHQRIHTGEKPYECNTCGKLFNHRSSLTNHYKIHIEEDP", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSPALQKGSSLMENRSPPSSFSIEHILGLDKKTDVASSPIIKHHRPWIECSSKGVVNGTCWQIPVIACDLPIQVHAVHRSEEEETKIRLEKCFGDEDRLTYKRELSWYRGRRPRTAFTRSQIEILENVFRVNSYPGIDVREELASKLALDEDRIQIWFQNRRAKLKRSHRESQFLIVKDSLSSKIQE", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSAPAGRLQPQRFAALPNPVTAENKFWRSFKVPVVAKEYSAITSIHFSAESPYDFAVTSGARVQIYGASSRSVKKTIARFKDTAYSGNIRKDGKLLLAGDATGLVQIFDLSTRSILRALDAHQFPVHVTQFCPYANTTFLSGSDDKTVKVWDLSTGAVQYDLSGHEDYVRTASWMSATRLVSGGYDGTIRLWDTRIADPEVMSFSHGEAIDVVLPMQSGSTVISAGGPSIKVWDLVAGRQTPTKKLSNHQKSITCLAMNSENTRLLSGGLDGHVKIYNISDWKVVHGMKYSGPILSMGLSPDSCNLVVGMSNGTLSQRTRRITKQTSSKTPFLGGVGSAFGVVGKKKQIYKGENDEFYVEEARRKRLRPFDKALKSFCYSDALDMVLENGSPVLIITMLIELLHVGGLRIALSNRDDLSLTPLINFLRKYIRDPRFSETLCIVTSTILDIYGAALGGSVMVENAISKLREKVEQEVAVTQRANELVGMLQMLSA", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPRVKAVKKQAEALASEPTDPTPNANGNGVDENADSAAEELKVPAKGKPRARKATKTAVSAENSEEVEPQKAPTAAARGKKKQPKDTDENGQMEVVAKPKGRAKKATAEAEPEPKVDLPAGKATKPRAKKEPTPAPDEVTSSPPKGRAKAEKPTNAQAKGRKRKELPAEANGGAEEAAEPPKQRARKEAVPTLKEQAEPGTISKEKVQKAETAAKRARGTKRLADSEIAAALDEPEVDEVPPKAASKRAKKGKMVEPSPETVGDFQSVQEEVESPPKTAAAPKKRAKKTTNGETAVELEPKTKAKPTKQRAKKEGKEPAPGKKQKKSADKENGVVEEEAKPSTETKPAKGRKKAPVKAEDVEDIEEAAEESKPARGRKKAAAKAEEPDVDEESGSKTTKKAKKAETKTTVTLDKDAFALPADKEFNLKICSWNVAGLRAWLKKDGLQLIDLEEPDIFCLQETKCANDQLPEEVTRLPGYHPYWLCMPGGYAGVAIYSKIMPIHVEYGIGNEEFDDVGRMITAEYEKFYLINVYVPNSGRKLVNLEPRMRWEKLFQAYVKKLDALKPVVICGDMNVSHMPIDLENPKNNTKNAGFTQEERDKMTELLGLGFVDTFRHLYPDRKGAYTFWTYMANARARNVGWRLDYCLVSERFVPKVVEHEIRSQCLGSDHCPITIFFNI", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDFPQHSQHVLEQLNQQRQLGLLCDCTFVVDGVHFKAHKAVLAACSEYFKMLFVDQKDVVHLDISNAAGLGQVLEFMYTAKLSLSPENVDDVLAVATFLQMQDIITACHALKSLAEPATSPGGNAEALATEGGDKRAKEEKVATSTLSRLEQAGRSTPIGPSRDLKEERGGQAQSAASGAEQTEKADAPREPPPVELKPDPTSGMAAAEAEAALSESSEQEMEVEPARKGEEEQKEQEEQEEEGAGPAEVKEEGSQLENGEAPEENENEESAGTDSGQELGSEARGLRSGTYGDRTESKAYGSVIHKCEDCGKEFTHTGNFKRHIRIHTGEKPFSCRECSKAFSDPAACKAHEKTHSPLKPYGCEECGKSYRLISLLNLHKKRHSGEARYRCEDCGKLFTTSGNLKRHQLVHSGEKPYQCDYCGRSFSDPTSKMRHLETHDTDKEHKCPHCDKKFNQVGNLKAHLKIHIADGPLKCRECGKQFTTSGNLKRHLRIHSGEKPYVCIHCQRQFADPGALQRHVRIHTGEKPCQCVMCGKAFTQASSLIAHVRQHTGEKPYVCERCGKRFVQSSQLANHIRHHDNIRPHKCSVCSKAFVNVGDLSKHIIIHTGEKPYLCDKCGRGFNRVDNLRSHVKTVHQGKAGIKILEPEEGSEVSVVTVDDMVTLATEALAATAVTQLTVVPVGAAVTADETEVLKAEISKAVKQVQEEDPNTHILYACDSCGDKFLDANSLAQHVRIHTAQALVMFQTDADFYQQYGPGGTWPAGQVLQAGELVFRPRDGAEGQPALAETSPTAPECPPPAE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MERGEGRRGDCSVQVRKKRTRRKSDGPDSIAETIKWWKEQNQKLQEENSSRKAPAKGSKKGCMAGKGGPENSNCAYRGVRQRTWGKWVAEIREPNRGRRLWLGSFPTALEAAHAYDEAARAMYGPTARVNFADNSTDANSGCTSAPSLMMSNGPATIPSDEKDELESPPFIVANGPAVLYQPDKKDVLERVVPEVQDVKTEGSNGLKRVCQERKNMEVCESEGIVLHKEVNISYDYFNVHEVVEMIIVELSADQKTEVHEEYQEGDDGFSLFSY", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVKFAIINTLTVNETWAKLKSFGVMESSIEGSSESTTVTTSPSRRVRELLALCFSSVEEAGGFQDFESFVTELVSCLDSLYENVALDANNELENDVIEEVLDEILKVLSSPQMDQDVIDALSFHLPKVTSKFADISSRCLQLVEEIVDRFVEACNPRDMLSILCEALDAARCYHSASTCSTPLLHGLSKVFILIQRRHYEQLKVAVPIVLNVLKDISLETDVQVEDLFDKALGIASSIRDVSSKLNNEEEAKVRCLLCLYVIQITAIISVSIRDKAASCIPLVIQLEPFLTSCGLTHLGLITGNDTEKLMSTVAGDDDEFITSFPDISLGASLLFICAKISHEVAEAANAVLGSVVDELQNNPVKRWQAYGMLKYILSSGDLLWEFKRHAIEFLLDITKGVTSSQCNDEQIDCSDYTPGIYATLQAVTLLIMYAPDADLRKKTFEALKRVLSDIPAPHRFDVLRALVTNSRSPSMTAILLGLVKDSMSKSSLQDTDCAAVDTHVIELVELVLRPPQGGPPLLPDQSDAILAALNLYRFALLFESRECEAGKERSKVGSDILSKKNLEKAYKEWLLPLRTLVSCSIAENLKEDHGQESSLDDVGLLNPIELVLYRCIELVEEKLKSH", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAEEFVTLKDVGMDFTLGDWEQLGLEQGDTFWDTALDNCQDLFLLDPPRPNLTSHPDGSEDLEPLAGGSPEATSPDVTETKNSPLMEDFFEEGFSQEIIEMLSKDGFWNSNFGEACIEDTWLDSLLGDPESLLRSDIATNGESPTECKSHELKRGLSPVSTVSTGEDSMVHNVSEKTLTPAKSKEYRGEFFSYSDHSQQDSVQEGEKPYQCSECGKSFSGSYRLTQHWITHTREKPTVHQECEQGFDRNASLSVYPKTHTGYKFYVCNEYGTTFSQSTYLWHQKTHTGEKPCKSQDSDHPPSHDTQPGEHQKTHTDSKSYNCNECGKAFTRIFHLTRHQKIHTRKRYECSKCQATFNLRKHLIQHQKTHAAKTTSECQECGKIFRHSSLLIEHQALHAGEEPYKCNERGKSFRHNSTLKIHQRVHSGEKPYKCSECGKAFHRHTHLNEHRRIHTGYRPHKCQECVRSFSRPSHLMRHQAIHTAEKPYSCAECKETFSDNNRLVQHQKMHTVKTPYECQECGERFICGSTLKCHESVHAREKQGFFVSGKILDQNPEQKEKCFKCNKCEKTFSCSKYLTQHERIHTRGVKPFECDQCGKAFGQSTRLIHHQRIHSRVRLYKWGEQGKAISSASLIKLQSFHTKEHPFKCNECGKTFSHSAHLSKHQLIHAGENPFKCSKCDRVFTQRNYLVQHERTHARKKPLVCNECGKTFRQSSCLSKHQRIHSGEKPYVCDYCGKAFGLSAELVRHQRIHTGEKPYVCQECGKAFTQSSCLSIHRRVHTGEKPYRCGECGKAFAQKANLTQHQRIHTGEKPYSCNVCGKAFVLSAHLNQHLRVHTQETLYQCQRCQKAFRCHSSLSRHQRVHNKQQYCL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTKHPPNRRGISFEVGAQLEARDRLKNWYPAHIEDIDYEEGKVLIHFKRWNHRYDEWFCWDSPYLRPLEKIQLRKEGLHEEDGSSEFQINEQVLACWSDCRFYPAKVTAVNKDGTYTVKFYDGVVQTVKHIHVKAFSKDQNIVGNARPKETDHKSLSSSPDKREKFKEQRKATVNVKKDKEDKPLKTEKRPKQPDKEGKLICSEKGKVSEKSLPKNEKEDKENISENDREYSGDAQVDKKPENDIVKSPQENLREPKRKRGRPPSIAPTAVDSNSQTLQPITLELRRRKISKGCEVPLKRPRLDKNSSQEKSKNYSENTDKDLSRRRSSRLSTNGTHEILDPDLVVSDLVDTDPLQDTLSSTKESEEGQLKSALEAGQVSSALTCHSFGDGSGAAGLELNCPSMGENTMKTEPTSPLVELQEISTVEVTNTFKKTDDFGSSNAPAVDLDHKFRCKVVDCLKFFRKAKLLHYHMKYFHGMEKSLEPEESPGKRHVQTRGPSASDKPSQETLTRKRVSASSPTTKDKEKNKEKKFKEFVRVKPKKKKKKKKKTKPECPCSEEISDTSQEPSPPKAFAVTRCGSSHKPGVHMSPQLHGPESGHHKGKVKALEEDNLSESSSESFLWSDDEYGQDVDVTTNPDEELDGDDRYDFEVVRCICEVQEENDFMIQCEECQCWQHGVCMGLLEENVPEKYTCYVCQDPPGQRPGFKYWYDKEWLSRGHMHGLAFLEENYSHQNAKKIVATHQLLGDVQRVIEVLHGLQLKMSILQSREHPDLPLWCQPWKQHSGEGRSHFRNIPVTDTRSKEEAPSYRTLNGAVEKPRPLALPLPRSVEESYITSEHCYQKPRAYYPAVEQKLVVETRGSALDDAVNPLHENGDDSLSPRLGWPLDQDRSKGDSDPKPGSPKVKEYVSKKALPEEAPARKLLDRGGEGLLSSQHQWQFNLLTHVESLQDEVTHRMDSIEKELDVLESWLDYTGELEPPEPLARLPQLKHCIKQLLMDLGKVQQIALCCST", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAGSPELVVLDPPWDKELAAGTESQALVSATPREDFRVRCTSKRAVTEMLQLCGRFVQKLGDALPEEIREPALRDAQWTFESAVQENISINGQAWQEASDNCFMDSDIKVLEDQFDEIIVDIATKRKQYPRKILECVIKTIKAKQEILKQYHPVVHPLDLKYDPDPAPHMENLKCRGETVAKEISEAMKSLPALIEQGEGFSQVLRMQPVIHLQRIHQEVFSSCHRKPDAKPENFITQIETTPTETASRKTSDMVLKRKQTKDCPQRKWYPLRPKKINLDT", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAELSMGPELPTSPLAMEYVNDFDLLKFDVKKEPLGRAERPGRPCTRLQPAGSVSSTPLSTPCSSVPSSPSFSPTEQKTHLEDLYWMASNYQQMNPEALNLTPEDAVEALIGSHPVPQPLQSFDGFRSAHHHHHHHHPHPHHGYPGAGVTHDELGPHAHPHHHHHHQASPPPSSAASPAQQLPTSHPGPGPHAAAAATAAGSNGSVEDRFSDDQLVSMSVRELNRHLRGFTKDEVIRLKQKRRTLKNRGYAQSCRYKRVQQKHHLENEKTQLIQQVEQLKQEVSRLARERDAYKVKCEKLANSGFREAGSTSDSPSSPEFFL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MIDLYNYSNLEGLLDGLTDLNRIPKEYSAVLEPYFQNIARNAHLKSRALKICRSNFHKWNEEGAKTVNPEIIRRCLNLWYVLKGKEYKKLKDPPPADNIIKDEIDVSYVKNLNVVRLEFDEFGKLISNPLENLILEEVEVNDFIQE", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSGGDGIPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYVATLGVEVHPLVFHTNRGQIRFNVWDTAGQEKFGGLRDGYYIQGQCAIIMFDVTARVTYKNVPNWHRDLARVCENIPIVLCGNKVDVKDRKVKAKTITFHRKKNLQYYDISAKSNYNFEKPFLWLARKLLGDPNLEFVAMPALAPPEVQMDPAMIAEYEKDLDNAAKADLPDDDDDL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTRYYCEYCHSYLTHDTLSVRKSHLVGKNHLRITADYYRNKARDIINKHNHKRRHIGKRGRKERENSSQNETLKVTCLSNKEKRHIMHVKKMNQKELAQTSIDTLKLLYDGSPGYSKVFVDANRFDIGDLVKASKLPQRANEKSAHHSFKQTSRSRDETCESNPFPRLNNPKKLEPPKILSQWSNTIPKTSIFYSVDILQTTIKESKKRMHSDGIRKPSSANGYKRRRYGN", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVRKLKFHEQKLLKQVDFLNWEVTDHNLHELRVLRRYRLQRREDYTRYNQLSRAVRELARRLRDLPERDQFRVRASAALLDKLYALGLVPTRGSLELCDFVTASSFCRRRLPTVLLKLRMAQHLQAAVAFVEQGHVRVGPDVVTDPAFLVTRSMEDFVTWVDSSKIKRHVLEYNEERDDFDLEA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAAELTAPAQGIVTFEDVAVYFSWKEWGLLDEAQKCLYHDVMLENLTLTTSLGGSGAGDEEAPYQQSTSPQRVSQVRIPKALPSPQKTNPCEICGPVLRQILHLVEHQGTHHGQKLYTDGACRKQLQFTAYLHQHQKQHVGQKHFRSNGGRDMFLSSCTFEVSGKPFTCKEVGKDFLVRSRFLQQQAAHTRKKSNRTKSAVAFHSVKNHYNWGECVKAFSYKHVRVQHQGDLIRERSYMCSECGKSFSTSCSLSDHLRVHTSEKPYTCGECGKSYRQSSSLITHRRIHTGVRPHQCDECGKLFNRKYDLLIHQRVHTGERPYKCSECGKSFSHSSSLITHQRIHTGMRPYECSECGKSFIHSSSLITHQRVHTGTRPYMCSECGKSFSQSCHLIKHRRLHIGEGPYECSECGKLFTYRSRFFQHQRVHTGVRSHECHECGKLFSRKFDLIVHERVHTGERPYECSECGKSFTCKSYLISHWKVHTGARPYECGECGKSFTHSSTLLQHQRVHTGERPYECNECGKFFSQSSSLIRHRRSHTGERPYECSECWKSFSNHSSLVKHRRVHTGERPYECSECGKSFSQSSNLTNHQRIHSGERPYECSDCGKFFTFNSNLLKHQNVHKG", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNLDEFSDFNIDQLLGPSAPLQDALVAPYKQVSLPRSNQDVYAKRSRLAERIAQNDELIRQVKQLQAQNKQLADLQRTAQEVTDLYQKEKQQRIELEKRTKQIGERCGQLEKELDVQVGNCENLQEQLQVRGLPVEAKDVLSILMQFSQRLGDDCGLLRRDQNIMKKLREHCKTIDVSVPTPKSPNSRSKRKAHQPGVNQSTQTDEEPADPKPALCSVAVQVEGLIETRNQATQHKNTTTTRGTTTASFIKHHDVGTCFPEPKPLPNIRQILDEMLSWRDDVVIEPMSPLSDLQQELQLEDVPTNASVATCTTLCDIHREIDFVTDLPTQIKVSASRPPSRTMLDSVKEEARSSRELAKELFNFLPQNQSCLTNLPPQAFEELWQVFGQMVLALLQRRSNPSMATPPSVSQADFTRWLYELYEGTENQTEQTSNGSTSKRDFATSTECMDTGTDPIIQSPNISHEGHVTPIRLPSKPKERKRKSKKRKAAATPKPIAKRKCLEMETNNELEVEREQTPETAIQFLSNLETFNMANCDNLEMELDEEELYLLQLTSNAKQNENKGNVRVQDPDDLKSPAQTSKFPKSENHLPAVLKETASSLKGYDEKQCPFPDKTEELMHKKTFEIQENIGSLTELPPNIDISAYPVSMAEVRIVSSNKPSEMEVNASEGTDSHLDKSVSNLDTGSMSLFGSDSDVESEYSIEQMAPELSDSDDTFDNSEEDCRSADEPSNSKKIRNSSLFGSESESDESEERALEADDLTEAEAKVEEKESEQKSSDVAPAASSGKLLVVEADLVTKTQPLFVEKLDLENTQHTSTCTNPKETDESEDEHGLVIDEQIFISQPEEPPLTVPIAAKRRRTQSELKTLSPPGEVRLTRQRAKQLLDEQKSGPEKGLSLVEQIRRQLKKAINKSEPFKKDSTHDSKKDSTHDLKPLEHEDELKAMQLGTNFEPIKLSCSISEESPASPPACEPMDELDPPPIEIPLEQAACTRKDDQHKSIVQHVLKMDTGLEKLVEANRKTLGKSQPQLCASIGKYLQENMQLESTCSDLAMDIYKVTKSEAVIVNAMITVICKIGLDDGPVERLLNALKYLNFSQRFLAELEERLFRNTKERPATELALNYVRLYLKATALQATMSAGYENPARLLLAKILYHFDQDMPPLVMEVLRQFPTALPHREQREYDNSDALITVIKHLLMNRQYDMQDPNGAERLLLSKLRFEYHFQPYEPSKQQVLENLVGKLKAGREEELGYAFALFCRRSPHLKVVESVVGEHLMPLATSYCDLAAQNELYDARLGLLLHCISLVLKPLPLDTDISAFVGFLKRLLVAVPRSGVQLAAVKASLRLQRFGFKYTLDALKDYRPNYELDPLTRAMIRCFAKRRRHFRHVAATGRRTEI", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MADVDDGSELLFFDTFSHEEVTDINLDLVQFPKPVFITQVRIIPLGARVQADFPGGVRLGATNPSKFDLEFFVNDLGMPAASAFENLGLLRYNQNDCIHLDCSQEKIVTDGLVLRGWYSTITLAIYGIFTNSVTEPIASPTLPCEPVGPEIANLSGEVLLQEDVLKDEWQEPMQAELLTAHKGNVSDYDPEDMEYGMSRDHYHQHAEEQEQREMRRLRRSTHSTDHSPPPPRRSHTHSESNDREYIRCSRDKGSRDWSRSPEYSSHRSRRKRSERSRSVVDEHKWPRTPPASIDSPTRPRSPDTMDYEDEDSRSHYKMQSSHYRHSSESLHRGERDRDDEDRSCTPQEQFEPILSDDEIIGDDEEDDAVDAAAIAEYERELEAAAAAAPPAIDAFEPWQKPLLVFEGDMAAHFCKELETLKLLFKKLVLQTRCENVNAFSEEHGASVDEREQFVYLGEQLNNQLGYLAQHYKRRNFVLQQFFGNDELHLRQAANVLQIALSFQAACMQPQPAFKIRHIKLGARMAELLGSSEELFQHLLKEHKFDIFEAVFRLYHEPYMALSIKLQLLKAVYALLDTRMGIEHFMGAKNNGYQMIVEAIKTAKLTRTKYALQAIIKKLHLWEGLESVQIWCRRLFVDRIIIPGNRDQMEDTVITCQQIEFAFEMLMDALFSSQLSYLQPRRFLPVSKKFEVVTDPTAQRSFGNALQSYLGQNSLAESLLVMLANCKELPATTYLSMLDLMHTLLRSHVGIDYFVDDAFPVTQTIVAILLGLDEVPRNPEEKEEKAEKSDAEDKAMEVENEAVEAGGEKPTPPTADEEGKPVAAPISVPAPAAAPQVRPRPILRPVLPRLARLGIEMSYKVQTRYHLDAIAYAAAAPEYDAVKLATHMHAIYSQTCDPAGRQHTVEVLGLNNNLKIFMDLIKKEQRLQTQRQLSSPGTKYKSPVLSYAVDMVDACVRYCEQLDYLIEHGGVILELAKNHETFEPSVSAVLQEMYVYMKPLEAINVFVYDDIMPLVEVIGRSLDYLTTFPGDLIMAMRILRYLSISKPLAGQKAPPVTEELKHRFVALQLYAADGVQLCIQIMERLCAYFEQPGAHAPALMTIQGVHCCQIMLPTLQILRELLSYAILCRDGTYKDLTAIDHLVKVYYLLYYFPTRCQAGPEVEQCKMEVVQTLLAYTQPNEQDEESLHKSLWTLMIREVLKNVDGPAHFIPGLKLLAELLPLPLPMPQPLCDQLQQQHKQRLITERKLWSAHLHPQSGQIAKLVEALAPSSFPQLSELLQRVCMQLSDLAPNMTLLIAKTITELLCNEYQTSNCIPTTNLERLLRFSTRLCAFAPLKSSMLSILSGKFWELFQSLLALNEFNDVVSNCQEAVHRILDSFLDSGISLISHKSTASPALNLAAALPPKELIPRIIDAVFSNLTSVEVTHGISILAVRNLVILTEHDFTFYHLAQLLKQKITEFQAWMERVILHNETVEYNANIESLILLLRSLTQIEPPPAMSAMPHRTLKLGATELAQLVEFQDIELAKPPVLSRILTVMEKHKAVANEAALSDLKQLILLQASKQEILAGTSTETPPEAEGEANPSASSCSASLTVEPYLPQAEGIVTQYEARPIFTRFCATAENAQLTARYWLDPLPIELIEDMNEPIYERIACDLTDLANVCLNPDLNVAGDSKRVMNLSGSPQSNREMTPTAPCFRTRRVEVEPATGRPEKKMFVSSVRGRGFARPPPSRGDLFRSRPPNTSRPPSLHVDDFLALETCGAQPTGPTGYNKIPSMLRGSRVGRNRGSRISAAAAFRQKKMMRIGSPSSWAESPGSYRSASDSHFSSSDSHYSSPHYSGRPRGRGLRSRPSYLR", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVMYARKQQRLSDGCHDRRGDSQPFQALKYSSKSHPSSGDHRHEKMRDAADPSPPNKMLRRSNSPENKYSDSTGHNKAKNVHTQRVRERDGGTSYSPQENSHNHSALHSSNSHSSNPSNNPSKTSDAPYDSADDWSEHISSSGKKYYYNCRTEVSQWEKPKEWLEREQRQKEANKLAVNSFPKDRDYRREVMQATATSGFTSGMEDKHSSDASSLLPQNILSQTSRHNDKDYRLPRAETHSSSTPVQHPIKPVVHPTATPSTVPSSPFTLQSDHQPKKSFDANGASTLSKLPTPTASLPAQKTERKESAPGDKSISHSCTTPSTSSASGLNPTSAPPTSASAVPVSPVPQSTIPPLLQDPNLFRQLLPALQATLQLNNSNVDISKINEVLTAAVTQASLQSIIHKFLTAGPSAFNITSLISQAAQLSTQAQPSNQSPMSLTSDASSPRSYVSPRISTPQTNTVPMKPLISTPPVSSQPKVSTPVVKQGPVSHSATQQPVTADKQQSHDPVSPRSLQRLSSQRSPSPGPNHTCSSNASTATVVPQNASARPACSLTPTLAAHFNDNLIKHVQGWPADHAEKQASRLREEAHNMGSVHMSEICTELKNLRSLVRVCEIQATLREQRILFLRQQIKELEKLKNQNSFMV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSKKFIELGDPVTQDEKDYEDYVGVGFAHQVPTMKRRKLTEHGNTTESKEDPEEPKSRDVFVSSQSSDESQEDSAENPEIAKEVSENCENLTETLKISNIESLDNVTERSEHTLDNHKSTEPMEEDVNNKSNIDVAINSDEDDELVLEENNKEMRDGEQVQQDLFADDQELIEYPGIMKDTTTQLDITDSEVETAQKMEMIEETEADSTFVGEDSKNQRQSGTTSDEVDADSQINLATKTVRTSSSSFLSTVSTCEAPAKGRARMYQKELEKHVIAFTEGNLTLQPDLNKVDPDRNYRYCTIPNFPASQGKLREDNRYGPKIVLPQRWREFDSRGRRRDSYFYFKRKLDGYLKCYKTTGYFMFVGLLHNMWEFDPDITYKLPALEMYYKEMSELVGREEVLEKFARVARIAKTAEDILPERIYRLVGDVESATLSHKQCAALVARMFFARPDSPFSFCRILSSDKSICVEKLKFLFTYFDKMSMDPPDGAVSFRLTKMDKDTFNEEWKDKKLRSLPEVEFFDEMLIEDTALCTQVDFANEHLGGGVLNHGSVQEEIRFLMCPEMMVGMLLCEKMKQLEAISIVGAYVFSSYTGYGHTLKWAELQPNHSRQNTNEFRDRFGRLRVETIAIDAILFKGSKLDCQTEQLNKANIIREMKKASIGFMSQGPKFTNIPIVTGWWGCGAFNGDKPLKFIIQVIAAGVADRPLHFCSFGEPELAAKCKKIIERMKQKDVTLGMLFSMINNTGLPHKHFEFYVFDRISTYLSSSEDVESSKSSPSVSRA", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSKNNSTVIKMDEQVLKYKEDLYEKTVHHLKVTIPKKIAEYQELAKSYGQNSENEQDGQTSKKRKLDSEDYVLMPIEDLIKTNRVIMETHQKFKKAYIELIETFSVIRGWISLNIPRIEDGNNFGVDVQEDIITQITKLEEVYTSLLDGSESYFASRASLVKKILKHKDIEAYRYSLAQVDEKEFTRFSFSYFDLANNYATTYSLIVKNFAKLETPRPTNASNIY", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MQRRDDPAARMSRSSGRSGSMDPSGAHPSVRQTPSRQPPLPHRSRGGGGGSRGGARASPATQPPPLLPPSATGPDATVGGPAPTPLLPPSATASVKMEPENKYLPELMAEKDSLDPSFTHAMQLLTAEIEKIQKGDSKKDDEENYLDLFSHKNMKLKERVLIPVKQYPKFNFVGKILGPQGNTIKRLQEETGAKISVLGKGSMRDKAKEEELRKGGDPKYAHLNMDLHVFIEVFGPPCEAYALMAHAMEEVKKFLVPDMMDDICQEQFLELSYLNGVPEPSRGRGVPVRGRGAAPPPPPVPRGRGVGPPRGALVRGTPVRGAITRGATVTRGVPPPPTVRGAPAPRARTAGIQRIPLPPPPAPETYEEYGYDDTYAEQSYEGYEGYYSQSQGDSEYYDYGHGEVQDSYEAYGQDDWNGTRPSLKAPPARPVKGAYREHPYGRY", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MFLTNGSRAGPVKERGLLIIDADHPNYDASGRGKRRRVEQEHHSDLNNETAAPTGGSAGEEIVLPFTKTLASWKEFESDEVYKRTPQRPHFPSLAYTHPSFGEPTAAYLTAAFIDCVKTVDGMCEDTPKSELDVYRETFKMFEEQGFDVAEPLSQVLTLLVLRKMRRESLRQQKGMEKEMADDYSKLKKSLVRCKSSFEDKETAK", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPTGFVAPILCVLLPSPTREAATVASATGDSASERESAAPAAAPTAEAPPPSVVTRPEPQALPSPAIRAPLPDLYPFGTMRGGGFGDRDRDRDRGGFGARGGGGLPPKKFGNPGERLRKKKWDLSELPKFEKNFYVEHPEVARLTPYEVDELRRKKEITVRGGDVCPKPVFAFHHANFPQYVMDVLMDQHFTEPTPIQCQGFPLALSGRDMVGIAQTGSGKTLAYLLPAIVHINHQPYLERGDGPICLVLAPTRELAQQVQQVADDYGKCSRLKSTCIYGGAPKGPQIRDLERGVEICIATPGRLIDFLESGKTNLRRCTYLVLDEADRMLDMGFEPQIRKIVDQIRPDRQTLMWSATWPKEVRQLAEDFLRDYTQINVGNLELSANHNILQIVDVCMESEKDHKLIQLMEEIMAEKENKTIIFVETKRRCDDLTRRMRRDGWPAMCIHGDKSQPERDWVLNEFRSGKAPILIATDVASRGLDVEDVKFVINYDYPNSSEDYVHRIGRTARSTNKGTAYTFFTPGNLKQARELIKVLEEANQAINPKLMQLVDHRGGGGGGGGRSRYRTTSSANNPNLMYQDECDRRLRGVKDGGRRDSASYRDRSETDRAGYANGSGYGSPNSAFGAQAGQYTYGQGTYGAAAYGTSSYTAQEYGAGTYGASSTTSTGRSSQSSSQQFSGIGRSGQQPQPLMSQQFAQPPGATNMIGYMGQTAYQYPPPPPPPPPSRK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVKDLVGYRFYPTGEELINHYLKNKILGKTWLVDEAISEINICSYDPIYLPSLSKIKSDDPVWYFFCPKEYTSAKKKVTKRTTSSGYWKATGVDRKIKDKRGNRGEIGIKKTLVYYEGRVPKGVWTPWVMHEYHITCLPQDQRNYVICQVMYKGEDGDVPSGGNNSSEPSQSLVSDSNTVRATSPTALEFEKPGQENFFGMSVDDLGTPKNEQEDFSLWDVLDPDMLFSDNNNPTVHPQAPHLTPNDDEFLGGLRHVNREQVEYLFANEDFISRPTLSMTENRNDHRPKKALSGIIVDYSSDSNSDAESISATSYQGTSSPGDDSVGSSNRQFLQTGGDEILSSCNDLQTYGEPSISSSTRQSQLTRSIIRPKQEVKQDTSRAVDSDTSIDKESSMVKTEKKSWFITEEAMERNRNNPRYIYLMRMIIGFILLLALISNIISVLQNLNPAMKFDRER", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSTAASDSGVEKLVEENKREEVKKNEEEKEFDLGLEENPDSVKKPRKRLAKFDEERLISENGIPKLRKMMRKVKLKGKGHEAKDLKQLLGMYHIWTHELYPRATFDDSISYLKTLGKHRSVKVRRRGWINEIAVENGSDSNASLFTGPSSNSLVNLTSGDPYVQDTADDAFVAQDNDTQLE", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MADGDSGSERGGGGGPCGFQPASRGGGEQETQELASKRLDIQNKRFYLDVKQNAKGRFLKIAEVGAGGSKSRLTLSMAVAAEFRDSLGDFIEHYAQLGPSSPEQLAAGAEEGGGPRRALKSEFLVRENRKYYLDLKENQRGRFLRIRQTVNRGGGGFGAGPGPGGLQSGQTIALPAQGLIEFRDALAKLIDDYGGEDDELAGGPGGGAGGPGGGLYGELPEGTSITVDSKRFFFDVGCNKYGVFLRVSEVKPSYRNAITVPFKAWGKFGGAFCRYADEMKEIQERQRDKLYERRGGGSGGGEESEGEEVDED", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAARKQSSQPSRTPVSYEDVAVSFTQEEWEYLTSTQKTLYQKVMSETFKNLTFVGSKKKPQEPSSDLQDKNEEQEKSSSCTGVFKGGPFFFCLTCGKCFKKNTFLFNHQFPVRSRRLAVTNPQSRKGKGYKAQHRGERPFFCNFCGKTYRDASGLSRHRRAHLGYRPRSCPECGKCFRDQSEVNRHLKVHQNKPAASNQAGNQASNQRLKSRVPPTTPRSQAPALKYVKVIQGPVARAKARNSGASTLNVRSNSITVVRSREKISCPYCHITFTMRTCLLTHLKIHFRRQPNQHFCCKESAHSSNTLRMQKIYTCPVCDSSFRGKESLLDHLCCQRPIRFSKCWEILGHLLGYLHEPVVLGNIFKVRDSSGKRMESRRRRRKRACTENPETEGLSGKGRVAPWEMEGATSPESPVTEEDSD", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAGNKRKRSNASEGSDSQGNERISSLSANEATQDFPRGGASSLTPLEYKEAVLEAKKDFMESASGTAELSKKTRPKKKGSKKSSKSELDNEENLKVHIQSLRYKNITPGSLILGQIAQINTLDLAVSLPNCLTGYVPITNISDKLSDRLDSIDNHAEDNAATEEEDGLNQIPDLMDLYKVGQWVRVSVTALGSENTTKTGKRHIELSLKPQDANGSAPEAADFVAGSMIQAVVSSIEDHGIVFDIGINNYTGFLSKKHINDFPFVEGQSLLCSVISKEDRIFHLSLTATSTKALEVMPSVQAILPGDYINVLVTDIKESGVIAKYMGVVDVTSDIYHSSPVKGEDLEDKFQLAKSVPARVLFVIPGDPPKIAVSFLPHVLTFNFATPNTPHPDQLDIGFIVNAAKVTYVSSSLGVFCDVGVPEISGFAHISRLSDKKVAGISPNSGPYKVDSTHEARIINYSYVDNLYILSFQQSVLNQQFLRIEDIEVGQFVDGTIAKLIPQGIVVTISEGINGLVPSTHMADIALQFPERRFKVGSSVKCRVLSTNVLRKRVLLTLKKSLLNTDLPLIYDYEQATPGTQTVGTLARIFEDGAIVEFYNSVRAFLPVSEMSEAYIRDAREHFKVGQTLSVTIVSCDPENRKMRVGCREQSWDAKRLERFENIKAGSVLSGIVLQKTEDSVIVDLGDKVTGVITLGQLCDGDLNKCSKVMNKLRASTKLAEVLVLRKDTSKKLISLSLKKSLVEAAKENRMPINITDLKEGIKYFGFVRNATTFGVFVEFCDGLVALVPKAYISEEYVPVPSAVYKPQQSVTCVCLSVELSQEKAFMSFKPLAQKQEKAVEFMESKYDIDNPVDETIKKTYDYVAGKITWAVVTSAKASQLNVDLAANVHGRVDVSEVFDNFGEIVDPNKPLKRFHKGDKIRVRVLGIHDSRNHKFLPISHRVSPKQFLELSVRPSILNMEPFSMKEPQFKKGDEVTGFVNNVSKECVWVSLTPSVNGRIPILDLTTDVKELNSLQKHFFLGKAIKCYVVNAEDSITLSAIGPLQGFENLTPGSRLVGKVTNVNEAGAILQLPGHMSGRVSRIDMFDDYDILPETKFTRNNLVGVCVLSVDVPNRKVALSARNSRTQSQPVEIKDKEINSVDDLKIGDICRGFVCNVANQGLFVTIGHNLIARVKIGELFDTFIKDWKPHFHVNQLVKGSIVGIDNDSKRIEMSLKQSKIKDSSEITKTFADIAVGSNLDGTVVKVGDYGVLIRIDGTDNIVGLCHKSEIADAVVLNISKLYSSGDKVRAHVLDVDSEKRRIALGLKSSYFDSDSDISMSDNEEDVEMRSEDQSDTSESEVGSKDDVQSEEVENLESAGDEDEEEEPSALQANGFDWTDGSTVFDKLADDTEDSEDEEDEEPKRKKSKSDRFDDEEKDLDEIPSTAADFERQLLSSPNSSLLWISYMAYHLNLNELQEAREVGKRALSTINYREEDEKLNVWMALLNLEVAYGTEDSLKEVFKEACAYCDALIVYEKLCGILIKGGKVDLADEYMQLMLKNFKQVPSVWIQYATFLLNNDKAEKAHGLLERSLQSLPKSEHVGIIEKFAILEFKNGDPERGRTIFEGLLSSYPKRLDLWNVLIDMEMKQDDPSIVRRLFQRLLALNLSTKKAKFAFKKWLAYEKNIGDDEGAEQVKRRAIEYVSESHSEN", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSSPDAGYASDDQSQPRSAQPAVMAGLGPCPWAESLSPLGDVKVKGEVVASSGAPAGTSGRAKAESRIRRPMNAFMVWAKDERKRLAQQNPDLHNAELSKMLGKSWKALTLAEKRPFVEEAERLRVQHMQDHPNYKYRPRRRKQVKRMKRVEGGFLHALVEPQAGALGPEGGRVAMDGLGLPFPEPGYPAGPPLMSPHMGPHYRDCQGLGAPALDGYPLPTPDTSPLDGVEQDPAFFAAPLPGDCPAAGTYTYAPVSDYAVSVEPPAGPMRVGPDPSGPAMPGILAPPSALHLYYGAMGSPAASAGRGFHAQPQQPLQPQAPPPPPQQQHPAHGPGQPSPPPEALPCRDGTESNQPTELLGEVDRTEFEQYLPFVYKPEMGLPYQGHDCGVNLSDSHGAISSVVSDASSAVYYCNYPDI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTTQQEEQRSDTKNSKSESPSEVLVDTLDSKSNGSSDDDNIGQSEELSDKEIYTVEDRPPEYWAQRKKKFVLDVDPKYAKQKDKSDTYKRFKYLLGVTDLFRHFIGIKAKHDKNIQKLLKQLDSDANKLSKSHSTVSSSSRHHRKTEKEEDAELMADEEEEIVDTYQEDIFVSESPSFVKSGKLRDYQVQGLNWLISLHENKLSGILADEMGLGKTLQTISFLGYLRYVKQIEGPFLIIVPKSTLDNWRREFLKWTPNVNVLVLHGDKDTRADIVRNIILEARFDVLITSYEMVIREKNALKRLAWQYIVIDEAHRIKNEQSALSQIIRLFYSKNRLLITGTPLQNNLHELWALLNFLLPDIFGDSELFDEWFEQNNSEQDQEIVIQQLHSVLNPFLLRRVKADVEKSLLPKIETNVYVGMTDMQIQWYKSLLEKDIDAVNGAVGKREGKTRLLNIVMQLRKCCNHPYLFEGAEPGPPYTTDEHLIFNSGKMIILDKLLKRLKEKGSRVLIFSQMSRLLDILEDYCYFRDFEYCRIDGSTSHEERIEAIDEYNKPNSEKFVFLLTTRAGGLGINLVTADTVILFDSDWNPQADLQAMDRAHRIGQKKQVHVYRFVTENAIEEKVIERAAQKLRLDQLVIQQGTGKKTASLGNSKDDLLDMIQFGAKNMFEKKASKVTVDADIDDILKKGEQKTQELNAKYQSLGLDDLQKFNGIENQSAYEWNGKSFQKKSNDKVVEWINPSRRERRREQTTYSVDDYYKEIIGGGSKSASKQTPQPKAPRAPKVIHGQDFQFFPKELDALQEKEQLYFKKKVNYKVTSYDITGDIRNEGSDAEEEEGEYKNAANTEGHKGHEELKRRIEEEQEKINSAPDFTQEDELRKQELISKAFTNWNKRDFMAFINACAKYGRDDMENIKKSIDSKTPEEVEVYAKIFWERLKEINGWEKYLHNVELGEKKNEKLKFQETLLRQKIEQCKHPLHELIIQYPPNNARRTYNTLEDKFLLLAVNKYGLRADKLYEKLKQEIMMSDLFTFDWFIKTRTVHELSKRVHTLLTLIVREYEQPDANKKKRSRTSATREDTPLSQNESTRASTVPNLPTTMVTNQKDTNDHVDKRTKIDQEA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSLPSRQMAIVNPPPPEYINAKKTGRLTNQLQFLQRVVLKALWKHSFSWPFQQPVDAAKLKLPDYYTIIETPMDLSTIKKRLENRYYEKASECVGDFNTMFSNCYLYNKPGDDIVVMAQALEKLFMQKLSQMPQEEQIVGGKERMKKDIQQKTAVSSAKEQTPSKSAENVFKRQEIPAGFPDVCLSPLNMAQEAPPTCDSQTVVQITKGVKRRADTTTPTTSSAKASSESPPPLREAKPANAPVKENTVKSVLPDSQQQHRVLKTVKVTEQLKHCSEILKEMLAKKHLPYAWPFYNPVDVDALGLHNYYDIVKNPMDLGTIKGKMDKQEYKDACEFAADVRLMFMNCYKYNPPDHEVVTMARMLQDVFEMHFAKIPDEPVESMRACHLTTNSAKALSRESSSEASSGDCSSEDSEDERVQRLAKLQEQLNAVHQQLQVLSQVPLRKLKKKNEKSKRAPKRKKVNRDENPKKKAKQMKQKEKAKSNQPKKKKPLLKLEEEDNAKPMNYDEKRQLSLDINKLPGDKLGRIVHIIQSREPSLRNSNPDEIEIDFETLKASTLRELEKYVLACLRKRSLKPHAKKVVRSKEELHSEKKLELERRLLDVNNQLNCRKRQTKRPAKVAVSPRPPLPPPPPPPPELASGSRLSDSSSSSSSSGSGSSSSSSSSSGSGSSSSDSSSSDSSDSEPEISPKFTGVKQNDLPSKENTKQIQCSVPDITSAETALVQQSTGPCGAPGKPPQQMPGCQVPHHLQATESTASVQTQPLAGDCKRVLHGPPVVHASAESHTVLELQCHAPVQKDIKIKNADSWKSLGKPVKASSVLKSSDELFNQFRKAAIEKEVKARTQEQIRKHLEHSAKDPKVSQESQREFGSGFTPESSSNKVQGRSHGEEQSEQQQLPSPSETQDISKLWLLKDRNLAREKEQERRRREAMAGTIDMTLQSDIMTMFENNFD", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSGPGPREPPPEAGAAGGEAAVEGAGGGDAALGEPGLSFTTTDLSLVEMTEVEYTQLQHILCSHMEAAADGELETRLNSALLAAAGPGAGAGGFAAGGQGGAAPVYPVLCPSALAADAPCLGHIDFQELRMMLLSEAGAAEKTSGGGDGARARADGAAKEGAGAAAAAAGPDGAPEARAKPAVRVRLEDRFNSIPAEPPPAPRGPEPPEPGGALNNLVTLIRHPSELMNVPLQQQNKCTALVKNKTAATTTALQFTYPLFTTNACSTSGNSNLSQTQSSSNSCSVLEAAKHQDIGLPRAFSFCYQQEIESTKQTLGSRNKVLPEQVWIKVGEAALCKQALKRNRSRMRQLDTNVERRALGEIQNVGEGATATQGAWQSSESSQANLGEQAQSGPQGGRSQRRERHNRMERDRRRRIRICCDELNLLVPFCNAETDKATTLQWTTAFLKYIQERHGDSLKKEFESVFCGKTGRRLKLTRPDSLVTCPAQGSLQSSPSMEIK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTLNRKCVVIHNGSHRTVAGFSNVELPQCIIPSSYIKRTDEGGEAEFIFGTYNMIDAAAEKRNGDEVYTLVDSQGLPYNWDALEMQWRYLYDTQLKVSPEELPLVITMPATNGKPDMAILERYYELAFDKLNVPVFQIVIEPLAIALSMGKSSAFVIDIGASGCNVTPIIDGIVVKNAVVRSKFGGDFLDFQVHERLAPLIKEENDMENMADEQKRSTDVWYEASTWIQQFKSTMLQVSEKDLFELERYYKEQADIYAKQQEQLKQMDQQLQYTALTGSPNNPLVQKKNFLFKPLNKTLTLDLKECYQFAEYLFKPQLISDKFSPEDGLGPLMAKSVKKAGASINSMKANTSTNPNGLGTSHINTNVGDNNSTASSSNISPEQVYSLLLTNVIITGSTSLIEGMEQRIIKELSIRFPQYKLTTFANQVMMDRKIQGWLGALTMANLPSWSLGKWYSKEDYETLKRDRKQSQATNATN", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MARCGEGSAAPMVLLGSAGVCSKGLQRKGPCERRRLKATVSEQLSQDLLRLLREEFHTDVTFSVGCTLFKAHKAVLLARVPDFYFHTIGQTSNSLTNQEPIAVENVEALEFRTFLQIIYSSNRNIKNYEEEILRKKIMEIGISQKQLDISFPKCENSSDCSLQKHEIPEDISDRDDDFISNDNYDLEPASELGEDLLKLYVKPCCPDIDIFVDGKRFKAHRAILSARSSYFAAMLSGCWAESSQEYVTLQGISHVELNVMMHFIYGGTLDIPDKTNVGQILNMADMYGLEGLKEVAIYILRRDYCNFFQKPVPRTLTSILECLIIAHSVGVESLFADCMKWIVKHFARFWSERSFANIPPEIQKSCLNMLIQSLVSIT", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKVLEERNAFLSDYEVLKFLTDLEKKHLWDQKSLAALKKSRSKGKQNRPYNHPELQGITRNVVNYLSINKNFINQEDEGEERESSGAKDAEKSGISKMSDESFAELMTKLNSFKLFKAEKLQIVNQLPANMVHLYSIVEECDARFDEKTIEEMLEIISGYA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGKTKTRGRRHQDKQRKDEFEPSSNSAKEHIQQEESTYNDEAEIKETQPQMFFGVLDREELEYFKQAESTLQLDAFEAPEEKFQFVTSIIEEAKGKELKLVTSQITSKLMERVILECDETQLKDIFQSFNGVFFGLSCHKYASHVLETLFVRSAALVERELLTPSFDNNEKEGPYVTMENMFLFMLNELKPHLKTMMNHQYASHVLRLLILILSSKTLPNSTKANSTLRSKKSKIARKMIDIKDNDDFNKVYQTPESFKSELRDIITTLYKGFTNGAESRSDISQSTITKFREYSVDKVASPVIQLIIQVEGIFDRDRSFWRLVFNTADEKDPKEESFLEYLLSDPVGSHFLENVIGSARLKYVERLYRLYMKDRIVKLAKRDTTGAFVVRALLEHLKEKDVKQILDAVVPELSMLLNSNMDFGTAIINASNKQGGYLRDDVIAQLIQKYYPEKSDAKNILESCLLLSASTLGNTRDDWPTAEERRRSVFLEQLIDYDDKFLNITIDSMLALPEERLIQMCYHGVFSHVVEHVLQTTRVDIIKRKMLLNILSKESVNLACNVYGSHIMDKLWEFTAKLTLYKERIARALVLETEKVKNSIYGRQVWKNWKLELYVRKMWDWKKLIKEQEFEIFPNSKPLQPKPEKHSRERNNSKEGSAFKKQKHYR", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVRLTTELFAERPQFVNSVNMREINLRGQKIPVIENMGVTRDQFDVIDLTDNDIRKLDNFPTFSRLNTLYLHNNRINYIAPDIATKLPNLKTLALTNNNICELGDIEPLAECKKLEYVTFIGNPITHKDNYRMYMIYKLPTVRVIDFNRVRLTEREAAKKMFKGKSGKKARDAIQKSVHTEDPSEIEPNENSSGGGARLTDEDREKIKEAIKNAKSLSEVNYLQSILASGKVPEKGWNRQMDQNGADGEAMES", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVAGMLGLRKEKSEDQDLQGLKEKPLKFKKVKKDKKEDKEGKHEPLQPSAHHSAEPAEAGKAETSESSGSAPAVPEASASPKQRRSIIRDRGPMYDDPTLPEGWTRKLKQRKSGRSAGKYDVYLINPQGKAFRSKVELIAYFEKVGDTSLDPNDFDFTVTGRGSPSRREQKPPKKPKSPKAPGTGRGRGRPKGSGTGRPKAAASEGVQVKRVLEKSPGKLLVKMPFQASPGGKGEGGGATTSAQVMVIKRPGRKRKAEADPQAIPKKRGRKPGSVVAAAAAEAKKKAVKESSIRSVQETVLPIKKRKTRETVSIEVKEVVKPLLVSTLGEKSGKGLKTCKSPGRKSKESSPKGRSSSASSPPKKEHHHHHHHAESPKAPMPLLPPPPPPEPQSSEDPISPPEPQDLSSSICKEEKMPRAGSLESDGCPKEPAKTQPMVAAAATTTTTTTTTVAEKYKHRGEGERKDIVSSSMPRPNREEPVDSRTPVTERVS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTDQVYVTLREDQDKLWNLFHYHKNEMIVTKSGRKMFPKLEYVVRGLTPNKLYAMMLHIEPSDDHRYKFSSGEWVKSGKAEKHREPKKLWHADGVRSGKEWMTNPVCFDRVKITNCAESTNASMIFLHSMHKYTPVMSIYESPSESPFSVPQPSTRLVTSVRLTYTEFIAVTAYQNDAVIKLKIKFNPFAKGFREGSQSDRKRNSPSADDSTTDESSSQVSSPQPKKSRTVSVSPPLMPRILPTMIPPPPAINPLFYSLPYFSHLAATGNFPPVPFQFPFGLPCFSPMSFPTPPPSLKNVKKEEQEDIEQEINVV", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGSQSLNSTFLKVRRLSLISSNERLLGQTSGLATGSRLVTQEVDDLRVIPGSRPDLDDHQPQCSLAELPSTAHGKRKPGHLPRLRSSAVKGHAPDPNPSLSIVSKRIFKGESVIKGPEDRQTFVGPSGLPKISPKATAGEAQGKKRTMELLNKARKQEEKVSNLLDIRQLPKQEVFINNTHPCKKHLKQQPMSLEEWRRGHLGGDNTGLISQEPFRCCKRLGKKAQCQLLEVTSLEAEASLEVLKRRRRMQAMEMSKKPQDRGLGQEKAVFLSREKVKPSSHDMHLSTAERSFKPKSMPKAEDWDLSVQGTPVVLTVRDHSNVSQAQKHLGCAEIFHSRDGRCTLLKRGGA", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASFPHPGSVTVCEINRDLITAQNLSDERAQETYGKVLGMVFSPVSFDSTPSSLQENEGQENGDKASGESKGLVATLQMKVADSLKQILQPTDVTLLSEIDLQGVSWHQGKHIIAFISGANQVTIRDYEDKDEKEPCILTSDSQRNVKALEWRPNGGKSLSIACRGGICIWAASYPGNMALVRSGGSALRGSLSRGSGTRWILVDFLRCQNDEQISALSWSPCGRYLASASYDSSSFTIWDVSQGAGTPIRRGLGGISMLKWSPTGDYFFAARFDGTFCLWETNTWTSEPWSLSSGSGSVTGAIWDPEGRFILISFSKSSTLGSVHFSSKPPSLDAHLLPVELPEIASLTGCEGIEKIAWDASGERLAVSYKGGDENYKGLIAIYDTRRTPIVSASLVGFIRGPGENPKALSFSFHDKFKQGPLLSVCWSTGFCCTYPLIFRSHVLP", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSNSAPARQYLNEKVTPVLLEGMKILARDRPENPLQFLGQFLLDANANQQKQKEIVNQPEPQQETPKADADMSTPTMAEQVQTSFSNPASTPLTQTSSPSSNPGKNSAS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASTVSVQPGRIRILKKGSWQPLDQTVGPVVYWMFRDQRLKDNWALIHAVDLANRTNAPVAVVFNLFDQFLDAKARQLGFMLKGLRQLHHQIDSLQIPFFLLQGDAKETIPNFLTECGASHLVTDFSPLREIRRCKDEVVKRTSDSLAIHEVDAHNVVPMWAASSKLEYSARTIRGKINKLLPDYLIEFPKLEPPKKKWTGMMDKKLVDWDSLIDKVVREGAEVPEIEWCVPGEDAGIEVLMGNKDGFLTKRLKNYSTDRNNPIKPKALSGLSPYLHFGQVSAQRCALEARKVRSTSPQAVDTFLEELIVRRELSDNFCYYQPHYDSLKGAWEWARKSLMDHASDKREHIYSLEQLEKGLTADPLWNASQLEMVYQGKMHGFMRMYWAKKILEWTKGPEEALSISIYLNNKYEIDGRDPSGYVGCMWSICGVHDQGWKERPVFGKIRYMNYAGCKRKFNVDSYISYVKSLVSVTKKKRKAEEQLTRDSVDPKITIV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGEASRQQRAWNREMTVTTNLSLDIDKYPRDLLRGFMSENGGGRVFHGGETNCDDESTIELNLGLSLGGRFGVDKTPRKLKRSSSVLDTVPFNDSTVAEPENYTVGLERTTSLPAEMEEEWRKRKEMQSLRRMEAKRRRCEKQSFRVGNSDDQTVSFENERWVTASKSGFLQRHLVSSNRQVCGVDSDGGGATGGGSSSSLSELDNKNQQGSSNSCNDERSPKIVAGCSSNSGSQGTERPSVTRANKVNENENEKRVRSEDSVDRKGKGMATSTGLVDMPCVFTKGDGPNGRRVDGILYKYGKGEEVRIMCICHGSFLTPAEFVKHGGGGDVDRPLRHIVVNTSSSTF", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MARDTQGTQGTQSQASNIWTQVESQPMEKIVWGRLYGKNIKIKSLGTSSKYRIIYTHSSFSVDLNNDEFTAGRGEANDLILTLNDLPEKILTRISKVHFIIKRANCELTNPVYIQDLSRNGTFVNNEKIGTNRMRILKNDDVISLSHPTYKAFVFKDLSPNESIGLPEEINKTYYVNRKLGSGAYGLVRLVYDTRTCQQFAMKIVKKNMLSGARPSTNFSDPDRVLNEAKIMKNLSHPCVVRMHDIVDKPDSVYMVLEFMRGGDLLNRIISNKLLSEDISKLYFYQMCHAVKYLHDRGITHRDLKPDNVLLETNDEETLLKVSDFGLSKFVQKDSVMRTLCGTPLYVAPEVLITGGREAYTKKVDIWSLGVVLFTCLSGTLPFSDEYGTPAAQQIKKGRFAYGHPSWKSVSQRAKLLINQMLIVDPERRPSIDDVLQSSWLRDAPMLQKAKRLMKLDGMEIEEENFLEPPTKRSRR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTLKFSVERLVDSEKESEEADVEEQNNLKKLEEDEEDDELCEKSGKNEPLSQTLSYFDVLLPHVQMACSNPFISGIGASGSGDQNLNTGAGGSVWQHPWLELLQSTTAAQFGDVTAGLFLQPLRKNKRIRTAFSASQLIQLEKAFEGNHYVVGNERKQLAAKLSLTETQVKVWFQNRRTKHKRVRLEGSDPNAPMSNDEDDEDDKKSVS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGKEKTHINIVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEKEAAEMGKGSFKYAWVLDKLKAERERGITIDISLWKFETTKYYITIIDAPGHRDFIKNMITGTSQADCAVLIVAAGVGEFEAGISKNGQTREHALLAYTLGVKQLIVGVNKMDSTEPAYSEKRYDEIVKEVSAYIKKIGYNPATVPFVPISGWHGDNMLEPSPNMPWFKGWKVERKEGNASGVSLLEALDTILPPTRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGILRPGMVVTFAPVNITTEVKSVEMHHEALSEALPGDNVGFNVKNVSVKDIRRGNVCGDSKSDPPQEAAQFTSQVIILNHPGQISAGYSPVIDCHTAHIACKFAELKEKIDRRSGKKLEDNPKSLKSGDAAIVEMVPGKPMCVESFSQYPPLGRFAVRDMRQTVAVGVIKNVEKKSGGAGKVTKSAQKAQKAGK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAESDVLHFQFEQQGDVVLQKMNLLRQQNLFCDVSIYINDTEFQGHKVILAACSTFMRDQFLLTQSKHVRITILQSAEVGRKLLLSCYTGALEVKRKELLKYLTAASYLQMVHIVEKCTEALSKYLEIDLSMKNNNQHTDLCQSSDPDVKNEDENSDKDCEIIEISEDSPVNIDFHVKEEESNALQSTVESLTSERKEMKSPELSTVDIGFKDNEICILHVESISTAGVENGQFSQPCTSSKASMYFSETQHSLINSTVESRVAEVPGNQDQGLFCENTEGSYGTVSEIQNLEEGYSLRHQCPRCPRGFLHVENYLRHLKMHKLFLCLQCGKTFTQKKNLNRHIRGHMGIRPFQCTVCLKTFTAKSTLQDHLNIHSGDRPYKCHCCDMDFKHKSALKKHLTSVHGRSSGEKLSRPDLKRQSLL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGRTRKANVCRRLSRRALGFYARDAGVVQRTNLGILRALVCQESTKFKNVWTTHSKSPIAYERGRIYFDNYRCCVSSVASEPRKLYEMPKCSKSEKIEDALLWECPVGDILPDPSDYKSSLIALTAHNWLLRISATTGEVLEKIYLASYCKFRYLSWDTPQEVIAVKSAQNKGSAAARQAGTSPPVLLYLAVFRVLPFSLVGILEINKKVFENVTDATLSHGILIVMYSSGLVRLYSFQAIIEQFMQQKLDLGCACSQGGTTGTVGEAPFGIPCNVKITDSPPPLFEVSSLENAFQIGGHPWHYIITPNKKKQKGVFHICALKDNSLAKNGIQEMECCSLESDWIYFHPDASGRIIHVGPNQVKVLKLSEVENDSSQHQISEDFVIWAKREDRKENLITVTASGRVVKRNVSLLDDDPEQETFKIVDYEDELDLLSVVAVTQIDAEGKAHLDFHCNEYGTLLKSIPLVESWDVTYSHEVYFDRDLVLHIEQKPNRVFSCYVYQMVCDPGEEEEAVNRSG", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MYIKQVIIQGFRSYRDQTIVDPFSSKHNVIVGRNGSGKSNFFYAIQFVLSDEFSHLRPEQRLALLHEGTGPRVISAFVEIIFDNSDNRLPIDKEEVSLRRVIGAKKDQYFLDKKMVTKNDVMNLLESAGFSRSNPYYIVKQGKINQMATAPDSQRLKLLREVAGTRVYDERKEESISLMKETEGKREKINELLKYIEERLHTLEEEKEELAQYQKWDKMRRALEYTIYNQELNETRAKLDELSAKRETSGEKSRQLRDAQQDARDKMEDIERQVRELKTKISAMKEEKEQLSAERQEQIKQRTKLELKAKDLQDELAGNSEQRKRLLKERQKLLEKIEEKQKELAETEPKFNSVKEKEERGIARLAQATQERTDLYAKQGRGSQFTSKEERDKWIKKELKSLDQAINDKKRQIAAIHKDLEDTEANKEKNLEQYNKLDQDLNEVKARVEELDRKYYEVKNKKDELQSERNYLWREENAEQQALAAKREDLEKKQQLLRAATGKAILNGIDSINKVLEHFRRKGINQHVQNGYHGIVMNNFECEPAFYTCVEVTAGNRLFYHIVDSDEVSTKILMEFNKMNLPGEVTFLPLNKLDVRDTAYPETNDAIPMISKLRYNPRFDKAFKHVFGKTLICRSMEVSTQLARAFTMDCITLEGDQVSHRGALTGGYYDTRKSRLELQKDVRKAEEELGELEAKLNENLRRNIERINNEIDQLMNQMQQIETQQRKFKASRDSILSEMKMLKEKRQQSEKTFMPKQRSLQSLEASLHAMESTRESLKAELGTDLLSQLSLEDQKRVDALNDEIRQLQQENRQLLNERIKLEGIITRVETYLNENLRKRLDQVEQELNELRETEGGTVLTATTSELEAINKRVKDTMARSEDLDNSIDKTEAGIKELQKSMERWKNMEKEHMDAINHDTKELEKMTNRQGMLLKKKEECMKKIRELGSLPQEAFEKYQTLSLKQLFRKLEQCNTELKKYSHVNKKALDQFVNFSEQKEKLIKRQEELDRGYKSIMELMNVLELRKYEAIQLTFKQVSKNFSEVFQKLVPGGKATLVMKKGDVEGSQSQDEGEGSGESERGSGSQSSVPSVDQFTGVGIRVSFTGKQGEMREMQQLSGGQKSLVALALIFAIQKCDPAPFYLFDEIDQALDAQHRKAVSDMIMELAVHAQFITTTFRPELLESADKFYGVKFRNKVSHIDVITAEMAKDFVEDDTTHG", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MENSDSNDKGSGDQSAAQRRSQMDRLDREEAFYQFVNNLSEEDYRLMRDNNLLGTPGESTEEELLRRLQQIKEGPPPQNSDENRGGDSSDDVSNGDSIIDWLNSVRQTGNTTRSGQRGNQSWRAVSRTNPNSGDFRFSLEINVNRNNGSQNSENENEPSARRSSGENVENNSQRQVENPRSESTSARPSRSERNSTEALTEVPPTRGQRRARSRSPDHRRTRARAERSRSPLHPMSEIPRRSHHSISSQTFEHPLVNETEGSSRTRHHVTLRQQISGPELLSRGLFAASGTRNASQGAGSSDTAASGESTGSGQRPPTIVLDLQVRRVRPGEYRQRDSIASRTRSRSQTPNNTVTYESERGGFRRTFSRSERAGVRTYVSTIRIPIRRILNTGLSETTSVAIQTMLRQIMTGFGELSYFMYSDSDSEPTGSVSNRNMERAESRSGRGGSGGGSSSGSSSSSSSSSSSSSSSSSSSSPSSSSGGESSETSSDLFEGSNEGSSSSGSSGARREGRHRAPVTFDESGSLPFLSLAQFFLLNEDDDDQPRGLTKEQIDNLAMRSFGENDALKTCSVCITEYTEGNKLRKLPCSHEYHVHCIDRWLSENSTCPICRRAVLASGNRESVV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMPGPRPRKGPQARGQGVAAAKQMGLFMEFGPEDMLLGMDEAEDDEDLEAELLALTGEAQTTGKKPAPKGQAPLPMAHIEKLAADCMRDVEEEEEEEGLEEDAELLTELQEVLGVDEETEPLDGDEVADPGGSEEENGLEDTEPPVQTAVLTASAPAAQAGASQGLHALLEERIHNYREAAASAKEAGEAAKARRCERGLKTLESQLASVRRGRKINEDEIPPPVALGKRPLAPQEPANRSPETDPPAPPALESDNPSQPETSLPGISAQPVSDLDPDPRALLSSRQREYKVAALSAKRAGELDRARELMRIGKRFGAVLEALEKGQPVDLSAMPPAPEDLKPQQASQAPTAPSVIPPAVERVQPVMAPDVPATPVAPTESQTVLDALQQRLNKYREAGIQARSGGDERKARMHERIAKQYQDAIRAHRAGRKVNFAELPVPPGFPPIPGLESTMGVEEDAVAATLAAAEKLASAEDSAPADKDEDEPPGHLQGEPPAQAPVAKKPARPTVPSSQRLPEPRASSSKESPSPSVREQLALLEARKLQYQRAALQAKRSQDLEQAKAYLRVAKWLEAQIIQARSGRPVDLSKVPSPLTDEEGDFILIHHEDLRLSQKAEEVYAQLQKMLLEQQEKCLLFSKQFMHQGNVAETTRFEKLAQDRKKQLEILQLAQAQGLDPPTHHFELKTFQTVRIFSELNSTEMHLIIVRGMNLPAPPGVTPDDLDAFVRFEFHYPNSDQAQKSKTAVVKNTNSPEFDQLFKLNINRNHRGFKRVIQSKGIKFEIFHKGSFFRSDKLVGTAHLKLERLENECEIREIVEVLDGRKPTGGKLEVKVRLREPLSGQDVQMVTENWLVLEPRGL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDFPGLGALGTSEPLPQFVDSALVSSPSDSTGFFSSGPEGLDAASSSTSPNAATAAASALAYYREAEAYRHSPVFQVYPLLNSMEGIPGGSPYASWAYGKTALYPASTVCPSHEDAPSQALEDQEGKSNNTFLDTLKTERLSPDLLTLGTALPASLPVTGSAYGGADFPSPFFSPTGSPLSSAAYSSPKFHGSLPLAPCEARECVNCGATATPLWRRDRTGHYLCNACGLYHKMNGQNRPLIRPKKRMIVSKRAGTQCTNCQTTTTTLWRRNASGDPVCNACGLYFKLHQVNRPLTMRKDGIQTRNRKASGKGKKKRGSNLAGAGAAEGPAGGFMVVAGSSSSGNCGEVASGLALGTAGTAHLYQGLGPVVLSGPVSHLMPFPGPLLGSPTTSFPTGPAPTTSSTSVIAPLSS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVAISEVKENPGVNSSNSGAVTRTAAHTHIKGLGLDESGVAKRVEGGFVGQIEAREACGVIVDLIKAKKMSGRAILLAGGPSTGKTALALAISQELGPKVPFCPLVGSELYSVEVKKTETLMENFRRAIGLRIKETKEVYEGEVTELTPEDAENPLGGYGKTISHVIVGLKSAKGTKTLRLDPTIYESIQREKVSIGDVIYIEANTGAVKRVGRSDAYATEFDLETEEYVPLPKGEVHKKKEIVQDVTLHDLDVANARPQGGQDVISMMGQLLKPKKTEITEKLRQEVNKVVAKYIDQGVAELIPGVLFIDEVNMLDIEIFTYLNKALESNIAPVVVLASNRGMTTVRGTEDVISPHGVPPDLIDRLLIVRTLPYDKDEIRTIIERRATVERLQVESSALDLLATMGTETSLRYALQLLAPCGILAQTSNRKEIVVNDVNEAKLLFLDAKRSTKILETSANYL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MADKTPGGSQKASSKTRSSDVHSSGSSDAHMDASGPSDSDMPSRTRPKSPRKHNYRNESARESLCDSPHQNLSRPLLENKLKAFSIGKMSTAKRTLSKKEQEELKKKEDEKAAAEIYEEFLAAFEGSDGNKVKTFVRGGVVNAAKEEHETDEKRGKIYKPSSRFADQKNPPNQSSNERPPSLLVIETKKPPLKKGEKEKKKSNLELFKEELKQIQEERDERHKTKGRLSRFEPPQSDSDGQRRSMDAPSRRNRSSGVLDDYAPGSHDVGDPSTTNLYLGNINPQMNEEMLCQEFGRFGPLASVKIMWPRTDEERARERNCGFVAFMNRRDAERALKNLNGKMIMSFEMKLGWGKAVPIPPHPIYIPPSMMEHTLPPPPSGLPFNAQPRERLKNPNAPMLPPPKNKEDFEKTLSQAIVKVVIPTERNLLALIHRMIEFVVREGPMFEAMIMNREINNPMFRFLFENQTPAHVYYRWKLYSILQGDSPTKWRTEDFRMFKNGSFWRPPPLNPYLHGMSEEQETEAFVEEPSKKGALKEEQRDKLEEILRGLTPRKNDIGDAMVFCLNNAEAAEEIVDCITESLSILKTPLPKKIARLYLVSDVLYNSSAKVANASYYRKFFETKLCQIFSDLNATYRTIQGHLQSENFKQRVMTCFRAWEDWAIYPEPFLIKLQNIFLGLVNIIEEKETEDVPDDLDGAPIEEELDGAPLEDVDGIPIDATPIDDLDGVPIKSLDDDLDGVPLDATEDSKKNEPIFKVAPSKWEAVDESELEAQAVTTSKWELFDQHEESEEEENQNQEEESEDEEDTQSSKSEEHHLYSNPIKEEMTESKFSKYSEMSEEKRAKLREIELKVMKFQDELESGKRPKKPGQSFQEQVEHYRDKLLQREKEKELERERERDKKDKEKLESRSKDKKEKDECTPTRKERKRRHSTSPSPSRSSSGRRVKSPSPKSERSERSERSHKESSRSRSSHKDSPRDVSKKAKRSPSGSRTPKRSRRSRSRSPKKSGKKSRSQSRSPHRSHKKSKKNKH", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRPLTMSGHFLLAPIPESSSDYLLPKDIKLAVLGAGCVGKSAMIVRFLTKRFIGDYEPNTGKLYSRLVYVEGDQLSLQIQDTPGGIQAQDSLSQMVDSLTKSVHWAEGFLLVYSITDYESYQSIRPLYQHIRKVHPDGKAPIFIVGNKGDLLHARQVQTHEGLQLANELGSLFLEISTSENYEDVCDVFQHLCKEVIKVHRLGGERRRASVIPRPRSPNMQDLKRRFRQALSSKAKASSALG", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MYSEIQRERADIGGLMARPEYREWNPELIKPKKLLNPVKASRSHQELHRELLMNHRRGLGVDSKPELQRVLEHRRRNQLIKKKKEELEAKRLQCPFEQELLRRQQRLNQLEKPPEKEEDHAPEFIKVRENLRRIATLTSEEREL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGDLTEELSIPDNAQDLSKLLRSTSTKPHQIAEIVSKFDKLETYFPKKEIFVLDLLIDRLNNGNLDDFKTSEHTWIIFTRLLDAINDPISIKKLLKKLKTVPVMIRTFFLWPKDKLLTRSVSFIKAFFAINDYLIVNFSVEESFQLLEHAINGLSSCPTTDFALSYLQDACNLTHVDNITTTDNKIATCYCKHMLLPSLRYFAQTKNSASSNQSFIRLSHFMGKFLLQPRIDYMKLNKKFVQENASEITDDMAYYYFATFVTFLSKDNFAQLEVIFTILGAKKPSLECRFLNLLSESKKTVSQEFLEALLLEMLASTDESGVLSLIPIILKLDIEVAIKHIFRLLELIQLENLNDPLFSSHIWDLIIQSHANARELSDFFAKINEYCSRKGPDSYFLINHPAYVKSITKQLFTLSSLQWKNLLQALLDQVNHDSTNRVPLYLIRICLEGLSEGASRATLDEVKPILSQVFTLESFNNSLQWDLKYHIMEVYDDIVPAEELEKIDYVLSSNIFDTTSADVEELFFYCFKLREYISFDLSDAKKKFMRHFEILDEERKSNLSYSVVSKFATLVNNNFTREQISSLIDSLLLNSTNLSSLLKNDDIFEETNITYALINKLASSYHQTFALEALIQIPIQCINKNVRVALINNLTCESFCLDSATRECLLHLLSSPTFKSNIETNFYELCEKTIMSPEMAISETGDEKKEIEDKISIFEKVWTNHLSQAKEPVSEKFLESGYDIVKQSMSLSNGDSKLIIAGFTIAKFLKPDNKHRDIQGMAISYAVKILENYSENFESETIPLFRISMSTLYKIITTGQGDISKHKSRILDIFSKIMLRYHSKKVYHAPEEQEMFLVHSLLTENKLEYIFAEYLNIEHTDKCDSALGFCLEESLKQGPDAFNRLLWNSAKSFSTISQPCAEKFVRVFIIMSKRIARDNNLGHHLFVIALLEAYTYCDIEKFGYKSYLLLFNAIKEFLVSKPWLFSQYCIEMLLPFCLKTLAFIVNHESTDEINEGFINIIEVIDHMLLVHRFKFSNRHHLFNSVLCQILEIIAIHDGTLCANSADAVARLITNYCEPYNVSNAQNGQKNNLSSKISLIKQSIRKNVLVVLTKYIQLSITTQFSLNIKKSLQPGIHAIFDILSQNELNQLNAFLDTPGKQYFKALYLQYKKVGKWRED", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKTEGIKSPSAKYHDMAGSQRIPHKNPHIQKVAVLQSKPNKEDALNLIKEIAHKVSYLMKENHFKVTNLVEFYPRDQRLLGMNVNHGSKIMLRLRCSTDEFQFLPMECIMGTMLHELTHNLFGPHDKKFYNKLDELIGRQWVIEQRGLYDTFLGNGQRLGGRANLRSNRYPMTGISTNTGIVRKRGKGVKLGSLHPEGISSIDRGNSPRELAAFAAERRYRDDRWCGETKNNKDQIISDNISSSLEVVILDDDDEVLPGDTLIEVIDLT", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASQEFEVEAIVDKRQDKNGNTQYLVRWKGYDKQDDTWEPEQHLMNCEKCVHDFNRRQTEKQKKLTWTTTSRIFSNNARRRTSRSTKANYSKNSPKTPVTDKHHRSKNRKLFAASKNVRRKAASILSDTKNMEIINSTIETLAPDSPFDHKTVSGFQKLEKLDPIAADQQDTVVFKVTEGKLLRDPLSRPGAEQTGIQNKTQIHPLMSQMSGSVTASMATGSATRKGIVVLIDPLAANGTTDMHTSVPRVKGGQRNITDDSRDQPFIKKMHFTIRLTESASTYRDIVVKKEDGFTQIVLSTRSTEKNALNTEVIKEIVNALNSAAADDSKLVLFSAAGSVFCCGLDFGYFVKHLRNNRNTASLEMVDTIKNFVNTFIQFKKPIVVSVNGPAIGLGASILPLCDLVWANEKAWFQTPYTTFGQSPDGCSSITFPKMMGKASANEMLIAGRKLTAREACAKGLVSQVFLTGTFTQEVMIQIKELASYNPIVLEECKALVRCNIKLELEQANERECEVLRKIWSSAQGIESMLKYVENKIDEF", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATGQDRVVALVDMDCFFVQVEQRQNPHLRNKPCAVVQYKSWKGGGIIAVSYEARAFGVTRSMWADDAKKLCPDLLLAQVRESRGKANLTKYREASVEVMEIMSRFAVIERASIDEAYVDLTSAVQERLQKLQGQPISADLLPSTYIEGLPQGPTTAEETVQKEGMRKQGLFQWLDSLQIDNLTSPDLQLTVGAVIVEEMRAAIERETGFQCSAGISHNKVLAKLACGLNKPNRQTLVSHGSVPQLFSQMPIRKIRSLGGKLGASVIEILGIEYMGELTQFTESQLQSHFGEKNGSWLYAMCRGIEHDPVKPRQLPKTIGCSKNFPGKTALATREQVQWWLLQLAQELEERLTKDRNDNDRVATQLVVSIRVQGDKRLSSLRRCCALTRYDAHKMSHDAFTVIKNCNTSGIQTEWSPPLTMLFLCATKFSASAPSSSTDITSFLSSDPSSLPKVPVTSSEAKTQGSGPAVTATKKATTSLESFFQKAAERQKVKEASLSSLTAPTQAPMSNSPSKPSLPFQTSQSTGTEPFFKQKSLLLKQKQLNNSSVSSPQQNPWSNCKALPNSLPTEYPGCVPVCEGVSKLEESSKATPAEMDLAHNSQSMHASSASKSVLEVTQKATPNPSLLAAEDQVPCEKCGSLVPVWDMPEHMDYHFALELQKSFLQPHSSNPQVVSAVSHQGKRNPKSPLACTNKRPRPEGMQTLESFFKPLTH", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEPPQHQHHHHQADQESGNNNNNKSGSGGYTCRQTSTRWTPTTEQIKILKELYYNNAIRSPTADQIQKITARLRQFGKIEGKNVFYWFQNHKARERQKKRFNGTNMTTPSSSPNSVMMAANDHYHPLLHHHHGVPMQRPANSVNVKLNQDHHLYHHNKPYPSFNNGNLNHASSGTECGVVNASNGYMSSHVYGSMEQDCSMNYNNVGGGWANMDHHYSSAPYNFFDRAKPLFGLEGHQEEEECGGDAYLEHRRTLPLFPMHGEDHINGGSGAIWKYGQSEVRPCASLELRLN", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSSSSNTSSHRSYGLRRSQRSMNLNRATLLAPPTPSSLYDANNSTSSTSSQKPNTSFTSLFGPRKQTTSSPSFSHAAPLHPLSPPSFTHSQPQIQAQPVPRRPSLFDRPNLVSRSSSRLGDSPSLSPVAQVANPIHHTAPSPSDVRAFPIHKNASTGVKRSFFSSSMSNGAMSPPSHSPSPFLQSSQHIPPSTPAQKLRKKNNFDSFRISNSHISPFASGSFSPFATSSPNFLSTSTPAPPNSNNANPSTLFSSIPSSRHTTSNHFPSNSAQSSLFSPTARPLTARKLGFASSQTKSAVSNNHSRNSSKDASFMMKSFIPSNRSHPQTQQNESSLFSDNSMVNSSSNSFSLFPNATLPNPPSSELLTTPFQQIKPPSQVFMSTGLLSKQHRPRKNINFTPLPPSTPSKPSTFVRPHSSSTDSPPSPSTPSNTQTDSYFIQRENTPTNHNSIPTIQLEKSSMDFLRFDPPPSAVKTSHNYGLPFLSNQRCPATPTRNPFAFENTVSIHMDGRQPSPIKSRNNNQMSFAMEEEADVSQPSSSSFTLSFPSALTSSKVSSSTSHLLTRFRNVTLLGSGEFSEVFQVEDPVEKTLKYAVKKLKVKFSGPKERNRLLQEVSIQRALKGHDHIVELMDSWEHGGFLYMQVELCENGSLDRFLEEQGQLSRLDEFRVWKILVEVALGLQFIHHKNYVHLDLKPANVMITFEGTLKIGDFGMASVWPVPRGMEREGDCEYIAPEVLANHLYDKPADIFSLGITVFEAAANIVLPDNGQSWQKLRSGDLSDAPRLSSTDNGSSLTSSSRETPANSIIGQGGLDRVVEWMLSPEPRNRPTIDQILATDEVCWVEMRRKAGAIIYEGIHGSSSNPQGDQMMEDWQVNV", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEDYPDPQSANHMNSSLLSLYRKGNPDSVSNTPEMEQRETTSSTPRISSKTGSIPLKTPAKDSEGGWFIDKTPSVKKDSFFLDLSCEKSNPKKPITEIQDSKDSSLLESDMKRKGKLKNKGSKRKKEDLQEVDGEIEAVLQKKAKARGLEFQISNVKFEDVGGNDMTLKEVCKMLIHMRHPEVYHHLGVVPPRGVLLHGPPGCGKTLLAHAIAGELDLPILKVAAPEIVSGVSGESEQKLRELFEQAVSNAPCIIFIDEIDAITPKREVASKDMERRIVAQLLTCMDDLNNVAATARVLVIGATNRPDSLDPALRRAGRFDREICLGIPDEASRERILQTLCRKLRLPQAFDFCHLAHLTPGFVGADLMALCREAAMCAVNRVLMKLQEQQKKNPEMEDLPSKGVQEERLGTEPTSETQDELQRLLGLLRDQDPLSEEQMQGLCIELNDFIVALSSVQPSAKREGFVTVPNVTWADIGALEDIREELTMAILAPVRNPDQFKALGLVTPAGVLLAGPPGCGKTLLAKAVANESGLNFISVKGPELLNMYVGESERAVRQVFQRAKNSAPCVIFFDEVDALCPRRSDRETGASVRVVNQLLTEMDGLEARQQVFIMAATNRPDIIDPAILRPGRLDKTLFVGLPPPADRLAILKTITKNGTKPPLDADVNLEAIAGDLRCDCYTGADLSALVREASICALRQEMARQKSGNEKGELKVSHKHFEEAFKKVRSSISKKDQIMYERLQESLSR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAMGHLGDPYALRSVADLPPPFRSVFGFRYFNSLQSECFPACFLSDVNMVISAPTGSGKTVLFELCILRLLSRFLSSEWRFNLIKGTLKTIYIAPMKALVQEKLRDWNMKLGSLGISCLEMTGDNEFYNTKSIHDADLILTTPEKFDSVSRHGIRDGGLGFFSDIALVLIDEVHLLNDPRGAALEAIVSRIKMLSRLGTMKIAPLANVRFIAVSATIPNIEDIAEWLAVPSEGIKRFGEEMRPVKLTTKVFGYAPARNDFLFERRLQSFIFDILMQHSRGKSALVFCSTRKGAQEAAQCLSQTASSLGYSNPFMKSMQQYEHLKEAALTCSDKQLQACLVHGVGYHNGGLCLKDRSVVEGLFLKGDIQILCTTNTLAHGINLPAHTVVIKSTQFFNKEKGLYVEYERSMVLQMCGRAGRPPFDDTGTIIIMTRRETVHLYENLLNGCEMVESQLLPCAVEHLNAEIVQLTVSDITLAIEWLKCSYLYIRIKKNPQHYGIKKEIPRELLEKQMKDICVEKIHELGEYGLIWTDEDGFLLKPLEPGRLMTKFYLKFDTMKLIVKASACCTLEDLLHIICHSAEITWIQLRRNEKKLLNEINADKEGRLWFHVVGANGKRKKRIQTREEKIFILANDCLTGDPLVHDLSLNQEMNSICSNGCRVAKCMREYFIYKKNYKSAISSMLLAKCLHQKLWESSPFLLKQLPGIGIVTAKALKTAGIDSFESLATADARKIESVTGRNYPFGDSIKSYLPSLGPKIDINIEDAGNRQGKSTIIVTLTRLSQAVGSSKQNYADMVVGSEEDNAILFHEKIKTQEFSSPYSVKLYVPCPPNARATLKVDVIFEEYVGLDIHKKHVVSREDFHVTKVFGIKKAEPLYNLPAESCLVSSKTTRTNQSKYHNGQNPLSKEVCVIEDDFRAKAPDKDDNDLEILGTREYNNLASLEAPSFTLLHEEDYEDVPDVLASEPVEAECKSATNNTIFDHIRKKSRDFPNLMLSKSMDSSYEPLILKKMKTSGDQFGLDQSSLHAYEVTPMVFDRTEARVSPNNTDERCRNILTRTAETRSFQFTGKMDSISQKSEILNRTQGKNSTQLAGKKAFEKSKTPDENSLHFVGKRDSSSEKSKALSKTPDENSLQFLGKMDSSSEKSKFCFSSPLADFQPMQCTKQVAASVQPLTIQDYCKDILASAKSSGTGASFLDVKSVFSFL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSLKPFTYPFPETRFLHAGPNVYKFKIRYGNSIRGEEIEDKEVIVQELEDSIRAVLANMDSLQPFVTEHFIVFPYKSKWERVSHLKFKHGESILTPYPFVFTLYIEMKWFAEDLPSGKPADDIPLELVLAETEAEEATMRKWKRKLMEEPSSPSRQGPHRAKMETSSEASSNKKPLKESKRSTDEEAQQEYQDTPASNAIAVKEQDAALGHGLQGLVVPPLQHSSPPPPKEPGARGFLGFLSALFPFRYFFKKSGQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNQNTTEPVAATETLAEVPEHVLRGLPEEVRLFPSAVDKTRIGVWATKPILKGKKFGPFVGDKKKRSQVKNNVYMWEVYYPNLGWMCIDATDPEKGNWLRYVNWACSGEEQNLFPLEINRAIYYKTLKPIAPGEELLVWYNGEDNPEIAAAIEEERASARSKRSSPKSRKGKKKSQENKNKGNKIQDIQLKTSEPDFTSANMRDSAEGPKEDEEKPSASALEQPATLQEVASQEVPPELATPAPAWEPQPEPDERLEAAACEVNDLGEEEEEEEEEDEEEEEDDDDDELEDEGEEEASMPNENSVKEPEIRCDEKPEDLLEEPKTTSEETLEDCSEVTPAMQIPRTKEEANGDVFETFMFPCQHCERKFTTKQGLERHMHIHISTVNHAFKCKYCGKAFGTQINRRRHERRHEAGLKRKPSQTLQPSEDLADGKASGENVASKDDSSPPSLGPDCLIMNSEKASQDTINSSVVEENGEVKELHPCKYCKKVFGTHTNMRRHQRRVHERHLIPKGVRRKGGLEEPQPPAEQAQATQNVYVPSTEPEEEGEADDVYIMDISSNISENLNYYIDGKIQTNNNTSNCDVIEMESASADLYGINCLLTPVTVEITQNIKTTQVPVTEDLPKEPLGSTNSEAKKRRTASPPALPKIKAETDSDPMVPSCSLSLPLSISTTEAVSFHKEKSVYLSSKLKQLLQTQDKLTPAGISATEIAKLGPVCVSAPASMLPVTSSRFKRRTSSPPSSPQHSPALRDFGKPSDGKAAWTDAGLTSKKSKLESHSDSPAWSLSGRDERETVSPPCFDEYKMSKEWTASSAFSSVCNQQPLDLSSGVKQKAEGTGKTPVQWESVLDLSVHKKHCSDSEGKEFKESHSVQPTCSAVKKRKPTTCMLQKVLLNEYNGIDLPVENPADGTRSPSPCKSLEAQPDPDLGPGSGFPAPTVESTPDVCPSSPALQTPSLSSGQLPPLLIPTDPSSPPPCPPVLTVATPPPPLLPTVPLPAPSSSASPHPCPSPLSNATAQSPLPILSPTVSPSPSPIPPVEPLMSAASPGPPTLSSSSSSSSSSSSFSSSSSSSSPSPPPLSAISSVVSSGDNLEASLPMISFKQEELENEGLKPREEPQSAAEQDVVVQETFNKNFVCNVCESPFLSIKDLTKHLSIHAEEWPFKCEFCVQLFKDKTDLSEHRFLLHGVGNIFVCSVCKKEFAFLCNLQQHQRDLHPDKVCTHHEFESGTLRPQNFTDPSKAHVEHMQSLPEDPLETSKEEEELNDSSEELYTTIKIMASGIKTKDPDVRLGLNQHYPSFKPPPFQYHHRNPMGIGVTATNFTTHNIPQTFTTAIRCTKCGKGVDNMPELHKHILACASASDKKRYTPKKNPVPLKQTVQPKNGVVVLDNSGKNAFRRMGQPKRLNFSVELSKMSSNKLKLNALKKKNQLVQKAILQKNKSAKQKADLKNACESSSHICPYCNREFTYIGSLNKHAAFSCPKKPLSPPKKKVSHSSKKGGHSSPASSDKNSNSNHRRRTADAEIKMQSMQTPLGKTRARSSGPTQVPLPSSSFRSKQNVKFAASVKSKKPSSSSLRNSSPIRMAKITHVEGKKPKAVAKNHSAQLSSKTSRSLHVRVQKSKAVLQSKSTLASKKRTDRFNIKSRERSGGPVTRSLQLAAAADLSENKREDGSAKQELKDFSYSLRLASRCSPPAAPYITRQYRKVKAPAAAQFQGPFFKE", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNFYRDATWVLEDIEKEAAKERISGSMQTLVLKSCKRYKLKSNPKHIYAVLDSCWKYKPYLEKVMKKAHILEDIPKKKGKPLFSRLTLLLLCHDLLLSKQKRIQMGKHPIKDYVLKFKSPLHSEMVKLKLKLKVRELSELVLSEDISNDLPPVRWIRINPLKCHPNGETEPVLAELRKKFTLKVDKWSELVPGSIYYDEFIPNLFGIHPSDKITAHELYKHGKIIIQDRASCFPAHILNPGPSDIVIDSCSAPGNKTTHTASYIYPEPPKDNNTRIYAFEKDPERAKVLQKMIKIAGCSPNISVNVGDFTKLATPEKYKDVTCFIVDPSCSGSGIFGRKFFDSFNRRKIDDKDDDGGIVPDEQEEFIAKEELQTRLAKLSSFQFQMVKHAMSFPAAKKIVYSTCSIHAEENERVVIDLLLDKSVREWGWKVAPKREVIPSWPRRGKVEEFEEVFRDGVTYDPQQLAEGCIRALPKSDGGIGFFAVCFERD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKRPKLKKASKRMTCHKRYKIQKKVREHHRKLRKEAKKRGHKKPRKDPGVPNSAPFKEALLREAELRKQRLEELKQQQKLDRQKELEKKRKLETNPDIKPSNVEPMEKEFGLCKTENKAKSGKQNSKKLYCQELKKVIEASDVVLEVLDARDPLGCRCPQVEEAIVQSGQKKLVLILNKSDLVPKENLESWLNYLKKELPTVVFRASTKPKDKGKITKRVKAKKNAAPFRSEVCFGKEGLWKLLGGFQETCSKAIRVGVIGFPNVGKSSIINSLKQEQMCNVGVSMGLTRSMQVVPLDKQITIIDSPSFIVSPLNSSSALALRSPASIEVVKPMEAASAILSQADARQVVLKYTVPGYRNSLEFFTVLAQRRGMHQKGGIPNVEGAAKLLWSEWTGASLAYYCHPPTSWTPPPYFNESIVVDMKSGFNLEELEKNNAQSIRAIKGPHLANSILFQSSGLTNGIIEEKDIHEELPKRKERKQEEREDDKDSDQETVDEEVDENSSGMFAAEETGEALSEETTAGEQSTRSFILDKIIEEDDAYDFSTDYV", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFARGLKRKCVGHEEDVEGALAGLKTVSSYSLQRQSLLDMSLVKLQLCHMLVEPNLCRSVLIANTVRQIQEEMTQDGTWRTVAPQAAERAPLDRLVSTEILCRAAWGQEGAHPAPGLGDGHTQGPVSDLCPVTSAQAPRHLQSSAWEMDGPRENRGSFHKSLDQIFETLETKNPSCMEELFSDVDSPYYDLDTVLTGMMGGARPGPCEGLEGLAPATPGPSSSCKSDLGELDHVVEILVET", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MATAEVGGGGGEGDAAAAAVARAGGGGGGGGGGGEDALFTELWSACAGPLVTVPRVGEKVFYFPQGHIEQVEASTNQVGEQRMQLYNLPWKILCEVMNVELKAEPDTDEVYAQLTLLPESKQQEDNGSTEEEVPSAPAAGHVRPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPYDRYMESLKQNYSIGMRFKMRFEGEEAPEQRFTGTIVGMGDSDPAGWPESKWRSLKVRWDEASSIPRPERVSPWQIEPAVSPPPVNPLPVPRTKRLRPNATALPADSSAIAKEAATKVVVESEPNGTQRTFQTQENATPKSGFGNSSELESAQKSIMRPSGFDREKNNTPIQWKLGSDGRMQMSKPESYSEMLSGFQPPKDVQIPQGFCSLPEQITAGHSNFWHTVNAQYQDQQSNHNMFPSSWSFMPPNTRLGLNKQNYSMIQEAGVLSQRPGNTKFGNGVYAALPGRGTEQYSGGWFGHMMPNSHMDDTQPRLIKPKPLVVAHGDVQKAKGASCKLFGIHLDSPAKSEPLKSPSSVVYDGTPQTPGATEWRRPDVTEVEKCSDPSKAMKPLDTPQPDSVPEKPSSQQASRNMSCKSQGVSTRSCKKVHKQGIALGRSVDLTKFNGYEELIAELDDMFDFNGELKGPKKEWMVVYTDNEGDMMLVGDDPWIEFCDMVHKIFIYTREEVQRMNPGTLNSRSEDSHANSMERGSVGREMRGCLSTSSLNSENC", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDLSATPSRSKSGLRSSPRKPVAAPAVAQMDLSTPSKPTPRRKPKAPPVAAPMSPVTPSSVRRSSRLLETPTKVTSETPVKPTPTPKRKRAAPSPSPKTPTQSEPKRQRQRQRQRQQPKKPKKRAYYRKVVYDGGEFAAGDDVYVKRRDGAESDAEDPEAEECRVCFRAGAAVMVECDVCLGGFHLRCVRPPLRRVPEGDWACPYCEAERAGKAIERPKPPEGKRIVRTAKEKLLSSDLWAARIESLWREPDGIFWAKVRWYIIPEETAAGRQPHNLRRELYRTNDLADIEMETILRHCYVMSPKEFKDASDQGDDVFYCEYEYDIHWHNFKRLADIDDEPETKEDPGDEPYNAGNDYVSDSDEDSEYDEEEEPTKCSSARTHQSHALAANLRKGRTYGLQKIGIRKIPEHVRCHQKTNLEKAKATLLLATLPKSLPCRDKEMEEISAFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSELDSGNLRPYSFIEINGLKLASPENIYKVIYEQLSGHRVGWKKALHYLTEHFSGGTKIGKQANQPIILLIDELDLLLTRNQSVLYNILDWPTRPNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYRQLQEIITSRLKGIDAFEDQAIEFASRKVAAMSGDARRALEICRRAAEFADYRVKQSGHTSVNRGKNVVCMGDIEAAIQEVFQAPHIQVMKNCPKFGKIILVAMVHELYRSGLGEVMFDKLAATVLSWCHVNRELLPGYDTLLKICCKLGEGKIILCEEGTKHKLQKLQLNYPSDDVTFALKESPDIPWLSKYL", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSSLMEFQDRNTTNNETEHQKSITDQSSSVPAGVILPPPAIREIIDKSASYVARNGPAFEEKIRQNEQANTKFAFLHANDPYHPYYQHKLTEAREGKLKSHATGLSTQKTSTLARPIQKPIEATIPAPSPYLFSEPLPSISSLDLDVLRLTARYAAVRGSSFLVSLSQKEWNNTQFDFLKPNNALYPYFMRIVQQYTSLIREPISSPEQELRENVRDPYSLLSKIQPRVRWQSHMESQKKKQKEEAEKEKLEYAQIDWNDFVVVEVIQFTKSDEHAKLAKPTNLADLQTATLEQKSAMFTMPDQNYTIEEAPPTAEPWEPISAPKKQEFGVSLPPSLASPEKGGISSTTSVSPAAQASPVLSTTTQPKVQKPVPKAFQPKVPMEISPFSGELVPATELEEHMRLKLLDPRWQEQRKVEESRKSTLNLENVNVAANMKRLVSQRTDLFDVQNGVEISQEEIERRKRAATQSAWGATPTNKRR", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSLPVPYTLPVSLPVGSCVIITGTPILTFVKDPQLEVNFYTGMDEDSDIAFQFRLHFGHPAIMNSCVFGIWRYEEKCYYLPFEDGKPFELCIYVRHKEYKVMVNGQRIYNFAHRFPPASVKMLQVFRDISLTRVLISD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDAELAEVRALQAEIAALRRACEDPPAPWEEKSRVQKSFQAIHQFNLEGWKSSKDLKNQLGHLESELSFLSTLTGINIRNHSKQTEDLTSTEMTEKSIRKVLQRHRLSGNCHMVTFQLEFQILEIQNKERLSSAVTDLNIIMEPTECSELSEFVSRAEERKDLFMFFRSLHFFVEWFEYRKRTFKHLKEKYPDAVYLSEGPSSCSMGIRSASRPGFELVIVWRIQIDEDGKVFPKLDLLTKVPQRALELDKNRAIETAPLSFRTLVGLLGIEAALESLIKSLCAEENN", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVVGTKKYSNLDFVPTISDSEDDVPILDSSDDEKVEAKKTTKKRKGKNNKKKVSEGDNLDEDVHEDLDAGFKFDLDADDTTSNFQGWNFLAEGESNKDDAEAFVKKDVDLDKIIRRKGGLVKMAHIDSKQEEETEKEKVEKENDSDDEELAMDGFGMGAPMNNGDENQSEEEEEEEEKEEEEEEEEEQEEMTLEKGGKDDEIDEEDDSEEAKADFYAPETEGDEAKKQMYENFNSLSLSRPVLKGLASLGYVKPSPIQSATIPIALLGKDIIAGAVTGSGKTAAFMIPIIERLLYKPAKIASTRVIVLLPTRELAIQVADVGKQIARFVSGITFGLAVGGLNLRQQEQMLKSRPDIVIATPGRFIDHIRNSASFNVDSVEILVMDEADRMLEEGFQDELNEIMGLLPSNRQNLLFSATMNSKIKSLVSLSLKKPVRIMIDPPKKAATKLTQEFVRIRKRDHLKPALLFNLIRKLDPTGQKRIVVFVARKETAHRLRIIMGLLGMSVGELHGSLTQEQRLDSVNKFKNLEVPVLICTDLASRGLDIPKIEVVINYDMPKSYEIYLHRVGRTARAGREGRSVTFVGESSQDRSIVRAAIKSVEENKSLTQGKALGRNVDWVQIEETNKLVESMNDTIEDILVEEKEEKEILRAEMQLRKGENMLKHKKEIQARPRRTWFQSESDKKNSKVLGALSRNKKVTNSKKRKREEAKADGNGARSYRKTKTDRIADQERTFKKQKSTNSNKKKGFKSRR", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKAKPLSQDPGSKRYAYRINKEENRKELKHVKINESSLVQEGQKIDLPKKRYYRQRAHSNPFSDHQLEYPVSPQDMDWSKLYPYYKNAENGQMTKKVTIADIGCGFGGLMIDLSPAFPEDLILGMEIRVQVTNYVEDRIIALRNNTASKHGFQNINVLRGNAMKFLPNFFEKGQLSKMFFCFPDPHFKQRKHKARIITNTLLSEYAYVLKEGGVVYTITDVKDLHEWMVKHLEEHPLFERLSKEWEENDECVKIMRNATEEGKKVERKKGDKFVACFTRLPTPAIL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMIKVKKETMRACLSCSICDNILRDATTISECLHTFCRKCIYEKITEDEIETCPVCNIDLGSTPLEKLRPDHNLQDLRAKIFALKRRKVKAPGIVSLPGKRKERSISSLVVSTPMVSAQAGTTRRRTKAPTRKELRNGSLAERTVKKEESSGDELLESTSSPDTLNKFTQNKRQSKKSCKESISNKENKDGDEPWDSKMDWKPLNFLVEVANGTKPLKSSASQGSGSKSEHANVSRNQFQGSKTKTKNKKRKCKREDDKSNNGDPTTSETVTPKRMRTTQRKRSATTLGDSRNLPQPDESSAKQERRNGPVWFSLVASNDQEGGTSLPQIPANFLRIRDGNTTVSFIQKYLMRKLDLESENEIEIKCMGEAVIPTLTLYNLVDLWLQKSSNHQRFAALVGSSAKDFTMVLVYARKLPECNM", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAAFRKAVKSRQREYRERSQPGFRKHLGLLEKKKDYKLRADDYRKKQEYLKALRKKALEKNPDEFYYKMTRVKLQDGVHIIKETKEEVTPEQLKLMRTQDVKYIEMKRVAEAKKIERLKSELHLLDFQGKQQNRHVFFFDTKKEVEQFDVAAHLQTAPELVDRVFNRPRIETLQKEKVKGVTNQTGLKRIAKERQKQYNCLTQRIEREKKLFVIAQKIQTRKDLMDKTQKVKVKKETVNSPAIYKFQSRRKR", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVRKLKHHEQKLLKKVDFLEWKQDQGHRDTQVMRTYHIQNREDYHKYNRICGDIRRLANKLSLLPPTDPFRRKHEQLLLDKLYAMGVLTTKSKISDLENKVTVSAICRRRLPVIMHRLKMAETIQDAVKFIEQGHVRVGPNLINDPAYLVTRNMEDYVTWVDNSKIKKTLLRYRNQIDDFDFS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSPDGGYASDDQNQGKCSVPIMMTGLGQCQWAEPMTSLGEGKLKSDAGSANSRSKAEARIRRPMNAFMVWAKDERKRLAQQNPDLHNAELSKMLGKSWKSLTLAEKRPFVEEAERLRVQHMQDHPNYKYRPRRRKQVKRMKRAENGFMHMAEAQESAVLGADGRMCLENFSLGYHEQTYPHGQVPQSSHYREPQAVAPHYDGYSLPTPESSPLDLAEADPVFFTSPAQDECQMMPYSYNGSYPHQQNSMLVRQMPQTEQMGQVSPVQGMMACQSSPHMYYGQMYLPGSARHHQLHQAGQPSPPPEAQQMGRADHIQPADMLAEVDRTEFEQYLSYVAKSDLGMHYHAQESVVPTADNGPISSVLSDASTAVYYCNYPSA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "METQIHQLEQEAYTAVLRAFKAQSDAISWEKESLITELRKELRVSDDEHRELLSRVNKDDTIQRIRDWRQGGASQITRHATIQPFDVLPSPTFSAARKKQKTFPSYNPSIGATGNRSFNNRLVSSGISGNESAEALIGRKVWTKWPEDNHFYEAIITQYNADEGRHALVYDIHAANETWEWVDLKEIPPEDIRWDGEESGVALNIGHGSASFRGNRRGQIHGGRGRGPRIHQPRRELVPPPTQQNGSGGRRTSSDDIELFNTDSLVKEVERVFDSTHPDPLELDKAKKMLKEHEQALIAAIARLADTSDGEMDGDPPYSHDHPMPQG", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRLLKPAWVHHDDKQIFSVDIHKDCTKFATGGQGSDCGRVVIWNLLPVLSDKAEFDADVPKMLCQMDQHLACVNCVRWSQNGQNLASGSDDKLIMIWRKSAGSSGVFGTGGMQKNHESWKCFYTLRGHDGDVLDLAWSPNDVYLASCSIDNTVIIWDAQAFPHSVATLKGHTGLVKGVSWDPLGRFLASQSDDRSIKIWNTMNWSLSHTITEPFEECGGTTHILRLSWSPDGQYLVSAHAMNGGGPTAQIIEREGWKCDKDFVGHRKAVTCVRFHNSILSRQENDGSPSKPLQYCCLAVGSRDRSLSVWMTALQRPMVVIHELFNASILDLTWGPQECLLMACSVDGSIACLKFTEEELGKAISEEEQNAIIRKMYGKNYVNGLGKSAPVLEHPQRLLLPQGDKPTKFPLSNNNEANQRPISKQTETRTKDGKRRITPMFIPLHEDGPTSLSMNIVSSSGSSTTALTSCSAAIGTLPAAAPTESAATPLMPLEPLVSKIDLGRLDSRLKTQPASQRRQSLPFDPGQSNELLRTPRLEEHQSSTCSPSNLNVTATGKSEFVKAALDYRLHVSNGHLKTQHGMLAKVTASDSKEMLWEFYVGSPLVNLNLCEKYAMLCSLDGSMRLISMETGCPVFPAISLTSSAVHCAFSPDNSLVGVLTECGLLRIWDIAKKVVSLAAGCLELLNKHGTAAQFSVTNQGMPLIGFPSGNSYSYSTSLQSWLVLATKDAIMYHGIRGTLPRDMDQMQQKFPLLSMQASSQNYFSFTGSMELRHSESWQQCAKIRFIENQIKLCEALQSLDELQHWHKMLTFQLATHGSEKRMRVFLDDLLSMPEPGISQFVPKLELMQCVLDTLKPHSEWNRLHSEYTELLKECKSERQKDIFATPAPPQQKTASSAGSSPRSGEATGEEVTEKDGATAVAAAVVAGSRMAVTTGTSTTTTTTASSSLSSSGSSSSTSGSGSSSSSSSTSSLSVPQPAPSLSPEIQTLDSPTVCIDDEILSASSSLPPLDTSPVEVSPASTSGGAASTSPAASVAGSAPVSSSKTDQT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAGGKIRKEKPKASNRAPSNHYQGGISFHKSKGQHILKNPLLVDSIVQKAGIKSTDVILEIGPGTGNLTKKLLEAGKEVIAVELDSRMVLELQRRFQGTPFSNRLKVIQGDVLKTELPRFDICVANIPYQISSPLTFKLLFHPTSFRCAVIMYQREFAMRLVAQPGDNLYCRLSVNTQLYARVSHLLKVGKNNFRPPPKVDSSVVRIEPRRPGPQVNKKEWDGFLRVCFIRKNKTLGSIFKQKSVLSMLEKNFKTLQAVLASLQNNGEPALNTTSMDLGDQSMGMEDDDNEMDDDDMEMDEGEGDGGETSEFKEKVMNVLKEGGFEEKRSSKLSQQEFLYLLSLFNKSGIHFT", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDIKSFLYQFCAKSQIEPKFDIRQTGPKNRQRFLCEVRVEPNTYIGVGNSTNKKDAEKNACRDFVNYLVRVGKLNTNDVPADAGASGGGPRTGLEGAGMAGGSGQQKRVFDGQSGPQDLGEAYRPLNHDGGDGGNRYSVIDRIQEQRDMNEAEAFDVNAAIHGNWTIENAKERLNIYKQTNNIRDDYKYTPVGPEHARSFLAELSIYVPALNRTVTARESGSNKKSASKSCALSLVRQLFHLNVIEPFSGTLKKKKDEQLKPYPVKLSPNLINKIDEVIKGLDLPVVNPRNIKIELDGPPIPLIVNLSRIDSSQQDGEKRQESSVIPWAPPQANWNTWHACNIDEGELATTSIDDLSMDYERSLRDRRQNDNEYRQFLEFREKLPIAAMRSEILTAINDNPVVIIRGNTGCGKTTQIAQYILDDYICSGQGGYANIYVTQPRRISAISVAERVARERCEQLGDTVGYSVRFESVFPRPYGAILFCTVGVLLRKLEAGLRGVSHIIVDEIHERDVNSDFLLVILRDMVDTYPDLHVILMSATIDTTKFSKYFGICPVLEVPGRAFPVQQFFLEDIIQMTDFVPSAESRRKRKEVEDEEQLLSEDKDEAEINYNKVCEDKYSQKTRNAMAMLSESDVSFELLEALLMHIKSKNIPGAILVFLPGWNLIFALMKFLQNTNIFGDTSQYQILPCHSQIPRDEQRKVFEPVPEGVTKIILSTNIAETSITIDDIVFVIDICKARMKLFTSHNNLTSYATVWASKTNLEQRKGRAGRVRPGFCFTLCSRARFQALEDNLTPEMFRTPLHEMALTIKLLRLGSIHHFLSKALEPPPVDAVIEAEVLLREMRCLDANDELTPLGRLLARLPIEPRLGKMMVLGAVFGCADLMAIMASYSSTFSEVFSLDIGQRRLANHQKALSGTKCSDHVAMIVASQMWRREKQRGEHMEARFCDWKGLQMSTMNVIWDAKQQLLDLLQQAGFPEECMISHEVDERIDGDDPVLDVSLALLCLGLYPNICVHKEKRKVLTTESKAALLHKTSVNCSNLAVTFPYPFFVFGEKIRTRAVSCKQLSMVSPLQVILFGSRKIDLAANNIVRVDNWLNFDIEPELAAKIGALKPALEDLITVACDNPSDILRLEEPYAQLVKVVKDLCVKSAGDFGLQRESGILPHQSRQFSDGGGPPKRGRFETGRFTNSSFGRRGNGRTFGGGYGNNGGGYGNNGGGYGNIGGGYGNNAGGYGNNGGYGNNGGGYRNNGGGYGNNGGGYGNKRGGFGDSFESNRGSGGGFRNGDQGGRWGNF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTTGYESVPTSEPSDNLAPRAELWQRHLLEKKEGDQSISVSAFNISSMHNELSGLSEKSRQRVEAVWAAFSEASCSERKLALQGILNNCSSSLLSFASSTLDSLVRLDFLSLLPVEISFRILSFLDARSLCQAAQVSKHWKELADDDVIWHRMCEQHINRKCEKCGWGLPLLERNTLYAAKASIQKRYERLTKRGVDQAHESSPVKKAKLDDYPTSSNEETISSVKPPSPNSDSKFFLPFKTRPWKEVYAERCRVECNWRHGRCRQVVLSGHSDGVMCLQLVRNILASGSYDATIRLWNLATFQQVALLEGHSSGVTCLQFDQCKLISGSMDKTIRIWNYRTSECISILHGHTDSVLCLTFDSTLLVSGSADCTVKLWHFSGGKRITLRGHTGPVNSVRIIRDRGLVLSGSDDSTIKIWSLETNTCLHTFSAHIGPVQSLALADSRLFSCSLDGTIKQWDIEKKKCVHTLFGHIEGVWEIAADHLRLISGAHDGVVKVWEACECVHTLKNHSEPVTSVALGDCEVVSGSEDGKIYLWLFNNAPNESPVSTQSVPISSLNGQRSNSSVQRALSSVPNYSSSLSNISTRNLNIPPSNANNDDVSIQS", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MFEARLIQGSILKKVLEALKDLINEACWDVSSGGVNLQSMDSSHVSLVQLTLRSEGFDTYRCDRNLAMGVNLTSMSKILKCAGNEDIITLRAEDNADTLALVFEAPNQEKVSDYEMKLMDLDVEQLGIPEQEYSCVIKMPSGEFARICRDLSHIGDAVVISCAKNGVKFSASGELGNGNIKLSQTSNVDKEEEAVTIEMNEPVHLTFALRYLNFFTKATPLSPTVTLSMSADVPLVVEYKIADMGHLKYYLAPKIEDEEAS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKTPVSAAANLSIQNAGSSGATAIQIIPKTEPVGEEGPMSLDFQSPNLNTSTPNPNKRPGSLDLNSKSAKNKRIFAPLVINSPDLSSKTVNTPDLEKILLSNNLMQTPQPGKVFPTKAGPVTVEQLDFGRGFEEALHNLHTNSQAFPSANSAANSAANNTTAAAMTAVNNGISGGTFTYTNMTEGFSVIKDEPVNQASSPTVNPIDMEAQEKIKLERKRQRNRVAASKCRKRKLERISKLEDRVKVLKGENVDLASIVKNLKDHVAQLKQQVMEHIAAGCTVPPNSTDQ", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASNDYTQQATQSYGAYPTQPGQGYSQQSSQPYGQQSYSGYSQSTDTSGYGQSSYSSYGQSQNTGYGTQSTPQGYGSTGGYGSSQSSQSSYGQQSSYPGYGQQPAPSSTSGSYGSSSQSSSYGQPQSGSYSQQPSYGGQQQSYGQQQSYNPPQGYGQQNQYNSSSGGGGGGGGGGNYGQDQSSMSSGGGSGGGYGNQDQSGGGGSGGYGQQDRGGRGRGGSGGGGGGGGGGYNRSSGGYEPRGRGGGRGGRGGMGGSDRGGFNKFGGPRDQGSRHDSEQDNSDNNTIFVQGLGENVTIESVADYFKQIGIIKTNKKTGQPMINLYTDRETGKLKGEATVSFDDPPSAKAAIDWFDGKEFSGNPIKVSFATRRADFNRGGGNGRGGRGRGGPMGRGGYGGGGSGGGGRGGFPSGGGGGGGQQRAGDWKCPNPTCENMNFSWRNECNQCKAPKPDGPGGGPGGSHMGGNYGDDRRGGRGGYDRGGYRGRGGDRGGFRGGRGGGDRGGFGPGKMDSRGEHRQDRRERPY", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGRAAARKRGRCRQRGGSPRGRRRRGPGRQSPRKRPGPRRRKARARRRRRARPRRMEPIPEPFNPGPLLQEPPQYCNSSEFLGLCASRDDWRCARSMHEFSAKDIDGHMVCLDKYRGFVCIVTNVASQUGKTDVNYTQLVDLHARYAECGLRILAFPCNQFGRQEPGSNQEIKEFAAGYNVKFDMYSKICVNGDDAHPLWKWMKVQPKGRGMLGNAIKWNFTKFLIDKNGCEVKRYGPMEEPQVIERDLPCYL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGRAAARKRGRCRQRGRSPGGRRRREPGRQSPRKRPGPRRRRARARRRRRARPRRMEPIPEPFNPRPLLQDLPQTSNSHEFLGLCASRDDWRCARSMHEFAAKDIDGHMVCLDKYRGCVCIVTNVASQUGKTDVNYTQLVDLHARYAECGLRILAFPCNQFGRQEPGSNQEIKEFAAGYNVRFDMYSKICVNGDDAHPLWKWMKVQPKGRGMLGNAIKWNFTKFLIDKNGCVVKRYGPMEEPQVIEKDLPCYL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPCGEDWLSHPLGIVQGFFAQNGVNPDWEKKVIEYFKEKLKENNAPKWVPSLNEVPLHYLKPNSFVKFRCMIQDMFDPEFYMGVYETVNQNTKAHVLHFGKYRDVAECGPQQELDLNSPRNTTLERQTFYCVPVPGESTWVKEAYVNANQARVSPSTSYTPSRHKRSYEDDDDMDLQPNKQKDQHAGARQAGSVGGLQWCGEPKRLETEASTGQQLNSLNLSSPFDLNFPLPGEKGPACLVKVYEDWDCFKVNDILELYGILSVDPVLSILNNDERDASALLDPMECTDTAEEQRVHSPPASLVPRIHVILAQKLQHINPLLPACLNKEESKTCKFVSSFMSELSPVRAELLGFLTHALLGDSLAAEYLILHLISTVYTRRDVLPLGKFTVNLSGCPRNSTFTEHLYRIIQHLVPASFRLQMTIENMNHLKFIPHKDYTANRLVSGLLQLPSNTSLVIDETLLEQGQLDTPGVHNVTALSNLITWQKVDYDFSYHQMEFPCNINVFITSEGRSLLPADCQIHLQPQLIPPNMEEYMNSLLSAVLPSVLNKFRIYLTLLRFLEYSISDEITKAVEDDFVEMRKNDPQSITADDLHQLLVVARCLSLSAGQTTLSRERWLRAKQLESLRRTRLQQQKCVNGNEL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSPKKDAVSKPTPISVPVSRRSDIPGSLYVDTDMGFSGSPLPMPLDILQGNPIPPFLSKTFDLVDDPTLDPVISWGLTGASFVVWDPLEFARIILPRNFKHNNFSSFVRQLNTYGFRKIDTDKWEFANEAFLRGKKHLLKNIHRRRSPQSNQTCCSSTSQSQGSPTEVGGEIEKLRKERRALMEEMVELQQQSRGTARHVDTVNQRLKAAEQRQKQLLSFLAKLFQNRGFLERLKNFKGKEKGGALGLEKARKKFIKHHQQPQDSPTGGEVVKYEADDWERLLMYDEETENTKGLGGMTSSDPKGKNLMYPSEEEMSKPDYLMSFPSPEGLIKQEETTWSMGFDTTIPSFSNTDAWGNTMDYNDVSEFGFAAETTSDGLPDVCWEQFAAGITETGFNWPTGDDDDNTPMNDP", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAAPSDGFKPRERSGGEQAQDWDALPPKRPRLGAGNKIGGRRLIVVLEGASLETVKVGKTYELLNCDKHKSILLKNGRDPGEARPDITHQSLLMLMDSPLNRAGLLQVYIHTQKNVLIEVNPQTRIPRTFDRFCGLMVQLLHKLSVRAADGPQKLLKVIKNPVSDHFPVGCMKVGTSFSIPVVSDVRELVPSSDPIVFVVGAFAHGKVSVEYTEKMVSISNYPLSAALTCAKLTTAFEEVWGVI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTERPPSEAARSDPQLEGQDAAEARMAPPHLVLLNGVAKETSRAAPAEPPVIELGARSGAGGGPASGGGAARDLKGRDAVAAEARLRVPTTELCRPPGPAPAPAPASAPAELPGDGRMVQLSPPALAAPAGPGRALLYSLSQPLASLGSGFFGEPDAFPMFTNNNRVKRRPSPYEMEISDGPHTKVVRRIFTNSRERWRQQNVNGAFAELRKLIPTHPPDKKLSKNEILRLAMKYINFLAKLLNDQEEEGTQRAKPGKDPVVGAGGGGAGGGIPPEDLLQDVLSPNSSCGSSLDGAASPDSYTEEPTPKHTSRSLHPALLPAADGAGPR", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MENQYQRIVSATAREDSQARRSKPLFLNAWSQTSSVDFEVLRSISAPDPQVEVENRALRDKVRYLEAKLQQHKDLLSQIHATSARMQQASSLLAESRPPTPPAVQSHHILTPPSSEICAPAQNPQILDYKIISAPDDADAIEIRLAAESLNSLSTSADSDRLEICLGDENHQQSNHHNSQQQYRISNGIKRDGSSESADTPLQFIKRRKLQEIQLQEEIPRQNIKLPAKPQVKARNGSFTDLNKGQQQNMDNVMVSIGPNNTCVPASVFENINWSVCSLATRKLLVTIFDRETLATHSMTGKPSPAFKDQDKPLKRMLDPGKIQDIIFAVTHKCNASEKEVRNAITTKCADENKMMKIQNVKRRSSGIKHEKENII", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLEELECGAPGARGAATAMDCKDRPAFPVKKLIQARLPFKRLNLVPKGKADDMSDDQGTSVQSKSPDLEASLDTLENNCHVGSDIDFRPKLVNGKGPLDNFLRNRIETSIGQSTVIIDLTEDSNEQPDSLVDHNKLNSEASPSREAINGQREDTGDQQGLLKAIQNDKLAFPGETLSDIPCKTEEEGVGCGGAGRRGDSQECSPRSCPELTSGPRMCPRKEQDSWSEAGGILFKGKVPMVVLQDILAVRPPQIKSLPATPQGKNMTPESEVLESFPEEDSVLSHSSLSSPSSTSSPEGPPAPPKQHSSTSPFPTSTPLRRITKKFVKGSTEKNKLRLQRDQERLGKQLKLRAEREEKEKLKEEAKRAKEEAKKKKEEEKELKEKERREKREKDEKEKAEKQRLKEERRKERQEALEAKLEEKRKKEEEKRLREEEKRIKAEKAEITRFFQKPKTPQAPKTLAGSCGKFAPFEIKEHMVLAPRRRTAFHPDLCSQLDQLLQQQSGEFSFLKDLKGRQPLRSGPTHVSTRNADIFNSDVVIVERGKGDGVPERRKFGRMKLLQFCENHRPAYWGTWNKKTALIRARDPWAQDTKLLDYEVDSDEEWEEEEPGESLSHSEGDDDDDMGEDEDEDDGFFVPHGYLSEDEGVTEECADPENHKVRQKLKAKEWDEFLAKGKRFRVLQPVKIGCVWAADRDCAGDDLKVLQQFAACFLETLPAQEEQTPKASKRERRDEQILAQLLPLLHGNVNGSKVIIREFQEHCRRGLLSNHTGSPRSPSTTYLHTPTPSEDAAIPSKSRLKRLISENSVYEKRPDFRMCWYVHPQVLQSFQQEHLPVPCQWSYVTSVPSAPKEDSGSVPSTGPSQGTPISLKRKSAGSMCITQFMKKRRHDGQIGAEDMDGFQADTEEEEEEEGDCMIVDVPDAAEVQAPCGAASGAGGGVGVDTGKATLTSSPLGAS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAGDVEGGGCRVSWGALLTLLLLPLPSLCTLASGKEPHVIKLYEGKVVRYNESKNFCYQRTYEPKWSDVWTKIQIRVNSTKMIRVTQVENEEKLKEMETFNMFDLFSSFLKEKLNDTFIYVDLYSNKTCIKVHVIDTDTYYSVALSRGFDPRLCFLFLCGLLLFFYGDALSRSQLFFYSTGITIGMLASMLILVFMLSKLMPKKSPFVALLLGGWSVSIYIIQLVFKNLQAICSEYWQYLLGYLGIVGFVSFAFCYKYGPLENDRSINILTWTLQLIGLLLMYISVQIQHIAVTMVVIAFCTKQIEYPVQWIYILYRKIKRKRAKPSPPRLLTEEEYRKQGEIETRKALEELRGYCSSPDFATWKMISRIQSPKRFADFVEGSSHLTPNEVSVHEHEYGLGGSFLEDELFGEDSDIEVEMDIEQPLYLVPRSCF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFNGGYGSGNSFNLQNYAPIDPMTGIPGFGPSQNAQQQQQQASAPGTSSGGPSQAVSGASSGASMKTEPVARQVSTAQMKRDLEQAAVYVPTPIPEGSTQPQQRQQQQSQPQARQMSTQQAANLRKNAAAAGTPPKQAMQGASREQGNAHQPTAGQIPQSSNQPAQQTHNVPRMPQPLQQVPHPSPVRGSHPAAQQVQNAPQRIPQHVPMPQGVAPHQVIQQVRAPNIGASQMVQQAPSRGHTGAAPASRMAQQPVPLHQGVAPHQAAPQTIQQTPARGRPANAQLAQNAQQRNPQQVPMPQGVAPHHIPPQALGPHGAAPQMTQQAPARGPSGAAQHAQNAHRMTHQQVPLQAPVQGPHRGAPQMAQQPGPHGAAQHPSSGTVAPMHITSLPGNHPLNRTHLLFNRQVVPALDIRNLIAQHRLMVDDFVRAQICYRLPENHQDYWPPGGHPIPMQQQQMRQGAGLPNMAMPPPPLMRHPGPHQNPIHAMQSMQVQPPLSPDQMNMQMFQQRALMMQQQAMQMQMQNPPPVHQQPPPQQPPQQQRQKQQRSQPAPARVPPQVPSQVPVTGGVAADEPPPPCSYSPVAQSSESKIEPVDVKPRVAPVPPQVPVTPTKPVITNNKKKRIDVVTLDEDAPRRVQVKQEIPEVSSTSDATKSDAAPTARGAVRIKQEVESDVAPNTILISAKKFERMKAEAEDKEDMKKKIAALQEALFNIQEERRVEKEIAAFATTNQAVPQNQPASSVQIAQVSTSESDAPGTSEAAATETMTSPKTKNNVIVETEGEQEEDEDEIPIKKSKKRRAKIVSNDEEEEPVRHPKRRSDEKREKRHVSYAESDDDMPVVKKKRRNQSPEDPEYSAASPSEDEDDDIGSFVVSDNEDDDADSFVVGDDEPIEYEEEDEDDMIERRSSRKRRSDSRSKKSATPTDRRRSRDTPTGSRSMRSTSPNDRRKSRETPPGNRSMRRTSPSDGRKSRDTPTASSSMSSSTLSYCKKSKETPMSYEEIEQQKKAKRQRNCKTREENRERKRLAQLEELESSETTGVRRTLRSTQDNSDPLDASLATTIEEFRKTKKKDAKSSENRAKEKQKPMNKRPTSSASVDSNDDGVHIPAKRMAHASSVPGPSRSKPPMIGAVKNRPNHTEMLDKRNKESEEKRRKDRDELERLRNKKHTTEEEKIKMARLQNALKVVGKAAGLKATVKKELTGSPAKKQKPAPAVPKILDFSVGRTFTAIRQTAIKLVFDTFLERDSPNAAREAQEFELSIAKQYTDGQKYRINIGHKVAALRKENTSGILEVNKNAVSHDKILAGGPKDNCTVARGRKTHVDHRQLSIEKLHPLLLQFKLTTSELETNAYPMRRDGSTKAVSIADTVYTQNKKMFLDDYDMSRNCSRCNKEFKLSPNGTMIRSTGICRYHNRGVAINGKRDTFRKRYSCCNEEFNVALGCKFSDVHVTDQLFKKELSTFVSTPVPVPNDQRSTRVYALDCEMVYTIAGPALARLTMVDMQRNRVLDVFVKPPTDVLDPNTEFSGLTMEQINSAPDTLKTCHQKLFKYVNADTILIGHSLESDLKAMRVVHKNVIDTAILFRSTRDTKVALKVLSAKLLHKNIQGDNEDAIGHDSMEDALTCVDLIFYGLRNPESIAIREANTNC", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTGIAAASFFSNTCRFGGCGLHFPTLADLIEHIEDNHIDTDPRVLEKQELQQPTYVALSYINRFMTDAARREQESLKKKIQPKLSLTLSSSVSRGNVSTPPRHSSGSLTPPVTPPITPSSSFRSSTPTGSEYDEEEVDYEESDSDESWTTESAISSEAILSSMCMNGGEEKPFACPVPGCKKRYKNVNGIKYHAKNGHRTQIRVRKPFKCRCGKSYKTAQGLRHHTINFHPPVSAEIIRKMQQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSSDANSDDPFSKPIVRKRFQATLAQQGIEDDQLPSVRSSDSPDVPDTPDVPVNQLSSPPLSLPETLSEGNAETLQNLSDDSEPEMLSQSSTSSLNRRMEDSAIDPSRGTRKSQSRGFDYDPAGERTTAPVQKKKKDEIDMGGAKFFPKQEKKHVYTHKWTTEEDDEDEKTISSSSNRYSSRPNQPAVSARPRQPVYATTSTYSKPLASGYGSRVRHIKEANELRESGEYDDFKQDLVYILSSLQSSDASMKVKCLSAISLAKKCVSPDFRQFIKSENMTKSIVKALMDSPEDDLFALAASTVLYLLTRDFNSIKIDFPSLRLVSQLLRIEKFEQRPEDKDKVVNMVWEVFNSYIEKQEVGGQKVSFDMRKESLTPSSLIIEALVFICSRSVNDDNLKSELLNLGILQFVVAKIETNVNLIADNADDTYSILILNRCFRILESSSVFHKKNQAFLISHRSNILISSLAKFLQVILDRVHQLAEEEVKKYISCLALMCRLLINISHDNELCCSKLGQIEGFLPNAITTFTYLAPKFGKENSYDINVMMTSLLTNLVERCNANRKVLIAQTVKMVIPGHDVEEVPALEAITRLFVYHESQAQIVDADLDRELAFDEGGCGDEEEEEEGGDESSDEDGVRKDGRLDRNKMDRMDQVDVVHALQQVMNKASAHMEGSVIASYHALLVGFVLQQNEDHLDEVRKHLPGKNFQNMISQLKRLYDFTKATMAKRVESNSGFRAIERVIEYLERLE", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAHNAGAAAAAGTHSAKSGGSEAALKEGGSAAALSSSSSSSAAAAAASSSSSSGPGSAMETGLLPNHKLKTVGEAPAAPPHQQHHHHHHAHHHHHHAHHLHHHHALQQQLNQFQQQQQQQQQQQQQQQQQQHPISNNNSLGGAGGGAPQPGPDMEQPQHGGAKDSAAGGQADPPGPPLLSKPGDEDDAPPKMGEPAGGRYEHPGLGALGTQQPPVAVPGGGGGPAAVPEFNNYYGSAAPASGGPGGRAGPCFDQHGGQQSPGMGMMHSASAAAAGAPGSMDPLQNSHEGYPNSQCNHYPGYSRPGAGGGGGGGGGGGGGSGGGGGGGGAGAGGAGAGAVAAAAAAAAAAAGGGGGGGYGGSSAGYGVLSSPRQQGGGMMMGPGGGGAASLSKAAAGSAAGGFQRFAGQNQHPSGATPTLNQLLTSPSPMMRSYGGSYPEYSSPSAPPPPPSQPQSQAAAAGAAAGGQQAAAGMGLGKDMGAQYAAASPAWAAAQQRSHPAMSPGTPGPTMGRSQGSPMDPMVMKRPQLYGMGSNPHSQPQQSSPYPGGSYGPPGPQRYPIGIQGRTPGAMAGMQYPQQQMPPQYGQQGVSGYCQQGQQPYYSQQPQPPHLPPQAQYLPSQSQQRYQPQQDMSQEGYGTRSQPPLAPGKPNHEDLNLIQQERPSSLPDLSGSIDDLPTGTEATLSSAVSASGSTSSQGDQSNPAQSPFSPHASPHLSSIPGGPSPSPVGSPVGSNQSRSGPISPASIPGSQMPPQPPGSQSESSSHPALSQSPMPQERGFMAGTQRNPQMAQYGPQQTGPSMSPHPSPGGQMHAGISSFQQSNSSGTYGPQMSQYGPQGNYSRPPAYSGVPSASYSGPGPGMGISANNQMHGQGPSQPCGAVPLGRMPSAGMQNRPFPGNMSSMTPSSPGMSQQGGPGMGPPMPTVNRKAQEAAAAVMQAAANSAQSRQGSFPGMNQSGLMASSSPYSQPMNNSSSLMNTQAPPYSMAPAMVNSSAASVGLADMMSPGESKLPLPLKADGKEEGTPQPESKSKKSSSSTTTGEKITKVYELGNEPERKLWVDRYLTFMEERGSPVSSLPAVGKKPLDLFRLYVCVKEIGGLAQVNKNKKWRELATNLNVGTSSSAASSLKKQYIQYLFAFECKIERGEEPPPEVFSTGDTKKQPKLQPPSPANSGSLQGPQTPQSTGSNSMAEVPGDLKPPTPASTPHGQMTPMQGGRSSTISVHDPFSDVSDSSFPKRNSMTPNAPYQQGMSMPDVMGRMPYEPNKDPFGGMRKVPGSSEPFMTQGQMPNSSMQDMYNQSPSGAMSNLGMGQRQQFPYGASYDRRHEPYGQQYPGQGPPSGQPPYGGHQPGLYPQQPNYKRHMDGMYGPPAKRHEGDMYNMQYSSQQQEMYNQYGGSYSGPDRRPIQGQYPYPYSRERMQGPGQIQTHGIPPQMMGGPLQSSSSEGPQQNMWAARNDMPYPYQNRQGPGGPTQAPPYPGMNRTDDMMVPDQRINHESQWPSHVSQRQPYMSSSASMQPITRPPQPSYQTPPSLPNHISRAPSPASFQRSLENRMSPSKSPFLPSMKMQKVMPTVPTSQVTGPPPQPPPIRREITFPPGSVEASQPVLKQRRKITSKDIVTPEAWRVMMSLKSGLLAESTWALDTINILLYDDSTVATFNLSQLSGFLELLVEYFRKCLIDIFGILMEYEVGDPSQKALDHNAARKDDSQSLADDSGKEEEDAECIDDDEEDEEDEEEDSEKTESDEKSSIALTAPDAAADPKEKPKQASKFDKLPIKIVKKNNLFVVDRSDKLGRVQEFNSGLLHWQLGGGDTTEHIQTHFESKMEIPPRRRPPPPLSSAGRKKEQEGKGDSEEQQEKSIIATIDDVLSARPGALPEDANPGPQTESSKFPFGIQQAKSHRNIKLLEDEPRSRDETPLCTIAHWQDSLAKRCICVSNIVRSLSFVPGNDAEMSKHPGLVLILGKLILLHHEHPERKRAPQTYEKEEDEDKGVACSKDEWWWDCLEVLRDNTLVTLANISGQLDLSAYTESICLPILDGLLHWMVCPSAEAQDPFPTVGPNSVLSPQRLVLETLCKLSIQDNNVDLILATPPFSRQEKFYATLVRYVGDRKNPVCREMSMALLSNLAQGDALAARAIAVQKGSIGNLISFLEDGVTMAQYQQSQHNLMHMQPPPLEPPSVDMMCRAAKALLAMARVDENRSEFLLHEGRLLDISISAVLNSLVASVICDVLFQIGQL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSIHEVVALIEELYSPHPKHDVNQIQQSLQSIQKSEQGFHLANELLSDDKYSANVKYFGALTLTVQLNTRGENDYETLWNVFRSNLLYLTKFSTLYVSNPNMYGQSLIIIKKLMSNLSLIFTKINDPQLNNAGNENMIKQWNNPINTFIQLMSVQNQNINADQLLLDSINCSLTYEQLSQFVSLSQKHNELALTFTEVIVEDLTKFQTKRHSMSQIHEVVHEHLYISTMALINLNLTAQAVFNPTVFDCITAWINYISLTRSVSSSGRMDLSEIFQNLIDLMYQSTEGSDGYENAEKILTIFGNVFANDPLLMSYDLRQQIECIFLGVVRPDSGITDISNKNSWMLQYMNYLVTNDFFSELKELAICIVDFLQINTLSVCNKLFTNIQAADNGQVQDEYIQEYIKVLLQMTNFPLTPVLQEFFSVRMVDFWLDLSDAYTNLASETLRPNSIELSTQIFQQLINIYLPKISLSVKQRIIEEEGESTSVNEFEDFRNAVSDLAQSLWSILGNDNLTNVLIDGMGQMPAASDETLIIKDTDVLFRIETMCFVLNTILVDMTLSESPWIKNIVDANKFFNQNVISVFQTGFQTSASTKVSQILKLDFVRTSTTLIGTLAGYFKQEPFQLNPYVEALFQGLHTCTNFTSKNEQEKISNDKLEVMVIKTVSTLCETCREELTPYLMHFISFLNTVIMPDSNVSHFTRTKLVRSIGYVVQCQVSNGPEEQAKYILQLTNLLSGSIEHCLASSVQLQEQQDYINCLLYCISELATSLIQPTEIIENDALLQRLSEFQSFWSSDPLQIRSKIMCTIDKVLDNSIYCKNSAFVEIGCLIVGKGLNLPDGEPYFLKYNMSEVMNFVLRHVPNCELATCLPYFVYLLEKLISEFRKELTPQEFDFMFEKILLVYYDAYIINDPDLLQMTIGFVNNVLDVKPGLAIGSKHWTSFILPQFLKLIPSREKFTIVAVAKFWTKLINNKKYNQEELTTVRQQVSSIGGDLVYQIMYGLFHTQRSDLNSYTDLLRALVAKFPIEAREWLVAVLPQICNNPAGHEKFINKLLITRGSRAAGNVILQWWLDCTTLPNYQG", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAPTRKSKSVNKRFTNEASPDINFGSASKTKQRKKKLADKLGPQWTKRELVRFYDAYRKYVGDWKKVAAAVRNNRSVEMVETLFCMNRAYLSLPEGTASVAGLIAMMTDHYSVMEGSESEGEDHDASEVTRKHLKRKRPQVLPSDFREEVVPPHSVASVEGCLSFLKQTQAYEKRQRATGKRTPRFLVAITHERDDIEDFSPPNKRAKKQLDADDDASRRGGGSPYRRKELSEITPTRLRKTSQAQEAQFKHPDSSMFENGVRDRWHKKGAADRDGALLMDMEGLVTQKEKIVRVEEAEGNYSDDDDGLGALKTLAEMSASLAPAGLLESESSPHWEEERKTNNVDKKSNTLETVSTSHHREKAKQAGLEDNLLHAISAPDKRKPKSVPESVDGNVVSIEELRTSSRKRKPKFQVLDVVAPKESTQDKSLYTKESAEVDSLKTPVKARRSSQGPAKQLKTAKTTVESSSASDKKITGPDAVVPATQVSASGPETLPQKPPNRRKISLKKSLQERAKSLETTHDKPRSFKKLSEHELLQEKLSNCLSYPLVRRWCIYEWFYSAIDYPWFAKMEFTDYLNHVGLGHAPRLTRVEWSVIKSSLGRPRRLSQRFLQDERDKLQEYRESVRKHYTELRGCATGVLHTDLARPLSVGNRVIAIHPKTREIRDGKILTVDHNKCNVLFDELGVELVMDIDCMPLNPLEYMPEGLRRQIDKCLAICKEARLNRHPSSDASVLFSPSVLENVNFSMNPPPAKQDDIREPVLYGKVIATNTTDQSIVINSKVTGTEIQRTLALQHTSDAQEMEPEMIEIVIESKSIAQAMVDAAIKAASSGKNNEDSENMVHQALSSIGEHQPLDNSIVPGIKHQEYTNGSLDHHSLNTAEPMSNGFISQEGSGKNKTPMPSELITSCVASWLMMQMISKKQYPPADVAQLMDTVVNDLQPRCPQNMPIYREIQTCMGLIKTQIMALVRTS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDPRETTDPSLPPGPLTHLSLPDSSEVRLQSDGPSLLGSWTRSPPEHAIILREGVRTCLQQRCEQTVWILHAKVAQKSYGNEKRFFCPPPCVYLAGPGWRVKPMQDQALQSAETGPTVCGYMGLDGASGSAPETQKLNFEEQPDSREFGCAKTLYISDADKRKHFRLVLRLVLRGGQELGTFHSRLIKVISKPSQKKQSLKNTDLCISSGSKVSLFNRLRSQTVSTRYLSVEDGAFVASARQWAAFTLHLADGHCSQGDFPPQEGYIRYGSLVQLVCTVTGITLPPMIIRKVAKQCALLDVDEPISQLHKCAFQFPSDTPGGAGTYLCLATEKVVRFQASLCPKEANRALLNDSSCWTIIGTESVEFTFSTSLACTREPVTPVPLISTLELSGGGDVATLELHGENFHAGLKVWFGDVEAETMYRSPRSLVCVVPDVAAFGSDWRWLRTPITVPVSLLRADALFYPSPFSFTYTPEYSALPRLPNAQEPAPDADTLLESIHHEFTRTNFHLFCPT", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGDNGDNKELKQKLNFSYSEEEQEDEGQKEAQESKKVQYHTPERCGHQDSEAKFTPPRTPLNHVCELSTPQVKDRASPDQGLRTPVSRPHTRPETPAPPDKSKPPPHCESPFTPRGHSSQSVISTGKLPSRGSKHLRLTPGPLTDEMTSLALVNINPFTPESYRRQFLKSNGKRKTRRDLEEAGPEEGKVEKGLPAKRCVLRETNMACRYEKEFLEVEKIGVGEFGTVYKCIKRLDGCVYAIKRSTKPVSGLSDENLAMHEVYAHSVLGHHPHVVRYYSSWAEDDHMMIQNEYCNGGSLQAAISENAKSGNHFQEPKLKDILLQISLGLKYIHNYGMVHMDIKPSNIFICHKIPSDSPVVPEEAENEADWFLSANVTYKIGDLGHVTSISEPQVEEGDSRFLAKEILQENYQHLPKADIFALGLTIAVAAGAEALPTNGTSWHHIREGQLPNIPQDLSKEFYNLLKDMIDPDPVARPSAAALTRSRVLCPSLGRTEELQQQLNLEKFKTATLERELKEVQRAQSSKEGQSSPGVTGTHTGSRSTRRLVGGKSAKSSSFTWGQSSP", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGQMDGGDGGGGGHPYHYQALLAAVHQQTVPFPNPFPAPSSGAEPPHPHNHNHNHNHNHNIHNSHNHNHNHNAAPHPCHTPTPTPTPRGFADWSASTSAFTSLAAHSSTAPSNAVHYSFSPCYAFWTHYMLNKNAYPTSFPAPHDDHLRLANNNHPRDAPGPASSYGVESFTSPSMAPNICTHMPPIEGPISAKEDKKPEILPRVVKSSDELETRNSNVEFHSETVGTLPESKQGHDSRATKLLNSGEYQVILRKELTKSDVGNVGRIVLPKKDAEASLPPLLQRDPLILHMDDMVLPVTWKFKYRYWPNNKSRMYILDSAGEFLKTHGLQAGDVIIIYKNLAPGKFIIRGEKAIHQQTTNP", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAEARKRRELLPLIYHHLLRAGYVRAAREVKEQSGQKCFLAQPVTLLDIYTHWQQTSELGRKRKAEEDAALQAKKTRVSDPISTSESSEEEEEAEAETAKATPRLASTNSSVLGADLPSSMKEKAKAETEKAGKTGNSMPHPATGKTVANLLSGKSPRKSAEPSANTTLVSETEEEGSVPAFGAAAKPGMVSAGQADSSSEDTSSSSDETDVEGKPSVKPAQVKASSVSTKESPARKAAPAPGKVGDVTPQVKGGALPPAKRAKKPEEESESSEEGSESEEEAPAGTRSQVKASEKILQVRAASAPAKGTPGKGATPAPPGKAGAVASQTKAGKPEEDSESSSEESSDSEEETPAAKALLQAKASGKTSQVGAASAPAKESPRKGAAPAPPGKTGPAVAKAQAGKREEDSQSSSEESDSEEEAPAQAKPSGKAPQVRAASAPAKESPRKGAAPAPPRKTGPAAAQVQVGKQEEDSRSSSEESDSDREALAAMNAAQVKPLGKSPQVKPASTMGMGPLGKGAGPVPPGKVGPATPSAQVGKWEEDSESSSEESSDSSDGEVPTAVAPAQEKSLGNILQAKPTSSPAKGPPQKAGPVAVQVKAEKPMDNSESSEESSDSADSEEAPAAMTAAQAKPALKIPQTKACPKKTNTTASAKVAPVRVGTQAPRKAGTATSPAGSSPAVAGGTQRPAEDSSSSEESDSEEEKTGLAVTVGQAKSVGKGLQVKAASVPVKGSLGQGTAPVLPGKTGPTVTQVKAEKQEDSESSEEESDSEEAAASPAQVKTSVKKTQAKANPAAARAPSAKGTISAPGKVVTAAAQAKQRSPSKVKPPVRNPQNSTVLARGPASVPSVGKAVATAAQAQTGPEEDSGSSEEESDSEEEAETLAQVKPSGKTHQIRAALAPAKESPRKGAAPTPPGKTGPSAAQAGKQDDSGSSSEESDSDGEAPAAVTSAQVIKPPLIFVDPNRSPAGPAATPAQAQAASTPRKARASESTARSSSSESEDEDVIPATQCLTPGIRTNVVTMPTAHPRIAPKASMAGASSSKESSRISDGKKQEGPATQVSKKNPASLPLTQAALKVLAQKASEAQPPVARTQPSSGVDSAVGTLPATSPQSTSVQAKGTNKLRKPKLPEVQQATKAPESSDDSEDSSDSSSGSEEDGEGPQGAKSAHTLGPTPSRTETLVEETAAESSEDDVVAPSQSLLSGYMTPGLTPANSQASKATPKLDSSPSVSSTLAAKDDPDGKQEAKPQQAAGMLSPKTGGKEAASGTTPQKSRKPKKGAGNPQASTLALQSNITQCLLGQPWPLNEAQVQASVVKVLTELLEQERKKVVDTTKESSRKGWESRKRKLSGDQPAARTPRSKKKKKLGAGEGGEASVSPEKTSTTSKGKAKRDKASGDVKEKKGKGSLGSQGAKDEPEEELQKGMGTVEGGDQSNPKSKKEKKKSDKRKKDKEKKEKKKKAKKASTKDSESPSQKKKKKKKKTAEQTV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSNYPPTVAAQPTTTANPLLQRHQSEQRRRELPKIVETESTSMDITIGQSKQPQFLKSIDELAAFSVAVETFKRQFDDLQKHIESIENAIDSKLESNGVVLAARNNNFHQPMLSPPRNNVSVETTVTVSQPSQEIVPETSNKPEGGRMCELMCSKGLRKYIYANISDQAKLMEEIPSALKLAKEPAKFVLDCIGKFYLQGRRAFTKESPMSSARQVSLLILESFLLMPDRGKGKVKIESWIKDEAETAAVAWRKRLMTEGGLAAAEKMDARGLLLLVACFGVPSNFRSTDLLDLIRMSGSNEIAGALKRSQFLVPMVSGIVESSIKRGMHIEALEMVYTFGMEDKFSAALVLTSFLKMSKESFERAKRKAQSPLAFKEAATKQLAVLSSVMQCMETHKLDPAKELPGWQIKEQIVSLEKDTLQLDKEMEEKARSLSLMEEAALAKRMYNQQIKRPRLSPMEMPPVTSSSYSPIYRDRSFPSQRDDDQDEISALVSSYLGPSTSFPHRSRRSPEYMVPLPHGGLGRSVYAYEHLAPNSYSPGHGHRLHRQYSPSLVHGQRHPLQYSPPIHGQQQLPYGIQRVYRHSPSEERYLGLSNQRSPRSNSSLDPK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAVNEFQVESNISPKQLNNQSVSLVIPRLTRDKIHNSMYYKVNLSNESLRGNTMVELLKVMIGAFGTIKGQNGHLHMMVLGGIEFKCILMKLIEIRPNFQQLNFLLNVKNENGFDSKYIIALLLVYARLQYYYLNGNNKNDDDENDLIKLFKVQLYKYSQHYFKLKSFPLQVDCFAHSYNEELCIIHIDELVDWLATQDHIWGIPLGKCQWNKIYNSDEESSSSESESNGDSEDDNDTSSES", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTSSQFDCQYCTSSLIGKKYVLKDDNLYCISCYDRIFSNYCEQCKEPIESDSKDLCYKNRHWHEGCFRCNKCHHSLVEKPFVAKDDRLLCTDCYSNECSSKCFHCKRTIMPGSRKMEFKGNYWHETCFVCEHCRQPIGTKPLISKESGNYCVPCFEKEFAHYCNFCKKVITSGGITFRDQIWHKECFLCSGCRKELYEEAFMSKDDFPFCLDCYNHLYAKKCAACTKPITGLRGAKFICFQDRQWHSECFNCGKCSVSLVGEGFLTHNMEILCRKCGSGADTDA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGSDKRVSRTERSGRYGSIIDRDDRDERESRSRRRDSDYKRSSDDRRGDRYDDYRDYDSPERERERRNSDRSEDGYHSDGDYGEHDYRHDISDERESKTIMLRGLPITITESDIREMMESFEGPQPADVRLMKRKTGVSRGFAFVEFYHLQDATSWMEANQKKLVIQGKHIAMHYSNPRPKFEDWLCNKCCLNNFRKRLKCFRCGADKFDSEQEVPPGTTESVQSVDYYCDTIILRNIAPHTVVDSIMTALSPYASLAVNNIRLIKDKQTQQNRGFAFVQLSSAMDASQLLQILQSLHPPLKIDGKTIGVDFAKSARKDLVLSDGNRVSAFSVASTAIAAAQWSSTQSQSGEGGSVDYSYLQPGQDGYAQYAQYSQDYQQFYQQQAGGLESDASSASGTAVTTTSAAVVSQSPQLYNQTSNPPGSPTEEAQPSTSTSTQAPAASPTGVVPGTKYAVPDTSTYQYDESSGYYYDPTTGLYYDPNSQYYYNSLTQQYLYWDGEKETYVPAAESSSHQQSGLPPAKEGKEKKEKPKSKTAQQIAKDMERWAKSLNKQKENFKNSFQPVNSLREEERRESAAADAGFALFEKKGALAERQQLIPELVRNGDEENPLKRGLVAAYSGDSDNEEELVERLESEEEKLADWKKMACLLCRRQFPNKDALVRHQQLSDLHKQNMDIYRRSRLSEQELEALELREREMKYRDRAAERREKYGIPEPPEPKRKKQFDAGTVNYEQPTKDGIDHSNIGNKMLQAMGWREGSGLGRKCQGITAPIEAQVRLKGAGLGAKGSAYGLSGADSYKDAVRKAMFARFTEME", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKLQQNCDLELRLFPTSYDSDSSDTTSVVESTSSGNPQPNEESQRITIFYNGKMCFSSDVTHLQARSIISIASREMKTKSSSNGSDPPNKSTSFHHNQLPNPKASMKKSLQSFLQKRKIRIQATSPYHSRR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNHKSKKRIREAKRSARPELKDSLDWTRHNYYESYPLNPAAVPDNVERADALQLSVKEFVERYERPYKPVVLLNAQEGWSAQEKWTLERLKRKYRNQKFKCGEDNDGYSVKMKMKYYIEYMESTRDDSPLYIFDSSYGEHPKRRKLLEDYKVPKFFTDDLFQYAGEKRRPPYRWFVMGPPRSGTGIHIDPLGTSAWNALVQGHKRWCLFPTNTPRELIKVTREEGGNQQDEAITWFNVIYPRTQLPTWPPEFKPLEILQKPGETVFVPGGWWHVVLNLDTTIAITQNFASSTNFPVVWHKTVRGRPKLSRKWYRILKQEHPELAVLADAVDLQESTGIASDSSSDSSSSSSSSSSDSDSECESGSEGDGTTHRRKKRRTCSMVGNGDTTSQDDCVSKERSSSR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVSKDEKPKQSGLFSVESLLETPKQKCREDLPKPTPITPKTPMLIPGLHPMTPYFGAQLDPVMIYFAQTGNRLPIVSSDSSPESCASSPLSMQHSLQWLSSQREDSPTSDDAKIQIGLSKCMLRKHKNNRKPRTPFSTQQLISLERKFQSKQYLSIAERAEFSASLQLTETQVKIWFQNRRAKSKRLQEAEVEKVKFAQASAYAAAAVGGAPDPSSILAFYQPQW", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSVNYAAGLSPYADKGKCGLPEIFDPPEELERKVWELARLVWQSSSVVFHTGAGISTASGIPDFRGPHGVWTMEERGLAPKFDTTFESARPTQTHMALVQLERVGLLRFLVSQNVDGLHVRSGFPRDKLAELHGNMFVEECAKCKTQYVRDTVVGTMGLKATGRLCTVAKARGLRACRGELRDTILDWEDSLPDRDLALADEASRNADLSITLGTSLQIRPSGNLPLATKRRGGRLVIVNLQPTKHDRHADLRIHGYVDEVMTRLMKHLGLEIPAWDGPRVLERALPPLPRPPTPKLEPKEESPTRINGSIPAGPKQEPCAQHNGSEPASPKRERPTSPAPHRPPKRVKAKAVPS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MENTTILTVKDLVNEGIAVTGASSLFSSAASHSSSESTSTNPKSHPGAVDSDFSRKFLTPLNYPTVIFGTVALPSETLKCPNRYCFRFTDGDLTICCDILGFEFRAIGSKICVLSWNFLPMNHSGGFLEIINWKFVDSGSLLSRCSGISSFPLIPSLYSSQNGDRKSRYSVCGVLESISPVSVVPCMDGVSSDSVNLPGFLVHVMACECKVYSRDAIDCGHAFERSVFVYFCGLEAASWHPVVMKLVGRNVALSGLKRKLVYVRGDSLLVFVTTENSVLHPPWLSKKGTVSKTVVDRRGNCGSYRGYVRGLYLKGKLVEMDEDVWLLLTDQILNRSHSIRTGSLIFIRNVHFVNTKFPWGEVLILGACFKTSITVEFFSPFETSCLVDSCRQTSLSLYVESLSFPARLWTLLVRISFEKFNRMPSDKEILRSCQKDELTKMYAESRIPPSMFQPRGGIFTEFCMHESCGCNSEARDCNLKLVMPISSFVHHVKVMLNELLSQIKKDFSASDCLSHSSSTWKRYNNTNPKTLRSEDTGVILLGRLKISSSGRLQLHDRTSSIDVLTPDLLSDRNASRICEVPDYYLIIEGIPESMLHMPFLKNPFRCSSVLNPTPLAIKNTLTVPFSLSLGTASCKHLLKHHPFDWRHDFNEFKEGFFHLFRVTHKFPILKNGHPGMPDCTSVFIEALVLPWDLICTVTEEEAAAPNFEEHDTSQEIRPHKRCKTNNGLQSQSFLSVPHEISCQMTIRCASSHCLVATATLSNLTENKSGKMHSAMRVLLEFIPECSNYYGLQIGGCYLMKHGSDDSFCVGRSGISNNDKINFRPETRLWSLEFSFDEVLTHDGSMDVHPLVSSQPSFAVEQQNVSSRQPCSDVSLLLPYDAKGLFSVFLNDLEGLNKPLAAGKDNNNISCCTQSETIMHAEPSRLLPSNSLFPEGNLATFRGDVVAVDAVTSSVVDVSSSYCINVLVNHQMVKIFGPLRRHSYLTGFGFGTNATFYRILGTGEQNSFVLTSASFIKINSRKALDSPPLEKPTHGAALCLPKITPQEFVPCILAGPACNSFSGNEDNQQIKFACKVLSVYLLVLQTRSDDPSENECRNNIDIPLAGFVVDDGSSTYLCWTSGERAFTILRLHEELPEETIDVVQWTRRYSNWGTTAYHLDQIVRVHKRIVMKCNGSQIDVLFQDITIAVTSDQLLTKSEDKFLKWLILNAISGPIWEVAASSMDMKMIEHLEREQCVEMETSRYNLQSVWGNEVCQVDPLVRAWSLLQGLLNS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVAVRRRRTQASNPRSEPPQHMSDHDSDSDWDTVCEECSSGKQPAKLLLCDKCDKGFHLFCLRPILVSVPKGSWFCPSCSKHQIPKSFPLIQTKIIDFFRIKRSPDSSQISSSSDSIGKKRKKTSLVMSKKKRRLLPYNPSNDPQRRLEQMASLATALRASNTKFSNELTYVSGKAPRSANQAAFEKGGMQVLSKEGVETLALCKKMMDLGECPPLMVVFDPYEGFTVEADRFIKDWTIITEYVGDVDYLSNREDDYDGDSMMTLLHASDPSQCLVICPDRRSNIARFISGINNHSPEGRKKQNLKCVRFNINGEARVLLVANRDISKGERLYYDYNGYEHEYPTEHFV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLCDEKAQKRRKRKAKESGMALPQGHLTFRDVAIEFSQAEWKCLDPAQRALYKDVMLENYRNLVSLGISLPDLNINSMLEQRREPWSGESEVKIAKNSDGRECIKGVNTGSSYALGSNAEDKPIKKQLGVSFHLHLSELELFPDERVINGCNQVENFINHSSSVSCLQEMSSSVKTPIFNRNDFDDSSFLPQEQKVHLREKPYECNEHSKVFRVSSSLTKHQVIHTVEKPYKCNSCGKVFSRNSHLAEHCRIHTGEKPYKCNVCGKVFSYNSNFARHQRIHTREKPYECNECGKVFSNNSYLARHQRIHAEEKPYKCNECGKGFSHKSSLANHWRIYTGEKPYKCDECGKAFYRIALLVRHQKIHTGEKPYKCNECGKVFIQNSHLAQHWRIHTGEKPYKCNECGKVFNQLSNLARHRRIHTGEKPYKCNECGKAFSEYSGLSAHLVIHTGEKPYKCSECGKAFRHKLSLTNHQRIHTGERPYKCNECGKVFNRIAHLARHRKIHTGEKPYKCNECGKAFSRISYLAQHWTIHMG", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MHGGGPPSGDSACPLRTIKRVQFGVLSPDELKRMSVTEGGIKYPETTEGGRPKLGGLMDPRQGVIERTGRCQTCAGNMTECPGHFGHIELAKPVFHVGFLVKTMKVLRCVCFFCSKLLVDSNNPKIKDILAKSKGQPKKRLTHVYDLCKGKNICEGGEEMDNKFGVEQPEGDEDLTKEKGHGGCGRYQPRIRRSGLELYAEWKHVNEDSQEKKILLSPERVHEIFKRISDEECFVLGMEPRYARPEWMIVTVLPVPPLSVRPAVVMQGSARNQDDLTHKLADIVKINNQLRRNEQNGAAAHVIAEDVKLLQFHVATMVDNELPGLPRAMQKSGRPLKSLKQRLKGKEGRVRGNLMGKRVDFSARTVITPDPNLSIDQVGVPRSIAANMTFAEIVTPFNIDRLQELVRRGNSQYPGAKYIIRDNGDRIDLRFHPKPSDLHLQTGYKVERHMCDGDIVIFNRQPTLHKMSMMGHRVRILPWSTFRLNLSVTTPYNADFDGDEMNLHLPQSLETRAEIQELAMVPRMIVTPQSNRPVMGIVQDTLTAVRKFTKRDVFLERGEVMNLLMFLSTWDGKVPQPAILKPRPLWTGKQIFSLIIPGHINCIRTHSTHPDDEDSGPYKHISPGDTKVVVENGELIMGILCKKSLGTSAGSLVHISYLEMGHDITRLFYSNIQTVINNWLLIEGHTIGIGDSIADSKTYQDIQNTIKKAKQDVIEVIEKAHNNELEPTPGNTLRQTFENQVNRILNDARDKTGSSAQKSLSEYNNFKSMVVSGAKGSKINISQVIAVVGQQNVEGKRIPFGFKHRTLPHFIKDDYGPESRGFVENSYLAGLTPTEFFFHAMGGREGLIDTAVKTAETGYIQRRLIKSMESVMVKYDATVRNSINQVVQLRYGEDGLAGESVEFQNLATLKPSNKAFEKKFRFDYTNERALRRTLQEDLVKDVLSNAHIQNELEREFERMREDREVLRVIFPTGDSKVVLPCNLLRMIWNAQKIFHINPRLPSDLHPIKVVEGVKELSKKLVIVNGDDPLSRQAQENATLLFNIHLRSTLCSRRMAEEFRLSGEAFDWLLGEIESKFNQAIAHPGEMVGALAAQSLGEPATQMTLNTFHYAGVSAKNVTLGVPRLKELINISKKPKTPSLTVFLLGQSARDAERAKDILCRLEHTTLRKVTANTAIYYDPNPQSTVVAEDQEWVNVYYEMPDFDVARISPWLLRVELDRKHMTDRKLTMEQIAEKINAGFGDDLNCIFNDDNAEKLVLRIRIMNSDENKMQEEEEVVDKMDDDVFLRCIESNMLTDMTLQGIEQISKVYMHLPQTDNKKKIIITEDGEFKALQEWILETDGVSLMRVLSEKDVDPVRTTSNDIVEIFTVLGIEAVRKALERELYHVISFDGSYVNYRHLALLCDTMTCRGHLMAITRHGVNRQDTGPLMKCSFEETVDVLMEAAAHGESDPMKGVSENIMLGQLAPAGTGCFDLLLDAEKCKYGMEIPTNIPGLGAAGPTGMFFGSAPSPMGGISPAMTPWNQGATPAYGAWSPSVGSGMTPGAAGFSPSAASDASGFSPGYSPAWSPTPGSPGSPGPSSPYIPSPGGAMSPSYSPTSPAYEPRSPGGYTPQSPSYSPTSPSYSPTSPSYSPTSPNYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPNYSPTSPNYTPTSPSYSPTSPSYSPTSPNYTPTSPNYSPTSPSYSPTSPSYSPTSPSYSPSSPRYTPQSPTYTPSSPSYSPSSPSYSPASPKYTPTSPSYSPSSPEYTPTSPKYSPTSPKYSPTSPKYSPTSPTYSPTTPKYSPTSPTYSPTSPVYTPTSPKYSPTSPTYSPTSPKYSPTSPTYSPTSPKGSTYSPTSPGYSPTSPTYSLTSPAISPDDSDEEN", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEFETHEDAYLFYKDYAKSVGFGTAKLSSRRSRASKEFIDAKFSCIRYGSKQQSDDAINPRASPKIGCKASMHVKRRPDGKWYVYSFVKEHNHDLLPEQAHYFRSHRNTELVKSNDSRLRRKKNTPLTDCKHLSAYHDLDFIDGYMRNQHDKGRRLVLDTGDAEILLEFLMRMQEENPKFFFAVDFSEDHLLRNVFWVDAKGIEDYKSFSDVVSFETSYFVSKYKVPLVLFVGVNHHVQPVLLGCGLLADDTVYTYVWLMQSWLVAMGGQKPKVMLTDQNNAIKAAIAAVLPETRHCYCLWHVLDQLPRNLDYWSMWQDTFMKKLFKCIYRSWSEEEFDRRWLKLIDKFHLRDVPWMRSLYEERKFWAPTFMRGITFAGLSMRCRSESVNSLFDRYVHPETSLKEFLEGYGLMLEDRYEEEAKADFDAWHEAPELKSPSPFEKQMLLVYSHEIFRRFQLEVLGAAACHLTKESEEGTTYSVKDFDDEQKYLVDWDEFKSDIYCSCRSFEYKGYLCRHAIVVLQMSGVFTIPINYVLQRWTNAARNRHQISRNLELVQSNIRRFNDLCRRAIILGEEGSLSQESYDIAMFAMKEAFKQCAVTINTIKHPARCEEAAIQAGDPVQEENQYGSTSTQIGPEPNIHAGNVPWQAETRREKRSSLNNTSKKAKHVAQSETVGEGSQEGFQHVADPRQSQAVLAGQFHNTMPGVFQNLINTNFQNIPATNMHQNNPPG", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MADGYWNQQRQQHHPPGGPMKRPRSDFEAPSSTMTIGHGGGYYPRDEDLDVPDTRTIGSAYDRYLQSVQSGEGGSVSMGRSGGGGGGGGGNVQTIDDFMLRRGGVLPLDHGPNGHTIGFDPPEPVGRRNLPSDASNTLYVEGLPSNCSRREVAHIFRPFVGYREVRLVTKDSKHRNGDPIVLCFVDFTNPACAATALSALQGYRMDENESDSKFLRLQFSRKPGSRPGQRGRR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLSSAKHQRNHRLSATNKNQTLTKVSSISSSSPSSSSSSSSTSSSSPLPSQDSQAQKRSLVTMEEVWNDINLASIHHLNRHSPHPQHNHEPRFRGQNHHNQNPNSIFQDFLKGSLNQEPAPTSQTTGSAPNGDSTTVTVLYSSPFPPPATVLSLNSGAGFEFLDNQDPLVTSNSNLHTHHHLSNAHAFNTSFEALVPSSSFGKKRGQDSNEGSGNRRHKRMIKNRESAARSRARKQAYTNELELEVAHLQAENARLKRQQDQLKMAAAIQQPKKNTLQRSSTAPF", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDRNILRSAREDPHRTATDIQMIISSPNEPVPSKRTVRRRLQQAGLHGRKPVKKPFISKKNRMARVAWAKAHLRWGRQEWAKHIWSDESKFNLFGSDGNSWVRRPVGSRYSPKYQCPTVKHGGGSVMVWGCFTSTSMGPLRRIQSIMDRFQYENIFETTMRPWALQNVGRGFVFQQDNDPKHTSLHVRSWFQRRHVHLLDWPSQSPDLNPIEHLWEELERRLGGIRASNADAKFNQLENAWKAIPMSVIHKLIDSMPRRCQAVIDANGYATKY", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAQDIFMDPWQSATSFAMEDEDMGMPSGKYARMEDEMGENKERFARENHSEIERRRRNKMTHYINELAEMVPQCASLGRKPDKLTILRMAVSHMKGIRGHTAQDETSYKPSFLTDQELKHLILEAANGFLFVVCCQTGKVLYVADSITPVLNLKQEDWLQRNLNELIHPDDQDKIRDQLCGSEVSVNKVLDLKSGSVKREGASTRVHMSCRRGFICRMRVGALEPLHRLRNRRPLFQHAGQNYVVMHCTGYIKNAPPQGINAPASSCLVAIARLQVASMPVCADPTSTNQFSVRVSEDGKMTFIDARVSDLIGLSSDQLIGRYWWNLAHPADEKTLQDSFVALLSDQPMRINIRVRTSTDYIPCTVSAYKFMNPYSEQFEYVVATHQIAPQEDINNWVTAPTVPQPQASEFGELGGAPSAVDYGQSSSGGWRPEAQGAPQAQWQWDPMNGYNQ", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAVKHKSESLKHEEGAAKKAKTGLLKLKKIMDIESNVVKYSICIPTTVIDNCNNLEQVTFTAYQIARTAVLFNVQEIIVLDQSKDKKHEKKSRSKETISDCLLLATLLQYFVTPPNLLDTTFKKKNKLYLKCASTFPPLNQLPFMNASAEQHYKEGLSIARDSSKGKSDDALTNLVYIGKNQIITLSNQNIPNTARVTVDTERKEVVSPIDAYKGKPLGYHVRMASTLNEVSEGYTKIVWVNSGDFHYDEELSKYHKVETKLPYIAKLKKSSTSEKPCNILLIFGKWGHLKRCFRRSDLESSSLHHYFSGQLQFPASVPQGNIPIQDSLPIALTMFQRWAS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MERSESVDEDVQASAYLENDEVRERDDPMSDTLRIGEEALVCSSEHEIDVGFCQNEERKSEEETMGGFDEQSGLLVDERKEFDAPAVGMEFESYDDAYNYYNCYASEVGFRVRVKNSWFKRRSKEKYGAVLCCSSQGFKRINDVNRVRKETRTGCPAMIRMRQVDSKRWRVVEVTLDHNHLLGCKLYKSVKRKRKCVSSPVSDAKTIKLYRACVVDNGSNVNPNSTLNKKFQNSTGSPDLLNLKRGDSAAIYNYFCRMQLTNPNFFYLMDVNDEGQLRNVFWADAFSKVSCSYFGDVIFIDSSYISGKFEIPLVTFTGVNHHGKTTLLSCGFLAGETMESYHWLLKVWLSVMKRSPQTIVTDRCKPLEAAISQVFPRSHQRFSLTHIMRKIPEKLGGLHNYDAVRKAFTKAVYETLKVVEFEAAWGFMVHNFGVIENEWLRSLYEERAKWAPVYLKDTFFAGIAAAHPGETLKPFFERYVHKQTPLKEFLDKYELALQKKHREETLSDIESQTLNTAELKTKCSFETQLSRIYTRDMFKKFQIEVEEMYSCFSTTQVHVDGPFVIFLVKERVRGESSRREIRDFEVLYNRSVGEVRCICSCFNFYGYLCRHALCVLNFNGVEEIPLRYILPRWRKDYKRLHFADNGLTGFVDGTDRVQWFDQLYKNSLQVVEEGAVSLDHYKVAMQVLQESLDKVHSVEEKQD", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSTGQAPEKSNFSQRCSLLSRYLKEKGSFGNINMGLARKSDLELAGKFDLKGQQNVIKKVETSETRPFKLIQKFSIGEASTSTEDKAIYIDLSEPAKVAPESGNSQLTIFFGGKVMVFNEFPEDKAKEIMEVAKEANHVAVDSKNSQSHMNLDKSNVVIPDLNEPTSSGNNEDQETGQQHQVVERIARRASLHRFFAKRKDRAVARAPYQVNQHGSHLPPKPEMVAPSIKSGQSSQHIATPPKPKAHNHMPMEVDKKEGQSSKNLELKL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAKKKKDTKHTRLCEATGAWATKVLEDFRASGNDSYVFEQQLTNSERGIIHQMCRTMGLRSKSNGSGEERRLSLFKGDGISKSDKRRMYEARNQKEKEGDGISKSYSKHRYETRFQKAGGIRKTRISPKKLKCVSFPPEAKAVLHDLFTRYPPCDGDTTGTSLGIYTTGNVNSNWKDDFFKKPHMTKHDIENNVVSLSSRLKKERHFREIFEARSKLPIASFRDAIISAVESNQVVLIAGETGCGKTTQVPQYLLDHMWHSKKEACKIICTQPRRISAISVSDRISWERGETIGRTVGYKVRLQSEGGRESSVVFCTNGILLRVLIGKGVNSSVPDITHIIVDEIHERDSYSDFMLMILRDLLPSNPHLRLILMSATLDAERFSEYFGGCPVVRVPGFTYPVRTFFLDDALSVLNSDKNSHLLSAVKRDFKDEDKVSLDEAIDLAWTNDEFDCLVDLVSSEGSHEAYNYQNSTTGLTPLMVFAGKGRVSDVCKLLSVGADCTLKSKEGITALELAEKENQFETAQIIREHAGNIQSNSQQAQDLLDKYMATIKPEEVDVGLIVKLMKKICSDSKDGAILVFLPGWEEISKTKEKLLDDRFFAHSAKFIILCLHSRVPAEEQKKVFNRPPRGCRKIVLATNIAESAVTIDDVVYVIDSGRMKEKSYDPYNDVSTLQSSWVSKANAKQRAGRAGRCQAGICYHLYSKLRAASLPEYRVPEVMRMPVDELCLQVKMLDPNCNVNDFLQKLMDPPVAQSIENALIILKDIGALTPEEELTELGQKFGQLPVHPRISKMIYFAILVNCLDPALILACAADEKDPFTMPLSPGDRKKAAAAKHELASLYGDHSDHLATVAAFQCWKNAKASGQAKEFCSKYFISQVVMKRLDDLCRKLQGELNRHGVIPSSSSNCSLNAHDPGILRAVIAVGLYPMLGRMCPLSKNRTRSVIETIAGAKVRVPSLSNNVDMSSTKFDEALIVFDEITRGDWGVVIRSCTVLPTIPVLLFSREIAVSTTESYDAVKSDDEEDHKVGNVGDAMDIDKEVGRPGEKIMLGPENSVKVVVDRWLPFKVTAFEIAQMYILRERLMASILFKVKHPKENLPPHLGASMYAIASVLSYDSLAQSSVQTVAVQPITSVVDATSPRDDIPSTNPNELREHDPNTTPMGSKLELANKLGLGNMEESLPSNFADGNEQPDPNTSPVEDVSAATKQKKMQSESKRCKSLNNVDLGNIEENFGNMEENPPSDLAIGNEQTLPKLASNLDMGNMEENTPSDLANGNEKTEPNSANSMDLGNMEENTPSDLANGNKKKEPKSVSKLDLGSEKVSIPSNLVNGNEQHDLNIAPGEDASAAKQPEKKRSRSKKRKSGNNLDLGKMEKSKPSDLANENEQTEPKSANNLDLGNMKENTPSDLANENEQTELRLPNNSDYGNMEESLPLNLANGDEQPDPTTAPMEAAKQPKKKRSRSKKCKSVNNLDLGNMEENKPSDLANGNEQKDPESVNRLDPGKEKESIPSNLVSGNEQPDSNTAPAKKPKKKKRKLANNFDSVNNMEEKMPSTNVLSQGNKSGLIEEKPSIPSDQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPVEEPLATLSSIPDSSADQAPPLIADEFTLDLPRIPSLELPLNVSTKHSSIQKAIKMCGGIEKVKEAFKEHGPIESQHGLQLYLNDDTDSDGSKSYFNEHPVIGKRVPFRDESVILKVTMPKGTLSKNNNSVKDSIKSLKDSNKLRVTPVSIVDNTIKFREMSDFQIKLDNVPSAREFKSSFGSLEWNNFKSFVNSVPDNDSQPQENIGNLILDRSVKIPSTDFQLPPPPKLSMVGFPLLYKYKANPFAKKKKNGVTEVKGTYIKNYQLFVHDLSDKTVIPSQAHEQVLYDFEVAKKTKVYPGTKSDSKFYESLEECLKILRELFARRPIWVKRHLDGIVPKKIHHTMKIALALISYRFTMGPWRNTYIKFGIDPRSSVEYAQYQTEYFKIERKLLSSPIVKKNVPKPPPLVFESDTPGGIDSRFKFDGKRIPWYLMLQIDLLIGEPNIAEVFHNVEYLDKANELTGWFKELDLVKIRRIVKYELGCMVQGNYEYNKYKLKYFKTMLFVKESMVPENKNSEEGMGVNTNKDADGDINMDAGSQMSSNAIEEDKGIAAGDDFDDNGAITEEPDDAALENEEMDTDQNLKVPASIDDDVDDVDADEEEQESFDVKTASFQDIINKIAKLDPKTAETMKSELKGFVDEVDL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "METNSSGEDLVIKTRKPYTITKQRERWTEEEHNRFIEALRLYGRAWQKIEEHVATKTAVQIRSHAQKFFSKVEKEAEAKGVAMGQALDIAIPPPRPKRKPNNPYPRKTGSGTILMSKTGVNDGKESLGSEKVSHPEMANEDRQQSKPEEKTLQEDNCSDCFTHQYLSAASSMNKSCIETSNASTFREFLPSREEGSQNNRVRKESNSDLNAKSLENGNEQGPQTYPMHIPVLVPLGSSITSSLSHPPSEPDSHPHTVAGDYQSFPNHIMSTLLQTPALYTAATFASSFWPPDSSGGSPVPGNSPPNLAAMAAATVAAASAWWAANGLLPLCAPLSSGGFTSHPPSTFGPSCDVEYTKASTLQHGSVQSREQEHSEASKARSSLDSEDVENKSKPVCHEQPSATPESDAKGSDGAGDRKQVDRSSCGSNTPSSSDDVEADASERQEDGTNGEVKETNEDTNKPQTSESNARRSRISSNITDPWKSVSDEGRIAFQALFSREVLPQSFTYREEHREEEQQQQEQRYPMALDLNFTAQLTPVDDQEEKRNTGFLGIGLDASKLMSRGRTGFKPYKRCSMEAKESRILNNNPIIHVEQKDPKRMRLETQAST", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAAPEQPLAISRGCTSSSSLSPPRGDRTLLVRHLPAELTAEEKEDLLKYFGAQSVRVLSDKGRLKHTAFATFPNEKAAIKALTRLHQLKLLGHTLVVEFAKEQDRVHSPCPTSGSEKKKRSDDPVEDDKEKKELGYLTVENGIAPNHGLTFPLNSCLKYMYPPPSSTILANIVNALASVPKFYVQVLHLMNKMNLPTPFGPITARPPMYEDYMPLHAPLPPTSPQPPEEPPLPDEDEELSSEESEYESTDDEDRQRMNKLMELANLQPKRPKTIKQRHVRKKRKIKDMLNTPLCPSHSSLHPVLLPSDVFDQPQPVGNKRIEFHISTDMPAAFKKDLEKEQNCEEKNHDLPATEVDASNIGFGKIFPKPNLDITEEIKEDSDEMPSECISRRELEKGRISREEMETLSVFRSYEPGEPNCRIYVKNLAKHVQEKDLKYIFGRYVDFSSETQRIMFDIRLMKEGRMKGQAFIGLPNEKAAAKALKEANGYVLFGKPMVVQFARSARPKQDPKEGKRKC", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVHCAGCKRPILDRFLLNVLDRAWHVKCVQCCECKCNLTEKCFSREGKLYCKNDFFRCFGTKCAGCAQGISPSDLVRRARSKVFHLNCFTCMMCNKQLSTGEELYIIDENKFVCKEDYLSNSSVAKENSLHSATTGSDPSLSPDSQDPSQDDAKDSESANVSDKEGGSNENDDQNLGAKRRGPRTTIKAKQLETLKAAFAATPKPTRHIREQLAQETGLNMRVIQVWFQNRRSKERRMKQLSALGARRHAFFRSPRRMRPLVDRLEPGELIPNGPFSFYGDYQSEYYGPGGNYDFFPQGPPSSQAQTPVDLPFVPSSGPSGTPLGGLDHPLPGHHPSSEAQRFTDILAHPPGDSPSPEPSLPGPLHSMSAEVFGPSPPFSSLSVNGGASYGNHLSHPPEMNEAAVW", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVHCAGCKRPILDRFLLNVLDRAWHVKCVQCCECKCNLTEKCFSREGKLYCKNDFFRCFGTKCAGCAQGISPSDLVRRARSKVFHLNCFTCMMCNKQLSTGEELYIIDENKFVCKEDYLSNSSVAKENSLHSATTGSDPSLSPDSQDPSQDDAKDSESANVSDKEGGSNENDDQNLGAKRRGPRTTIKAKQLETLKAAFAATPKPTRHIREQLAQETGLNMRVIQVWFQNRRSKERRMKQLSALGARRHAFFRSPRRMRPLVDRLEPGELIPNGPFSFYGDYQSEYYGPGGNYDFFPQGPPSSQAQTPVDLPFVPSSGPSGTPLGGLDHPLPGHHPSSEAQRFTDILAHPPGDSPSPEPSLPGPLHSMSAEVFGPSPPFSSLSVNGGASYGNHLSHPPEMNEAAVW", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MMFPQSRHSGSSHLPQQLKFTTSDSCDRIKDEFQLLQAQYHSLKLECDKLASEKSEMQRHYVMYYEMSYGLNIEMHKQAEIVKRLNGICAQVLPYLSQEHQQQVLGAIERAKQVTAPELNSIIRQQLQAHQLSQLQALALPLTPLPVGLQPPSLPAVSAGTGLLSLSALGSQTHLSKEDKNGHDGDTHQEDDGEKSD", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGGPAYDCLTNPLGAVRFSFVNALSSGYDPASSVGKDWGVVDLFRHYFSDESAISQVPILDSSSIKWVQPKTLVRFRGMIQDMLGNEFYAGAYKDDSTWRTNKYSDVSQFPEGSSTEIQVWERRLLYCVPVPGKNQWTECSSQELKNRFLDLTGQNREKRVRVDEEMTDSMDSSTLEAGRNGSPFKKMKVGEATSSASESQVPQTSGIPPATSADSLPCLVKIYDSPESDLKLNDVVEFLGVLTFDPIVMMDTDTLDENSDALSEAESVQMPSGKVPRLHCLIHRKLETQHFLHGSSLLPEPKSPQIFKEIRESLMKYLTGLLGNDHIAAQFLLLHLLSKVHGRVDNVAVGKLSLNLIHLNKESMSIFGTQLSGALKSLLPFTQSIPLTIEYLNTASFGPKKDYGINRLMPGVLQIADGTHLILDETELQPGTLNSVGVENANLLKNLLECQKVEYDFQYYKMEMATDVQMLIFSEGKSNIMPADLVLPLQPSQVNSLEVITPETAETWRCYLATCKSLSHSIGQELQQVVENDLVAARQTDRSLGSQDLSRLLTMARMMSVSYGETTLSLEHWQMVLELERLRKERLK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MADYWKSIPKYYCKYCQIFVKDTPFARRSHEQTYKHQDAIKKVMDDIHRSNLLRQELEKNLSIPKSATATTASAVSSELASYEKPKKEHPKLRPSKKKATLDDWDIPTSSTETDTISTTHTSYIPTLLAKQEENEETKETTTNKNESLPGTSLKRNREIIEKEERSSFHFRVKPKNLDKVPKLAENEGNKSLESKESNENKVVFKKKKSGKLRTKSSLKEYDQS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPESSRDKGNAAISGNRSVLSIASPTKLNILSSDWSRNQGKVSKNSLKRSSSLNIRNSKRPSLQASANSIYSRPKITIGAPPLIRRDSSFFKDEFDAKKDKATFSAYSSRSYPTIGSESVVSQTSLSQPTTSREVDEQFTVAADRYIPILQGASQNKVDPETLHEALPPPNASPISHLRAQTKIVFKQNVAEACGLDMNKRILQYMPEPPKCSSLRQKSYIMKKRTHYSYQQEQKIPDLIKLRKINTNPERILDAPGFQDDFYLNLLSWSKKNVLAIALDTALYLWNATTGDVSLLTDFENTTICSVTWSDDDCHISIGKEDGNTEIWDVETMSLIRTMRSGLGVRIGSLSWLDTLIATGSRSGEIQINDVRIKQHIVSTWAEHTGEVCGLSYKSDGLQLASGGNDNTVMIWDTRTSLPQFSKKTHTAAVKALSWCPYSPNILASGGGQTDKHIHFWNSITGARVGSINTGSQVSSLHWGQSHTSTNGGMMNKEIVATGGNPENAISVYNYETKFKVAEVVHAHEARICCSQLSPDGTTLATVGGDENLKFYKIFDPRCTGRSREDGLMDGMLGLIGKEGCRTNDKENRSKNSSEIHTRRPSSTSQYLIR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVSSQPKYDLIREVGRGSYGVVYEAVIRKTSARVAVKKIRCHAPENVELALREFWALSSIKSQHPNVIHLEECILQKDGMVQKMSHGSNSSLYLQLVETSLKGEIAFDPRSAYYLWFVMDFCDGGDMNEYLLSRKPNRKTNTSFMLQLSSALAFLHKNQIIHRDLKPDNILISQTRLDTSDLEPTLKVADFGLSKVCSASGQNPEEPVSVNKCFLSTACGTDFYMAPEVWEGHYTAKADIFALGIIIWAMLERITFIDTETKKELLGSYVKQGTEIVPVGEALLENPKMELLIPVKKKSMNGRMKQLIKEMLAANPQDRPDAFELELRLVQIAFKDSSWET", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTQSLGIEQYKLSVVSGGKPALNNLSSVTGNKNIARLSQDQRNYIIPFNNQIKVYSVETRQCVKTLKFANNSLLSGIFLQEEENNESIVKILLGDITVPQQEDAHLITVFTNNGHVIVLNYKGKLVESPKHFKISLADEKLANVFHSEGNYRILTTFKDPSQKAHNSLQSYRLYALTFDDAKKQFEVAHQAEWHNVILSNISSNGKLLAHMCKDVSTKDHEHKSISVVSLFDDSVNLSFPLGSILSSQTQSLSYNTRYVSSMAIDNMGQQLAVGFASGVISIVSLADLQIRLLKWHIDSVLSLSFSHDGSYLLSGGWEKVMSLWQLETNSQQFLPRLNGIIIDCQVLGPQGNYYSLILQMTENNSNSDYQFLLLNASDLTSKLSINGPLPVFNSTIKHIQQPISAMNTKNSNSITSLNHSKKKQSRKLIKSRRQDFTTNVEINPINKNLYFPHISAVQIFDFYKNEQVNYQYLTSGVNNSMGKVRFELNLQDPIITDLKFTKDGQWMITYEIEYPPNDLLSSKDLTHILKFWTKNDNETNWNLKTKVINPHGISVPITKILPSPRSVNNSQGCLTADNNGGLKFWSFDSHESNWCLKKISLPNFNHFSNSVSLAWSQDGSLIFHGFDDKLQILDFDTFKKFESLENTKTVSEFTLDSEIQTVKLINDTNLIVATRTTLNAINLLRGQVINSFDLYPFVNGVYKNGHMDRLITCDERTGNIALVINQQLTDLDGVPTINYKSRIIIFDSDLSTKLGNFTHHEYISWIGWNYDTDFIFLDIESTLGVVGTTVNTQLSDEVNNEGILDGLVSNTITTSASNSDIFAEQLHKLSSRGKKSDTRDKNTNDNDEDEEDIALEFINGEKKDKLVNMNSFTSMFDNIQNVQMDTFFDRVMKVLT", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGSEDHGAQNPSCKIMTFRPTMEEFKDFNKYVAYIESQGAHRAGLAKIIPPKEWKPRQTYDDIDDVVIPAPIQQVVTGQSGLFTQYNIQKKAMTVGEYRRLANSEKYCTPRHQDFDDLERKYWKNLTFVSPIYGADISGSLYDDDVAQWNIGSLRTILDMVERECGTIIEGVNTPYLYFGMWKTTFAWHTEDMDLYSINYLHFGEPKSWYAIPPEHGKRLERLAIGFFPGSSQGCDAFLRHKMTLISPIILKKYGIPFSRITQEAGEFMITFPYGYHAGFNHGFNCAESTNFATLRWIDYGKVATQCTCRKDMVKISMDVFVRILQPERYELWKQGKDLTVLDHTRPTALTSPELSSWSASRASLKAKLLRRSHRKRSQPKKPKPEDPKFPGEGTAGAALLEEAGGSVKEEAGPEVDPEEEEEEPQPLPHGREAEGAEEDGRGKLRPTKAKSERKKKSFGLLPPQLPPPPAHFPSEEALWLPSPLEPPVLGPGPAAMEESPLPAPLNVVPPEVPSEELEAKPRPIIPMLYVVPRPGKAAFNQEHVSCQQAFEHFAQKGPTWKEPVSPMELTGPEDGAASSGAGRMETKARAGEGQAPSTFSKLKMEIKKSRRHPLGRPPTRSPLSVVKQEASSDEEASPFSGEEDVSDPDALRPLLSLQWKNRAASFQAERKFNAAAARTEPYCAICTLFYPYCQALQTEKEAPIASLGKGCPATLPSKSRQKTRPLIPEMCFTSGGENTEPLPANSYIGDDGTSPLIACGKCCLQVHASCYGIRPELVNEGWTCSRCAAHAWTAECCLCNLRGGALQMTTDRRWIHVICAIAVPEARFLNVIERHPVDISAIPEQRWKLKCVYCRKRMKKVSGACIQCSYEHCSTSFHVTCAHAAGVLMEPDDWPYVVSITCLKHKSGGHAVQLLRAVSLGQVVITKNRNGLYYRCRVIGAASQTCYEVNFDDGSYSDNLYPESITSRDCVQLGPPSEGELVELRWTDGNLYKAKFISSVTSHIYQVEFEDGSQLTVKRGDIFTLEEELPKRVRSRLSLSTGAPQEPAFSGEEAKAAKRPRVGTPLATEDSGRSQDYVAFVESLLQVQGRPGAPF", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVGKSKNRAHKNIRARSCLRCRRRKVKCDRQYPCSRCKESEESCTYGVNEQAVQLLEEPLSRPITRETDSSAHQETRTRLEENNLPKTQKFGFVDWKTILKSSAEFQGIVQRDPESRLREALETDPKLKKRLECILETIPPWDVCESLLKVYANTFNVTNYILDFEQADKLLSDLKNSNHVFATSIILIVTAIAVALSLESFPSNIERYFSAVNHSAIELSDALNSKIDDFLNEEVIFRLWRNIDRIRLHAIRAQLCMRNQFRSMNTDLCYAIHYACFVNPIFQNTDTEYEANMEVWLSICEIDALECVLRSCQPWVQHDIYGKLLSQRKMGSDVISYEFHSLLGQLLTCGLEIYKAIHTSTVNEFVNSIQFYESQLSLVLMEIESKFSNIDGSDIHFRYLFLKTVFWTVRKNLYQGFITVSRTLVPNYPDIVQKLGQTSIQLSRLISNSMDCFEKYGWLKAMLILVTHTFLIIHVCSERGYDVPKDFWNVTASVQATLEEKKYPGIVWERIHYVLNIYTTINSVEPELSEDHGDLDDQNLFQVFTDIFDFNFNFPLPNL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAPTNLTKKPSQYKQSSRKGKKAWRKNIDLSDVEQYMEKKIDHEITHGTSDITSLQNDALFHVDVEGDEILKNKLIKRKQIKKVLKSKEILDAVKTNSKIAALNHHKNSSGNPNKIQGVSKHELKKLMALAGRVHGESKIKNRVAKDGLVKTTAGDLWGEESNSKKQKVKLPSGIKLDVEKKDQIPEELLKKSTTSWSTASVRPSTLDIEPIAVKEFTEIPHAGKSYNPNNKAWSELINKEYKEEKAREDERIALEKYKERIRHLMETLDDNEEEESSSNEEEEEEEEENENENESTQCSGSDKEIKLSINKPVKNKKKTKYQRNKAKRHEEKVKLQQELKELRQRVKDLEEVINSEETEILSAIESDSNKVKKSKKNKKHKLGTKYSVIDERLEIKFSDELSDSLRKLKPEGNLLYDTVRKLQSSGKVETRVPVRKGRKYKQKITEKWTHKDFK", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPTPVTTARQCLTEETARALDDAVSVARRRSHAQTTSLHAVSGLLTMPSSILREVCISRAAHNTPYSSRLQFRALELCVGVSLDRLPSSKSTPTTTVEEDPPVSNSLMAAIKRSQATQRRHPETYHLHQIHGNNNTETTSVLKVELKYFILSILDDPIVSRVFGEAGFRSTDIKLDVLHPPVTSQFSSRFTSRSRIPPLFLCNLPESDSGRVRFGFPFGDLDENCRRIGEVLARKDKKNPLLVGVCGVEALKTFTDSINRGKFGFLPLEISGLSVVSIKISEVLVDGSRIDIKFDDLGRLKSGMVLNLGELKVLASDVFSVDVIEKFVLKLADLLKLHREKLWFIGSVSSNETYLKLIERFPTIDKDWNLHLLPITSSSQGLYPKSSLMGSFVPFGGFFSSTSDFRIPSSSSMNQTLPRCHLCNEKYEQEVTAFAKSGSMIDDQCSEKLPSWLRNVEHEHEKGNLGKVKDDPNVLASRIPALQKKWDDICQRIHQTPAFPKLSFQPVRPQFPLQLGSSSQTKMSLGSPTEKIVCTRTSESFQGMVALPQNPPHQPGLSVKISKPKHTEDLSSSTTNSPLSFVTTDLGLGTIYASKNQEPSTPVSVERRDFEVIKEKQLLSASRYCKDFKSLRELLSRKVGFQNEAVNAISEIVCGYRDESRRRNNHVATTSNVWLALLGPDKAGKKKVALALAEVFCGGQDNFICVDFKSQDSLDDRFRGKTVVDYIAGEVARRADSVVFIENVEKAEFPDQIRLSEAMRTGKLRDSHGREISMKNVIVVATISGSDKASDCHVLEEPVKYSEERVLNAKNWTLQIKLADTSNVNKNGPNKRRQEEAETEVTELRALKSQRSFLDLNLPVDEIEANEDEAYTMSENTEAWLEDFVEQVDGKVTFKLIDFDELAKNIKRNILSLFHLSFGPETHLEIENDVILKILAALRWSSDEEKTFDQWLQTVLAPSFAKARQKCVPAAPFSVKLVASRESPAEEETTGIQQFPARVEVI", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKTVKEKKECQRLRKSAKTRRVTQRKPSSGPVCWLCLREPGDPEKLGEFLQKDNISVHYFCLILSSKLPQRGQSNRGFHGFLPEDIKKEAARASRKICFVCKKKGAAINCQKDQCLRNFHLPCGQERGCLSQFFGEYKSFCDKHRPTQNIQHGHVGEESCILCCEDLSQQSVENIQSPCCSQAIYHRKCIQKYAHTSAKHFFKCPQCNNRKEFPQEMLRMGIHIPDRDAAWELEPGAFSDLYQRYQHCDAPICLYEQGRDSFEDEGRWCLILCATCGSHGTHRDCSSLRSNSKKWECEECSPAAATDYIPENSGDIPCCSSTFHPEEHFCRDNTLEENPGLSWTDWPEPSLLEKPESSRGRRSYSWRSKGVRITNSCKKSK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVMGGEASMELDQCFQKMKMEGISIKEWKDIPVELLMRILSLVDDRNVIVASGVCTGWRDAISFGLTRLRLSWCNNNMNSLVLSLVPKFVKLQTLNLRQDKPQLEDNAVEAIANHCHELQELDLSKSLKITDRSLYALAHGCPDLTKLNLSGCTSFSDTAIAYLTRFCRKLKVLNLCGCVKAVTDNALEAIGNNCNQMQSLNLGWCENISDDGVMSLAYGCPDLRTLDLCGCVLITDESVVALADWCVHLRSLGLYYCRNITDRAMYSLAQSGVKNKPGSWKSVKKGKYDEEGLRSLNISQCTALTPSAVQAVCDSFPALHTCSGRHSLVMSGCLNLTTVHCACILQAHRAHNAVPHPAH", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MWCLERLRLGPECLRRSGDWLLPGRARGAKSRTTAACANVLTPDRIPEFCIPPRLMPRLALAALRNSWVEEAGMDEGAGRTDWDPRSQAALSLPHLPRVRTAYGFCALLESPHTRRKESLLLGGPPAPRPRAHTYGGGGGPDALLGTLRVPRAPGPATPAAPGCPRPPQDALARRPRGCRLLRVPDGLLSRALRAGRSRRLTRVRSVSSGNEDKERRAGSQSPARAPSTSPPSSRVPFPERLEAEGTVALGRAGDALRLAAEYCPGTGRLRLRLLRAESPAGGAPGPRAVSCRLSLVLRPPGTALRQCSTVVGRSRKASFDQDFCFDGLSEDEVRRLAVRVKARDEGRGRERGRLLGQGELSLGALLLL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MIPSQSFECLYRVPTPRNQPRFQQRRPRNEVNPLYFWPAFRQYRNRQAHKDTQKDKGGVWRRPELEDAFVDSVLLMPHMGRRKFSMGGKLHGRNMLISEYIFTICVAILGSKEIFRIDNSNDSIEQMGRKQVSSHMQVVKKFFKDLRCFHFLFPAEEKKEPGSTNSDDYYDEEEQESFKSNPVLTALAEGRVPDVKPNYEYFSQLLALQSLISVRPKTAEVYVSSSEVKFRDEIAYDAQDAPLDTESFPHLNKYNNCDDSPSVSARMCYFMSTPDLWIEPLLPVSRRLPADGRRMRPRSTRLSSSPPVMRSVCSLEMCATLELHEHARFPSGSELTGFVEVAITNPNLQSHRWKCVTRLTRPSELHSDDKKSSVYTNETGIHRRGCSDSKPDCDCHSRPRQDIHVPFPAVEWASILSMAVQYPDVEHQRKKEKRTKGDDRKNLDRAGSKRKRSEDDGDAASWARRDLTGSDLICKVAMYQELWSCAPDSNRWVRQGIVFWRFNTTNQWYKYNPVFKPAGTSWRWLTVNDPMSRYHQQKALVYPSASMSLDSIMSPTPSINQHMTAAMNETFSSAWDPSVSLAQVPNATATNNGLTLFESFSGGLATPPPTAGLQGSYSGSFDHGMPPSTGVGFIPSTCSTAGESHPGTGHGHSHSAAYYDAQTTLADLKPVMSTVNPYQSPTTSSGLDLSSSLVYDNAECDTGLQGWDMPALDGWSTGAGSGSEWGSHHKVEPSSDQTALWTQSQWAQMAGDRDGSPRPMKRRRGDGIDSHIPPTMTAAAGGW", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAAGKSGGSAGEITFLEALARSESKRDGGFKNNWSFDHEEESEGDTDKDGTNLLSVDEDEDSETSKGKKLNRRSEIVANSSGEFILKTYVRRNKSESFKTLKGNPIGLNMLSNNKKLSENTQNTSLCSGTVVHGRRFHHAHAQIPVVKTAAQSSLDRKERKEYPPHVQKVEINPVRLSRLQGVERIMKKTEESESQVEPEIKRKVQQKRHCSTYQPTPPLSPASKKCLTHLEDLQRNCRQAITLNESTGPLLRTSIHQNSGGQKSQNTGLTTKKFYGNNVEKVPIDIIVNCDDSKHTYLQTNGKVILPGAKIPKITNLKERKTSLSDLNDPIILSSDDDDDNDRTNRRESISPQPADSACSSPAPSTGKVEAALNENTCRAERELRSIPEDSELNTVTLPRKARMKDQFGNSIINTPLKRRKVFSQEPPDALALSCQSSFDSVILNCRSIRVGTLFRLLIEPVIFCLDFIKIQLDEPDHDPVEIILNTSDLTKCEWCNVRKLPVVFLQAIPAVYQKLSIQLQMNKEDKVWNDCKGVNKLTNLEEQYIILIFQNGLDPPANMVFESIINEIGIKNNISNFFAKIPFEEANGRLVACTRTYEESIKGSCGQKENKIKTVSFESKIQLRSKQEFQFFDEEEETGENHTIFIGPVEKLIVYPPPPAKGGISVTNEDLHCLNEGEFLNDVIIDFYLKYLVLEKLKKEDADRIHIFSSFFYKRLNQRERRNHETTNLSIQQKRHGRVKTWTRHVDIFEKDFIFVPLNEAAHWFLAVVCFPGLEKPKYEPNPHYHENAVIQKCSTVEDSCISSSASEMESCSQNSSAKPVIKKMLNKKHCIAVIDSNPGQEESDPRYKRNICSVKYSVKKINHTASENEEFNKGESTSQKVADRTKSENGLQNESLSSTHHTDGLSKIRLNYSDESPEAGKMLEDELVDFSEDQDNQDDSSDDGFLADDNCSSEIGQWHLKPTICKQPCILLMDSLRGPSRSNVVKILREYLEVEWEVKKGSKRSFSKDVMKGSNPKVPQQNNFSDCGVYVLQYVESFFENPILSFELPMNLANWFPPPRMRTKREEIRNIILKLQEDQSKEKRKHKDTYSTEAPLGEGTEQYVNSISD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGRSRSRSSSRSKHTKSSKHNKKRSRSRSRSRDKERVRKRSKSRESKRNRRRESRSRSRSTNTAVSRRERDRERASSPPDRIDIFGRTVSKRSSLDEKQKREEEEKKAEFERQRKIRQQEIEEKLIEEETARRVEELVAKRVEEELEKRKDEIEREVLRRVEEAKRIMEKQLLEELERQRQAELAAQKAREEEERAKREELERILEENNRKIAEAQAKLAEEQLRIVEEQRKIHEERMKLEQERQRQQKEEQKIILGKGKSRPKLSFSLKTQD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGKAKVPASKRAPSSPVAKPGPVKTLTRKKNKKKKRFWKSKAREVSKKPASGPGAVVRPPKAPEDFSQNWKALQEWLLKQKSQAPEKPLVISQMGSKKKPKIIQQNKKETSPQVKGEEMPAGKDQEASRGSVPSGSKMDRRAPVPRTKASGTEHNKKGTKERTNGDIVPERGDIEHKKRKAKEAAPAPPTEEDIWFDDVDPADIEAAIGPEAAKIARKQLGQSEGSVSLSLVKEQAFGGLTRALALDCEMVGVGPKGEESMAARVSIVNQYGKCVYDKYVKPTEPVTDYRTAVSGIRPENLKQGEELEVVQKEVAEMLKGRILVGHALHNDLKVLFLDHPKKKIRDTQKYKPFKSQVKSGRPSLRLLSEKILGLQVQQAEHCSIQDAQAAMRLYVMVKKEWESMARDRRPLLTAPDHCSDDA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGVPAFFRWLSRKYPSVIIECNENKQVDADTGRNIYEDPTLPNPNGIEFDNLYLDMNGIIHPCTHPEDKPAPKNEDEMMVAIFECIDRLFGIVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKETTEKRLEIARIREELLSRGCKLPPEKEKGEHFDSNCITPGTPFMDRLSKCLHYFVHDRQNNNPAWKGIKVILSDANVPGEGEHKIMDYIRKQRAQPDHDPNTQHVLCGADADLIMLGLATHEPNFTIIREEFLPNKPRPCDICNGFGHEMDKCVGLGATAPTSANFKPDVPIGAEVKFIFVRLSVLREYLKQTLEMPNLPFEYSFERALDDWVFMCFFVGNDFLPHLPSLEIREGAVDRLVELYKKCVYKTRGYLTDSGDVNLDRVQLIMTDLGNAEDQIFKSRQRREEQFKARDKARKRQERNQDHGSLNQSAFGASAVGPNSQQRSVGNYKEEAAALRNRKRTSDMANLDDEDEEENNDEVRLWEDGFKDRYYESKFDVAPGNQQFRYAVALQYVRGLCWVLKYYYQGCASWNWYFPYHYAPFASDFVNIQGLSTMFEKGTKPFNPLEQLMGVFPAASSSHVPEPWAKLMSDPESPIIDFYPEDFKIDLNGKKFAWQGVALLPFVDEKRLFKALVPYYDQLTGEEVKRNKRGDNYLYISNQSPHYKKVKKISEKDDESVCKAISFDGMRGTLGKTELNTAISGVLKSPISGLSDINDNITVTTTFRDPEYDEDYIFEAKRLENAVDPPQVLPNEQSGNKHRPVIGFNSHLTRAYVPDSGHRMLNAGIRNQQGGGGNGGGGGGYGQGGGYGQGIGGNQGQSYQNNSRNYNYNYNNNYNQHQGGGYQNNYNNRQQQQYGHNQRFNQDNSNQQQRNFNNYNGPRNNNYQQQGGSRQQNQNYRRF", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVKRTVATNGDASGAHRAKKMSKTHASHIINAQEDYKHMYLSVQPLDIFCWGTGSMCELGLGPLAKNKEVKRPRLNPFLPRDEAKIISFAVGGMHTLALDEESNVWSWGCNDVGALGRDTSNAKEQLKDMDADDSSDDEDGDLNELESTPAKIPRESFPPLAEGHKVVQLAATDNMSCALFSNGEVYAWGTFRCNEGILGFYQDKIKIQKTPWKVPTFSKYNIVQLAPGKDHILFLDEEGMVFAWGNGQQNQLGRKVMERFRLKTLDPRPFGLRHVKYIASGENHCFALTKDNKLVSWGLNQFGQCGVSEDVEDGALVTKPKRLALPDNVVIRSIAAGEHHSLILSQDGDLYSCGRLDMFEVGIPKDNLPEYTYKDVHGKARAVPLPTKLNNVPKFKSVAAGSHHSVAVAQNGIAYSWGFGETYAVGLGPFEDDTEVPTRIKNTATQDHNIILVGCGGQFSVSGGVKLSDEDAEKRADEMDD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNEMENTDPVLQDDLVSKYERELSTEQEEDTPVILTQLNEDGTTSNYFDKRKLKIAPRSTLQFKVGPPFELVRDYCPVVESHTGRTLDLRIIPRIDRGFDHIDEEWVGYKRNYFTLVSTFETANCDLDTFLKSSFDLLVEDSSVESRLRVQYFAIKIKAKNDDDDTEINLVQHTAKRDKGPQFCPSVCPLVPSPLPKHQIIREASNVRNITKMKKYDSTFYLHRDHVNYEEYGVDSLLFSYPEDSIQKVARYERVQFASSISVKKPSQQNKHFSLHVILGAVVDPDTFHGENPGIPYDELALKNGSKGMFVYLQEMKTPPLIIRGRSPSNYASSQRITVRTPSSVNSSQNSTKRKMPSMAQPLNESCLNARPSKRRSKVALGAPNSGASISPIKSRQSTPMEASKENEDPFFRPNKRVETLEHIQNKLGALKNQCPDSSLKYPSSSSRGMEGCLEKEDLVYSSSFSVNMKQIELKPARSFEHENIFKVGSLAFKKINELPHENYDITIEKKSMEQNYLRPEIGSRSECKTSYGNELSLSNISFSILPNSAENFHLETALFPATEEDVPRTFSRILETGSFQNYYQKMDAENADRVYSKGVKLIASGTLPSGIFNREELFEEDSFYKY", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASSLLEEEAHYGSSPLAMLTAACSKFGGSSPLRDSTTLGKGGTKKPYADLSAPKTMGDAYPAPFSSTNGLLSPAGSPPAPASGYANDYPPFPHSFPGPTGAQDPGLLVPKGHSSSDCLPSVYTSLDMTHPYGSWYKAGIHAGISPGPGNTPTPWWDMHPGGNWLGGGQGQGDGLQGTLSTGPAQPPLNPQLPTYPSDFAPLNPAPYPAPHLLQPGPQHVLPQDVYKPKAVGNSGQLEGSGAAKPPRGAGTGGSGGYAGSGAGRSTCDCPNCQELERLGAAAAGLRKKPIHSCHIPGCGKVYGKASHLKAHLRWHTGERPFVCNWLFCGKRFTRSDELERHVRTHTREKKFTCLLCSKRFTRSDHLSKHQRTHGEPGPGPPPSGPKELGEGRSVGEEEANQPPRSSTSPAPPEKAHGGSPEQSNLLEI", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSFRPGSRGGSRGGSRGGFGGRGGSRGGARGGSRGGFGGRGGSRGGARGGSRGGFGGRGGSRGGARGGSRGGRGGAAGGARGGAKVVIEPHRHAGVYIARGKEDLLVTKNMAPGESVYGEKRISVEEPSKEDGVPPTKVEYRVWNPFRSKLAAGIMGGLDELFIAPGKKVLYLGAASGTSVSHVSDVVGPEGVVYAVEFSHRPGRELISMAKKRPNIIPIIEDARHPQKYRMLIGMVDCVFADVAQPDQARIIALNSHMFLKDQGGVVISIKANCIDSTVDAETVFAREVQKLREERIKPLEQLTLEPYERDHCIVVGRYMRSGLKK", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFEARLVQGSILKKVLEALKDLIDEACWDITSSGISLQSMDSSHVSLVQLTLRSDGFDTYRCDRNQSIGVKMSSMSKILKCAASDDIITLRAEDNADTVTMVFESPNQEKVSDYEMKLMDLDVEQLGIPEQEYSCVIKMPSGEFARICRDLSQIGDAVVISCAKDGVKFSASGELGTGNVKLSQTSNVDKEEEAVTIEMNEPVQLTFALRYLNFFTKATPLSPTVILSMSADIPLVVEYKIADMEHVKYYLAPKIEDEEAS", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSLCIKLLHETQGHIVTMELENGSTYRGKLIEAEDNMNCQMRDISVTARDGRVSHLDQVYIRGSHIRFLIVPDMLRNAPMFKVGPGRSVPLPTRGRR", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAGIGPITQDWEPVVIRKRAPNAAAKRDEKTVNAARRSGADIETVRKFNAGSNKAASSGTSLNTKKLDDDTENLSHDRVPTELKKAIMQARGEKKLTQSQLAHLINEKPQVIQEYESGKAIPNQQILSKLERALGAKLRGKK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MALVPPLGREFPPEPVNCPLAAPRELDVVGGTICPAPEEETSRPEQVQASLGLPEHCMGELKSTESATSPSRLPLASSHEHQDGGKPCEHSDSGLEVLEAEQDSLHLCLLRLNFRLQDLERGLGSWTLAHNRIVQMQALQAELRGAAERVDALLAFGEGLAERSEPRAWASLEQVLRALGTHRDTIFQRLWQLQAQLISYSLVLEKANLLDQDLEVEGDSDGPAAGGVWGPWAPSTFPTPAELEWDPAGDVGGLGPSGQKISRIPGAPCELCGYRGPQSSGQGLEDLLSLGLGHRKHLAAHHRRRLRKPQDRKRQVSPSLPDAMLEVDRGVPAPASKRPLTLFFLLLFLLLVGATLLLPLSGVSCCSHARLARTPYLVLSYVNGLPPI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAQTQGTKRKVCYYYDGDVGNYYYGQGHPMKPHRIRMTHNLLLNYGLYRKMEIYRPHKANAEEMTKYHSDDYIKFLRSIRPDNMSEYSKQMQRFNVGEDCPVFDGLFEFCQLSTGGSVASAVKLNKQQTDIAVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKYHQRVLYIDIDIHHGDGVEEAFYTTDRVMTVSFHKYGEYFPGTGDLRDIGAGKGKYYAVNYPLRDGIDDESYEAIFKPVMSKVMEMFQPSAVVLQCGSDSLSGDRLGCFNLTIKGHAKCVEFVKSFNLPMLMLGGGGYTIRNVARCWTYETAVALDTEIPNELPYNDYFEYFGPDFKLHISPSNMTNQNTNEYLEKIKQRLFENLRMLPHAPGVQMQAIPEDAIPEESGDEDEEDPDKRISICSSDKRIACEEEFSDSDEEGEGGRKNSSNFKKAKRVKTEDEKEKDPEEKKEVTEEEKTKEEKPEAKGVKEEVKLA", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MQSSRKIMILKRNIKGLKSSAIIATYLTLNKLFIDKFCKTQESTYPIHFVHCHFGGIKKFHSHCQLSSSYTNSGQKSFKSFNSLRFIVLKSKTCLLRYKLLIKTFCCITYSKIENLSNTRMARLTAPSKGPSFSNLNKPNDLLKAEIEDNEASHYSQNQSSDSDSDEAPEEVSLKSSSDEVKKRLQRLKGNELQLRKAEREKRRLQNERNRERNAQRVSASKLDLAILEAAEVEEINSTTEIEEKVDEASLDLYTPKGHKIVFPNNEIPKKRTRSFRKGDFKVSVLKETNDPLLAPNYEKKLSQRKRDWINRQSVPRASKRRRPLTY", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDSTKEEEDLNNNIDEISDGENEEEELDEAIAAALQKIEVPSIPRRVKVYEMEDENWVDCGTGYCDGKIEGPLAYFIVRSEADNETILLKTQLIAEDIYSRQEETLIVWQELNGTDLALSFQESSGCIDMWMFLANVQKAISSVTRSYSNDDILTDEGALNENYLNTVDLPAPELANLKEIEEAVYGYMQSIQSRDSLVRYVSNENYIDRLIELFPLCEDLENTDDLHRLCSIIKSFVQLNDAPLLESLFSNDEKLMCVAGILEYDPEFPNIKANHREYLMDSKKFKQVVPIQDPRILAKIHQTFKLQYLRDVVVSRIVDEPSFSVLNSFIFFNQADIIQYLQTNEKFLHELFSIYVNEGEDDQRKQDGIFFIQQVCNIAKGLQFQSCSALFATFVKFNLLKALDYAMSHENNSVRNAGSDILVSIIDHEPAIVWQKFDQDRKDASSSLSNAHVSQHSLLSNLINILHKESNPGVLAQISEAFKMLLSLPGSYAYNNPYRNADGNVRNKTDNIIGINFIENFYDNSFNMLAAPLLELENVSSLDVKKLDMYMHLCELFCYFFRIHDYWSRRFDTYKTLTSKVALLLYSDRKYVVLSALRFIRSCLAARQSEMSLIMLETDTYGKVLDLMLKVKDQTNLVNSAALEFFEFLRSEGSEDTLDYLNKNYRPQLESLNNLSTFSELLNIIDGLSSDSRSPKTVGTHESNSYEFDGASNNNQRVGDDVSKDWEIQQDFSIENDTELSEKVGQRTVLDSIAPAELNIEDSCEQPKQPILEDRYFLESAVYDTSAESSGINVSNTRYSKRKSDFQVDDQQADDESPKKRLSIDSSSAQNGYAS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTSEVIEDEKQFYSKAKTYWKQIPPTVDGMLGGYGHISSIDINSSRKFLQRFLREGPNKTGTSCALDCGAGIGRITKRLLLPLFREVDMVDITEDFLVQAKTYLGEEGKRVRNYFCCGLQDFTPEPDSYDVIWIQWVIGHLTDQHLAEFLRRCKGSLRPNGIIVIKDNMAQEGVILDDVDSSVCRDLDVVRRIICSAGLSLLAEERQENLPDEIYHVYSFALR", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSAQMEEPETLGKRKESESSKLRSDETPTPEPRTKRRSLKRACVHEVAVPNDYTPTKEETIHGTLDNPVFNGDMAKTYPFKLDPFQSVSVACLERKESILVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELQHEFKDVGLMTGDVTLSPNASCLVMTTEILRAMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICYLHKQPCHVVYTDFRPTPLQHYAFPMGGGGLYLVVDDNEQFREDSFVKMQDTFPKPKSNDGKKSANGKSGGRGAKGGGGPGDSDVYKIVKMIMERKFEPVIIFSFSRRECEQHALSMSKLDFNTDEEKEVVEQVFNNAMQCLNEEDRSLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTAVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDEQMEMNTLRDMMLGKPAPLLSTFRLSYYTILNLLSRAEGQFTAEHVIRHSFHQFQHEKALPDIGNKVSKLEEEAAILNASGEAEVAEYHNLQFDIAKHEKKLMSEIIRPERVLCFLDTGRLVKIREGGTDWGWGVVVNVVKNSSVGTGSASSHGGGYIVDTLLHCSTGFSENGAKPKPCPPRAGEKGEMHVVPVQLPLISALSRLRISVPSDLRPVEARQSILLALQELSSRFPLGFPKLHPVKDMNIQDTEIVDLVSQIEEVEQKLLAHPMHKSEDDQQIKSFQRKAEVNYEIQQLKSKMRDSQLQKFRDELKNRSRVLKKLGHIDADGVVQVKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPVDKSNEQVNLRNELTKPLQQLQDSARKIAEIQHECKLEIDVEEYVESTIRPFLMDVIYSWSKGASFAEIIQMTDIFEGSIIRSARRLDEFLNQLRAAAEAVGESSLESKFAAASESLRRGIMFANSLYL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDYDFKMKTQIERTKVEDLFNYEGCKVGRGTYGHVYKAKWKETSDGKEYALKQIDGTGLSMSACREIALLRELKHQNVITLIRVFLSHNDRKVFLLIDYAEHDLWHIIKFHRAAKATKKQVVVPRGMVKSLLYQILDGIHYLHSNWVLHRDLKPANILVMGDGNERGRVKIADMGFARLFNAPLKPLADLDPVVVTFWYRAPELLLGARHYTKAIDIWAIGCIFAELLTSEPIFHCRQEDIKTSNPYHHDQLDRIFNVMGFPQDKDWEDIKKMPEHHTLTKDFKRSTYSTCSLAKYMERHKIKPDSKAFHLLQKLLLMDPNKRITSEQAMQDQYFQEEPQPTQDVFAGCPIPYPKREFLTDDDQEDKSDNKRQQQQQQQQQQQQQQQQQQQQQQQQQQQQMNAEPNAKRVRLSGAGNQQDFHHQQQQQQQQQQQQQQQQQQMMFNQQQNFQRFN", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSGSRVRGPNSALTEFLRSQGINASALGRARPPRQSEESAGQSTGTESEVIQTPTSVEENNEDENSMSTTTIEIPVVKRRNLRNQKKKKKTDEEAEDNEDTFSMNSRAGFSYKAREHTGKLDFCAHCNCRFTITPYSKYSNSEKGWLCYPCSRGAEDRSVPELRTRKRKALTRKKVAAATMDEEISVPKLQDLCIRVIAEYINDIEAFGDIGQVNMDKISQIISKNRSLNDTTVKLFLSGGQTELKLYDCSKITADSLFQIAQYCPNLQTLHLTYCGQMQDQVLHFYADHLTELTDVSFQGAFLVSSSEWINFFKKRGSKLISLELTDTARIHVSVINAIVDCCPNLISLNLSRIFYLDDECVRLLAGCRNLVSLKIESPGGIINDGSILDVLNQIGSGLHTLSLSGCTKLTDEVLKQGIGPCCGRLKHLNLSGLELLTDDEASIVFGEWKIQSGLETLSLRRCLSLGDKTVRAVLVNSGHTLRTLDLNGMSFVTDEALQYIVNFPLPMLKALDVSWIRGMNDKLVCDFESKKPTLEKLLVWGDNHVLMPSNRLLLIGREVQ", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAPTKPSFQQDPSRRERITAQHPLPNQSECRKIYRYDGIYCESTYQNLQALRKEKSRDAARSRRGKENFEFYELAKLLPLPAAITSQLDKASIIRLTISYLKMRDFANQGDPPWNLRMEGPPPNTSVKVIGAQRRRSPSALAIEVFEAHLGSHILQSLDGFVFALNQEGKFLYISETVSIYLGLSQVELTGSSVFDYVHPGDHVEMAEQLGMKLPPGRGLLSQGTAEDGASSASSSSQSETPEPVESTSPSLLTTDNTLERSFFIRMKSTLTKRGVHIKSSGYKVIHITGRLRLRVSLSHGRTVPSQIMGLVVVAHALPPPTINEVRIDCHMFVTRVNMDLNIIYCENRISDYMDLTPVDIVGKRCYHFIHAEDVEGIRHSHLDLLNKGQCVTKYYRWMQKNGGYIWIQSSATIAINAKNANEKNIIWVNYLLSNPEYKDTPMDIAQLPHLPEKTSESSETSDSESDSKDTSGITEDNENSKSDEKGNQSENSEDPEPDRKKSGNACDNDMNCNDDGHSSSNPDSRDSDDSFEHSDFENPKAGEDGFGALGAMQIKVERYVESESDLRLQNCESLTSDSAKDSDSAGEAGAQASSKHQKRKKRRKRQKGGSASRRRLSSASSPGGLDAGLVEPPRLLSSPNSASVLKIKTEISEPINFDNDSSIWNYPPNREISRNESPYSMTKPPSSEHFPSPQGGGGGGGGGGGLHVAIPDSVLTPPGADGAAARKTQFGASATAALAPVASDPLSPPLSASPRDKHPGNGGGGGGGGGGAGGGGPSASNSLLYTGDLEALQRLQAGNVVLPLVHRVTGTLAATSTAAQRVYTTGTIRYAPAEVTLAMQSNLLPNAHAVNFVDVNSPGFGLDPKTPMEMLYHHVHRLNMSGPFGGAVSAASLTQMPAGNVFTTAEGLFSTLPFPVYSNGIHAAQTLERKED", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDQQPERREGRSFPERKGQKRKLEEGAAAVEDREISAVSTDGGQALLSEVAAQVSVLNSAFSWQESDRAAAKRATQVLAELAKNEDLVNVIVDGGAVPALMTHLQAPPYNDGDLAEKPYEHEVEKGSAFALGLLAIKPEYQKLIVDKGALPHLVNLLKRNKDGSSSRAVNSVIRRAADAITNLAHENSSIKTRVRVEGGIPPLVELLEFSDSKVQRAAAGALRTLAFKNDDNKNQIVECNALPTLILMLGSEDAAIHYEAVGVIGNLVHSSPHIKKEVLTAGALQPVIGLLSSCCPESQREAALLLGQFASTDSDCKVHIVQRGAVRPLIEMLQSPDVQLKEMSAFALGRLAQDAHNQAGIAHSGGLGPLLKLLDSRNGSLQHNAAFALYGLADNEDNVSDFIRVGGIQKLQDGEFIVQATKDCVSKTLKRLEEKIHGRVLRHLLYLMRISEKSIQRRVALALAHLCSPEDQRTIFIDDNGLELLLGLLGSLNTKQQLDGAAALYKLANKSMALSPVDAAPPSPTQRVYLGEQYVNNATLSDVTFLVEGRTFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIKWEVFELMMRFIYTGSVDITNEISKDLLRAADQYLLEGLKRLCEYTIAQDITLESIGDMYELSEAFHAMSLRQACIMFILEHFDKLSSMPWQNELVQRTIPEIREYFCRALTKSTTNLQSLRL", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MELDEVIQGIVSAIGGFDYSDDEKVYVLGDEALACLKDLKRYLQVVDEKYKVWQIRSLLSSLQLVTNDICPILSDWDKDITNYRNWRIALACVELLVPLTWPLETEHETFRENVDVLYNLRQAQSNYKNSILSYKKGSVLSAILAVLLKPLSTPAESRTLRDKGIIRIVLLLFRNILQIDELKTKNETIISFAKAHILDLIVTLVSNLDEFEHFDVYILEIVYNLIRGCKPSALFSDASLTNSQTELNSLLLKESTQNRYLKRNAHTRHNRFGTMLSVQTEDRRFTIASQNIKTDGLDELDSHKRFRKRGTRRKHFDDINKSFFINTEAGTALRNFAVEFLEAGFNPLFQSLLKDLEREDPRVLPIHKMQLLYVQSFFLEFMRFSSKPKKTEEIYSNDYSFGLAASVFDQRALIMHNRLMVESFEMKQWSTFQASMLSMTQLLFTLRSMTLCSSEIYQRIADNLLSNIFYQEEILLLVYSALKHFKTQSFGYLDAITELTIVLLKELEKFSSAKQYLYVKKRRRNQKSVDSNVLESDEDEESSLINANAAVEDRLFDFGRYESRYCDNGCIDSFVLFLQCYQDLDSKQIHRAISFFYRIFVKQKCHVYLYRLDFLRVLDKMFNDHVYFSTTNSARQDFEQFFVYYMRKLSDALKDVPALFIELPFPKLTDTFYYLEYGKSPLFSIHGSRKGPLYETVPGLSHLEKVAAVVACLINENKSDLLDELKVQLNCLISERKLITLADENKYINEGGNDGERMGKNLKGDTDSFNTALLKDGKFRLLLELCGFEESDNNIDVQALWKLPNSVIIDELVEHAMLLRRFTDDPPTFEGTKPEDLLVRKQRGNVRLPSSSEGETSDEEIEFEADDPITFANRREALNKITDRKRKKMKTNETIIDHTTRKKKENHLRSAKYIVDSDDDSETDIAFFQSEAALREKNAQKASALFKRIDDLEMEGKLQEIEQLSENSSSD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MMFGGYETIEAYEDDLYRDESSSELSVDSEVEFQLYSQIHYAQDLDDVIREEEHEEKNSGNSESSSSKPNQKKLIVLSDSEVIQLSDGSEVITLSDEDSIYRCKGKNVRVQAQENAHGLSSSLQSNELVDKKCKSDIEKPKSEERSGVIREVMIIEVSSSEEEESTISEGDNVESWMLLGCEVDDKDDDILLNLVGCENSVTEGEDGINWSISDKDIEAQIANNRTPGRWTQRYYSANKNIICRNCDKRGHLSKNCPLPRKVRRCFLCSRRGHLLYSCPAPLCEYCPVPKMLDHSCLFRHSWDKQCDRCHMLGHYTDACTEIWRQYHLTTKPGPPKKPKTPSRPSALAYCYHCAQKGHYGHECPEREVYDPSPVSPFICYYDDKYEIQEREKRLKQKIKVLKKNGVIPEPSKLPYIKAANENPHHDIRKGRASWKSNRWPQENKETQKEMKNKNRNWEKHRKADRHREVDEDFPRGPKTYSSPGSFKTQKPSKPFHRSSHYHTSREDKSPKEGKRGKQKKKERCWEDDDNDNLFLIKQRKKKS", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDLDFCTVCGATTQDGSLYCSSECHLLDFTKLDTQTTSNISVSSEYQFLVSEHLAHFHRKSMTSADFPTPRFSAYTKLHA", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEELEQGLLMQPWAWLQLAENSLLAKVFITKQGYALLVSDLQQVWHEQVDTSVVSQRAKELNKRLTAPPAAFLCHLDNLLRPLLKDAAHPSEATFSCDCVADALILRVRSELSGLPFYWNFHCMLASPSLVSQHLIRPLMGMSLALQCQVRELATLLHMKDLEIQDYQESGATLIRDRLKTEPFEENSFLEQFMIEKLPEACSIGDGKPFVMNLQDLYMAVTTQEVQVGQKHQGAGDPHTSNSASLQGIDSQCVNQPEQLVSSAPTLSAPEKESTGTSGPLQRPQLSKVKRKKPRGLFS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVDMDKLINNLEVQLNSEGGSMQVFKQVTASVRNRDPPEIEYRSNMTSPTLLDANPMENPALFNDIKIEPPEELLASDFSLPQVEPVDLSFHKPKAPLQPASMLQAPIRPPKPQSSPQTLVVSTSTSDMSTSANIPTVLTPGSVLTSSQSTGSQQILHVIHTIPSVSLPNKMGGLKTIPVVVQSLPMVYTTLPADGGPAAITVPLIGGDGKNAGSVKVDPTSMSPLEIPSDSEESTIESGSSALQSLQGLQQEPAAMAQMQGEESLDLKRRRIHQCDFAGCSKVYTKSSHLKAHRRIHTGEKPYKCTWDGCSWKFARSDELTRHFRKHTGIKPFRCTDCNRSFSRSDHLSLHRRRHDTM", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPNSERHGGKKDGSGGASGTSQPSSGGGSSNSRERHRLVSKHKRHKSKHSKDMGLVTPEAASLGTIIKPLVEYDDISSDSDTFSDDMAFKSDRRENDERRGTDRSDRLHRHRHHQHRRSRDLLKTKQTEKEKNQEVSKSGSMKDRLSGSSKRSVEGNDDYGKAQLSKSSSKESRSSKMHKEKTRKERELKSGHKDRSKSHRKRETPKSYKTVDSPKRRSRSPHRKWSDSSKQDDSPSGASYGQDYDLSPPRSHTSSNYDSYKKSPGSTSRRQSISPPYKEPSAYQSSTRSPSPYSRRQRSVSPYSRRRSSSYERSGSYSGRSPSPYGRRRSSSPFLSKRSLSRSPISSRKSMKSRSRSPAYSRHSSSHSKKKRSGSRSRHSSISPVRLPLNSSLGAELSRKKKERAAAAAAAKLDGKESKGSPIILPKKEKFEVKESGLESKKLPRGIKSEKSTPDTELVNVAHSNTEVKNCLDTGKVKLDENLQKHPVKDLKAQGTKDTKPVALKEVIVTSKETETSEKEALPPLPTITSPPPLPSTTPPPQTPPLPPLPPLPAVPLQPPLPPPQPPFSQVPVSNTSTLPSSPHPRTSTLSSQTNSQPLVQVSMKTQLSVTAAIPHLKTSTLPPLPLPPLLPGDDDMDSPKEMLPSKPAKKEKEQRTRHLLTDLPLPPELPGGDPSPPDSPEPKAITPPQQPYKKRPKICCPRYGERRQTESDWGKRCVDKFDIIGIIGEGTYGQVYKAKDKDTGELVALKKVRLDNEKEGFPITAIREIKILRQLVHQSVVNMKEIVTDKQDALDFKKDKGAFYLVFEYMDHDLMGLLESGLVHFSEDHIKSFMKQLMEGLDYCHKKNFLHRDIKCSNILLNNSGQIKLADFGLARLYNSEESRPYTNKVITLWYRPPELLLGEERYTPAIDVWSCGCILGELFTKKPIFQANLELAQLELISRLCGSPCPAVWPDVIKLPYFNTMKPKKQYRRRLREEFSFIPSAALDLLDHMLTLDPSKRCTAEQTLQSDFLKDVELSKMAPPDLPHWQDCHELWSKKRRRQRQSGIVIEEQPPSKASRKETTSGTAAEPVKNSSPAPPQPAPVKAEPGPGDAVGLGDITQQLNQSELAVLLNLLQSQTDLSIPQMAQLLNIHSNPEMQQQLEALNQSISALTEASSQQQDSESIAPEESLKEVPSVSVVLPPAEQTTPEASNTPADMQNMLAVLLSQLMKTQEPAGNLEENTSDKNSGPQGPRRTPTMPQEEAAACPPHILPPEKRPPEPPGPPPPPPPPPLVEGDLSSAPQELNPAVTAALLQLLSQPEAEPPGHLPHEHQALRPMEYSTRSHPNRTYGNTDGPETGFSATDTDERSSGPALTESLVQTLVKNRTFSGSVSHLGESNSYQGTGSVQFPGDQDLRFTRVPLALHSVVGQPFLKSEGNSNSVVHAETKLQNYGELGPGTTGANSSGTTLQWGGPAQSFGKPYRGAARVPPRGGRGRGVPY", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MCEPDDNSARNGVTTQPSRSRELLMDVDDLDLDGSWPLDQIPYLSSSNRMISPIFVSSSSEQPCSPLWAFSDGGGNGFHHATSGGDDEKISSVSGVPSFRLAEYPLFLPYSSPSAAENTTEKHNSFQFPSPLMSLVPPENTDNYCVIKERMTQALRYFKESTEQHVLAQVWAPVRKNGRDLLTTLGQPFVLNPNGNGLNQYRMISLTYMFSVDSESDVELGLPGRVFRQKLPEWTPNVQYYSSKEFSRLDHALHYNVRGTLALPVFNPSGQSCIGVVELIMTSEKIHYAPEVDKVCKALEAVNLKSSEILDHQTTQICNESRQNALAEILEVLTVVCETHNLPLAQTWVPCQHGSVLANGGGLKKNCTSFDGSCMGQICMSTTDMACYVVDAHVWGFRDACLEHHLQKGQGVAGRAFLNGGSCFCRDITKFCKTQYPLVHYALMFKLTTCFAISLQSSYTGDDSYILEFFLPSSITDDQEQDLLLGSILVTMKEHFQSLRVASGVDFGEDDDKLSFEIIQALPDKKVHSKIESIRVPFSGFKSNATETMLIPQPVVQSSDPVNEKINVATVNGVVKEKKKTEKKRGKTEKTISLDVLQQYFTGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKIKKVNRSITKLKRVIESVQGTDGGLDLTSMAVSSIPWTHGQTSAQPLNSPNGSKPPELPNTNNSPNHWSSDHSPNEPNGSPELPPSNGHKRSRTVDESAGTPTSHGSCDGNQLDEPKVPNQDPLFTVGGSPGLLFPPYSRDHDVSAASFAMPNRLLGSIDHFRGMLIEDAGSSKDLRNLCPTAAFDDKFQDTNWMNNDNNSNNNLYAPPKEEAIANVACEPSGSEMRTVTIKASYKDDIIRFRISSGSGIMELKDEVAKRLKVDAGTFDIKYLDDDNEWVLIACDADLQECLEIPRSSRTKIVRLLVHDVTTNLGSSCESTGEL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MQSSMTMELRPSGDSGSSDVDAEISDGFSPLDTSHRDVADEGSLLRRAEMYQDYMKQVPIPTNRGSLIPFTSWVGLSISMKQLYGQPLHYLTNVLLQRWDQSRFGTDSEEQRLDSIIHPTKAEATIWLVEEIHRLTPSHLHMALLWRSDPMYHSFIDPIFPEK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MIDDTENSKIHLEGSHKTGKYTGYGTTHKIRAQLNFNDEKKWKKFSSRRLELIDSFGLSQHKASEQDDNIRQIATILRSEFEYPDTFSAEFEKLVTAAVQSVRRNRKRSKKKLLDSKKKIARGKVQKIPLSPPSSSNMGSCSASNASSSDEEASVKEEPAEHALPSLNTITSQKLLPYPNGRTLPPVPTQVRSLLKKNASLLRDPSAPYAHGGDEKLQKFDIEDQPLESEQEYDFIAKSIIVEIVNNAIPLPEQIQRDKFIRPNLTKKKGCQSKVVISNNLRKLILSKIHNSRTCLEMSKDERNLDSFANLETLGKNSLMASISLVVENSFSHLPSSTKQYLTERLSSIEFLTILSQRLFMPATRQLFADLSQEKIQVRVLNLILGSLVKDYGFDASLAPINEIIYHMTLHQYPLVCSNKQSNPMRPHSTSEVLSAHSSTKDASTPGKEEPRVTRSSTSADSTIITLPSIEVPNTYDDDRLKMLSAISLQIENSTFSKPFSTISK", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDSIIPAGVKLDDLQVILAKNENETRDKVCKQINEARDEILPLRLQFNEFIQIMANIDQEGSKQADRMAKYLHIRDKILQLNDRFQTLSSHLEALQPLFSTVPEYLKTADNRDRSFQLLEPLSTYNKNGNAVCSTATVVSTNHSAAASTPTTTATPHANPITHAHSLSNPNSTATMQHNPLAGKRGPKSGSTMGTPTVHNSTAAAPIAAPKKPRKPRQTKKAKAQAQAQAQAQAQVYAQQSTVQTPITASMAAALPNPTPSMINSVSPTNVMGTPLTNMMSPMGNAYSMGAQNQGGQVSMSQFNGSGNGSNPNTNTNSNNTPLQSQLNLNNLTPANILNMSMNNDFQQQQQQQQQQQQPQPQYNMNMGMNNMNNGGKELDSLDLNNLELGGLNMDFL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKIKEVRITGFRSYKDNTNVSGFSPRSNVVVGRNGSGKSNFFHAIQFVLSDEYAHLKEEQRLGLLHESTGPKVAHARVEITFDNSEKRLMAFENSEVKIVRQVGKKKDQYYIDNKMVPRAEVVNLMESAGFSRSNPYYIVKQGKINELATSPDAYKLKLLREVAGTRVYDERKEESLKILKETKMKTEKIQGLLKYIDERLQTLENEKEDLKEYQKLDKTKRSVEYTMYDNTNKEAIKEKTKLDEQKVELNQKDNNVKSQLNDVIAEMAKLKTDKKKLESLGRGLREDKETLQAEETKMVEEKMTLKLEIDSLNEENTRERQGRQNAEHSLQGVGDEIFKNEEELDTIKPEYAKLLEEESRLKTDIRIDESRAKEILAKQGQRSQFSSVDDRDKFLRNEIRRISGLIADNKEREETIQKELADVEREDEKLNNEIQSISRTIDENRYEMDTFAAKSTSLKQEYDAAYVAQQTAAREEKAIRDKIGNTEQDISAANDQLRRIVARPVYNGITGVRKVIEEFKHDNRNGQHDDVINGYYGTVIELAEVPDMFRTAVEVIAQNRLFYHVVETDRIATKILRKFNEMQLPGEINFFPMNRVSAPRQRDLSNNSNARPMSDVIDYEVQYDKVFKSITANVIIVRTLDQAARDLRNEGFDVVSVDGDQMSKKGVMTGGFIDKKRSKLELHTQKDRFTKELAELQKSLAEAEKMVRERTQEAEKIRNRMQQHENQIGDFHRKHRELTEAKNAISQQFYMVTSTKEPKKDQLLGIKNHLRELLAQKENFEQEIGSNMSSQLTSDEEQTVKKLRKKVDEMTKQLATVSRRRMDLMHRKNAIENLLTKKLYKTKESLTARVDDISDNERRHKLENANAQLTSLLTRMESTRKQLATAISELQDYETKEKALQINIDNVLEQQRDLEKQQADFQLQYDKITAKEDEVKQKREDSLKKLILSRYSIKTRKNQFSYEISDSEEVGAKREPIEHRKLKISTFCLEYRAKLEKVHSNMRLLGALPTDTFSKWQNVKPRELEKKLLECVNELKKYENVNKKALDQYMTASSQKEELTKRMAEQKKSEDSIEELLKVLENRKYEAIDLTFKQVKKNFEQVFKQLVPHGRGKMQMRAREQRDDEEGINSVELYEGISVLVSFVSDDGDSETREMTQLSGGQKSLVALAIIFSIQKCDPAPFYLFDEIDAALDAQHRKSVADMIQSLSDQAQFVTTTFRPELLATAEKFYGVRFRNKVSHIDSVTREQAYDFVEDDTTHG", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVTENPQRLTVLRLATNKGPLAQIWLASNMSNIPRGSVIQTHIAESAKEIAKASGCDDESGDNEYITLRTSGELLQGIVRVYSKQATFLLTDIKDTLTKISMLFKTSQKMTSTVNRLNTVTRVHQLMLEDAVTEREVLVTPGLEFLDDTTIPVGLMAQENSMERKVQGAAPWDTSLEVGRRFSPDEDFEHNNLSSMNLDFDIEEGPITSKSWEEGTRQSSRNFDTHENYIQDDDFPLDDAGTIGWDLGITEKNDQNNDDDDNSVEQGRRLGESIMSEEPTDFGFDLDIEKEAPAGNIDTITDAMTESQPKQTGTRRNSKLLNTKSIQIDEETENSESIASSNTYKEERSNNLLTPQPTNFTTKRLWSEITESMSYLPDPILKNFLSYESLKKRKIHNGREGSIEEPELNVSLNLTDDVISNAGTNDNSFNELTDNMSDFVPIDAGLNEAPFPEENIIDAKTRNEQTTIQTEKVRPTPGEVASKAIVQMAKILRKELSEEKEVIFTDVLKSQANTEPENITKREASRGFFDILSLATEGCIGLSQTEAFGNIKIDAKPALFERFINA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSCGRPPPDVDGMITLKVDNLTYRTSPDSLRRVFEKYGRVGDVYIPREPHTKAPRGFAFVRFHDRRDAQDAEAAMDGAELDGRELRVQVARYGRRDLPRSRQGEPRGRSRGGGYGRRSRSYGRRSRSPRRRHRSRSRGPSCSRSRSRSRYRGSRYSRSPYSRSPYSRSRYSRSPYSRSRYRESRYGGSHYSSSGYSNSRYSRYHSSRSHSKSGSSTSSRSASTSKSSSARRSKSSSVSRSRSRSRSSSMTRSPPRVSKRKSKSRSRSKRPPKSPEEEGQMSS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAGGSDPRAGDVEEDASQLIFPKEFETAETLLNSEVHMLLEHRKQQNESAEDEQELSEVFMKTLNYTARFSRFKNRETIASVRSLLLQKKLHKFELACLANLCPETAEESKALIPSLEGRFEDEELQQILDDIQTKRSFQY", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAPSHNVVLLVDTAESSDKSRLRRVSLRLLNFLACRAGLGQVRWSYRFLNSSGGRCRPPRRSDLRELGPRGWEEFEDELEACWERARNCRPSSTQSSRAQLLQTALMETLADFQWDRPDITSPTKPTLLRSRRGRIVAADEPLKDDSPDNFINPHSRNSIFLLSSCPHSGTELGQFAATSGDFSTQKVMDKLLPKSLQKIVSSKRVRVYWLDTSDWTQFGSSSDHSGYWTMVELMHQVEGRILPSESILGSSCQKAKTLPSFSVPPINIPFESVLNYLIFSEPDYQLWFPRRDGILFLTGKDGTKQLDCAVSLEPVSMIQKLSTSLMTIELKGTMQNCNLPLAGLRVETWLLHNSDCVQLQKLTKELMLKELHMIATVTLEDDVLPRTGILSPLSETAAVLNVICSERTLGLDNLHVQGSVHETDKETFSDLPDIVMSVLNHVYSSEDNTLAPDFPVPEWIKQELSQSSRWTSSVTARWYPLSGVSGASCNLMESFRLINAASSNCDEHLKFDQELTNYLSEFYQKKSVDDAGLGVHRENQKKSGLPRTPVRQKMKTLPRALQMLNAARLNVKAQKADSTLPVPNEKNSQMKRRSSGKQDNKPKQLKPTEFQSEDGLISYIKENYEEAVSLVDHSTMTWARDTLTTIKSYLKSIGSEQIETEAIDKVKLLFKTSKVIRQNYRNNQDKEVKLKECQLQVFLRLEMFVQCPVIQMDSDELELIIEEITDILRIVSLTEDPLFLTKFLEVDVLTQYIASVPKILADIYFSLGTQIPEVLVLVLPSDGDDSIMHEEKSVKSQPSTSRVPSVAPIGAETDQLEDLRTRSAKKRRSTALARHRSVAESSQSFRQIEVPKRQPNKENVQSNAVVVLEKLKLPLPAQPQKDAEAKVRRNLFIQETRSPSKRCSKMPRSQSVSAVESLKRKRSKSHDGSKDHHKLLTKKVSETPVHKQTANRLLLRQIKGRPSESNSNISIVEESPEKEIRDIDLRRSPRIKQLSLTRRNSSSFYASQPKSRNLERVNSATQLQQSRERPGSCLISEVKTPKRLLFGEVLGMISPPTTKRSRRILDMVNPVYKTPGKTPRKTPSKNIPNFEDQSGNMLVKSPCTPYTPRTPSRTPKRLKTPSKGSTERKKAAKNLGKLFSPSKPEEKSPLKLWGRRSERLAQMTPGKDGSPYKQSVCQTLMEVKTPQKLQRLESKDFRTPSRTPTRSNNTTPAKQSMQISNTPRKSDLKHPQEHESRGPSGYILWTPQKRILASVPHTPILQTPQKPISASVPRTPVCRTPQKAILSSVPSTPVCQTPNKAILTSVSRTPVYQTPQKAILASVMSTPVYQTPQKPVLASVPTTPILKTPQRSALASVSHTPSPKKYIMKELTVAITRMRECTPEKVLGSNLSSSATPSSALKSFCSEKTTSVCQTPKKSSIALLKPCDSLEFSGAPERLMDSLCSNKDSTKAETACTVPSQISTQMQNVINAGECTDSLSQTSVSSPSIPFTDKSLSPDLKDALSDVTSSKAEGVTIVSEKLDSSSMDSQEATDSFINSSQTEESIDISEARVVSTEASELKMKVLITRKPSGSGVSYLPTTPKCLGNVCSTSTYGLRCTPDRRQREAAARLGTPEIPAKFSTPKSHCKMIPQSIYEVELEMQESGLPKLRFKRTDSNSTIDMDVNKTPKISRKRKGDESPFNEKWCSKHAVRTEPACVSPSCVRTSHYTPGKSGIQTFICQSYTPNRCLSAAASPSQSDAGVPWTPSPKEKLSTDVINSWPRKKKASALCTNLLKCDKIPEYAEEDGGDFELEGVSKLLEKSPVIEQQSKVDGGTFGLRSRKRVFSLVSPTKETENPVKRVCTFNRHEDSSTATHRHQTKEEMEIFSSDQSRSSYLSSSQQSICDDVFNMSDFTPPSKVPKNPLSACGLLTLTQSPLLYKGKTPSSKRKEKIQDVFSDGDSDHGTPTLKRPTNPAAVSDDSPFRKVNPLRSISKTYSRKKLIT", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSNELDFRSVRLLKNDPVSFQKFPYSNEDEAWKTYLENPLTAATKAMMRVNGDEESVAALSFLYDYYMGPKEKRILSSSTGGRNDQGKKFYHSMDYEPDLAPLESPTHLMKFLTENVSGSPDYTDQLKKNNLLGLEGVLPTPGKTNTVPPGPSKLEASSMDSYLLPASDIYDNGSLNSLFESIHGVPPTQRWQPDSTFKDDPQESLLFPDILKTSPDPPCPEDYPGLKSDFEYTLGSPKAIHIKAGESPMAYLNKGQFYPVTLRTPAGGKGLALSSSKVKSVVMVVFDNDKVPVEQLRFWRHWHSRQPTAKQRVIDVADCKENFNTVQHIEEVAYNALSFVWNVNEEAKVFIGVNCLSTDFSSQKGVKGVPLNLQIDTYDCGAGTERLVHRAVCQIKIFCDKGAERKMRDDERKQFRRKVKCPDSSNNAGIKGCLLSGFRGNETTYLRPETDLETQPVLFIPNLHFSSLQRPGGVVPSAGHSSSDRLPLKRTCSPFAEEFEPLPSKQAKEDDLQRVLLYVRRETEEVFDALMLKTPDLKGLRNAISEKYGLPEENICKVYKKCKRGILVNMDNNIIQHYSNHVAFLLDMGELDGKIQIILKEL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MFIKRSDYASAYLKIKEASVSGGCTVQLFVALDPDALCACKLLSTLLKGDFISHKIRPVSGYRDLEQANKTLLEQNEDIKFIILLNCGTMVDLNNYLVSMEDVSIYVIDSHRPHNLNNIYIENNIFVFDDGDIEEDMNKIHDAWYAFNSHELSDEENSDSSNEREEEVEDDNRSVESYSSSDYQARSRRRFSEETTQRRAEIKEKRKKRKEFASILSEYYEKGSWYGESITNILFAVASMLGREDNDMLWLAIVGLTCLEIHCQSSKKYFNRSYSLLKDEVNRLNPSPLENQIVGRAHGKTPHDQSIRLEDEFRFMLVRHWSLYDSMLHSAYVGSRLHIWSEEGRKRLHKLLAKMGLSLVECKQTYIHMNMDLKKTLKSSLKRFAPFYGLDDVIFHSFTRTYGFKCTLSASDVSYAISALLEMGNTGVLLQSKTVARSPDMTEEEYLEKFENAQNQEWLHNFYDAYDALDDVDSLERALKLAMHLQRAIVRTGITLLEKRAIKTLRSFRFGLINEGPDLKIFMHPLALTKMSLWIAEAINEQEREFGKLRHLPLVLAAFVEEKNRYLIVGTSTSAFTSNEDDDDDDGHGHNRFGVAFQEVANMTSATLQMDCFEASVIECQKSDLGVFLESLSFKTLL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDGGYDQSGGASRYFHNLFRPELHHQLQPQPQLHPLPQPQPQPQPQQQNSDDESDSNKDPGSDPVTSGSTGKRPRGRPPGSKNKPKPPVIVTRDSPNVLRSHVLEVSSGADIVESVTTYARRRGRGVSILSGNGTVANVSLRQPATTAAHGANGGTGGVVALHGRFEILSLTGTVLPPPAPPGSGGLSIFLSGVQGQVIGGNVVAPLVASGPVILMAASFSNATFERLPLEDEGGEGGEGGEVGEGGGGEGGPPPATSSSPPSGAGQGQLRGNMSGYDQFAGDPHLLGWGAAAAAAPPRPAF", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAALKEDRSYGLSCGRVSDGSKVSVFHVKLTDSALRAFESYRARQDSVSLRPSIRFQGSQGHISIPQPDCPAEARTFSFYLSNIGRDNPQGSFDCIQQYVSSHGEVHLDCLGSIQDKITVCATDDSYQKARQSMAQAEEETRSRSAIVIKAGGRYLGKKVQFRKPAPGATDAVPSRKRATPINLASAIRKSGASAVSGGSGVSQRPFRDRVLHLLALRPYRKAELLLRLQKDGLTQADKDALDGLLQQVANMSAKDGTCTLQDCMYKDVQKDWPGYSEGDQQLLKRVLVRKLCQPQSTGSLLGDPAASSPPGERGRSASPPQKRLQPPDFIDPLANKKPRISHFTQRAQPAVNGKLGVPNGREALLPTPGPPASTDTLSSSTHLPPRLEPPRAHDPLADVSNDLGHSGRDCEHGEAAAPAPTVRLGLPLLTDCAQPSRPHGSPSRSKPKKKSKKHKDKERAAEDKPRAQLPDCAPATHATPGAPADTPGLNGTCSVSSVPTSTSETPDYLLKYAAISSSEQRQSYKNDFNAEYSEYRDLHARIERITRRFTQLDAQLRQLSQGSEEYETTRGQILQEYRKIKKTNTNYSQEKHRCEYLHSKLAHIKRLIAEYDQRQLQAWP", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MQKLGLSGLRGLEGFRSLAGSTSTAAKAPNPKPSSDIGGSTYGSFANLKITAEKLVKEQASVKTDLEMTHTKLRRATEQINLLEAKLQQAVNENAKLKVKQTEDSKLWQGLDSKVSSTKTLCNQLTETLQQLASQTERAEEDKKFFEEILGKNSKAFEEFNCLLHDSSIKLECAEQMIISGKQEMLRIKQEKEEMDQSYKEQLYASDTTIREKNSLIKQLEDSIEQNKARLLYVDSRLECMEQELKLKEDVCICLKENLASTESEKNDLKLRNEGYTLEVQKLSKDNKELNELLSGFTVKVTELDKEHTSISSHVTQLISSFERYDGKVHEEKMLMIKSAKDKFEHLQNQYVNLISENNALQTEIEELKSRIIELQKTQEIVMVQHVEECQVAEDKIRRLESEAEISASNISQLEKVASDLEGRVQKLLEDSRSAENHKQELLQKILKLESDNQELLGRVQSVLNEKSNDTESLQGEIAKRDQQVETLENQVNQLCSIIDEKEQLHTCAVEREKNLEEQKLQVQASLAATESQLTEAKKQYDIMLEGKKIELSKHLKELSLKNDQAINEIRRKYELEKVEIINIEKEKAEKLIKEMENKCNEKISENRQDSERYLMCLKEEHGSMVARIQQDNEHKESTLRAYHKEELQRIQSQAENELRERLSSLRKDHEIQMKSLTKKHEENCQKLQDELELQKSKEEKQRALLQLQWKVMGETQQVDQEVNSKKEYSVSSIKRRDPYIRKEHQLQLVSPETKRKDVNLSGIIQSPITNMLRKVEKGTQDIPKHRKVTHHEYEVETANGRITKRRKTKSTVMFGEPNTQKSLHDTADKDPTKMKKVVAGSHPHPANIGELFSEGSLNPYAEDPYAFG", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEIGSSSTVAGGGQLSVPPGFRFHPTEEELLYYYLKKKVSYEPIDLDVIREVDLNKLEPWELKEKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKSIHLNSSKKIGLRKTLVFYTGRAPHGQKTEWIMHEYRLDDSENEIQEDGWVVCRVFKKKNHFRGFHQEQEQDHHHHHQYISTNNDHDHHHHIDSNSNNHSPLILHPLDHHHHHHHIGRQIHMPLHEFANTLSHGSMHLPQLFSPDSAAAAAAAAASAQPFVSPINTTDIECSQNLLRLTSNNNYGGDWSFLDKLLTTGNMNQQQQQQVQNHQAKCFGDLSNNDNNDQADHLGNNNGGSSSSPVNQRFPFHYLGNDANLLKFPK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGKTQKKNSKGRLDRYYYLAKEKGYRARSSFKIIQINEKYGHFLEKSKVVIDLCAAPGSWCQVASKLCPVNSLIIGVDIVPMKPMPNVITFQSDITTEDCRSKLRGYMKTWKADTVLHDGAPNVGLGWVQDAFTQSQLTLQALKLAVENLVVNGTFVTKIFRSKDYNKLIWVFQQLFEKVEATKPPASRNVSAEIFVVCKGFKAPKRLDPRLLDPKEVFEELPDGQQNMESKIYNPEKKVRKRQGYEEGDNLLYHETSILDFVRTEDPISMLGEMNKFTIDENDHEWKILKKLKQTTDEFRSCIEDLKVLGKKDFKMILRWRKIAREILGIEVKDDAKTEIEVVPLTEEEQIEKDLQGLQEKQRLNVKRERRRKNEMKQKELQRMQMNMITPTDIGIEAASLGKESLFNLKTAEKTGILNDLAKGKKRMIFTDDELAKDNDIYIDENIMIKDKDSAADADDLESELNAMYSDYKTRRSERDAKFRAKQARGGDNEEEWTGFNEGSLEKKEEEGKDYIEDNDDEGVEGDSDDDEAITNLISKLKGQEGDHKLSSKARMIFNDPIFNNVEPDLPVNTVNDGIMSSESVGDISKLNKKRKHEEMHQKQDEADSSDESSSDDSDFEIVANDNASEEFDSDYDSEEEKNQTKKEKHSRDIDIATVEAMTLAHQLALGQKNKHDLVDEGFNRYTFRDTENLPDWFLEDEKEHSKINKPITKEAAMAIKEKIKAMNARPIKKVAEAKARKRMRAVARLEKIKKKAGLINDDSDKTEKDKAEEISRLMRKVTKKPKTKPKVTLVVASGRNKGLAGRPKGVKGKYKMVDGVMKNEQRALRRIAKKHHKKK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGKKARFKEARRLQKRNLNNAIGSSSINSQNSLTNDKIGKGKNKGPTERYVLPFEKNNRFLLLGEGNFSFAFSLLLHHVSSEGFVLATSYDSKEDLKQKYPDAAEYISKIEINGGKVMHEIDATKLHLHKKLKTQKFDTIFWNFPHSGKGIKDQDRNILDNQKMLLAFFKASKFLLSEKGVIVITLAETKPYTLWNLKGLAKDAGYTSLMTEKFDSSFYPEYSHRRTIGWIDGISERSPWKGELRDSRHYCFVVNGSNIKPYNQRKEKRKRSELSDDSSDSS", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSSQILTSPTKKSMSRFAPKFTARREKVSDQKEVVHEEKNQPLTGNEVETSAQLSRDTANADISSPVVQTVVHKEATENPTASSYRKDDSVKQNEKLLHSNPTFKISKPTVLEQPLNAIEHLSPETDLSSSAEQQFMKELDLFFDENIPLSQLSAVSDGKADSPATKKSRRSYRESSLKRKSQLILENVGTDEKIINISETKMSALCDDPGIGRKSQRFIELEKMLFEEKRAKRIKKQGASTASSSREASLDSTIKQPLSASLLESSEPKEKEEIVGSLEEEGTDESASLEDSLSHPTKSILDQLADKMEVDGLNNNSNYSATPRTRVVNGQIVLDETSLEVDRHERDFVPAEEREYVEENSLSRRVTSATWGNRQKPEKWNAMDTEKFYKALSQWGTDFALIANMFPTRNRRQIKLKFKQEERRNPARVNQALKIKKPIDMEEYSKVSGKVFRPVEEMEKELQKIRENFEEERRRAIEVAEQRQLIVNHELEQEKNAPSPTDDKSYVFEDGVEVVGQVV", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLGMYVPDRFSLKSSRVQDGMGLYTARRVRKGEKFGPFAGEKRMPEDLDENMDYRLMWEVRGSKGEVLYILDATNPRHSNWLRFVHEAPSQEQKNLAAIQEGENIFYLAVEDIETDTELLIGYLDSDMEAEEEEQQIMTVIKEGEVENSRRQSTAGRKDRLGCKEDYACPQCESSFTSEDILAEHLQTLHQKPTEEKEFKCKNCGKKFPVKQALQRHVLQCTAKSSLKESSRSFQCSVCNSSFSSASSFEQHQETCRGDARFVCKADSCGKRLKSKDALKRHQENVHTGDPKKKLICSVCNKKCSSASSLQEHRKIHEIFDCQECMKKFISANQLKRHMITHSEKRPYNCEICNKSFKRLDQVGAHKVIHSEDKPYKCKLCGKGFAHRNVYKNHKKTHSEERPFQCEECKALFRTPFSLQRHLLIHNSERTFKCHHCDATFKRKDTLNVHVQVVHERHKKYRCELCNKAFVTPSVLRSHKKTHTGEKEKICPYCGQKFASSGTLRVHIRSHTGERPYQCPYCEKGFSKNDGLKMHIRTHTREKPYKCSECSKAFSQKRGLDEHKRTHTGEKPFQCDVCDLAFSLKKMLIRHKMTHNPNRPLAECQFCHKKFTRNDYLKVHMDNIHGVADS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MQSSPSPAHPQLPVLQTQMVSDGMTGSNPVSPASSSSPASSGAGGISPQHIAQDSSLDGPPGPPDGATVPLEGFSLSQAADLANKGPKWEKSHAEIAEQAKHEAEIETRIAELRKEGFWSLKRLPKVPEPPRPKGHWDYLCEEMQWLSADFAQERRWKRGVARKVVRMVIRHHEEQRQKEERARREEQAKLRRIASTMAKDVRQFWSNVEKVVQFKQQSRLEEKRKKALDLHLDFIVGQTEKYSDLLSQSLNQPLTSSKAGSSPCLGSSSAASSPPPPASRLDDEDGDFQPQEDEEEDDEETIEVEEQQEGNDAEAQRREIELLRREGELPLEELLRSLPPQLLEGPSSPSQTPSSHDSDTRDGPEEGAEEEPPQVLEIKPPPSAVTQRNKQPWHPDEDDEEFTANEEEAEDEEDTIAAEEQLEGEVDHAMELSELAREGELSMEELLQQYAGAYAPGSGSSEDEDEDEVDANSSDCEPEGPVEAEEPPQEDSSSQSDSVEDRSEDEEDEHSEEEETSGSSASEESESEESEDAQSQSQADEEEEDDDFGVEYLLARDEEQSEADAGSGPPTPGPTTLGPKKEITDIAAAAESLQPKGYTLATTQVKTPIPLLLRGQLREYQHIGLDWLVTMYEKKLNGILADEMGLGKTIQTISLLAHLACEKGNWGPHLIIVPTSVMLNWEMELKRWCPSFKILTYYGAQKERKLKRQGWTKPNAFHVCITSYKLVLQDHQAFRRKNWRYLILDEAQNIKNFKSQRWQSLLNFNSQRRLLLTGTPLQNSLMELWSLMHFLMPHVFQSHREFKEWFSNPLTGMIEGSQEYNEGLVKRLHKVLRPFLLRRVKVDVEKQMPKKYEHVIRCRLSKRQRCLYDDFMAQTTTKETLATGHFMSVINILMQLRKVCNHPNLFDPRPVTSPFITPGICFSTASLVLRATDVHPLQRIDMGRFDLIGLEGRVSRYEADTFLPRHRLSRRVLLEVATAPDPPPRPKPVKMKVNRMLQPVPKQEGRTVVVVNNPRAPLGPVPVRPPPGPELSAQPTPGPVPQVLPASLMVSASPAGPPLIPASRPPGPVLLPPLQPNSGSLPQVLPSPLGVLSGTSRPPTPTLSLKPTPPAPVRLSPAPPPGSSSLLKPLTVPPGYTFPPAAATTTSTTTATATTTAVPAPTPAPQRLILSPDMQARLPSGEVVSIGQLASLAQRPVANAGGSKPLTFQIQGNKLTLTGAQVRQLAVGQPRPLQRNVVHLVSAGGQHHLISQPAHVALIQAVAPTPGPTPVSVLPSSTPSTTPAPTGLSLPLAANQVPPTMVNNTGVVKIVVRQAPRDGLTPVPPLAPAPRPPSSGLPAVLNPRPTLTPGRLPTPTLGTARAPMPTPTLVRPLLKLVHSPSPEVSASAPGAAPLTISSPLHVPSSLPGPASSPMPIPNSSPLASPVSSTVSVPLSSSLPISVPTTLPAPASAPLTIPISAPLTVSASGPALLTSVTPPLAPVVPAAPGPPSLAPSGASPSASALTLGLATAPSLSSSQTPGHPLLLAPTSSHVPGLNSTVAPACSPVLVPASALASPFPSAPNPAPAQASLLAPASSASQALATPLAPMAAPQTAILAPSPAPPLAPLPVLAPSPGAAPVLASSQTPVPVMAPSSTPGTSLASASPVPAPTPVLAPSSTQTMLPAPVPSPLPSPASTQTLALAPALAPTLGGSSPSQTLSLGTGNPQGPFPTQTLSLTPASSLVPTPAQTLSLAPGPPLGPTQTLSLAPAPPLAPASPVGPAPAHTLTLAPASSSASLLAPASVQTLTLSPAPVPTLGPAAAQTLALAPASTQSPASQASSLVVSASGAAPLPVTMVSRLPVSKDEPDTLTLRSGPPSPPSTATSFGGPRPRRQPPPPPRSPFYLDSLEEKRKRQRSERLERIFQLSEAHGALAPVYGTEVLDFCTLPQPVASPIGPRSPGPSHPTFWTYTEAAHRAVLFPQQRLDQLSEIIERFIFVMPPVEAPPPSLHACHPPPWLAPRQAAFQEQLASELWPRARPLHRIVCNMRTQFPDLRLIQYDCGKLQTLAVLLRQLKAEGHRVLIFTQMTRMLDVLEQFLTYHGHLYLRLDGSTRVEQRQALMERFNADKRIFCFILSTRSGGVGVNLTGADTVVFYDSDWNPTMDAQAQDRCHRIGQTRDVHIYRLISERTVEENILKKANQKRMLGDMAIEGGNFTTAYFKQQTIRELFDMPLEEPSSSSVPSAPEEEEETVASKQTHILEQALCRAEDEEDIRAATQAKAEQVAELAEFNENDGFPAGEGEEAGRPGAEDEEMSRAEQEIAALVEQLTPIERYAMKFLEASLEEVSREELKQAEEQVEAARKDLDQAKEEVFRLPQEEEEGPGAGDESSCGTGGGTHRRSKKAKAPERPGTRVSERLRGARAETQGANHTPVISAHQTRSTTTPPRCSPARERVPRPAPRPRPTPASAPAAIPALVPVPVSAPVPISAPNPITILPVHILPSPPPPSQIPPCSSPACTPPPACTPPPAHTPPPAQTCLVTPSSPLLLGPPSVPISASVTNLPLGLRPEAELCAQALASPESLELASVASSETSSLSLVPPKDLLPVAVEILPVSEKNLSLTPSAPSLTLEAGSIPNGQEQEAPDSAEGTTLTVLPEGEELPLCVSESNGLELPPSAASDEPLQEPLEADRTSEELTEAKTPTSSPEKPQELVTAEVAAPSTSSSATSSPEGPSPARPPRRRTSADVEIRGQGTGRPGQPPGPKVLRKLPGRLVTVVEEKELVRRRRQQRGAASTLVPGVSETSASPGSPSVRSMSGPESSPPIGGPCEAAPSSSLPTPPQQPFIARRHIELGVTGGGSPENGDGALLAITPPAVKRRRGRPPKKNRSPADAGRGVDEAPSSTLKGKTNGADPVPGPETLIVADPVLEPQLIPGPQPLGPQPVHRPNPLLSPVEKRRRGRPPKARDLPIPGTISSAGDGNSESRTQPPPHPSPLTPLPPLLVCPTATVANTVTTVTISTSPPKRKRGRPPKNPPSPRPSQLPVLDRDSTSVLESCGLGRRRQPQGQGESEGSSSDEDGSRPLTRLARLRLEAEGMRGRKSGGSMVVAVIQDDLDLADSGPGGLELTPPVVSLTPKLRSTRLRPGSLVPPLETEKLPRKRAGAPVGGSPGLAKRGRLQPPSPLGPEGSVEESEAEASGEEEEGDGTPRRRPGPRRLVGTTNQGDQRILRSSAPPSLAGPAVSHRGRKAKT", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSSNKGNGRLPSLKDSSSNGGGSAKPSLKFKPKAVARKSKEEREAAASKVKLEEESKRGNDKKHFNNKNKRVTGAGGQQRRMAKYLNNTHVISSGPLAAGNFVSEKGDLRRGFIKSEGSGSSLVQKGLETIDNGAESSENEAEDDDNEGVASKSKKKFNMGKEFEARNLIEDEDDGESEKSSDVDMDDEEWRSKRIEQLFPVRPVRVRHEDVETVKREIQEALSEKPTREPTPSVKTEPVGTGLQSYLEERERQVNEKLADLGLEKEFQSVDGKEAAAELELLNADHQHILRKLKKMNNKPERFMVFQLPTRLPAFERPAVKEEKEDMETQASDPSKKKKNIKKKDTKDALSTRELAGKVGSIRVHKSGKLSVKIGNVVMDIGKGAETTFLQDVIALSIADDASSAELLGRVDGKIVVTPQI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVKKKIDNRIRVMIENGVKLGHRTMFIVIGDKARDQVPILYDILTKSTVKARPTVLWCYKNKDEAISNHGKKRAKKIAVGKVDVNEADLFDSFRVATTIHGRYYSETHAVLGRTYGVCVLQDFEALTPNLLARTVETVEGGGLIILLLKTLQSLKQLYTMSMDVHKRFRTEAHQTVTCRFNERLILSLADCKRCLVVNDDLTVLPLSSKTINVEPVNPAGAGRSPNEASLKELKESLLTVQPAGALVNLCKTYDQANAVAQFIEALVDKQLKPPMSLTAARGRGKSAALGLSIAAAVAFGYVNIYVTSPHPENLITLFEFVLKGFDALEYQEHADYTIIRSTNADYKKAIIRINITRSSRQTIQYIAPSDTHLLNAADLLLIDEAAAIPLPLVKKMIGPYLVFMASTINGYEGTGRSLSLKLISQLQKDNNARPPLKLEESIRYQENDDIEKWLINLLCLDASTVPSISSGCPTPDACELYYVDRDALFSYHKAAEAFLHRLVSIYVSSHYKNTPNDLQMMSDAPAHHLFCLLGPVQRMDALPEILVVIQVALEGQISAQSISDSLGRGKKAAGDLIPWNVAEQYGDRDFPKLCGVRIVRVATHPNYQRMGYGKRAIQLLKDYYARKHTNLEDGPVASKDAGKGIEEVEEEELSLLKEQIRPRSRIPTLLQRLHERVPEHVDYIGTSYGLTTELLKFWKNAGFVPVYLSQKSNELTAEHSCIMLHTPNATPWLGLYYQDFRRRVLKLMGKTFREFETKLCLALLKNKSVDTEGSALKVLDKPMLDVYFLPHDLQRLESYARQQSEFRLIIDLLTDIAQLYFQGRIDGLQLDLVQQGILLALGVQGKTVDALGLELNMPGNQLLAKFFDAMKRCNQCFRSVLEEHIEGGMLREADLSKGEELQPLTLSLDKELDQTAQKLSKQQRKELKRLKAEQLDEFQIKGTEEDWSKALETNGTGGGSGLLSVKSGVKRLDGPIETREDGDLAAPLSKKKKKNNPKQRRSQGKSLI", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAGQPTISRFFKKAVKSELTHKQEQEVAVGNGAGSESICLDTDEEDNLSSVASTTVTNDSFPLKGSVSSKNSKNSEKTSGTSTTFNDIDFAKKLDRIMKRRSDENVEAEDDEEEGEEDFVKKKARKSPTAKLTPLDKQVKDLKMHHRDKVLVIRVGYKYKCFAEDAVTVSRILHIKLVPGKLTIDESNPQDCNHRQFAYCSFPDVRLNVHLERLVHHNLKVAVVEQAETSAIKKHDPGASKSSVFERKISNVFTKATFGVNSTFVLRGKRILGDTNSIWALSRDVHQGKVAKYSLISVNLNNGEVVYDEFEEPNLADEKLQIRIKYLQPIEVLVNTDDLPLHVAKFFKDISCPLIHKQEYDLEDHVVQAIKVMNEKIQLSPSLIRLVSKLYSHMVEYNNEQVMLIPSIYSPFASKIHMLLDPNSLQSLDIFTHDGGKGSLFWLLDHTRTSFGLRMLREWILKPLVDVHQIEERLDAIECITSEINNSIFFESLNQMLNHTPDLLRTLNRIMYGTTSRKEVYFYLKQITSFVDHFKMHQSYLSEHFKSSDGRIGKQSPLLFRLFSELNELLSTTQLPHFLTMINVSAVMEKNSDKQVMDFFNLNNYDCSEGIIKIQRESESVRSQLKEELAEIRKYLKRPYLNFRDEVDYLIEVKNSQIKDLPDDWIKVNNTKMVSRFTTPRTQKLTQKLEYYKDLLIRESELQYKEFLNKITAEYTELRKITLNLAQYDCILSLAATSCNVNYVRPTFVNGQQAIIAKNARNPIIESLDVHYVPNDIMMSPENGKINIITGPNMGGKSSYIRQVALLTIMAQIGSFVPAEEIRLSIFENVLTRIGAHDDIINGDSTFKVEMLDILHILKNCNKRSLLLLDEVGRGTGTHDGIAISYALIKYFSELSDCPLILFTTHFPMLGEIKSPLIRNYHMDYVEEQKTGEDWMSVIFLYKLKKGLTYNSYGMNVAKLARLDKDIINRAFSISEELRKESINEDALKLFSSLKRILKSDNITATDKLAKLLSLDIH", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAGTQACSATRFSCPPHFTEMSPDSEPSRFSLEALTGPDTELWLIQAPADFAPQCLNGRRVPLSGSKTVKGKLDGKKHRYRVFTSSPQAREATLLASSSEAGGRLTCAPAPSGSLRIMEGPQEYLLSRVPLQLIPTSLPPQIPAGLRPRFSAFGGSPPVTGPGSASALRSPTSGKRKSTRKGTDASSDTQEAVNRHGAMEVKTALGNLGVSVKKRKRYFMQEEMEAKTMEPVAELPVPSATSSKKRKKSKGTETSQVEHTEPVAQTEPPEGTFLFPTKKRKRQKEADGTEEVDGIVADSQPQVIVEAQEETILLSPTKKRRKEKRQNLGMEAEMGPPGVLMVTEHSEHGLQAEVALVSPKKTKKKKGKRVGETEAALPDDFEPQGALAPSKKKERGARL", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAAVPTIDLTLADSDNEDIFHSFSSSTSVDKIDIRKENGKLRMAGLEVAQSNDDAARQAFHVFKTNISNNETFDTILSKSKTITDSTFNNEKSSNEVKQQQVLKEETMGSSNDEKKTQESSPSAEMIKLFYENDDVPLSDSFKQKEEGKRINQDEQVKENICGISSSYVSKDYDGVEDDFEPNTCQDSNLDFQEEKLNLNNKPSQQQFSDPETKDNSLKSENKDQIKGVTTTSYRDLPIESSAFQDSETQNNSKNTIPNIVNEKRTPALPSNLSSVESSLKNETAKVEGKTTVRLPGLQNNVALLEQEQSELFKHFSEQPVDISDFGRKIKRKHSGDFADNKILKRPILPSKNMDHTTHNSHDSEQKNSSIIILSDEDESGAGINDIESPLKVSEPNTADALRSSVPEVISLLDLPNIDLNNSVIKEASGSNSIPTSETDAQSSSSSVLQGTIMTEQATQSSQHECNSSLDTLKKNHQKLLKDLNSRESELRNALSCCKTNSEILRRKLSRREKEVSDAEKHWQLLLTSMARGGRTISSTQQILVDEAENQLNKLKEKRQLTKSKLDSINLKMYNYNEQWKSFVHSKNINLQKSLAALERSARDSKASATVNKRNECLAEKEKLDQMLKEGTLSFSTYKQLTGEIQQKLNDLKLGDQRTTDINSVLPIVRQPLAKRDLFIKSIDTAKDLLAKNTSRTEMTKRILYRHLDNLVSYKNFFEDGRSLIDINRRHVAHESAQILFTNGVKMPIVFETLQDYGIKFSNPAIVNPDRRAQYFKSIEVARDLISKSTRSEDAKRKITRFLNIIEEFRKDIDTGFPPTPLKREGVGKAVVGLRQQGLKMDRLYENLRRYKIPITSEELLQQSYLFPVNADQRPPSNWNIVENTEDTSSTANDLSMQDEFHISNMHAAEDQEQIRALLENVKQSESIIDGEALTPEDMTVNLLKHQRLGLHWLLQVENSAKKGGLLADDMGLGKTIQAIALMLANRSEESKCKTNLIVAPVSVLRVWKGELETKVKKRAKFTTFIFGGSGNGKVKHWRDLARYDAVLVSYQTLANEFKKHWPKKLDGEQNQLPAVPHIQALNRLKTSNEYYSPFFCNDSTFYRILLDEGQNIKNKNTRASKACCTINGMYRWVLSGTPIQNSMDELYSLIRFLRIPPYHKEQRFKLDIGRFFQRNKQYQYDNEDRKNALRKVRVLLNAIMLRRSKADKIDGKPLLELPPKIVEVDESRLKGEELKFYTALESKNQALAKKLLNNSTRGSYSSVLTLLLRLRQACCHSELVVMGEKKAEGTKVANGKSFEDDWLRLYYKITHMSGEAQAQVITSMNSMTCFWCMEQLEPEAMSVLTGCGHLICDTCIEPFIEESSMLPQAKKTKGGAFAIPCKDCQRLTNEKDIVSHKLYDQVINQGFTEEDLHAEYLSEMEKQKIQQKNVYVPNFESLEPSTKIEQCIQVIQRVFDESATEKIIIFSQFTTFFEILEHFLKNKLNFPYLKYIGSMNAQRRSDVINEFYRDPEKRILLISMKAGNSGLTLTCANHVVIVDPFWNPYVEEQAQDRCYRISQTKKVQVHKLFIKDSVEDRISELQKRKKEMVDSAMDPGKIKEVNSLGRRELGFLFGLNAL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASSETEIRWAEPGLGKGPQRRRWAWAEDKRDVDRSSSQSWEEERLFPNATSPELLEDFRLAQQHLPPLEWDPHPQPDGHQDSESGETSGEEAEAEDVDSPASSHEPLAWLPQQGRQLDMTEEEPDGTLGSLEVEEAGESSSRLGYEAGLSLEGHGNTSPMALGHGQARGWVASGEQASGDKLSEHSEVNPSVELSPARSWSSGTVSLDHPSDSLDSTWEGETDGPQPTALAETLPEGPSHHLLSPDGRTGGSVARATPMEFQDSSAPPAQSPQHATDRWRRETTRFFCPQPKEHIWKQTKTSPKPLPSRFIGSISPLNPQPRPTRQGRPLPRQGATLAGRSSSNAPKYGRGQLNYPLPDFSKVGPRVRFPKDESYRPPKSRSHNRKPQAPARPLIFKSPAEIVQEVLLSSGEAALAKDTPPAHPITRVPQEFQTPEQATELVHQLQEDYHRLLTKYAEAENTIDQLRLGAKVNLFSDPPQPNHSIHTGMVPQGTKVLSFTIPQPRSAEWWPGPAEDPQASAASGWPSARGDLSPSSLTSMPTLGWLPENRDISEDQSSAEQTQALASQASQFLAKVESFERLIQAGRLMPQDQVKGFQRLKAAHAALEEEYLKACREQHPAQPLAGSKGTPGRFDPRRELEAEIYRLGSCLEELKEHIDQTQQEPEPPGSDSALDSTPALPCLHQPTHLPAPSGQAPMPAIKTSCPEPATTTAAASTGPCPLHVNVEVSSGNSEVEDRPQDPLARLRHKELQMEQVYHGLMERYLSVKSLPEAMRMEEEEEGEEEEEEEGGGDSLEVDGVAATPGKAEATRVLPRQCPVQAEKSHGAPLEEATEKMVSMKPPGFQASLARDGHMSGLGKAEAAPPGPGVPPHPPGTKSAASHQSSMTSLEGSGISERLPQKPLHRGGGPHLEETWMASPETDSGFVGSETSRVSPLTQTPEHRLSHISTAGTLAQPFAASVPRDGASYPKARGSLIPRRATEPSTPRSQAQRYLSSPSGPLRQRAPNFSLERTLAAEMAVPGSEFEGHKRISEQPLPNKTISPPPAPAPAAAPLPCGPTETIPSFLLTRAGRDQAICELQEEVSRLRLRLEDSLHQPLQGSPTRPASAFDRPARTRGRPADSPATWGSHYGSKSTERLPGEPRGEEQIVPPGRQRARSSSVPREVLRLSLSSESELPSLPLFSEKSKTTKDSPQAARDGKRGVGSAGWPDRVTFRGQYTGHEYHVLSPKAVPKGNGTVSCPHCRPIRTQDAGGAVTGDPLGPPPADTLQCPLCGQVGSPPEADGPGSATSGAEKATTRRKASSTPSPKQRSKQAGSSPRPPPGLWYLATAPPAPAPPAFAYISSVPIMPYPPAAVYYAPAGPTSAQPAAKWPPTASPPPARRHRHSIQLDLGDLEELNKALSRAVQAAESVRSTTRQMRSSLSADLRQAHSLRGSCLF", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSSDAMSDYSHDDEHDPQTDELRETALVTLEALISSCSSQMQSYLPNTINSALRFLKYDPNVADMGEDEEMSGTQDDGSEDDVTEEPDLEDDDFEDFEEEGGYSDIDDMSWKVRRCAAKLLYAVISTYGRGRALDDTSLYQQIAPAIVARFNKEREESVKLELVSTMDALVRKTAEGSMIMTSSGFLESVGSGSKISRKRRRQDSDASMIDFEPSMGTSSAAGTPLAAPSSPQSGPQSELANALPVIVRSLVTMWKQASIHLKQAIIILLKSLALVRYGGLADHLQQIEDPIADVLKSSLSGAPSASIGISASAGTLQIETLSLISAISETHASDALLPFLIALIPGVIVAVNDKNYKVSSEALAAVEQIVKALTPPRVTTASQDLIFQLEKLYDVSHSRITDTSADLEVRQRAIHVLGVLLARTSDEQGSAFLSFEKRSKGLVTLVDRLKNETTRLSAVRAIDDVAVLCSRKDDVDSNWVREVTAELGAQLRKSDRVLRSASLETLRSLSMNPNTRAHYDGETMKNLEECLIPLISVEDVHLLAPSLIIIAKLVPGNAQLLVNDGLVSAICSIVRTSLAGTVLKALLLLVKVIGEEGSGLTLMQNLLQDVGVNGDTSVVGRSIGTLLVHGGSNVGVRMEDFLSELQKTQDPQRQCLALAILGESALRLGASCSLTPNVFIPHFNSKSEKVRLASATALGNAAAGNVKAYLPTILGGLEKSDPQSYLLLHSVKELLQHPEMVRRDVAPSALKLWQALLVVSKEEDNRAMGAECVGRLALLDPPAYIPQFQEYLANGDAGIRSIVVSAFRFTLSDSRDVFNDVLRPLIVPLLVNMLSDRDLGNHRLALTTLNSAIHNKLALILPHLGELLPAVLGDTQIKPELIREVQMGPFKHKVDDGLELRKSAYETVYAALDTSFSLSHITELYSRILAGIDDEQDIRTICNLMTSKLITLAPEETQRHLDALSERYTAILNFKPKENAVKQEIEKAQEASTGVLKITRELSKAFPNAETMGDHHKWKAYMEMVRAQFGTQLSNLESEF", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEGGYEQGGGASRYFHNLFRPEIHHQQLQPQGGINLIDQHHHQHQQHQQQQQPSDDSRESDHSNKDHHQQGRPDSDPNTSSSAPGKRPRGRPPGSKNKAKPPIIVTRDSPNALRSHVLEVSPGADIVESVSTYARRRGRGVSVLGGNGTVSNVTLRQPVTPGNGGGVSGGGGVVTLHGRFEILSLTGTVLPPPAPPGAGGLSIFLAGGQGQVVGGSVVAPLIASAPVILMAASFSNAVFERLPIEEEEEEGGGGGGGGGGGPPQMQQAPSASPPSGVTGQGQLGGNVGGYGFSGDPHLLGWGAGTPSRPPF", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEAENAGSYSLQQAQAFYTFPFQQLMAEAPNMAVVNEQQMPEEVPAPAPAQEPVQEAPKGRKRKPRTTEPKQPVEPKKPVESKKSGKSAKSKEKQEKITDTFKVKRKVDRFNGVSEAELLTKTLPDILTFNLDIVIIGINPGLMAAYKGHHYPGPGNHFWKCLFMSGLSEVQLNHMDDHTLPGKYGIGFTNMVERTTPGSKDLSSKEFREGGRILVQKLQKYQPRIAVFNGKCIYEIFSKEVFGVKVKNLEFGLQPHKIPDTETLCYVMPSSSARCAQFPRAQDKVHYYIKLKDLRDQLKGIERNMDVQEVQYTFDLQLAQEDAKKMAVKEEKYDPGYEAAYGGAYGENPCSSEPCGFSSNGLIESVELRGESAFSGIPNGQWMTQSFTDQIPSFSNHCGTQEQEEESHA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MADFKSLGLSKWLTESLRAMKITQPTAIQKACIPKILEGRDCIGGAKTGSGKTIAFAGPMLTKWSEDPSGMFGVVLTPTRELAMQIAEQFTALGSSMNIRVSVIVGGESIVQQALDLQRKPHFIIATPGRLAHHIMSSGDDTVGGLMRAKYLVLDEADILLTSTFADHLATCISALPPKDKRQTLLFTATITDQVKSLQNAPVQKGKPPLFAYQVESVDNVAIPSTLKIEYILVPEHVKEAYLYQLLTCEEYENKTAIIFVNRTMTAEILRRTLKQLEVRVASLHSQMPQQERTNSLHRFRANAARILIATDVASRGLDIPTVELVVNYDIPSDPDVFIHRSGRTARAGRIGDAISFVTQRDVSRIQAIEDRINKKMTETNKVHDTAVIRKALTKVTKAKRESLMAMQKENFGERKRQQKKKQNDGKSLRS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSGSFELSVQDLNDLLSDGSGCYSLPSQPCNEVTPRIYVGNASVAQDIPKLQKLGITHVLNAAEGRSFMHVNTNANFYKDSGITYLGIKANDTQEFNLSAYFERAADFIDQALAQKNGRVLVHCREGYSRSPTLVIAYLMMRQKMDVKSALSIVRQNREIGPNDGFLAQLCQLNDRLAKEGKLKP", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDRAPQRHHRTSRELLAAKKTHTSQIEVIPCKICGDKSSGIHYGVITCEGCKGFFRRSQQCNVAYSCTRQQNCPIDRTSRNRCQHCRLQKCLALGMSRDAVKFGRMSKKQRDSLHAEVQKQLQQQQQQEQVAKTPPAGSRGADTLTYTLGLSDGQLPLGASPDLPEASACPPGLLRASGSGPPYSNTLAKTEVQGASCHLEYSPERGKAEGRDSIYSTDGQLTLGRCGLRFEETRHPELGEPEQGPDSHCIPSFCSAPEVPYASLTDIEYLVQNVCKSFRETCQLRLEDLLRQRTNLFSREEVTSYQRKSMWEMWERCAHHLTEAIQYVVEFAKRLSGFMELCQNDQIILLTAGAMEVVLVRMCRAYNANNHTVFFEGKYGGVELFRALGCSELISSIFDFSHFLSALCFSEDEIALYTALVLINANRPGLQEKRRVEHLQYNLELAFHHHLCKTHRQGLLAKLPPKGKLRSLCSQHVEKLQIFQHLHPIVVQAAFPPLYKELFSTDVESPEGLSK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDVRRLKVNELREELQRRGLDTRGLKAELAERLQAALEAEEPDDERELDADDEPGRPGHINEEVETEGGSELEGTAQPPPPGLQPHAEPGGYSGPDGHYAMDNITRQNQFYDTQVIKQENESGYERRPLEMEQQQAYRPEMKTEMKQGAPTSFLPPEASQLKPDRQQFQSRKRPYEENRGRGYFEHREDRRGRSPQPPAEEDEDDFDDTLVAIDTYNCDLHFKVARDRSSGYPLTIEGFAYLWSGARASYGVRRGRVCFEMKINEEISVKHLPSTEPDPHVVRIGWSLDSCSTQLGEEPFSYGYGGTGKKSTNSRFENYGDKFAENDVIGCFADFECGNDVELSFTKNGKWMGIAFRIQKEALGGQALYPHVLVKNCAVEFNFGQRAEPYCSVLPGFTFIQHLPLSERIRGTVGPKSKAECEILMMVGLPAAGKTTWAIKHAASNPSKKYNILGTNAIMDKMRVMGLRRQRNYAGRWDVLIQQATQCLNRLIQIAARKKRNYILDQTNVYGSAQRRKMRPFEGFQRKAIVICPTDEDLKDRTIKRTDEEGKDVPDHAVLEMKANFTLPDVGDFLDEVLFIELQREEADKLVRQYNEEGRKAGPPPEKRFDNRGGGGFRGRGGGGGFQRYENRGPPGGNRGGFQNRGGGSGGGGNYRGGFNRSGGGGYSQNRWGNNNRDNNNSNNRGSYNRAPQQQPPPQQPPPPQPPPQQPPPPPSYSPARNPPGASTYNKNSNIPGSSANTSTPTVSSYSPPQPSYSQPPYNQGGYSQGYTAPPPPPPPPPAYNYGSYGGYNPAPYTPPPPPTAQTYPQPSYNQYQQYAQQWNQYYQNQGQWPPYYGNYDYGSYSGNTQGGTSTQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEFWGVEVKNGKPLHLDPGLDRLVHISQVALGESKNNVTEPIQLYVTVGSDKLLIGTLSHEKFPQLSTEIVLERNFALSHTWKNGSVFFSGYKVDASDPEPEDLIDDQLEAAGFKAAPKSAAKQVNFQLPNEDVKAKQDDDADGSEEDSSDDDDSENSGDEEEEKVTAESDSEEDDSSDDEEDDSSEEETPKKPEEPKKRSAEPNSSKNPASNKKAKFVTPQKTDSKKPHVHVATPHPSKQAGKNSGGGSTGETSKQQQTPKSAGAFGCKSCTRTFTSEMGLQSHTKAKHSAAA", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MATAQLSHCITIHKASKETVFPSQITNEHESLKMVKKLFATSISCITYLRGLFPESSYGERHLDDLSLKILREDKKCPGSLHIIRWIQGCFDALEKRYLRMAVLTLYTDPMGSEKVTEMYQFKFKYTKEGATMDFDSHSSSTSFESGTNNEDIKKASVLLIRKLYILMQDLEPLPNNVVLTMKLHYYNAVTPHDYQPLGFKEGVNSHFLLFDKEPINVQVGFVSTGFHSMKVKVMTEATKVIDLENNLFRENSTTEIAHQGLDCDEEEECNDHIQRMNFVCSQQSSECSRKKRKVSEPVKVFIPNRK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MINSVGVVRKEAENCNCLQVFFVSGSFKVDSVEAKKKEENFRETLRDMANSKYEYVKSFELEDEVMLPNLMVVRIDGRDFSRFSQVHEFEKPNDETALNLMNSCSAAVLEEFPDIIFAYGYSDEYSFVFKKTSRFYQRRASKILSLVASFFAAVYVTKWKEFFPQRKLLYAPSFSSKVVSCASAEVLQAYLAWRQQDCHANNQYDTCFWMLVKSGKSVSETQEILKDTQKQQKNELLFQKFGINYKTLPELFRQGSCLFKKKVEETVKHDENGNPVKRLRRKAVFVHSENIAGRSFWNEQPSLYNDLGHFTKDIGKIEPDFIRSFQFENKLLPLTWVVVRIDGCHFHRFSDVHEFEKPNDEQALKLMNSCAVAVLEEFEDIHFAYGVSDEYSFVLKKESELYKRQSSKIISAVASFFTSTYVLQWGEFFPHKELKYPPSFDGRAVCYPTYNILLDYLAWRQVDCHINNQYNTCFWMLVKSGKNKTQSQDYLKGTQTREKNELLSRQFGIEYNSLPVIFRMGSSVFRLKEAENGVVSGKKLEGEVVVDHCNIIERCFWEEHLHILSYS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAAGGGGPGGPGTGGKIRSRRYHLSSGRTPYSKSRQQQQGIISRVTDTVKSIVPGWLQKYFNKQEEEHDRVHSASEVIVNDTEARENNAEHHIYVVDDDDDEEGNSPTDGRVTPEPIINVDEEVPSTSQSAINNTDALTRPSLHRASLNFNIFDSPALNCQPSTSSAFPIGTSGFSLIKEIKDSTSQHDDDNISTTSGFSSRASDKDLAVSKNVSVPPLWSPEVDRSQSLSHNSSMTSKKPTFNLSAFGSLSPSLGNASILNRQLGDSPFYPGKTTYQGAAAVRSSRVRATPYQAPLRRQVKAKPAAHSQQCGVTSSAARRILQSLEKMSSPLADAKRIPSNSSLSHTPEKNVMDIPENPSKRKKVESPFPPVQRLVTPKSISVSANRSLYIKPSLTPSAVSNTNSRRIQPDKHNESRKNNLQTTSQSHSFSYPKFSTPASNGLSSGTGGGKMMREKGSHYSTKPANEELDGPVLPEIPLPLSTAALPSFQFSTLSGSATSPISVTKPANSTTCRLTSSSPSFTFSSPIVKSTESNAQSPGSSVDFTFSVPAAKASSATSDESKVSAVSRAAKTHAAVSSAKNTDDEQLGFCKPAKTLKEGSVLDMLRSPGFSSSPSLLTSASSLNRSTPTLSKTVGNTFSPANVSLGVGSKQAFGLWQCSACFHENMSSDSNCISCSALKPRPTETSKKLPASPPSSNTKSTVPLSSTPGLGDIFKKPAGMWDCDTCLVQNKAEVTKCVACETPKPGTGMKATLLIPSTTKSTNPATNTLAFASCSASIPNEEMFKKPMGSWECTVCHMQNKTEDNTCVGCKAEKPGTVKSVPTAAPSGLLGLLDQFKKPTGSWDCDVCLIQNKPEANKCIACESAKPGTKAELKGTFDTVKNSVSVAPLSSGQLGLLDQFKKSAGSWDCDVCLVENKPEATKCVACETSKPGTKAELKGFGTSTFSSGTAAPTFKFGVQSSDSTAELKSGASTSGFAKSIGNFKFGLASASTTTEETGKKSFTFGSSTTNEVSAGFKFGIAGSAQTKPDTLSQSTTSGFTFGSVSNTVSLAPTATSSGSTGLQVAAVIADSNLATTATLKSAEEKKAEAPTITPFSFGKTDQNKETASTSFVFGKKDEKTDSAPTGSSFAFGLKKDGEESKQFLFGKPEPTKVDGSAASAGFAFGVTNPTEKKDIEQPGKSVFAFGAQTSITDAGASKQPFSFLTNVSSTAASSSTCGVSSSVFGSVTQSSTPATPSNVFGSAISANAPAPSSGVFGNLTPSNAPAASSTLFGNVAPSSTPSGSSGLFGTAAASSTPATSTSLFGSAAKLSAPASSGGVFNSAAPAAPASTASSVFGSVASSTNTSANSANIFGSSGGAATAPGAFVFGQPASTASTVFGNSSESKSTFVFSGQENKPVTSASTSVTPFLFGAVSASTTPAAPGFNFGRTITSNTTGTSSSPFIFGAGASGSASSSITAQANPVPAFGQSSNPSTAPAFGSSTSVPVFPAGNSQQVPAFGSSSAQPPVFGQQATQPSFGSPAAPSAGSGFPFGNNANFNFNSTNSSGGVFTFNANSGSTTQPPPPGYMFNAAAPGFNMGTNGRTTPASTISTRKIKTARRRK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGKSSKKSVTEVETPASMTKPLKKGKRDAEEDLDMQVTKKQKKELIDVVQKEKAEKTVPKKVESSSSDASDSDEEEKTKETPSKLKDESSSEEEDDSSSDEEIAPAKKRPEPIKKAKVESSSSDDDSTSDEETAPVKKQPAVLEKAKVESSSSDDDSSSDEETVPVKKQPAVLEKAKIESSSSDDDSSSDEETVPMKKQTAVLEKAKAESSSSDDGSSSDEEPTPAKKEPIVVKKDSSDESSSDEETPVVKKKPTTVVKDAKAESSSSEEESSSDDEPTPAKKPTVVKNAKPAAKDSSSSEEDSDEEESDDEKPPTKKAKVSSKTSKQESSSDESSDESDKEESKDEKVTPKKKDSDVEMVDAEQKSNAKQPKTPTNQTQGGSKTLFAGNLSYQIARSDIENFFKEAGEVVDVRLSSFDDGSFKGYGHIEFASPEEAQKALEMNGKLLLGRDVRLDLANERGTPRNSNPGRKGEGSQSRTIYVRGFSSSLGEDEIKKELRSHFSKCGEVTRVHVPTDRETGASRGFAYIDLTSGFDEALQLSGSEIGGGNIHVEESRPRDSDEGRSSNRAPARGAPRGRHSDRAPRGGRFSDRAPRGRHSDRGAPRGRFSTRGRGPSKPSVMESSKGTKTVFNDEE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVGGLKRKHSDLEEEEERWEWSPAGLQSYQQALLRISLDKVQRSLGPRAPSLRRHVLIHNTLQQLQAALRLAPAPALPPEPLFLGEEDFSLSATIGSILRELDTSMDGTEPPQNPVTPLGLQNEVPPQPDPVFLEALSSRYLGDSGLDDFFLDIDTSAVEKEPARAPPEPPHNLFCAPGSWEWNELDHIMEIILGS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVTFNCEVCNDTVPKKNTEKHYYRCPNAYYTCIDCSKTFEDGVSYKNHTSCISEDEKYQKALYKGNKKQKQKQQQKQQQKQHQHQPVATPAKKVEKPVIKKAEKVEKTSNGIELHKGKSLYKILKTMKDKGAKKTFLKSLVVDSEGQIRYAKE", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MWNPNAGQPGPNPYPPNIGCPGGSNPAHPPPINPPFPPGPCPPPPGAPHGNPAFPPGGPPHPVPQPGYPGCQPLGPYPPPYPPPAPGIPPVNPLAPGMVGPAVIVDKKMQKKMKKAHKKMHKHQKHHKYHKHGKHSSSSSSSSSSDSD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MADNDDLLDYEDEEQTETTAVENQEAPKKDVKGTYVSIHSSGFRDFLLKPEILRAIVDCGFEHPSEVQHECIPQAVLGMDILCQAKSGMGKTAVFVLATLQQLEPSDNNTCHVLVMCHTRELAFQISKEYERFSKYMPTVKVAVFFGGMAIQKDEETLKSGTPHIVVGTPGRILALIRNKKLNLKLLKHFVLDECDKMLEQLDMRRDVQEIFRSTPHGKQVMMFSATLSKDIRPVCKKFMQDPMEVYVDDEAKLTLHGLQQHYVNLKENEKNKKLFELLDVLEFNQVVIFVKSVQRCVALSQLLTEQNFPAIGIHRGMTQEERLNRYQQFKDFQKRILVATNLFGRGMDIERVNIVFNYDMPEDSDTYLHRVARAGRFGTKGLAITFVSDENDAKILNEVQDRFDVNISELPEEIDLSTYIEGR", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLCFVRFQAGFVRIIVAARKRFRYFLMAAEDRSEELSSNVENGSCNSNEGINPETSSHWIENVVKVRKPYTVTKQREKWSEEEHDRFLEAIKLYGRGWRQIQEHIGTKTAVQIRSHAQKFFSKMAQEADSRSEGSVKAIVIPPPRPKRKPAHPYPRKSPVPYTQSPPPNLSAMEKGTKSPTSVLSSFGSEDQVNRCSSPNSCTSDIQSIGATSIDKKNNYTTSKQPFKDDSDIGSTPISSITLFGKIVLVAEESHKPSSYNDDDLKQMTCQENHYSGMLVDTNLSLGVWETFCTGSNAFGSVTEASENLEKSAEPISSSWKRLSSLEKQGSCNPVNASGFRPYKRCLSEREVTSSLTLVASDEKKSQRARIC", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDGALINSVLYVSPRNGAHYFVELTEKHLLAFEMLNSMCLLENYDHVLLFLECQFGKSHNLAVIPFDIILVLFTLSTLSEYYKEPILRANDPYNTSRETLSRRALKLLQKYLAILKEFDSEQYNLYDLELLRCQFFLAIDTLTPKKQKWGFDRFRRTKSESGVTYRQNASVDPELDQAKTFKNPYRSYISCLEQRNTILGNRLLNLKLNEPGEFINMILWTLSNSLQESTPLFLSSHEIWMPLLEILIDLFSCRQDYFIQHEVAQNVSKSLFVQRLSESPLAVFFESLNTRNFANRFSEYVFLNCDYKLPSDNYATPVHPVYNGENTIVDTYIPTIKCSPLYKSQKSLALRRKLIGSCFKLLLRVPDGHRLITPRIVADDVIQGISRTLASFNDILQFKKFFMTENLSQESYFIPLLAEGTLSEILKDTQECVVILTLVENLSDGVSFCNEVIGLVKSKCFAFTEQCSQASYEEAVLNIEKCDVCLLVLLRYLLHLIGTEAILDAKEQLEMLHAIEKNDSGRRQWAKALNLGNDPPLLYPIVSQMFGVHDKSVIIE", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MENSRTPTNKTKITLNRTPTLKERRWNTLKVNTSNVRCSTPIFGNFRSPNLSPIENMGTKGKSPVSPMRFATFKKVPTKVHPKQQQQQQHQHCHRTQLKPPPFVLPKPQEEIIEPEREIKSCSSPDTCSDDSNMETSLALESRRRSIKASNHSYVVNHAANVEQILMHMGLENYVTNFEEAHIDLVELASLERADLVKIGLNTDEDCNRIMDVLHTL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPEQAQQGEQSVKRRRVTRACDECRKKKVKCDGQQPCIHCTVYSYECTYKKPTKRTQNSGNSGVLTLGNVTTGPSSSTVVAAAASNPNKLLSNIKTERAILPGASTIPASNNPSKPRKYKTKSTRLQSKIDRYKQIFDEVFPQLPDIDNLDIPVFLQIFHNFKRDSQSFLDDTVKEYTLIVNDSSSPIQPVLSSNSKNSTPDEFLPNMKSDSNSASSNREQDSVDTYSNIPVGREIKIILPPKAIALQFVKSTWEHCCVLLRFYHRPSFIRQLDELYETDPNNYTSKQMQFLPLCYAAIAVGALFSKSIVSNDSSREKFLQDEGYKYFIAARKLIDITNARDLNSIQAILMLIIFLQCSARLSTCYTYIGVAMRSALRAGFHRKLSPNSGFSPIEIEMRKRLFYTIYKLDVYINAMLGLPRSISPDDFDQTLPLDLSDENITEVAYLPENQHSVLSSTGISNEHTKLFLILNEIISELYPIKKTSNIISHETVTSLELKLRNWLDSLPKELIPNAENIDPEYERANRLLHLSFLHVQIILYRPFIHYLSRNMNAENVDPLCYRRARNSIAVARTVIKLAKEMVSNNLLTGSYWYACYTIFYSVAGLLFYIHEAQLPDKDSAREYYDILKDAETGRSVLIQLKDSSMAASRTYNLLNQIFEKLNSKTIQLTALHSSPSNESAFLVTNNSSALKPHLGDSLQPPVFFSSQDTKNSFSLAKSEESTNDYAMANYLNNTPISENPLNEAQQQDQVSQGTTNMSNERDPNNFLSIDIRLDNNGQSNILDATDDVFIRNDGDIPTNSAFDFSSSKSNASNNSNPDTINNNYNNVSGKNNNNNNITNNSNNNHNNNNNDNNNNNNNNNNNNNNNNNSGNSSNNNNNNNNNKNNNDFGIKIDNNSPSYEGFPQLQIPLSQDNLNIEDKEEMSPNIEIKNEQNMTDSNDILGVFDQLDAQLFGKYLPLNYPSE", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGRGGKRTWYNGDRREAKRNRPNSIYNGEGRPENLVVGEKKPKRKVACLVGYCGSGYHGMQLNPPSKTIEGDLFDAFVKAGAVSSYNADDPKKVALARAARTDKGVHAAGNVISLKLIMEDEKLIEKVNEHLPPSIRLWDVIRTINSFNPRTYCESRIYEYMVPTYAFVPPKPSSILGNCIMKNSPMPAEPINKENINQLSRSLFYEEGKEFWDDYDIAAKEILSLYEQDPEGFVNPYSKRGAAALANSENNKGSEAGVSAKTNPDMDSDSSAIVNEFLKPDSVEDESAGSKIDPSYRLERALKHIEVLKLKNYRISADRLSVIRETLNQYVGVHNFHNFTVGQAFHQKNSNRVIRSFTASDPFMIGDTEWISCKVHGQSFMLHQIRKMIALAILVVRTGCPVERIQDAFKKTKINIPKGPGFGLLLESPFFKGYNEHKAPENNRDPIDFTKYEQKITAFKHAHIYDKIFLEEARKQVFHCFLSFIDSYNEEDFSYLSDIGITEKTQEVSSKLPDVLSSDEEEDSAENKDDLEG", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLTEQQTLELFPENWERNEQVLLKYPDGLPKDVLADQRVDNTESQVKDEITSNITNQTQILLPADSFPQYGSYPNNSELDYVVCTKCDRPFLSEYIEDHHSSCNGIKPPKPQFEPVANSQVLNKDVNNGNNAPIKNGVKSTAKGSAGNHEKNSVNGQKNPEMPPKRRKTEENKKPTKSALPKKEASKKKNPKVKGPVDVEKQCGVLLPNGQMCARSLTCKTHSMSSKRAVPGRSQPYDVLLAACQKKNQVKIQRQILETAKESEDNQHQAPVDSDEEVSFIMNVLQKSGNQPLEQKVFLPVKRRHSYFRTRELIAAAFRHGEQGMQVTGTILGRVIPFSARQPL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPEIRLRHVVSCSSQDSTHCAENLLKADTYRKWRAAKAGEKTISVVLQLEKEEQIHSVDIGNDGSAFVEVLVGSSAGGAGEQDYEVLLVTSSFMSPSESRSGSNPNRVRMFGPDKLVRAAAEKRWDRVKIVCSQPYSKDSPFGLSFVRFHSPPDKDEAEAPSQKVTVTKLGQFRVKEEDESANSLRPGALFFSRINKTSPVTASDPAGPSYAAATLQASSAASSASPVSRAIGSTSKPQESPKGKRKLDLNQEEKKTPSKPPAQLSPSVPKRPKLPAPTRTPATAPVPARAQGAVTGKPRGEGTEPRRPRAGPEELGKILQGVVVVLSGFQNPFRSELRDKALELGAKYRPDWTRDSTHLICAFANTPKYSQVLGLGGRIVRKEWVLDCHRMRRRLPSRRYLMAGPGSSSEEDEASHSGGSGDEAPKLPQKQPQTKTKPTQAAGPSSPQKPPTPEETKAASPVLQEDIDIEGVQSEGQDNGAEDSGDTEDELRRVAEQKEHRLPPGQEENGEDPYAGSTDENTDSEEHQEPPDLPVPELPDFFQGKHFFLYGEFPGDERRKLIRYVTAFNGELEDNMSDRVQFVITAQEWDPSFEEALMDNPSLAFVRPRWIYSCNEKQKLLPHQLYGVVPQA", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASGDLYEVERIVDKRKNKKGKWEYLIRWKGYGSTEDTWEPEHHLLHCEEFIDEFNGLHLSKDKRVKSGKQAGASKLLRDARGLPVERLSHRPLEPGKSKPSSHKRKRVNSPLSRPKKGSSGKAPDRATKTVSYRTTPSGLQIMPLKKAQNGLENGDAGSEKDESHFGNGSHQPDLELNDQLGEQEASDCDGTHSALVENGVGSALTNGGLNLHSPVKRKLETEKDYVFDKRLRYSVRQNESNCRFRDIVVRKEEGFTHILLSSQTSDNNALTPEIMKEVRRALCNAATDDSKLLLLSAVGSVFCSGLDYSYLIGRLSSDRRKESTRIAEAIRDFVKAFIQFKKPIVVAINGPALGLGASILPLCDIVWASEKAWFQTPYATIRLTPAGCSSYTFPQILGVALANEMLFCGRKLTAQEACSRGLVSQVFWPTTFSQEVMLRVKEMASCSAVVLEESKCLVRSFLKSVLEEVNEKECVMLKQLWSSSKGLDSLFSYLQDKIYEV", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRRSLAPSQLAKRKPEGRSCDDEDWQPGLVTPRKRKSSSETQIQECFLSPFRKPLSQLTNQPPCLDSSQHEAFIRSILSKPFKVPIPNYQGPLGSRALGLKRAGVRRALHDPLEKDALVLYEPPPLSAHDQLKLDKEKLPVHVVVDPILSKVLRPHQREGVKFLWECVTSRRIPGSHGCIMADEMGLGKTLQCITLMWTLLRQSPECKPEIDKAVVVSPSSLVKNWYNEVGKWLGGRIQPLAIDGGSKDEIDQKLEGFMNQRGARVSSPILIISYETFRLHVGVLQKGSVGLVICDEGHRLKNSENQTYQALDSLNTSRRVLISGTPIQNDLLEYFSLVHFVNSGILGTAHEFKKHFELPILKGRDAAASEADRQLGEERLRELTSIVNRCLIRRTSDILSKYLPVKIEQVVCCRLTPLQTELYKRFLRQAKPAEELLEGKMSVSSLSSITSLKKLCNHPALIYDKCVEEEDGFVGALDLFPPGYSSKALEPQLSGKMLVLDYILAVTRSRSSDKVVLVSNYTQTLDLFEKLCRARRYLYVRLDGTMSIKKRAKVVERFNSPSSPDFVFMLSSKAGGCGLNLIGANRLVMFDPDWNPANDEQAMARVWRDGQKKTCYIYRLLSAGTIEEKIFQRQSHKKALSSCVVDEEQDVERHFSLGELKELFILDEASLSDTHDRLHCRRCVNSRQIRPPPDGSDCTSDLAGWNHCTDKWGLRDEVLQAAWDAASTAITFVFHQRSHEEQRGLR", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MESERDMYRQFQDWCLRTYGDSGKTKTVTRKKYERIVQLLNGSESSSTDNAKFKFWVKSKGFQLGQPDEVRGGGGGAKQVLYVPVKTTDGVGVDEKLSLRRVAVVEDFFDIIYSMHVETGPNGEQIRKHAGQKRTYKAISESYAFLPREAVTRFLMSCSECQKRMHLNPDGTDHKDNGKPPTLVTSMIDYNMPITMAYMKHMKLQLLNSQQDEDESSIESDEFDMSDSTRMSAVNSDLSSNLEERMQSPQNLHGQQDDDSAAESFNGNETLGHSSIASGGTHSREMGDSNSDGKTGLEQDEQPLNLSDSPLSAQLTSEYRIDDHNSNGKNKYKNLLISDLKMEREARENGSKSPAHSYSSYDSGKNESVDRGAEDLSLNRGDEDEDDHEDHDDSEKVNETDGVEAERLKAFNMFVRLFVDENLDRMVPISKQPKEKIQAIIDSCRRQFPEYQERARKRIRTYLKSCRRMKRSGFEMSRPIPSHLTSAVAESILASACESESRNAAKRMRLERQQDESAPADKQCKPEATQATYSTSAVPGSQDVLYINGNGTYSYHSYRGLGGGLLNLNDASSSGPTDLSMKRQLATSSGSSSSSNSRPQLSPTEINAVRQLVAGYRESAAFLLRSADELENLILQQN", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSARRCLFGRPTPEQRSRTRIWLEDAVKRMRQEESQKWGFDFELETPLPSSAGFVYEVIPENCVPEFYRTKVLTVRTTCSSLDISSTTLTPLSSPSTSDKEEPSLMDPNSSFEDEEEPKKWQFREPPTPRKTPTKRQQKMTDFMAVSRKKNSLSPNKLSPVNVIFTPKSRRPTIRTRSSCSPY", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAETLEFNDVYQEVKGSMNDGRLRLSRQGIIFKNSKTGKVDNIQAGELTEGIWRRVALGHGLKLLTKNGHVYKYDGFRESEFEKLSDFFKTHYRLELMEKDLCVKGWNWGTVKFGGQLLSFDIGDQPVFEIPLSNVSQCTTGKNEVTLEFHQNDDAEVSLMEVRFYVPPTQEDGVDPVEAFAQNVLSKADVIQATGDAICIFRELQCLTPRGRYDIRIYPTFLHLHGKTFDYKIPYTTVLRLFLLPHKDQRQMFFVISLDPPIKQGQTRYHFLILLFSKDEDISLTLNMNEEEVEKRFEGRLTKNMSGSLYEMVSRVMKALVNRKITVPGNFQGHSGAQCITCSYKASSGLLYPLERGFIYVHKPPVHIRFDEISFVNFARGTTTTRSFDFEIETKQGTQYTFSSIEREEYGKLFDFVNAKKLNIKNRGLKEGMNPSYDEYADSDEDQHDAYLERMKEEGKIREENANDSSDDSGEETDESFNPGEEEEDVAEEFDSNASASSSSNEGDSDRDEKKRKQLKKAKMAKDRKSRKKPVEVKKGKDPNAPKRPMSAYMLWLNASREKIKSDHPGISITDLSKKAGEIWKGMSKEKKEEWDRKAEDARRDYEKAMKEYEGGRGESSKRDKSKKKKKVKVKMEKKSTPSRGSSSKSSSRQLSESFKSKEFVSSDESSSGENKSKKKRRRSEDSEEEELASTPPSSEDSASGSDE", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGPKRRQLTFREKSRIIQEVEENPDLRKGEIARRFNIPPSTLSTILKNKRAILASERKYGVASTCRKTNKLSPYDKLEGLLIAWFQQIRAAGLPVKGIILKEKALRIAEELGMDDFTASNGWLDRFRRRHGVVSCSGVARARARNAAPRTPAAPASPAAVPSEGSGGSTTGWRAREEQPPSVAEGYASQDVFSATETSLWYDFLPDQAAGLCGGDGRPRQATQRLSVLLCANADGSEKLPPLVAGKSAKPRAGQAGLPCDYTANSKGGVTTQALAKYLKALDTRMAAESRRVLLLAGRLAAQSLDTSGLRHVQLAFFPPGTVHPLERGVVQQVKGHYRQAMLLKAMAALEGQDPSGLQLGLTEALHFVAAAWQAVEPSDIAACFREAGFGGGPNATITTSLKSEGEEEEEEEEEEEEEEGEGEEEEEEGEEEEEEGGEGEELGEEEEVEEEGDVDSDEEEEEDEESSSEGLEAEDWAQGVVEAGGSFGAYGAQEEAQCPTLHFLEGGEDSDSDSEEEDDEEEDDEDEDDDDDEEDGDEVPVPSFGEAMAYFAMVKRYLTSFPIDDRVQSHILHLEHDLVHVTRKNHARQAGVRGLGHQS", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MHRKKVDNRIRILIENGVAERQRSLFVVVGDRGKDQVVILHHMLSKATVKARPSVLWCYKKELGFSSHRKKRMRQLQKKIKNGTLNIKQDDPFELFIAATNIRYCYYNETHKILGNTFGMCVLQDFEALTPNLLARTVETVEGGGLVVILLRTMNSLKQLYTVTMDVHSRYRTEAHQDVVGRFNERFILSLASCKKCLVIDDQLNILPISSHVATMEALPPQTPDESLGPSDLELRELKESLQDTQPVGVLVDCCKTLDQAKAVLKFIEGISEKTLRSTVALTAARGRGKSAALGLAIAGAVAFGYSNIFVTSPSPDNLHTLFEFVFKGFDALQYQEHLDYEIIQSLNPEFNKAVIRVNVFREHRQTIQYIHPADAVKLGQAELVVIDEAAAIPLPLVKSLLGPYLVFMASTINGYEGTGRSLSLKLIQQLRQQSAQSQVSTTAENKTTTTARLASARTLYEVSLQESIRYAPGDAVEKWLNDLLCLDCLNITRIVSGCPLPEACELYYVNRDTLFCYHKASEVFLQRLMALYVASHYKNSPNDLQMLSDAPAHHLFCLLPPVPPTQNALPEVLAVIQVCLEGEISRQSILNSLSRGKKASGDLIPWTVSEQFQDPDFGGLSGGRVVRIAVHPDYQGMGYGSRALQLLQMYYEGRFPCLEEKVLETPQEIHTVSSEAVSLLEEVITPRKDLPPLLLKLNERPAERLDYLGVSYGLTPRLLKFWKRAGFVPVYLRQTPNDLTGEHSCIMLKTLTDEDEADQGGWLAAFWKDFRRRFLALLSYQFSTFSPSLALNIIQNRNMGKPAQPALSREELEALFLPYDLKRLEMYSRNMVDYHLIMDMIPAISRIYFLNQLGDLALSAAQSALLLGIGLQHKSVDQLEKEIELPSGQLMGLFNRIIRKVVKLFNEVQEKAIEEQMVAAKDVVMEPTMKTLSDDLDEAAKEFQEKHKKEVGKLKSMDLSEYIIRGDDEEWNEVLNKAGPNASIISLKSDKKRKLEAKQEPKQSKKLKNRETKNKKDMKLKRKK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKVKMLSRNPDNYVRETKLDLQRVPRNYDPALHPFEVPREYIRALNATKLERVFAKPFLASLDGHRDGVNCLAKHPEKLATVLSGACDGEVRIWNLTQRNCIRTIQAHEGFVRGICTRFCGTSFFTVGDDKTVKQWKMDGPGYGDEEEPLHTILGKTVYTGIDHHWKEAVFATCGQQVDIWDEQRTNPICSMTWGFDSISSVKFNPIETFLLGSCASDRNIVLYDMRQATPLKKVILDMRTNTICWNPMEAFIFTAANEDYNLYTFDMRALDTPVMVHMDHVSAVLDVDYSPTGKEFVSASFDKSIRIFPVDKSRSREVYHTKRMQHVICVKWTSDSKYIMCGSDEMNIRLWKANASEKLGVLTSREKAAKDYNQKLKEKFQHYPHIKRIARHRHLPKSIYSQIQEQRIMKEARRRKEVNRIKHSKPGSVPLVSEKKKHVVAVVK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDRFLVKGAQGGLLRKQEEQEPTGEEPAVLGGDKESTRKRPRREAPGNGGHSAGPSWRHIRAEGLDCSYTVLFGKAEADEIFQELEKEVEYFTGALARVQVFGKWHSVPRKQATYGDAGLTYTFSGLTLSPKPWIPVLERIRDHVSGVTGQTFNFVLINRYKDGCDHIGEHRDDERELAPGSPIASVSFGACRDFVFRHKDSRGKSPSRRVAVVRLPLAHGSLLMMNHPTNTHWYHSLPVRKKVLAPRVNLTFRKILLTKK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSKMKMLPVQLSLNSLNPGIWSDVLWRCPPAPSSQLAELKTQLPPSLPSDPRLWSREDVLVFLRFCVREFDLPKLDFDLFQMNGKALCLLTRADFGHRCPGAGDVLHNVLQMLIIESHMMQWHLPNSPVTPTSRYPLSPHSHPPTPTWPPLNAPPENSPFHSSAHSLAGHHFMAPNSVTLSPPPSVDSQASSPPQAPYQNGGATGAAPGSAGGSAPAAGGATNTSNPTSSSASSTGSNGSQPNIMPMKGISSASSNHSDSEEEYSETSGGVSKMPPAPLSYSTASPPGTPILKDIKPNWTQQLTNSFVNSWSQQQQQQQQQQAAAVAAVAAQAQQHQLQQQQQQQQLPQKLTLDNTAGPVVTPAGGSISAPTTPSYMYKAKREFFPENSEPNTNGRLLWDFLQQLLNDRNQKYSDLIAWKCRDTGVFKIVDPAGLAKLWGIQKNHLSMNYDKMSRALRYYYRVNILRKVQGERHCYQFLRNPTELKNIKNISLLRQSTPANGNGGSPSMPQGSSQAPGSPAGQNWNPQQQSQQQQQSPQRPASRNGPMSLPAVAAVAAAAAAAYGPPPTSPLFMHAINGAFHYLSAAAAGPPPNSPALNTPSAVGGPDKFQFHPLKLENGSGSGSESAGEDLKPTDLSVSSKSTATSNEDCYPLIRNADGLTTIKLIRYNEHQVAASPAGQSPKHDDQQAGASNASSSPRPMDQASEQAQPVPMESDCNGGESEDSFRHMQQ", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPRPKPRSPRRRGRPPPAAPPPPPARPRARRYRPGQRALREIRRYQSSTALLLRRQPFARVVREICLLFTRGVDYRWQAMALLALQEAAEAFLVHLLEDAYLCSLHARRVTLYPKDLQLARRLRGLQGEGF", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSMLPTFGFTQEQVACVCEVLQQGGNIERLGRFLWSLPACEHLHKNESVLKAKAVVAFHRGNFRELYKILESHQFSPHNHAKLQQLWLKAHYIEAEKLRGRPLGAVGKYRVRRKFPLPRSIWDGEETSYCFKEKSRSVLREWYAHNPYPSPREKRELAEATGLTTTQVSNWFKNRRQRDRAAEAKERENSENSNSSSHNPLASSLNGSGKSVLGSSEDEKTPSGTPDHSSSSPALLLSPPPPPGLPSLHSLGHPPGPSAVPVPVPGGGGADPLQHHHSLQDSILNPMSANLVDLGS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRNLPCLGTAGGSGLGGIAGKPSPTMEAVEASTASHRHSTSSYFATTYYHLTDDECHSGVNQLGGVFVGGRPLPDSTRQKIVELAHSGARPCDISRILQVSNGCVSKILGRYYETGSIRPRAIGGSKPRVATAEVVSKISQYKRECPSIFAWEIRDRLLQENVCTNDNIPSVSSINRVLRNLAAQKEQQSTGSGSSSTSAGNSISAKVSVSIGGNVSNVASGSRGTLSSSTDLMQTATPLNSSESGGASNSGEGSEQEAIYEKLRLLNTQHAAGPGPLEPARAAPLVGQSPNHLGTRSSHPQLVHGNHQALQQHQQQSWPPRHYSGSWYPTSLSEIPISSAPNIASVTAYASGPSLAHSLSPPNDIESLASIGHQRNCPVATEDIHLKKELDGHQSDETGSGEGENSNGGASNIGNTEDDQARLILKRKLQRNRTSFTNDQIDSLEKEFERTHYPDVFARERLAGKIGLPEARIQVWFSNRRAKWRREEKLRNQRRTPNSTGASATSSSTSATASLTDSPNSLSACSSLLSGSAGGPSVSTINGLSSPSTLSTNVNAPTLGAGIDSSESPTPIPHIRPSCTSDNDNGRQSEDCRRVCSPCPLGVGGHQNTHHIQSNGHAQGHALVPAISPRLNFNSGSFGAMYSNMHHTALSMSDSYGAVTPIPSFNHSAVGPLAPPSPIPQQGDLTPSSLYPCHMTLRPPPMAPAHHHIVPGDGGRPAGVGLGSGQSANLGASCSGSGYEVLSAYALPPPPMASSSAADSSFSAASSASANVTPHHTIAQESCPSPCSSASHFGVAHSSGFSSDPISPAVSSYAHMSYNYASSANTMTPSSASGTSAHVAPGKQQFFASCFYSPWV", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSNVLPMYASTPSRAFAHSKLSSQLRAALADNSPAAPISPAPSGSALSLDESSGISDRDVHSSQEQTAHLSEVDVKSIHSSDSEDEPAQNPLPEVPANCGPPTIPLNVLLDFAIQHVYHEITVLAELMQRKTNDQGEQERKMSLVHFAHATRSQFLKLVALVKWIRISKRMDVCYSIDYLLDLQSQYFIDTADRLVAMTRGDLELARLPEYHIAPAIDVLVLGTYNRMPSKIKEAFIPPAKITPREQKLVTSRLNQLIESRLSRLSSGIPPNIKEIHINNGLATLLVPGEFEIKITLLGETEMTKWTLLNIKILVEDYELGMGLPLVHPLQLNQLHGVLQSRMNVSLNPIKEAFSFLHSFCVSLQLDVLFCQTSRLAAGRLRDNITIEKYDPKERVLVVGYWVKRSKSRRLTVGQVKCDAQYRVQIYEDPNDKLGGLKVRHFPHAPQLGRLDSGAGMLSIDRLLSETYVVRCKERLMRLRRILEAAEPRLEVKMTGISAPSLSLALLPDTSSKDEMMTVSVNSFCGKVLCNVHILSAEHEDVLAFGKALYSSQCSAHTIQMYLRKLRVALVIERYRRSVKALPVREVQEAELLPFAKECLGDAPAQRIILQFLRSEDYYLLVTFSPDEKAVVKTHIQLLEVVGDRAQFIQLEDDEMNGMHVKEAINQGTMRFSPSHKTSLQEECSREQRLAFAVATVEDRITYMYLAAELMKKGIGVDVRKDSAHVPGGLALHITDVKNVVPFEASEFFECCIRCCLRLDNRNRYTFQFEMCFENIPLVRDVPHGLPHRRDGEPKDRTSKDATWLQELNHINQSSPEKLVELIIHRLMRYLYMYKVVHQFSLAYEKHFKNYCNIEAYTFHKLVVSYGDNRDMLMILAFNVKSQAPGSSEDFFFLNFGQSMPHRQFNSTEIDWHQKPRWNPHSMMSQLMRDDLKETNDLVFTMHFLCETIRPLVAIGNFSRIRFQSQKSLSQLIGPDVHFPFRLKYHLYALDQTTLRLMQGNVILEIKLLEGCKIAVRDVSRYRPRCAGLFQLFSNIDSETTAIMNDEIAIPQSDNPQTAGPTMWTPEQFMDSLDERPEEIDPRMAITSQPILMSHDTIIKACDFKDTEGRITCPLDEYLCSISYLQRALLTLERMSPRATLNKNSSSNLSCGFVTIIDAKPDFIRFRASQMNGDGVNATSMVHYKIYLCPVAMTLKIRIEFEEGTNSAATADNLKTLTTYFEKVVFPCGDEYALQSYICLTRLTSFEATQSIANLMNVQMEHPPTSKCCVQLSLTYNNTSTKKLAPATKVDQPLQNIIFNVIVSQSRTSESFSVLRFIYRIKENFVVVPSANEKNKQMADEVNAETKTSGGNPIWNLVRLVMDRFNSGDWNPGNRDEPIISSVAPPTYVNPGSVAGPSSVAAPGSVSIQQPGSVLQPGSMMGPQSVNALQYGMHRQPMGGPQSMQMNPSSVGQPGSVGGPGSHQQHMMNPGSVGPGSVGGPGSVNPGSVGYPQWNPPSVGQSYHHPLHHQQYPPQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVGKARSSNFTLSEKLDLLNLVKPYVKILEEHTNKNSVIVEKNRCWDVIAVNYNAIGVDRPPRTAQGLRSLYKRLKEYAKQELLQQKEAQSDYKSSISEPTKKVVEMIPQISSFCLVRDRNHIQSTNLDEAAQAGTSSLQVMVDHHPVAITVEVKQEEDIKPSPALVSSPQHNDALEQQEEHELMRVAEGSVSPSLSSVDMRMTSSPSSVPRRDVFHQESGEHLRSLLRCDPQVLQMLKEEHQLILENQKKFGLYVQEKRDGLKRRQRLEEELLRAKIEVEKLRASRLRRDLPEYSSF", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSVTFKDDVHRILKFVANCNGRFEDSKCDIRESPLGGLGVFAKTDIAEGESILTLNKSSIFSASNSSIANLLCDSSIDGMLALNIAFIYETTVFRNSSHWYPFLRTIRIRDDEGHLNLPPSFWHADAKRLLKGTSFDTLFDSLAPEEEIMEGFEIAVDLAHKWNDEFGLEIPKGFLDVSEENHEEDYNLKLEKFISVAYTLSSRGFEIDAYHETALVPIADLFNHHVSDPDLKFVSLYDVCDKCGEPDMCKHLIAEEYLEAENLDKNMPKVASMETRVIDEDLIKSLENDLEKEYSNVTANIEDDDGGIENPDECVDLVLKNDVAQGQEIFNSYGELSNVFLLARYGFTVPENQYDIVHLGPDFMKILKKEEKYQEKVKWWSQVGHGLFSAWYAQMRQEDEEDEDGQAKSDNLSDDIESEEEEEEEEGDDSLESWLSQLYIDSSGEPSPSTWALANLLTLTAVQWESLFSKKATPHISDSIVNEEKLPFLAKKDNPHSKKLLSNLLKEKQLPCIKGDNSSKITSATKSMLQNARTLVQSEHNILDRCLKRLS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSNPDDLDDGLAYDFDAEHEVIFDAKDGSPPTKKVQKRSIEQDDDDVDDIDGKKEERNSEDDSNRPISKRQKKLQKKSKLIEKKKEESQYIVSQRKALPASSPEKIIEYLTTLIREKNPDLSVLELEELYFKRNDFLSTEKFDAERRLSNFPAFIQKFSVAPKKIVFSMSNIRVADVYRSLNGGKNCVKLFSKSKLKDDIATVERLLTDSSKKSNKNKDSLYFIATPTRMQKIIEATDLLFQGKEKLDIILDASYLDPKDNTILSFENAAVLCQVLKTFLNKKSSVKILLY", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MESTDSSGGPPPPQPNLPPGFRFHPTDEELVIHYLKRKADSVPLPVAIIADVDLYKFDPWELPAKASFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVISTGGGGSKKVGVKKALVFYSGKPPKGVKSDWIMHEYRLTDNKPTHICDFGNKKNSLRLDDWVLCRIYKKNNSTASRHHHHLHHIHLDNDHHRHDMMIDDDRFRHVPPGLHFPAIFSDNNDPTAIYDGGGGGYGGGSYSMNHCFASGSKQEQLFPPVMMMTSLNQDSGIGSSSSPSKRFNGGGVGDCSTSMAATPLMQNQGGIYQLPGLNWYS", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSNGHVKFDADESQASASAVTDRQDDVLVISKKDKEVHSSSDEESDDDDAPQEEGLHSGKSEVESQITQREEAIRLEQSQLRSKRRKQNELYAKQKKSVNETEVTDEVIAELPEELLKNIDQKDEGSTQYSSSRHVTFDKLDESDENEEALAKAIKTKKRKTLKNLRKDSVKRGKFRVQLLSTTQDSKTLPPKKESSIIRSKDRWLNRKALNKG", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGEEANDDKKPTTKFELERETELRFEVEASQSVQLELLTGMAEIFGTELTRNKKFTFDAGAKVAVFTWHGCSVQLSGRTEVAYVSKDTPMLLYLNTHTALEQMRRQAEKEEERGPRVMVVGPTDVGKSTVCRLLLNYAVRLGRRPTYVELDVGQGSVSIPGTMGALYIERPADVEEGFSIQAPLVYHFGSTTPGTNIKLYNKITSRLADVFNQRCEVNRRASVSGCVINTCGWVKGSGYQALVHAASAFEVDVVVVLDQERLYNELKRDLPHFVRTVLLPKSGGVVERSKDFRRECRDERIREYFYGFRGCFYPHAFNVKFSDVKIYKVGAPTIPDSCLPLGMSQEDNQLKLVPVTPGRDMVHHLLSVSTAEGTEENLSETSVAGFIVVTSVDLEHQVFTVLSPAPRPLPKNFLLIMDIRFMDLK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MINTQDSSILPLSKCPQLQCCRHIVPGPLWCSDAPHPLSKIPGGRGGGRDPSLSALIYKDEKLTVTQDLPVNDGKPHIVHFQYEVTEVKVSSWDAVLSSQSLFVEIPDGLLADGSKEGLLALLEFAEEKMKVNYVFICFRKGREDRAPLLKTFSFLGFEIVRPGHPCVPSRPDVMFMVYPLDQNLSDED", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MMVLKVEELVTGKKNGNGEAGEFLPEDFRDGEYEAAVTLEKQEDLKTLLAHPVTLGEQQWKSEKQREAELKKKKLEQRSKLENLEDLEIIIQLKKRKKYRKTKVPVVKEPEPEIITEPVDVPTFLKAALENKLPVVEKFLSDKNNPDVCDEYKRTALHRACLEGHLAIVEKLMEAGAQIEFRDMLESTAIHWASRGGNLDVLKLLLNKGAKISARDKLLSTALHVAVRTGHYECAEHLIACEADLNAKDREGDTPLHDAVRLNRYKMIRLLIMYGADLNIKNCAGKTPMDLVLHWQNGTKAIFDSLRENSYKTSRIATF", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPLPVALQTRLAKRGILKHLEPEPEEEIIAEDYDDDPVDYEATRLEGLPPSWYKVFDPSCGLPYYWNADTDLVSWLSPHDPNSVVTKSAKKLRSSNADAEEKLDRSHDKSDRGHDKSDRSHEKLDRGHDKSDRGHDKSDRDRERGYDKVDRERERDRERDRDRGYDKADREEGKERRHHRREELAPYPKSKKAVSRKDEELDPMDPSSYSDAPRGTWSTGLPKRNEAKTGADTTAAGPLFQQRPYPSPGAVLRANAEASRTKQQD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNLTFKNLQQQKFVISDVSADTKISELKEKIQTQQNYEVERQKLIYSGRILADDKTVGEYNIKEQDFIVCMVSRPKTSTSTPKSAASPAPNPPASVPEKKVEAPSSTVAESTSTTQTVAAAAPSNPDTTATSEAPIDANTLAVGAQRNVAVENMVEMGYERSEVERAMRAAFNNPDRAVEYLLTGIPEDILNRQREESAAALAAQQQQSEALAPTSTGQPANLFEQAALSENENQEQPSNTVGDDPLGFLRSIPQFQQLRQIVQQNPQMLETILQQIGQGDPALAQAITQNPEAFLQLLAEGAEGESALPSGGIQIQITQEESESIDRLCQLGFDRNIVIQAYLACDKNEELAANYLFEHGHESEDEP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSQQLSSNEICEEQGKLVKALCEAAQGGFKHDLYEKIIAIEIEVEKKLLNRLKSIQTNTFERPDIIWSCCHYKIIQHFRSRFREIHPRHVVEKKKTKKVFFKFLKTCAIFYQTCISELISKFQLDSYRPFFCKWTSSATVSSTISNDEMSSIPEASYSRNHMEALECVYNCFIYLGDMARYSSTCLKKRGAYDRALGFYDLAHRTLPGNGMHRNQIAVVWASDECIVESIYWFSSALCSEDPPKSALLNLLKQLIAFYRRCFAVHFEFVSPMMILLFIISDCCIHSLKEIQPFKCPSIMVKDLENSLLQSNIRNVGYEKKNLYYISSAFSNLLHCRYFNCNDRLRSFYYRFSSWLFHQTLACAKEVESERAPTSYLTSCLPSIKVILARVLESSPAFGFIERNELEQLSYHFRICEKFFKESSENKMLNLFEDYNEFGLCKSFICLFKRLNEDIIGPKLNINPPDYTTHPFSESIKRFYQISKILNLLLS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGSSSGSDVEAGFAKLQGEDFEYYMQTYSIILGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFNLEVLGKNGCFVEGVLHLPGNPPIKLDSQDLLQIGDKEFYFLLPVRSILGGGPPIGPKQNVNYPVAAHYGGIGKKGGLFRGREREYYDEEEYDDDDDDDDGTGGKKMRRCDGAEGGGGYGGYGSCGSSGKASISGQLGQ", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLASSQMTSVSEEKPIGGSLPAVSTDALEDSASSYSLHSQASLVGPRKSGTRTLEEPLLAPLQPLSCNTPMWACRLRSCEKIGDSYRGYCVSETELESVLTFHKQQTQTVWGTRQSPSPAKPATRLMWKSQYVPYDGIPFVNAGSRAVVMECQYGPRRKGFQLKKISEQESRSCHLYKATCPARIYIKKVQKFPDYRVPTDPQIDRKTIRMEQEKAFTMLKKNLVDAGGVLRWYVQLPTQQAHQYHEPETPGVPLSPSPFPMSPLEEEEAIIRDENCVLPSRLHPQVAHKIQELVSQGVGQVYAVRKQLRKFVERELFKPDEVPERHNLSFFPTVNDIKNHIHEVQKSLQTGDVVYNSEIIPATLQWTTDSGNILRETVTVTFAEGNLLKEPITSKMGTSQTQTSLSPEPLPLLSPLSSFQPKIFTHLQALKLQPRLSSPDGSQALVSVNSHPSSSPPGLDTAGNAEANNHSLLLGQSQNLGTDTCLPENSSTASPMGSLPESVQNLVAVDQLVGGEDAEDAGNLEGNVKRTLLGDAHTIPIHILDSHPALVEEDTLSMNQVKQEPNEPTLSTEAKTLLDCKNVSAT", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSWAARPPFLPQRHAAGQCGPVGVRKEMHCGVASRWRRRRPWLDPAAAAAAAVAGGEQQTPEPEPGEAGRDGMGDSGRDSRSPDSSSPNPLPQGVPPPSPPGPPLPPSTAPSLGGSGAPPPPPMPPPPLGSPFPVISSSMGSPGLPPPAPPGFSGPVSSPQINSTVSLPGGGSGPPEDVKPPVLGVRGLHCPPPPGGPGAGKRLCAICGDRSSGKHYGVYSCEGCKGFFKRTIRKDLTYSCRDNKDCTVDKRQRNRCQYCRYQKCLATGMKREAVQEERQRGKDKDGDGEGAGGAPEEMPVDRILEAELAVEQKSDQGVEGPGGTGGSGSSPNDPVTNICQAADKQLFTLVEWAKRIPHFSSLPLDDQVILLRAGWNELLIASFSHRSIDVRDGILLATGLHVHRNSAHSAGVGAIFDRVLTELVSKMRDMRMDKTELGCLRAIILFNPDAKGLSNPSEVEVLREKVYASLETYCKQKYPEQQGRFAKLLLRLPALRSIGLKCLEHLFFFKLIGDTPIDTFLMEMLEAPHQLA", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPHRNDRRKSASKAPNAIIHGRVASKKTIKKQLRNGKYSLKRLAEKGIHLDDIAMEIDNASKKNISKDKSLNENLFGKTEAGKQKDFMNIEPTCKGTILGAPPAL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSKVKVSIEGSQETLWKIFHAEVNEMIVTKNGRKLFPKLEYIVEGLDENKLYAIMLQLQPVGESRFKFSGGKWQETGKAEKQVDAKKMWHADGVRKGSDWMWSSICFDRVKISNYSESNNASMIYLNSMHKYIPVLTIYESPSESPFCVPQSSNQIVATAKFPHTEFIAVTAYQNQKITDLKIKHNSFAKGFRDGNLSRKRRSPSYSDGSNSQSPSPKSRSPPEVAPLQSMPPINPFLFYFPHMLSENLPVQFPFAFPFLSPLPSTPSSSSSELSIVKEEDQEVEEDIDIVG", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTKEIAVKEASNMLLQEPSTPSSQAVGLSSSPSSSIRKKKVNFSSELENSPGGNRPSFGLPKRGILKTSTPLSSIKQPNFQSFEGNESEKETSLQELQSSFCSGIENLQHVEKSARIETYSKLSSFLKIYTPSLPEDPIFPLLNQLCNFLLSDRCSNNSEGSPDFQLNTQANKLLSILLWHPTISSHIQPETATVFIEQSLNFLEGPKLTKALAAQHLHLLSCQKCPLSIHPLCNRILDVCFNISFPSLVIGQERLAVLTKILSQFPLEFSRRVVDWAPYLLACLVDASRPIREKALLLALDLSKHLYHDKLVARTILANFRSDIKGTAFVLIMTEQFEKLVIEEDDGVYVAHAWAAIISVLGGARISSWEYFNTWLKIIQLCFNSMNPLTKCAAQTSWIRLIHEFSLSETLTQATKRLTLLCQPISMVLGSRNLPTVKNAAMTTLIALIYACLRPGISDAMLSLLWDSVIVNILEKCALKNEVTIFESSNILLALFNTLSNGVWKDDRLVCRESVEAKELPKLNPVWVRANCSRTIEPVKTLLLLAKPDHTVKTTTPARKQHIRGLSYEQSSSVWSTYIKCLASAGQKEIKRSVETGRAICCICSSLHKFLYSKSIRKDELYVERVSRFALMVKSAIEAFGINTFVEASYLVSDNQLVLIDQTKAIDSYDHVPISPLIYLLHSLALLTNGTLFSTVHAAYSSILSSIEEYHLRFGLKLMLLWDCVSPLSDDGTLVLARVLVSHEVSRLTSEALLSELKSRNGNNSVEEGFSEEERSILLKLLSWNVKFCSISDAGSVNNLLQQYFTAIYKFEGCGSVFPFVVDPFTTILDDVLSFEANKVYSFAISLMKVSTFESCTKELPPVTLPENIRQHLDSYNHMVELYNTLLQRLSSSDQVDLQCRYLHELSEFIKKIPKEFIFHTICKLSKGLIPCFLMNAFPQLEKSTTLQKSCTNFCILILQLLLNSTATASNILESLSPLLTSGLKSISKEVVLAAIKFWNQVFGKFESEEYPIELQKTISYLSKTYIILLPFQSLCPGGKQANHQSSEKMSDILKGVDELRSVSKNGPYASSQDKGEKTTEFSGPGKPNNDNYIQIASVQELDDSSKGKAGKMPASKKNKRQKGDVKKIDETKNEATDMEESLTTPSGKVNKEVIVDDTSLRDEAIVPDKAIDVADNSNALLKENISSQSNRKADNNGTPSVNNSFTTANNDECSKENSQIEPEGQTASREGVLSTPRSTRKKRKLGRKSQSSNVNKEVAISEVSATLENVEVIERHGISEQGQNLDESACVLTNESSLSQTEIPEEKTENETTAVNGFENSKKRQFSSLLSGSIDTNNESNKVSSVEFDKSGPQDIIQSMTEATFEIEKNIQDLKSEEVQKLSDLLMRLQRAILSRIA", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLNFGASLQQASEGKMELISEKSKEGAHPWDKAEQSDFEAVEALMSMSCDWKSHFKKYLENRPVTPVSDTSEEDSLLPGTPDLQTVPAFCLTPPYSPSDFEPSQGSNLTAPAPPTGHFRSLSDAAKPPSIAPFKEEEKSPLAAPPLPKAQATSVIRHTADAQLCNHQSCPVKAASILNYQDNSFRRRTHINVEATRKNIPCAAVSPNRPKPEPSTAANGAEKAGTAPYDFAVPSSETVICRSSQPAPTSPVQKSVLMSSPTVSTGGVPPLPVICQMVPLPANNSLVTTVVPSSPPSQPPAVCSPVLFMGTQVPKGTVMFVVPQPVVQSPKPPVVSPNGTRLSPIAPAPGFSPSAARVTPQIDSSRVRSHICSHPGCGKTYFKSSHLKAHVRTHTGEKPFSCSWKGCERRFARSDELSRHRRTHTGEKKFACPMCDRRFMRSDHLTKHARRHLSAKKLPNWQMEVSKLNDIALPPATASAQ", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDKEVSELVVLQLIHTLISNKNEELVRNGGGINMIGNNLRISLVKLTNEIQNNLLINELTNLRRQSNVANGNRKLGINDILTIVKNLFPEYRTTLNDGQLSLHGLEMHDIEKLLDEKYDRFKKTQVEQIRMMEDEILKNGIKTGASQLQPHANAGKSGSAGTSATITTTTPHMAHSMDPKREKLLKLYRDTVLNKLESKTGNFQKLFKSPDGSIIKNEINYEDIKNETPGSVHELQLILQKSITDGVMRKVIGTDDWKLARQVQFELDDTVQFMRRALE", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGKEVMVSDYGDDDGEDAGGGDEYRIPEWEIGLPNGDDLTPLSQYLVPSILALAFSMIPERSRTIHDVNRASQITLSSLRSSTNASSVMEEVVDRVESSVPGSDPKKQKKSDGGEAAAVEDSTAEEGDSGPEDASGKTSKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRIQGLTTEEDPYSSSDQLFSSTPVPPQSFQDGGGSNGKLGVPVPVPSMVPIPGYGNQMGMQGYYQQYSNHGNESNQYMMQQNKFGTMVTYPSVGGGDVNDK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAQEVSEYLSQNPRVAAWVEALRCDGETDKHWRHRRDFLLRNAGDLAPAGGAASASTDEAADAESGTRNRQLQQLISFSMAWANHVFLGCRYPQKVMDKILSMAEGIKVTDAPTYTTRDELVAKVKKRGISSSNEGVEEPSKKRVIEGKNSSAVEQDHAKTSAKTERASAQQENSSTCIGSAIKSESGNSARSSGISSQNSSTSDGDRSVSSQSSSSVSSQVTTAGSGKASEAEAPDKHGSASFVSLLKSSVNSHMTQSTDSRQQSGSPKKSALEGSSASASQSSSEIEVPLLGSSGSSEVELPLLSSKPSSETASSGLTSKTSSEASVSSSVAKNSSSSGTSLLTPKSSSSTNTSLLTSKSTSQVAASLLASKSSSQTSGSLVSKSTSLASVSQLASKSSSQTSTSQLPSKSTSQSSESSVKFSCKLTNEDVKQKQPFFNRLYKTVAWKLVAVGGFSPNVNHGELLNAAIEALKATLDVFFVPLKELADLPQNKSSQESIVCELRCKSVYLGTGCGKSKENAKAVASREALKLFLKKKVVVKICKRKYRGSEIEDLVLLDEESRPVNLPPALKHPQELL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPLFKRRDGSGPAPNATIREKYDFRDVLGTGAFSKVFLAESKSDAGQMYAVKCIDKKALKGKEESLENEIKVLRKLRHNNIVQLFDTYDEKQFVYLVMELVTGGELFDRIVAKGSYTEQDASNLIRQVLEAVGFMHDNGVVHRDLKPENLLYYNQDEDSKIMISDFGLSKTEDSGVMATACGTPGYVAPEVLQQKPYGKAVDVWSIGVIAYILLCGYPPFYDESDANLFAQIIKGEYEFDAPYWDQISDSAKDFITHLMCCDPEARFTCQDALSHPWISGNTAYTHDIHGTVAVHLKKSLAKRNWKKAYNAAAAIRQLQMLRLSSNSNRLQKQASQQQPEPPTPAFHA", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSCSGSGADPEAAPASAASAPGPAPPVSAPAALPSSTAAENKASPAGTAGGPGAGAAAGGTGPLAARAGEPAERRGAAPVSAGGAAPPEGAISNGVYVLPSAANGDVKPVVSSTPLVDFLMQLEDYTPTIPDAVTGYYLNRAGFEASDPRIIRLISLAAQKFISDIANDALQHCKMKGTASGSSRSKSKDRKYTLTMEDLTPALSEYGINVKKPHYFT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEEDQEEPPSSSTSSESPEVVLKAPKAPTRRRKNSKKDRRQDMEVDDGEKESTAQYCKGFYDALRVMQTTNKYEFTGGAVSSPVLPVLQTAAFSPITPASASDMHTIVMSLLGNTPITSGPSIAPLSSPTLLPLVTSGDLDDLSMKILASSAIPGPPIISSSNSPDSSTTAVTTSQITAFQPLLNNFVSSTTASTSRPDKLNLTPPQQSAEIYAFNGVNSDDSDGGLDSRSASRCGMALDDQEKKKLERKRARNRQAATKCRQKKMDRIKELEEQVLHEKHRGQRLDAELLELNRALEHFRRTVEHHSGNGCPNNSIRV", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MYGDATNWNEDEYRESILKEREIETRTVFRTAWAPPARISNPDAFVVASSDGTLAFHSLNSLVSQSASFGYSKGQDVMVAEPERVVRAHEGPAYDVKFYGEDEDALLLSCGDDGRVRGWKWREFAESDVSLHLKENHLKPLLELINPQHKGPWGALSPMPEINAMSVDPQSGSVFTAAGDSCAYCWDVESGKIKMTFKGHSDYLHTVVSRSSASQILTGSEDGTARIWDCKTGKCVKVIGSQDKKSRLRVSSMALDGSESWLVCGQGKNLALWNLPASECVQTIPIPAHVQDVMFDEKQILTVGAEPLLRRFDLNGALLSQIHCAPCSVFSISLHPAGVVAVGGYGGIVDVISQFGSHLCTFRSSSL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNEYPKKRKRKTLHPSRYSDSSGISRIADGFNGIFSDHCYSVCSMRQPDLKYFDNKDDDSDTETSNDLPKFADGIKARNRNQNYLVPSPVLRILDHTAFSTEKSADIVICDEECDSPESVNQQTQEESPIEVHTAEDVPIAVEVHAISEDYDIETENNSSESLQDQTDEEPPAKLCKILDKSQALNVTAQQKWPLLRANSSGLYKCELCEFNSKYFSDLKQHMILKHKRTDSNVCRVCKESFSTNMLLIEHAKLHEEDPYICKYCDYKTVIFENLSQHIADTHFSDHLYWCEQCDVQFSSSSELYLHFQEHSCDEQYLCQFCEHETNDPEDLHSHVVNEHACKLIELSDKYNNGEHGQYSLLSKITFDKCKNFFVCQVCGFRSRLHTNVNRHVAIEHTKIFPHVCDDCGKGFSSMLEYCKHLNSHLSEGIYLCQYCEYSTGQIEDLKIHLDFKHSADLPHKCSDCLMRFGNERELISHLPVHETT", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSKSLEWDNLGFSLLPWIRTGLDVMGFETMTPVQASTIPMLAGNKDVVVDSVTGSGKTAAFVIPVLEKVVKEEANTSKFKKAHFHSLIIAPTRELSRQIESVVLSFLEHYPSDLFPIKCQLLVGTNEATVRDDVSNFLRNRPQILIGTPGRVLDFLQMPAVKTSACSMVVMDEADRLLDMSFIKDTEKILRLLPKQRRTGLFSATMRSAGSDIFKTGLRNPVRITVNSKNQAPSSLKLNYCVVNPAEKLQLLVSILNNYKFKKCIVYFPTCVSVSYFYSFIQYLGKRNILVNEVEIFSLHGKLQTSARTKTLTAFTDSLSNSVLFTTDVAARGIDIPDVDLVIQLDPPTNTDMFMHRCGRTGRANRVGKAITFLNEGREEDFIPFMQVKNVELEELDLEVKGITTNFYEDFRNWILEDRDRFDKGVKAYVAFIKYYSNHSATSIFRLQSLDYVGIAKLYGLFRLPRMPEITKYLATEKQEGIFPGNWLVDPPVNMDEYKYKDKKREKERQETLKNISLINDKKKLKSELKKKNLAWSDKTLTKERKLERKEKMSLKRKAIEEELKAEELDENAEEERIKEDWKEIVLQNKRKKVSSKAIQGNFDDL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVRGTKRRRSSAEKPIVVVPVTRDDTMPVDEDLVVGESQCAASKPFAKLVGVRRGISSIDLADDHFVCGRGSDDAPTNFNFSQVAKDVGLYRFISKIQFSIDRDTETRRIYLHDHSRNGTLVNQEMIGKGLSRELMNGDLISIGIPALIIFVYESADADHHPEELTKKYHVTSHSLGKGGFGKVLLGYKKSDRSVVAIKQLNTQFSTRCSRAIAKTRDIRNEVEVMKKLSHPNIVAIYDWITVAKYSYMVIEYVGGGEFFSKVVDSKYNRMGLGESLGKYFAFQLIDAILYLHSVGICHRDIKPENILCSDKAERCILKLTDFGMAKNSVNRMKTRCGTPSYNAPEIVANEGVEYTPKVDIWSLGCVLFITFSGYPPFSEEYTDMTMDEQVLTGRLIFHAQWRRITVETQNMIKWMLTVEPSNRPSAVELMSTQWMKCADCRTAKQDILKSIKPISAAAPAALQTTQAGPVKKAKM", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPKRTTHTYSSEDAAPDGPDSDLFVYYCKHCGSHVLITDTQLQKMPKRKTDRSNVLDKKTHLARLNVSEGGKVLLKRGEGKMERQFRMNCIGCELFVCYRAEENLETASFIYIVDGALSAVAAETNPQDAPVPPCISQLDGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGRVLGLRLSQMTLQRGWNSKSKLLVVEDLSARQVYEKLLEAVVP", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSQKDNLLDNPVEFLKEVRESFDIQQDVDAMKRIRHDLDVIKEESEARISKEHSKVSESNKKLNAERINVAKLEGDLEYTNEESNEFGSKDELVKLLKDLDGLERNIVSLRSELDEKMKLYLKDSEIISTPNGSKIKAKVIEPELEEQSAVTPEANENILKLKLYRSLGVILDLENDQVLINRKNDGNIDILPLDNNLSDFYKTKYIWERLGK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGRSPCCEKAHMNKGAWTKEEDQLLVDYIRKHGEGCWRSLPRAAGLQRCGKSCRLRWMNYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLSRGIDPNSHRLINESVVSPSSLQNDVVETIHLDFSGPVKPEPVREEIGMVNNCESSGTTSEKDYGNEEDWVLNLELSVGPSYRYESTRKVSVVDSAESTRRWGSELFGAHESDAVCLCCRIGLFRNESCRNCRVSDVRTH", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSAIERKSLDPSEEPVDEVLQIPPSLLTCGGCQQNIGDRYFLKAIDQYWHEDCLSCDLCGCRLGEVGRRLYYKLGRKLCRRDYLRLFGQDGLCASCDKRIRAYEMTMRVKDKVYHLECFKCAACQKHFCVGDRYLLINSDIVCEQDIYEWTKINGII", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MADSAELKQMVMSLRVSELQVLLGYAGRNKHGRKHELLTKALHLLKAGCSPAVQMKIKELYRRRFPQKIMTPADLSIPNVHSSPMPATLSPSTIPQLTYDGHPASSPLLPVSLLGPKHELELPHLTSALHPVHPDIKLQKLPFYDLLDELIKPTSLASDNSQRFRETCFAFALTPQQVQQISSSMDISGTKCDFTVQVQLRFCLSETSCPQEDHFPPNLCVKVNTKPCSLPGYLPPTKNGVEPKRPSRPINITSLVRLSTTVPNTIVVSWTAEIGRNYSMAVYLVKQLSSTVLLQRLRAKGIRNPDHSRALIKEKLTADPDSEIATTSLRVSLLCPLGKMRLTIPCRALTCSHLQCFDATLYIQMNEKKPTWVCPVCDKKAPYEHLIIDGLFMEILKYCTDCDEIQFKEDGTWAPMRSKKEVQEVSASYNGVDGCLSSTLEHQVASHHQSSNKNKKVEVIDLTIDSSSDEEEEEPSAKRTCPSLSPTSPLNNKGILSLPHQASPVSRTPSLPAVDTSYINTSLIQDYRHPFHMTPMPYDLQGLDFFPFLSGDNQHYNTSLLAAAAAAVSDDQDLLHSSRFFPYTSSQMFLDQLSAGGSTSLPTTNGSSSGSNSSLVSSNSLRESHSHTVTNRSSTDTASIFGIIPDIISLD", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MHHQQRMAALGTDKELSDLLDFSAMFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWGNGGHPSPSRNYGDGTPYDHMTSRDLGSHDNLSPPFVNSRIQSKTERGSYSSYGRESNLQGCHQQSLLGGDMDMGNPGTLSPTKPGSQYYQYSSNNPRRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSASTADYNRDSPGYPSSKPATSTFPSSFFMQDGHHSSDPWSSSSGMNQPGYAGMLGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANRGSGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQASSSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAMPGGHGDMHGIIGPSHNGAMGGLGSGYGTGLLSANRHSLMVGTHREDGVALRGSHSLLPNQVPVPQLPVQSATSPDLNPPQDPYRGMPPGLQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDIKSITSNNDDEDLTPEQKAEREKERRMANNARERLRVRDINEAFKELGRMVQLHLKSDKPQTKLLILHQAVAVILSLEQQVRERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDASNHMGQM", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MECKREEGKSYVKRGLWKPEEDMILKSYVETHGEGNWADISRRSGLKRGGKSCRLRWKNYLRPNIKRGSMSPQEQDLIIRMHKLLGNRWSLIAGRLPGRTDNEVKNYWNTHLNKKPNSRRQNAPESIVGATPFTDKPVMSTELRRSHGEGGEEESNTWMEETNHFGYDVHVGSPLPLISHYPDNTLVFDPCFSFTDFFPLL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPSCDPGPGPACLPTKTFRSYLPRCHRTYSCVHCRAHLAKHDELISKSFQGSHGRAYLFNSVVNVGCGPAEQRLLLTGLHSVADIFCESCKTTLGWKYEQAFETSQKYKEGKYIIEMSHMVKDNGWD", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSIREKLLMKKIVKREKMKKELSQKKGNKNAQKQEPPKQNGNKPSKKPEKLSKKHVAKDEDDDLEEDFQEAPLPKKKQQKQPPKKQQIQVANSDSESDDDEQEDEADEDSDLDEVAEVDEEDVDSGSEDDDQQEDEDEEEPVPAKKTKLLPNKSKAQNGKPAKDDEPFTVESSLAALDYRDSDDRSFASLKGAVSEATLRAIKEMGFTEMTEIQSKSLTPLLKGRDLVGAAQTGSGKTLAFLIPAVELINKLRFMPRNGTGVIIISPTRELSMQTFGVLKELMAHHHHTYGLVMGGSNRQVESEKLGKGINILVATPGRLLDHLQNSPDFLYKNLQCLIIDEVDRILEIGFEEELKQIINLLPKRRQTMLFSATQTARIEALSKLALKSEPIYVGVHDNQDTATVDGLEQGYIVCPSEKRLLVLFTFLKKNRKKKVMVFFSSCMSVKYHHELFNYIDLPVTSIHGKQKQTKRTTTFFQFCNAESGILLCTDVAARGLDIPQVDWIVQYDPPDDPREYIHRVGRTARGSGTSGHALLLMRPEELGFLRYLKAAKVPLNEFEFSWQKIADIQLQLEKLIAKNYFLNQSAKEAFKSYVRAYDSHQLKQIFNVNTLDLQAVAKSFGFLVPPVVDLKVGAAKRERPEKRVGGGGFGFYKKMNEGSASKQRHFKQVNRDQAKKFMR", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNSMFCSELQQALPQMSEDVAVTAQTILNGTPPHNHFRKEWLAGAAGTTTPTTTSGGQMMTLSPPAGDGPGSAGSMAPESTSSLSDLSGDAEGVWSIDIDQAFQEALAIYPPCGRRKIIISDEGKMYGRNELIARYIKLRCGKTRTRKQVSSHIQVLARKKLRDEQAKKKGDIPSLLQQASPPGGVKSPSAVVFPPVSAAVAAITEISPQSSYSSIVPKVETDQISQQLFKSLPLWSFQQTPGLPIGMDLSQLVFQQSSPDKTVSPVKSEVVEETKPIASSQLTLHSFSAYVKCNKTSLRTELVKIENTLEKDDIDISVFYEKYPKLLRELFEKSEKKDVFFLAKCWANINVSDDVQNCQYAVDSFYSSREKFQLKVSTMACSFGNQAVEKIEQYFPIEFDGSYSFILNNSPMCDYMVKFIAELKKLNVIETMNNVLENFTVLQIVTNSETDELLMVLCFVFEVSQEPEPSCSVYRLIDGGGDSDE", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSTQFRKSNHNSHSSKKLNPALKSKIDTLTELFPDWTSDDLIDIVQEYDDLETIIDKITSGAVTRWDEVKKPAKKEKYEKKEQQHSYVPQQHLPNPEDDITYKSSNNSNSFTSTKHNSSNNYTQARNKKKVQTPRAHTTGKHVNLDKGKHVPSKPVSNTTSWAAAVSVDTKHDVPQDSNDNNNEELEAQGQQAQEKNQEKEQEEQQQQEGHNNKEEHKQIEQPSLSSKKTTSRTSASQPKKMSWAAIATPKPKAVKKTESPLENVAELKKEISDIKKDDQKSEASEEKVNEQETSAQEQEEETAEPSEENEDRVPEVDGEEVQEEAEKKEQVKEEEQTAEELEQEQDNVAAPEEEVTVVEEKVEISAVISEPPEDQANTVPQPQQQSQQPQQPQQPQQPQQPQQPQQQQQPQQPQQPQQQLQQQQQQQQQPVQAQAQAQEEQLSQNYYTQQQQQQYAQQQHQLQQQYLSQQQQYAQQQQQHPQPQSQQPQSQQSPQSQKQGNNVAAQQYYMYQNQFPGYSYPGMFDSQGYAYGQQYQQLAQNNAQTSGNANQYNFQQGYGQAGANTAAANLTSAAAAAAASPATAHAQPQQQQPYGGSFMPYYAHFYQQSFPYGQPQYGVAGQYPYQLPKNNYNYYQTQNGQEQQSPNQGVAQHSEDSQQKQSQQQQQQQPQGQPQPEVQMQNGQPVNPQQQMQFQQYYQFQQQQQQAAAAAAAAAQQGVPYGYNGYDYNSKNSRGFY", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSLTNTKTGFSVKDILDLPDTNDEDGSVAEGPEEESEGPEPAKRAGPLGQGALDAVQSLPLKSPFYDSSDNPYTRWLASTEGLQYSLHGLAASAPPQDSSSKSPEPSADESPDNDKETQGGGGDAGKKRKRRVLFSKAQTYELERRFRQQRYLSAPEREHLASLIRLTPTQVKIWFQNHRYKMKRARAEKGMEVTPLPSPRRVAVPVLVRDGKPCHALKAQDLAAATFQAGIPFSAYSAQSLQHMQYNAQYSSASTPQYPTAHPLVQAQQWTW", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGSGPIDPKELLKGLDSFLNRDGEVKSVDGISKIFSLMKEARKMVSRCTYLNILLQTRSPEILVKFIDVGGYKLLNNWLTYSKTTNNIPLLQQILLTLQHLPLTVDHLKQNNTAKLVKQLSKSSEDEELRKLASVLVSDWMAVIRSQSSTQPAEKDKKKRKDEGKSRTTLPERPLTEVKAETRAEEAPEKKREKPKSLRTTAPSHAKFRSTGLELETPSLVPVKKNASTVVVSDKYNLKPIPLKRQSNVAAPGDATPPAEKKYKPLNTTPNATKEIKVKIIPPQPMEGLGFLDALNSAPVPGIKIKKKKKVLSPTAAKPSPFEGKTSTEPSTAKPSSPEPAPPSEAMDADRPGTPVPPVEVPELMDTASLEPGALDAKPVESPGDPNQLTRKGRKRKSVTWPEEGKLREYFYFELDETERVNVNKIKDFGEAAKREILSDRHAFETARRLSHDNMEEKVPWVCPRPLVLPSPLVTPGSNSQERYIQAEREKGILQELFLNKESPHEPDPEPYEPIPPKLIPLDEECSMDETPYVETLEPGGSGGSPDGAGGSKLPPVLANLMGSMGAGKGPQGPGGGGINVQEILTSIMGSPNSHPSEELLKQPDYSDKIKQMLVPHGLLGPGPIANGFPPGGPGGPKGMQHFPPGPGGPMPGPHGGPGGPVGPRLLGPPPPPRGGDPFWDGPGDPMRGGPMRGGPGPGPGPYHRGRGGRGGNEPPPPPPPFRGARGGRSGGGPPNGRGGPGGGMVGGGGHRPHEGPGGGMGNSSGHRPHEGPGGGMGSGHRPHEGPGGSMGGGGGHRPHEGPGGGISGGSGHRPHEGPGGGMGAGGGHRPHEGPGGSMGGSGGHRPHEGPGHGGPHGHRPHDVPGHRGHDHRGPPPHEHRGHDGPGHGGGGHRGHDGGHSHGGDMSNRPVCRHFMMKGNCRYENNCAFYHPGVNGPPLP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPRAPKRQRCMPEEDLQSQSETQGLEGAQAPLAVEEDASSSTSTSSSFPSSFPSSSSSSSSSCYPLIPSTPEEVSADDETPNPPQSAQIACSSPSVVASLPLDQSDEGSSSQKEESPSTLQVLPDSESLPRSEIDEKVTDLVQFLLFKYQMKEPITKAEILESVIRNYEDHFPLLFSEASECMLLVFGIDVKEVDPTGHSFVLVTSLGLTYDGMLSDVQSMPKTGILILILSIVFIEGYCTPEEVIWEALNMMGLYDGMEHLIYGEPRKLLTQDWVQENYLEYRQVPGSDPARYEFLWGPRAHAEIRKMSLLKFLAKVNGSDPRSFPLWYEEALKDEEERAQDRIATTDDTTAMASASSSATGSFSYPE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTTDPSVKLKSAKDSLVSSLFELSKAANQTASSIVDFYNAIGDDEEEKIEAFTTLTESLQTLTSGVNHLHGISSELVNPIDDDKDAIIAAPVKAVRRKIERDPNAPKKPLTVFFAYSAYVRQELREDRQKAGLPPLSSTEITQEISKKWKELSDNEKEKWKQAYNVELENYQREKSKYLEAKKNGTLPPASLENGPTHAPVPIPFSLQHAAEPPVEKRPHDDDGSSEKKKKKKKKDKKKDKSNSSI", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MELSAIGEQVFAVESIRKKRVRKGKVEYLVKWKGWPPKYSTWEPEEHILDPRLVMAYEEKEERDRASGYRKRGPKPRRLLLQESAAPDVVQTPGDWEPMEQAPEEEAEADLTNGPPPWTPTLPSSEVTVTDITANSVTVTFREAQAAEGFFRDRNEKL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEDSGIQRGIWDGDAKAVQQCLTDIFTSVYTTCDIPENAIFGPCVLSHTSLYDSIAFVALKSTDKRTVPYIFRVDTSAANGSSEGLMWLRLVQSARDKEEQNLEAYIKNGQLFYRSLRRIAKDEELLVWYGKELTELLLLCPSRAHKMNGSSPYTCLECSQRFQFEFPYVAHLRFRCPKRLHSTDANPQDEQGGGLGTKDHGGGGGGKEQQQQQQQQQQEAPLIPGPKFCKAGPIHHYPASSPEASNPPGSAGASSAKPSTDFHNLARELENSRGNSSCVAAPGVGSGGSGHQEAELSPDGVATGGCKGKRRFPEEAAAEGGGAGLAGGRARFSERPLATSKEELVCTPQQYRAAGSYFGLEENGRLFAPPSPETGEAKRSAFVEVKKAGRAVGLQEEAAATDGAGGTAEDPDAGGGVAGGGSNGSSTPAAGSPGAPEKLLAPRPGGSLPGRLEGGSPARGSAFTSVSQLGGGGGAGTAGTAGGSGGGQTAASDERKSAFSQPARSFSQLSPLVLGQKLGALEPCHPGDGVGPTRLYPAAADPLAVKLQGAADLNGACGPLASGGGGGLPKQSPFLYATAFWPKSSAAAAAAAAAAAGPLQLQLPSALTLLPPSFTSLCLPAQNWCAKCNASFRMTSDLVYHMRSHHKKEYAMEPLVKAAAGGETQVPHLQRVLQGASPPVPAHDLA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSTNILQHVKQLLHNRDVFSFFHNKTGNLNYLDNTTQKPEVFVSPNSTIVSAPTLDSFQALMEKGNFTTLQLAKVGIRMFFSYSVSKYAVLCFSTAIILNRLTVMSSLRSNSTNIRLPLWSKTLLHLVATLSLVKALLQILSQFGLMHELHVSDTDFYALSVYLFVALSDCIEIFISSTTNVPSLICSDFSIWGLSLNLYIISKMPAGQQHIGDNVELLGAVFHRLVIHLVELFHIRAYRLCGEVILNAGFFTAFVTRTYLNGLDFINICLIHNYFPGFFYISTILLASIGIFLKALFTSNPFRSLYSRYKNLEKWWRSNNYNGEEEFNEIALSLCLLLTSNDYKIFKKSDNVKSVDEVAAFSNSYVVSGHLNQLQSTPEDLLSRKEMTTDSQLPGFARTYLGLFELVRTIILTYSRLLKNLLWSKNFESSIDKKPRVGKRKKRDLNKYVTEKNYKKFLYKPDVKELNIESDLRSLELLLPEDDSSKDYFPPRKIDESVSDEEFDSDMESQLIIDEEKELTHLSSNAVDSDDLEEIAWNISMWSILNYEMDVHNKVNGPLTRSQYGKRNPQGVLVDVVIERLLHHTNSRYMYKRLNMKDDDKLEFKFDFAFDSCDEVEEMDLSCLICKVNKRNIVTWPCRCLALCDDCRISLGYKGFATCVSCDSEVKGYSKLNIV", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MQNLEAQVTGSLVAFPDVTQKALKEDEINLDSVLRGKFSTGRTSLAWLACGPQLEITNSVTGERISAYHFSGLTERPPVVVAVKEFTWQKKTGLLVGLVEAEGSVLCLYDIGISKVVKAVVLPGSVTAVEPIINHGGASASTQHLHQSLRWFFGVTAVVTDVGHVLLIDLCLDEVSSNQDELDASDLEVMSVIPTKIPKLREAATRERRHLCLQLAAPTGTTVSCLSYISRTNQLAVGYSDGYFSLWNMKTLRRDYHVQIEGGRVPVCAVAFQEPENDPRNCCYLWAVQSSESGGDVSLHLLQLAFSDRKCLASGQIMYELLEYCEERYSLDLSGSTLSLRGQSNNTKLLGCQTIEKFRVHGEREDGVHEVTSPDTSVSVFSWQVNTYGQGKPSVYLGVFDINRWYQAQMPDSLRSGQFLRNCSYFAFWSLEAVVNITTQDIIFDILVHERSLSRGIPPSYPPPEQFYYPSTYNFDATCLLNSGLIHFACTGFQKETLHFLKKSGSSLNEAIPDGYNRCLAAGLLAPKFTDVQASSLSQEEQLQAILAAAVETSSLGLLTSCIKRWTAEEQPRSAANLRFVLEWTWKKVTLTKQEFDRLCFRLFDGSCNFIDPHTLQSLQQCHLYFSNLTAVLNCFIAQAKEVTQQGAVDLTNKQSVTRLLTLYASVVLWFCRSGMLPDSSDETVQLTRPFYNYQVIQQYYSDQRKKLERLARGKWDTSSLMIDGLINQFGDRIQQLWSRDDNGTGKYPPANLHALLDVYLLENADEMSKHAITIYFLLDIMYSFPDKPDSSIESFPTAFFVPGSLIKLIQGFWLLDHNDYQNSVDCILNPASSRVMSWQHSQIIENLLCHGDSRQALRYLQVMKPVATTSKEVKLHMTVLLANRSILEAWNLQRLHSSRLNVEELLKHMYEMCQEMGLIEELLKLTFTDFEQGYLHKFLQTTGVQNQELLLVHHLQRANYISALQLNQSLKTNHLNDCDRRLRERSGARNAILDQYGKILPRVQRTLASERAKPYSLPSLVWREVARPKPLSTTAKQAAPGSIITKANFICNVLSKIKEVSTANEKREEYSPYQSMVSEEPTAPPLQDIDVPDAFFGTPINKSRRVSRLLDSVVHPVLMEPTPLTSSDTDNNQTPHKSPLLKTSSPLHSSLRRIAHMRSFAKASEFSLLETPLVVRKAKALAANTASSGYTSITPQSILRSSVRTTPLVSPSVSPGRSLTPPLRPKETKISFMELSFTRHAKAAHSSEGNLLAISPVLRSSPDAVWSVKGKVASFTQNTPVKKLDEIDASSSGIQEESQDEMEVSKEISNISVRSEQASLEYHDAPTPEDLENDEISGTTNSQPQVNEVHHQMEDGQLTEKPAELALTEMQEEFIDSEEREIEYISAPLNGPNALECMTAVPDIYLEDASQCILETPEGSSVSVTGEQECVSSAKDSESVISIHDSDDAHSNLSENDQDSEEIEENNLRVPTTVTRCEEFDLIETKDLEVELEEADSEKTNYKDIYPDATVQLGFTVESIEQRYTCELADRRETPSETDEIEGEHFETENNFSLVLEGDVTEEEILEPSSSKTDLELTRPPIAHQKLISENRENIENCETTEKIPANMSPLVDSDHESKTLETLPSEADLSVAEKVLKGTEEKDVPPEVHSEVVLESKLVGNAMMSLDSSESQEVIISQYDNVISIEKLEMTQEKMYGEKTEQINEGQVSPNRDQSTLVKPLTPRRSIRKSSKPADSSTDIIGNITLPTTPKRGLKKAKENVDTLKNSISVVPEEELTLGTRRITRKATLTALDNPEPLQIKEPPSGEDLQVQPSTPTRGRRGKVITSDDLKEPPSGEDLQVQPSTPTRGRRGRVITSDDLREPPPGEDLQVQPSTPTRGRRGRVITSDDIKESPSVEDLQVQPSTPTRGRRGKVITSDDIKEPPSVEDLQVQPSTPTRGRKGKVITSDDIKEPLSGEDLQVQPSTPTRGRKGKVITSDDIKEPLSEEVLQEQPSTPTRGRRGRVITSDGKGYECVEEKNALPLTPTRITRSKNILEPEKGISQIEPEKGISQIEPDKGLSQIEDTGETEHEVVTPRRGRRGKRVVNELVKHFERNSSQPNIKADTSPPVSPKKVSLRWTRTRSENQRINATEEQASKIQEDLSDTPRKRYKKSSNKMGFEETTDTVTEGAIVEDVQESLIISHLGKNPNTSIVRSARKTALPPVTEDHSEQPLLPPESHSKVHSSLAIADEENKTNTRTRSGNKSSVDVSAITFEFSTPKARTKKTAKGSAVPTELIPSTQYVFSPPSTRTRRATRANVSEAVIEPQLQFQESCEIAETEVPEVPASKPRGRPPKHKAKAVTRVLKKPSWSTPPVEIKLISPPESPAVSETNTKTDSTEAKGAEKISVRRTRRRIIAKPVTRRKMR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAATLLMAGSQAPVTFEDMAMYLTREEWRPLDAAQRDLYRDVMQENYGNVVSLDFEIRSENEVNPKQEISEDVQFGTTSERPAENAEENPESEEGFESGDRSERQWGDLTAEEWVSYPLQPVTDLLVHKEVHTGIRYHICSHCGKAFSQISDLNRHQKTHTGDRPYKCYECGKGFSRSSHLIQHQRTHTGERPYDCNECGKSFGRSSHLIQHQTIHTGEKPHKCNECGKSFCRLSHLIQHQRTHSGEKPYECEECGKSFSRSSHLAQHQRTHTGEKPYECNECGRGFSERSDLIKHYRVHTGERPYKCDECGKNFSQNSDLVRHRRAHTGEKPYHCNECGENFSRISHLVQHQRTHTGEKPYECNACGKSFSRSSHLITHQKIHTGEKPYECNECWRSFGERSDLIKHQRTHTGEKPYECVQCGKGFTQSSNLITHQRVHTGEKPYECTECEKSFSRSSALIKHKRVHTD", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSFSITRKKTPFQKHREEEEARKKKAEDETARLYQEFVESFQGDNATTKTFVRGGTINPGDKPKVDSEGEKSKDGGSVSKKGSRYVPSFLPPPLASKGKEPEKKREEERPREREKGKTRNIDNFMEELKREQEMRERRNQDRDRQGDSSPSSRFDELPDDFDPSGRPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTDEEKRRQRNCGFVSFMNRADGQAAKDEMQGIIVYEYELKIGWGKAVSLPSQALPAPPPGHMAIRSKEGCNLVFSGQTGPPIITSVPNQNSELVLTPNVPDITVVTPEDEHLRHVIDTLALYVLDGECAFEQAIMERGRGNPLFKFMFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPYIMITGSGRWIPPPLPVTRTQEHEKESASTYAAGRTRRAEVERTLTDPQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEVVEVLTESLTLKETSIPTKVARLMLVSDILHNSSARVKNASAYRTKFEATLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYIYGLRSTFLRSGVSGVTSFHSICGDAPEIENKSYADNMSDIGKINPDAALAIGKGAARQELMNLPIAELERRCRHNGLSLVGGRVMMVTRLLSLEDTEKQRGYEAVDEIPKHPQNHSTWEEVKSEREHIKNSYAEVEMKEPVNLPTTIPIPQPELKAFVGKEKNELILPASKWARDDDEADDEQKRSSSSGSDNTGGITFKADGEDLKGNDCVRAQPDNGMDEEQRQKRRRIEVALIEYRETLEEQGMKNPEEIERKVEINRKRLEVDYGLSGPNEGNRNQKSIIERKEKREDSQESSKKRHRGENKSQSPPRKSSTRERDHDLGRDRDRERHRDRDRQHDLNRDRDRREKSSSHDRDDNDRSKERDRDWRRRGTR", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASVISVLHGQVMQQDDEPPLAKRRRCREITFAGKSFYLDVPANRQTQLLTKAIGRLGGIIESFLSRDVDYVVTGSKKAVASVSSVATRRGEKSQIQAAERKEPIHCSRGKQLLKKVVHSQECNSVLTNARSWGVTVLYVEDVVSYIERLERPPSRGIQNKTAEGRAADSTRPSLKIARLRSPFIKIEDQSRKFRPLQCTFTSFPELSFVCSDKSPFETVQTVKKKDPGDQEEEEGQRSQKPQARKRKGYCECCEETFDTLSEHLVGEHHFRFVSNPLSYKMIDDLAAQLTCDLMELPFGSPTSPEAERSSQNEDWDLDLAPGEAEPAGNEGHELGILKATRLDKDGHADCEDQGAPAYLRDGGAEEPDQRCGEIPLANIEVDVYNVCSFDQPVVTCTMELPDVSAEGKIHSNLLGSTVGDERVLQRTNGTCEPHIDLALGNGRELKHAELQKDPLTKDSQPELLSTAHEQLPTSAPCMLLEGASVVHFPSHGGTVGSQGDVTSHSAANKPHTENCPVDSTGDRHAQPAGSDALAMSCVIPTLDNGGRHVDATMQSHWEVPLGCTTDTLLSYSTTVTVGELGPEAHNPTPEQQPLLISTCSSITTVCCTDTEFKSCTVSVHSTSHSPPNQNVKSNQTPSLLEMDLANPNCHRAKRKHWDSLLSPPGKKPTSPSHCQSLTLPMWLLCQFPNYGQQVQLPVWADLCKWDGTAAAEEGTVDCSSSSTLPKLHQDSFSSESDWDAHLPSFFQNNPQQSLQCGDLRTAQVTLNESWYGKQLCNILTHDP", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTESASSTSGQEFDVFSVMDWKDGVGTLPGSDLKFRVNEFGALEVITDESEMESVKKATATTTWMVPTAQDAPTSPPSSRPVFPPAYWTSPPGCPTVFSEKTGVPFRLKEQSKADGLQFCENCCQYGNGDECLSGGKYCSQNCARHAKDKDQKDERDGGEDNDEEDPKCSRKKKPKLSLKADSKDDGEERDDEMENKQDGRILRGSQRARRKRRGDSAVLKQGLPPKGKKTWCWASYLEEEKAVAVPTKLFKEHQSFPYNKNGFKVGMKLEGVDPDHQAMYCVLTVAEVCGYRIKLHFDGYSDCYDFWVNADALDIHPVGWCEKTGHKLRPPKGYKEEEFNWQSYLKTCKAQAAPKSLFENQNITVIPSGFRVGMKLEAADKKSPSVICVATVTDMVDNRFLVHFDNWDESYDYWCESNSPHIHPVGWCKEHRRTLITPPGYSHVKHFSWDKYLEETNSLPAPARAFKVKPPHGFQKKMKLEAVDKRNPLFIRVATVADTDDHRIKVHFDGWSSCYDYWIDADSPDIHPVGWCSKTGHPLQAPLSPAELMEPSETGGCPTLGCRGVGHFKKSRYLGTQSGANCPYSEINLSKERIFPDRLSGDTSPPTTPSFPRSKRMDTRESSSSPETREKHANNFKEDSEKKKENEVKTSAEAKVVREEPTPSVQQSQPPQQVQQVQHAQPPQQAQKAPQAQQAQQAQQAQQAPQAPQTPQPQQAPQVQQAQQAPQAQQAQQPQQAQQPQQAPPVQQPQQVQQAQPTQQQAQTQQQAQRRSAVFLSFKPPIPCLPLRWEQQSKLLPTVAGIPASRVSKWSTDEVSEFIQSLPGCEEHGKVFKDEQIDGEAFLLMTQTDIVKIMSIKLGPALKIFNSILMFKAAEKNSHNEL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MFSNRLPPPKHSQGRVSTALSSDRVEPAILTDQIAKNVKLDDFIPKRQSNFELSVPLPTKAEIQECTARTKSYIQRLVNAKLANSNNRASSRYVTETHQAPANLLLNNSHHIEVVSKQMDPLLPRFVGKKARKVVAPTENDEVVPVLHMDGSNDRGEADPNEWKIPAAVSNWKNPNGYTVALERRVGKALDNENNTINDGFMKLSEALENADKKARQEIRSKMELKRLAMEQEMLAKESKLKELSQRARYHNGTPQTGAIVKPKKQTSTVARLKELAYSQGRDVSEKIILGAAKRSEQPDLQYDSRFFTRGANASAKRHEDQVYDNPLFVQQDIESIYKTNYEKLDEAVNVKSEGASGSHGPIQFTKAESDDKSDNYGA", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDRTCELSRRNCLLSFSNPVNLDASEDKDSPFGNGQSNFSEPLNGCTMQLPTAASGTSQNAYGQDSPSCYIPLRRLQDLASMINVEYLSGSADGSESFQDPAKSDSRAQSPIVCTSLSPGGPTALAMKQEPTCNNSPELQLRVTKTTKNGFLHFENFTGVDDADVDSEMDPEQPVTEDESIEEIFEETQTNATCNYEPKSENGVEVAMGSEQDSMPESRHGAVERPFLPLAPQTEKQKNKQRSEVDGSNEKTALLPAPTSLGDTNVTVEEQFNSINLSFQDDPDSSPSPLGNMLEIPGTSSPSTSQELPFVPQKILSKWEASVGLAEQYDVPKGSKNQKCVSSSVKLDSEEDMPFEDCTNDPDSEHLLLNGCLKSLAFDSEHSADEKEKPCAKSRVRKSSDNIKRTSVKKDLVPFESRKEERRGKIPDNLGLDFISGGVSDKQASNELSRIANSLTGSSTAPGSFLFSSSVQNTAKTDFETPDCDSLSGLSESALISKHSGEKKKLHPGQVCSSKVQLCYVGAGDEEKRSNSVSVSTTSDDGCSDLDPTEHNSGFQNSVLGITDAFDKTENALSVHKNETQYSRYPVTNRIKEKQKSLITNSHADHLMGSTKTMEPETAELSQVNLSDLKISSPIPKPQPEFRNDGLTTKFSAPPGIRNENPLTKGGLANQTLLPLKCRQPKFRSIKCKHKESPAVAETSATSEDLSLKCCSSDTNGSPLANISKSGKGEGLKLLNNMHEKTRDSSDIETAVVKHVLSELKELSYRSLSEDVSDSGTAKASKPLLFSSASSQNHIPIEPDYKFSTLLMMLKDMHDSKTKEQRLMTAQNLASYRTPDRGDCSSGSPVGTSKVLVLGSSTPNSEKPGDSTQDSVHQSPGGGDSALSGELSSSLSSLASDKRELPACGKIRSNCIPRRNCGRAKPSSKLRETISAQMVKPSVNPKALKTERKRKFSRLPAVTLAANRLGNKESGSVNGPSRGGAEDPGKEEPLQQMDLLRNEDTHFSDVHFDSKAKQSDPDKNLEKEPSFENRKGPELGSEMNTENDELHGVNQVVPKKRWQRLNQRRPKPGKRANRFREKENSEGAFGVLLPADAVQKAREDYLEQRAPPTSKPEDSAADPNHGSHSESVAPRLNVCEKSSVGMGDVEKETGIPSLMPQTKLPEPAIRSEKKRLRKPSKWLLEYTEEYDQIFAPKKKQKKVQEQVHKVSSRCEDESLLARCQPSAQNKQVDENSLISTKEEPPVLEREAPFLEGPLAQSDLGVTHAELPQLTLSVPVAPEASPRPALESEELLVKTPGNYESKRQRKPTKKLLESNDLDPGFMPKKGDLGLSRKCFEASRSGNGIVESRATSHLKEFSGGTTKIFDKPRKRKRQRLVTARVHYKKVKKEDLTKDTPSSEGELLIHRTAASPKEILEEGVEHDPGMSASKKLQVERGGGAALKENVCQNCEKLGELLLCEAQCCGAFHLECLGLPEMPRGKFICNECHTGIHTCFVCKQSGEDVKRCLLPLCGKFYHEECVQKYPPTVTQNKGFRCPLHICITCHAANPANVSASKGRLMRCVRCPVAYHANDFCLAAGSKILASNSIICPNHFTPRRGCRNHEHVNVSWCFVCSEGGSLLCCDSCPAAFHRECLNIDIPEGNWYCNDCKAGKKPHYREIVWVKVGRYRWWPAEICHPRAVPSNIDKMRHDVGEFPVLFFGSNDYLWTHQARVFPYMEGDVSSKDKMGKGVDGTYKKALQEAAARFEELKARKELRQLQEDRKNDKKPPPYKHIKVNRPIGRVQIFTADLSEIPRCNCKATDENPCGIDSECINRMLLYECHPTVCPAGVRCQNQCFSKRQYPDVEIFRTLQRGWGLRTKTDIKKGEFVNEYVGELIDEEECRARIRYAQEHDITNFYMLTLDKDRIIDAGPKGNYARFMNHCCQPNCETQKWSVNGDTRVGLFALSDIKAGTELTFNYNLECLGNGKTVCKCGAPNCSGFLGVRPKNQPIVTEEKSRKFKRKPHGKRRSQGEVTKEREDECFSCGDAGQLVSCKKPGCPKVYHADCLNLTKRPAGKWECPWHQCDVCGKEAASFCEMCPSSFCKQHREGMLFISKLDGRLSCTEHDPCGPNPLEPGEIREYVPPTATSPPSPGTQPKEQSSEMATQGPKKSDQPPTDATQLLPLSKKALTGSCQRPLLPERPPERTDSSSHLLDRIRDLAGSGTKSQSLVSSQRPQDRPPAKEGPRPQPPDRASPMTRPSSSPSVSSLPLERPLRMTDSRLDKSIGAASPKSQAVEKTPASTGLRLSSPDRLLTTNSPKPQISDRPPEKSHASLTQRLPPPEKVLSAVVQSLVAKEKALRPVDQNTQSKHRPAVVMDLIDLTPRQKERAASPQEVTPQADEKTAMLESSSWPSSKGLGHIPRATEKISVSESLQPSGKVAAPSEHPWQAVKSLTHARFLSPPSAKAFLYESATQASGRTPVGAEQTPGPPSPAPGLVKQVKQLSRGLTAKSGQSFRSLGKISASLPNEEKKLTTTEQSPWGLGKASPGAGLWPIVAGQTLAQACWSAGGTQTLAQTCWSLGRGQDPKPENAIQALNQAPSSRKCADSEKK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAPGRKRGASKAKAKGQLVLGDLVLAKVKGFPAWPAKISRPEDWDRAPDPKKYFVQFFGTEEIAFVAPPDIQAFTSEAKSKLLARCQGKTVKYFAQAVEQICTAFEGLQNHKSNALGDEDSLDATEPGLTKAEIVDGTDHIVIESERTDNFNFRVDPCFPKLDENNGEERKAEIRKLDSSSFLESKVKTTSPVSESLEHSSFDPKIKKEDFDKGTDGSACNEHFGNGQKKLANGKRIKKEAGGSDRKGEDTVHRDKSNNSHVPGGRTASGNSDSKKSKGLLTEKTSSKVSADKHENSPGIKVGVSGKKRRLESEQGKLAPRVDESSRAAKKPRCESADNKVKCEIDDGSDSTGTVSDIKREIVLGLGARGGNFQYDKEAVAYTKRQRQTMEHATSPSFSGSRDKSGKGHLEQKDRSSPVRNVKAPAAQSLKKRRAVCIYDEDDDEDPKTPLHGKPAIVPQAASVLTDGPKRANVCHSTSTKAKISAGSTESTEVRKFPLRKHCEDASRVLPSNAENSTNSLPVVKPINELPPKDVKQILQSPKMSPQLVLTNKHVAGQHKVVKSSVKVSGVVMAKKPQSDSCKEAVAGSDKISSSQSQPANQRHKSASVGERLTVVSKAASRLNDSGSRDMSEDLSAAMLDLNREKGSATFTSAKTPDSAASMKDLIAAAQAKRKLAHTQNSIFGNLNPSFLSISDTQGRSHSPFMVQNASASAAISMPLVVQGHHQQGSSPSNHGHQSLSRNQIETDDNEERRLSSGHKSVGGSLSCSTEAAISRDAFEGMLETLSRTRESIGRATRLAIDCAKYGLASEVVELLIRKLESESHFHRKVDLFFLVDSITQHSHSQKGIAGASYVPTVQAALPRLLGAAAPPGTGASDNRRKCLKVLKLWLERKVFPESLLRRYIDDIRASGDDATGGFSLRRPSRSERAVDDPIREMEGMLVDEYGSNATFQLPGFFSSHNFEDDEEDDDLPTSQKEKSTSAGERVSALDDLEIHDTSSDKCHRVLEDVDHELEMEDVSGQRKDVAPSSFCENKTKEQSLDVMEPVAEKSTEFNPLPEDSPPLPQESPPPLPPLPPSPPPPSPPLPPSSLPPPPPAALFPPLPPPPSQPPPPPLSPPPSPPPPPPPPSQSLTTQLSIASHHQIPFQPGFPPPTYPLSHQTYPGSMQQDRSSIFTGDQIVQGPGNSSRGGLVEGAGKPEYFVQQSSSFSPAGVCSSREPSSFTSSRQLEFGNSDVLFNPEASSQNHRFQPSTPLSQRPMVRLPSAPSSHFSYPSHIQSQSQHSYTHPYPFPPQRDDARRYRNEEPWRIPSSGHSAENQNGAWIHGRNSHPGLPRVTDSFFRPPPERPPSGTMNYQPSAASNLQAVPAIPGHTAPQMLPSRPDIPTVNCWRPA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSFRGGNRGGRGGFRGGFRGGRTGSARSFQQGPPDTVLEMGAFLHPCEGDIVCRSINTKIPYFNAPIYLENKTQVGKVDEILGPLNEVFFTIKCGDGVQATSFKEGDKFYIAADKLLPIERFLPKPKVVGPPKPKNKKKRSGAPGGRGGASMGRGGSRGGFRGGRGGSSFRGGRGGSSFRGGSRGGSFRGGSRGGSRGGFRGGRR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTEDIEQKKTATEVTPQEPKHIQEEEEQDVDMTGDEEQEEEPDREKIKLLTQATSEDGTSASFQIVEEDHTLGNALRYVIMKNPDVEFCGYSIPHPSENLLNIRIQTYGETTAVDALQKGLKDLMDLCDVVESKFTEKIKSM", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVRGWEPPPGLDCAISEGHKSEGTMPPNKEASGLSSSPAGLICLPPISEELQLVWTQAAQTSELDSNEHLLKTFSYFPYPSLADIALLCLRYGLQMEKVKTWFMAQRLRCGISWSSEEIEETRARVVYRRDQLHFKSLLSFTHHAGRPPEEVPPPPVPAPEQVGIGIGPPTLSKPTQTKGLKVEPEEPSQMPPLPQSHQKLKESLMTPGSGAFPYQSDFWQHLQSSGLSKEQAGRGPNQSHGIGTASWNHSTTVPQPQARDKPPPIALIASSCKEESASSVTPSSSSTSSSFQVLANGATAASKPLQPLGCVPQSVSPSEQALPPHLEPAWPQGLRHNSVPGRVGPTEYLSPDMQRQRKTKRKTKEQLAILKSFFLQCQWARREDYQKLEQITGLPRPEIIQWFGDTRYALKHGQLKWFRDNAVPGAPSFQDPAIPTPPPSTRSLNERAETPPLPIPPPPPDIQPLERYWAAHQQLRETDIPQLSQASRLSTQQVLDWFDSRLPQPAEVVVCLDEEEEEEEEELPEDDEEEEEEEEEDDDDDDDDVIIQD", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSEKVNSDFEDFSSDEETDQHNVLIQTKKKISSKDDIFSKKVEDIESENESDIEEEQKQEEKEDVEQPDKENGEKLDREVEEQASSTTSLDLKTEKLRQLVKSKAAKKSKHKTGVVYFSSIPPYMKPAKMRQILTRFGEVDRLFLKKEDDQKYKQRVKGGGNKKNKYEEGWAEFIRKRDAKLCAETLNGNIIGGKKGTFYHDDILNVKYLPGFKWADLTEQIARENDIRQAKLEMEISQANKLNAEFIRNVEQSKMIQNIKNSRKRAGKEGESADSHPHREFKQRRVETSRANAPSDIKQQSSGSKDLGNVLTNLL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MCSTIVNDLDDYCASLNSSFSKSLYCASHDGRHLRRPLLASSLYSKPFIRATEKLELPSISQVHTRGPADIPWYNPHAAERPLLPGDKLPALSLPTATQGLSRTAYPDPSVTNSTNSSARTSLSSASVPVNEPRSPPSSADLSGTQGRLSLDSSAPTEYSLPPSVNEGYYPSPTSLGSMNQTQPYMDVHSHMSSAQSYAPQGATAGAMSQYQYHGQPPVMQPASSYAPAAYPQYGYPTGVTSPPTGHPPSSMGGQMPAQLLPLPGKLQSQNVIEDYSDRYQVSNHAVAPPSGYGNSTGAPLQGFVFDGTGQVAPPGAKPRVTATLWEDEGSLCYQVEAKGVCVARREDNHMINGTKLLNVAGMTRGRRDGILKSEKLRHVVKIGPMHLKGVWIPFERALEFANKEKITDLLYPLFVHNIGGLLYHPANQTRTNMVVQESQQRRLEGPPPGPQRTPSGSQQGPIHHHHPSLQTPMSSHMSQGPMNGQPGSRPGLERANTFPTPPASASSMMNQGSSYEWGGQVPHTQPLSIDTTLSNQRSMPTTPATTPPGNNMQGLPAYQGQGYDSSKPYYSAAPQTHAQYAPHTPLTQSGMSSYGQPLAGGYMKSEMAPPNPRPGVSEPETSERDSNRYSQSNGPGETVAEHDQEYMQDHNAGYNSNRGSYTYTTNPSVSSLTGEHSQLTPEMTSSPSQQNGSGRMTPRTGAGPPPHWASGYNTPPRPAATTLYNAVSDTRGTPANGASDPYSMASTTAPVYPTGNGSLSAGSKRMREDDDIRAESTAEYETSKRRKTITDATLGGPVGGPPILQPMKPSGVMARHR", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRNCCRECGLSAGHLEPEASSNCASDVKSSPDMDSVSSQDSLYLPNTVGASLEDQDLWSQFHQEGTEMIITKSGRRMFPQCKIRLFGLHPYAKYMLLVDFVPLDNFRYKWNKNQWEAAGKAEPHPPCRTYVHPDSPAPGAHWMKDPICFQKLKLTNNTLDQQGHIILHSMHRYKPRFHVVQSDDMYNSPWGLVQVFSFPETEFTSVTAYQNEKITKLKINHNPFAKGFREQERSHKRDDVLKILQQSPSKRQKRKKWEDSPEADISDFPKAICVKEESIMDPAGVYQNWVSDHEANQGLTPHSPESEGANQEQQVPTSSSNFYNKSHYRRSSQHLSSPFELGEPSSRRLTPDIATVPDSDPDSLAVFHVIPTQNSAPERTCSMNFSMEAPMKQPLRGAMYSPYGADQWLVPAQGQYRPVGYTAYPTDLSTQGAVAHPHSAMSDWSQYSLFPYSCW", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDMANQLLDELAHGNFSHLTLNLSQNGREIAILQKQLTGFDDKQLETFVEQHPAMPNDTRFKIMCTSFLNYARDVDPWSAWSSSDLIFEFYQCLINCLINDNAPHIEMLIPVATRETEFIINLAGKLDSFHLQLHTRSHQFLSHISSILSRLFNSIKPPRGNASSTNIPGKQRILLYLVNKLNNIYFRIESPQLCSNIFKNFQPKSMLAHFNEYQLDQQIEYRYLLGRYYLLNSQVHNAFVQFNEAFQSLLNLPLTNQAITRNGTRILNYMIPTGLILGKMVKWGPLRPFLSQETIDNWSVLYKHVRYGNIQGVSLWLRQNERHLCARQLLIVLLEKLPMVTYRNLIKTVIKSWTTEWGQNKLPYSLIERVLQLSIGPTFEDPGAQEITIYNGIHSPKNVENVLVTLINLGLLRANCFPQLQLCVVKKTTMIQEIVPPVNERITKMFPAHSHVLW", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSKKRKSPPQESLTSYFEKSSKSSKKYGSQNKDSDSSSTCLQQKIEIQWSITDSLYIAKYGKLKKTKKFIAFDLDGTLIKTKSGRVFSKDAADWTWWHPSVVPKLKALYQDNYSLVIFSNQNGIPRKPSAGHTFQMKIRAIFESLDLPIVLYAAILKDKFRKPLTGMWNSFLKDVNRSIDLSFIKYVGDAAGRPGDHNSTDLKFAENIGIKFETPEQFFLGHSFVPPNFESFHPKNYLVRNSSSHPYHFKKSEHQEIVVLVGFPSSGKSTLAESQIVTQGYERVNQDILKTKSKCIKAAIEALKKEKSVVIDNTNPTIESRKMWIDIAQEFEIPIRCIHLQSSEELARHNNVFRYIHHNQKQLPEIAFNSFKSRFQMPTVEEGFTNVEEVPFKCLKDYEDTWNYWYE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAQSAPKVVLKSTTKMSLNERFTNMLKNKQPMPVNIRASMQQQQQLASARNRRLAQQMENRPSVQAALKLKQKSLKQRLGKSNIQARLGRPIGALARGAIGGRGLPIIQRGLPRGGLRGGRATRTLLRGGMSLRGQNLLRGGRAVAPRMGLRRGGVRGRGGPGRGGLGRGAMGRGGIGGRGRGMIGRGRGGFGGRGRGRGRGRGALTRPVLTKEQLDNQLDAYMSKTKGHLDAELDAYMAQTDPETND", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAELQPLALQLEQLLNPRPREADPEADPEEATRARVIDRFDEGEEEEDDLPVSSIRKLAPVSLLDTDKRYSGKTTSRKAWKEDHWDQTLPSSSDNEIPDEGGSEAGDSEGLEELSEDVEEDLEDNEIPDEGGSEDGDSEGLEEEISEDVEEDLEGEDEEDREEDRNSEDDGVVMAFSGVKVSEEVEKGRAVKNQIALWDQLLEGRIKLQKALLTTNQLPQPDVFPVFKDKGGPEFASALKNSHKALKALLRSLVDLQEELLFQYPDTRYLVKGTKPNAESEEISSEDDELVGEKKKQRKAPPKRKLEMEDYPSFMAKRSADFTVYRNRTLQKWHDKTKLASGKLGKGFGAFERSILTQIDHILMDKERLLRRTQTKRSAYRVLGKPEPVPEPVAETLPGEPESLPQVPANAHLKDLDEEIFDDDDFYHQLLRELIERKTSSLDPNDQVAMGRQWLAIQKLRSKIRKKVDRKASKGRKLRFHVLSKLLSFMAPIDHTAMNDEARTELYRSLFGQLNRLDADHGQ", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEENRRNPSAGMEVPVAGGGNVVKWIEISVPSPSVSSSSIGANSSEDNECVQLPLSEDYASSSVIGEPSISFVWRINKTSPNALELLQLSAKSGFPITGLRFVFAQTLSPFAFVYADEGGDSGRLVYFLYSLTPSGVVYVLKLSNTLAYKSGSVFPLDHLIHLDVRPYLNESRVTSVAASPGFIFLGRSDGCVSCFQPIVYFQKSSGFHQELRDDTGFGRLGTVVAAVQDLFISEVHGRNYLCVLHADGALRVWDILTYSRVLCQSIAAKNLEGVMCVRLWLGKADYDSGIIPLAVLYRKSMNDSMDVITVYGLHFSSAEGIALSLDSGLQNIPLEEGELRDVRFTSDKIWTLKANELTSYMLCQKSSTMEAQSYTLQEDYISEQLFLSSRSSSHDLLLTTHSLFSSAKDQIMGFISSIFLRRLLCPGIFHNVALRLTLLDHNKNWTDSEFQSLSLDELTSEILLLVEHEVTAETSISVFHWWKNFCTSYLHHWCSNNEPRTLLVQSDVIGLVRNNSVSLFFRLENAEHSLGGSSSEHSNLTSLDLGVSHSDHEILAEVLRCTSKISKQWGGAPYAMYYESITGRPIISSDEIVPRLVNILESGYSTTIGQRTWSDLGADRAWEKELEAHKNLRTFSIDMLLSLSALCQRAGSWEKVFTIMEHYLQYLVPKKSMQKNDGEALSDICSSILVQATSQFVKVMFESAFDIFLLISYLLNIAGQVNMSQQDICKLRLELLPMIQDIVSEWLIILFFVTTPAESTSMEDFSLKLSSLQIDSSIDKRSWNAMLGKCGFSLAFILLFSDRSCIVDGRFNLRYLPSSQIITSLVQNFISWIRYSKTGDDSSSLLRRSTELSLRLIRNGQSDAVERILVVVEASLRGEKTFGCSQDTSGDWCLLQHLRGCCLLDQVQRGASGILRERKIIDAIRCFFRASSGEGSWKALHSLSKEAGFSPATTGPSILDGSTSSAAWKLHYYEWAMQIFERYNISEGACQFAYAALEQVDDAYNFIEMTEEFDPTKAATYTRGRLWANVFKFTLDLNLLNDAYCAIISNPDEEIKRICLRRFIIVLFECGKTKILSDGHLPFIGLTEKITQELFWKAGRSDIMMKPNPYKLLYAYEMRRHNWRMAASYMYQFSARLRSEGACKDYKHMSLVLQERLNGLSAAMNALALVHPGYAWIDPVPEETTRYPVKKARRAEEEQLRSNDQPKGEKSCIDIEKLQNEFVFTTAEYMLSLKNFGWTYSGLEKPPSDLVDLLVQANLYDMAFTVVLKFWRGSALKRELEKIFENMAIKCCPAKGTLWSSPNLMLTSNDEEVTHSPDRSPADQGSKLAGDWEILEVYLKRYIDIHARLPVSVASTLLQADSCIELPLWLIQMFKDGQKEKALGMAGQEASPASLFQLYVDYGRLTEATNLLLEYMESFASSKPAEVLKRKKVSGVWFPYTTVERLWWELEKTMNSGRMVEQCHKLKEQLHHALLNHLKLLKVDSNDAVSSATG", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAPKKKSNDRAIQAKGSEAEQLIEDYLVSQYKPFSVNDIVQNLHNKVTKTTATKALENLVNEKRIVSKTFGKIIIYSCNEQDTALPSNIDPSQFDFETVLQLRNDLIELERDKSTAKDALDSVTKEPENEDLLTIIENEENELKKIESKLQSLQDDWDPANDEIVKRIMSEDTLLQKEITKRSKICKNLIATIKDSVCPKNMNEFLEEIGFEDI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGQGSGDDGVPPAPFSSAAAAAHSPPHSPLSVGVSSASSATSSSSTPPSSTSPAGVSASGARNVETDWKQSGDENLAELCIFHVPDKSVSLPNPKRAECTLPMNLILKSSSKNRKKSSIWSSDHIPRGVRFGPLVGEIRLVDVDTALVCPAEASMAGGGPAQEDVPFDEAPEEWKIYSPSGGRLNKTICVKDDARSNWMKYVAAAEEEDFQNLVAAQIGNDIYFYTVKKIEANTELSFWFSRDYARKLNYSTRPYVRVRRPATQLIPSAPPASASTAIASLAETIVAIDYSVKKLIESPIDTLSTDASSASDEEMIDVEEQESCTRPVAEVTRPNVIQNPVVRPVATKVNNFPGIPVRLGNFYASPLVDFKEFMRKSLQLKLVDTSMFVSPVAQTTAAITATGGRSGQPIDVQPVLAATAGAHFGNYAAIYGSQDFQHELSKPLYTSASPAFGGGGGMGGGFGMGGSAHTSSFHQLPFVNHSSSSHNDSSFNGVPNYVQQQENGKTRYACKDCNKTFGQLSNLKVHVRTHTGERPFKCEICTKEFTQLAHLQKHHLVHTGERPHRCDICDKRFSSTSNLKTHLRLHNGQKPYTCDVCDAKFTQYVHLRLHKRLHANERPYSCGTCGKKYISPSGLRTHWKTTTCKEEDMKDSMRDDLMDIKGEIDEGSMSGSGYGNLGIFENTLNSELKRPLMPIETIYSKYNLPNASLLGQGPSGMQEQQAPPPTSQQQQHMMYGNTMGHMGQGSHLQGPPPPPQHFQMDHSGMQNGGGIPHQHQLIQGGPSSGSGQQQHPQHNGIHRLPDLKNPLLPSLGLPHYP", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MWIQVRTIDGSQTRTIEDVSRKATIEELRERVWALFDVRPECQRLFYRGKQLENGYTLFDYDVGLNDIIQLLVRPDSSLPSTSKQNDAQVKPSSHNPPKVKKTARGGSSSQPSTSARTCLIDPGFGLYKVNELVDARDVGLGAWFEAHIHSVTRASDGHSRGKTPLKNGSSYKRTNGNVNHNSKENTNKLDNVPSTSNSDSVAADEDVIYHIEYDEYPESGILEMNVKDLRPRARTILKWNELNVGDVVMVNYNVENPGKRGFWYDAEITTLKTISRTKKEVRVKVFLGGSEGTLNDCRVMSVDEIFKIEKPGAHPISFADGKFLRKNDPECDLCGGDPDKTCHMCSCHKCGEKRDPNMQLLCDECNMAYHIYCLSPPLDKVPEEEYWYCPSCKTDSSEVVKAGERLKLSKKKAKMPSASTESRRDWGRGMACVGRTKECTIVPSNHYGPIPGIPVGSTWRFRVQVSEAGVHRPHVGGIHGRSNDGAYSLVLAGGFEDEVDRGDEFTYTGSGGKNLAGNKRIGAPSADQTLTNMNRALALNCDAPLDDKIGAESRNWRAGKPVRVIRSFKGRKISKYAPEEGNRYDGIYKVVKYWPEISSSHGFLVWRYLLRRDDVEPAPWTSEGIERSRRLCLRLQYPAGYPSEKEGKKTKGQSKKQGSEATKRPASDDECPGDSKVLKASDSTDAVEAFQLTPQQQRLIREDCQNQKLWDEVLASLVEGPNFLKKLEQSFMCVCCQELVYQPVTTECFHNVCKDCLQRSFKAQVFSCPACRHDLGQNYVMVLNETLQTLLDLFFPGYSKGR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDTFPSLFLYSLTIQNSNYVQSSCAASLSGKKAQEIVIATESRLLIYKVDATDGRMNCILNQNCFGIIRNVAPLRLTGFKRDYLVVTSDSGRITILEYNVEKNKLVPIYQETFGKSGIRRVVPGEYLAIDAKGRAAMIASVEKNKLVYVLNRDSEANLTISSPLEAHKANNICFHLIGLDTGYANPIFAALEVDYSEIDHDSTREAFTSSEKVLSYYELDLGLNHVVKRWSKVVDRNSYMLIPVPGGNDGPSGTLVISNGWISYRHLQKAFHQIPILRRQAASANAISTPWNQVNSNSANDGPLIVSAVLHKMKGSFFYLLQTGDGDLLKLTIEHDGQGNVVELRLKYFDTVPLAVQLNILKTGFLFVATEFGNHQLYQFENLGIDDDELEITSLDFQAQDNEVGTKNVHFGVRGLQNLSLVEEIPSLYSLTDTLLMKAPSSGEANQLYTVCGRGSNSSLRQLRRGLETTEIVASELPGAPIAIWTLKLNQTDVYDSYIILSFTNGTLVLSIGETVEEISDSGFLSSVSTLNARQMGRDSLVQIHPKGIRYIRANKQTSEWKLPQDVYVVQSAINDMQIVVALSNGELVYFEMSDDVEGGQLNEYQERKTLTANVTSLALGPVQEGSRRSNFMCLACDDATVRVLSLDLYTTLENLSVQALSSPANSLCIIPMNVNGVSTLYLHIGLMNGVYLRTVIDVTSGQLLDTRTRFLGPRAVKIYPITMKNQNTVLAVSSRTFLAYSYQQNLQLSPIAYSAIDHASSFASEQCPEGIVAIQKNTLKIFTVDSLQDDLKSDIYPLICTPRKIVKHPNFPVLYILQSERNFDSFKYAQENGDVGSSYTKEKQNEHTSKSWVSFISVFDMISKKIIHESPLGDNEAAFSMTAAFFKNRDEFFLVAGSATNMDLECRTCSHGNFRVYRFHDEGKKLELISHTEIDGIPMALTPFQGRMLAGVGRFLRIYDLGNKKMLRKGELSAVPLFITHITVQASRIVVADSQYSVRFVVYKPEDNHLLTFADDTIHRWTTTNVLVDYDTLAGGDKFGNIWLLRCPEHVSKLADEENSESKLIHEKPFLNSTPHKLDLMAHFFTNDIPTSLQKVQLVEGAREVLLWTGLLGTVGVFTPFINQEDVRFFQQLEFLLRKECPPLAGRDHLAYRSYYAPVKCVIDGDLCEMYYSLPHPVQEMIANELDRTIAEVSKKIEDFRVRSF", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRNNTAFEQFTLNPCEQIPLDDKHNIGFNKNNTPDYSSSASSDQLLKNDINRHEMERRIAFLNRKQALFNAFMHDSSSSLNTMESNIEKVNGLFPNDNSVIALKPNEEKLNSSLSVENNDSTYTDATLIAPKIGLDRPNINAITIDVDGHSLQNEISSSTDKLSPSQSDALFEQKQDSLFWNDNAVIVVSDSESDDNNVRTKSSLNDHDKVNMKEKRNLELAFMNSKRKKLELPSLPVLSTAGPSYTNSLALPPFHHHNNYKMFNTTHTLEDDKFLQGKGTSNNPISLSDEEDNEINFQNKRYGSDSVILPGGLLHDSKLPEPGKHLFHLQWYHDRFHNIEGFNLSDSNNQKVQDDQQQQLEELFKDLDEQLVNDPTIREGTPAGLIPTLMEHQKEGLMWLKRLEESSKKGGILADDMGLGKTVQALALLVTRPPESKSVKTTLIITPVSLLQQWHNEILTKIAPSHRPTVYIHHGSSKKHKIAEQLMSYDIVLTTYNVIAYEFKNKMAYDKSIEDNAPIKKFEHLPFFEAEWYRVILDEAQTIKNRNTLAARGCCLLESTYRWCLSGTPMQNGVEEFYSLIKFLRIKPYSDWSSFSKDFTIPLSSNINTSAPMKRFRGLLKAVLLRRTKNTKIDGKPILTLPPKTAVKSETDLSSSEMEFYNTLQSGAQIQMRKYLQEGTITTHYGSLLVLLLRLRQACCHPWLIVAREAAVDDNDSFQAKNRAIYNQIYPEAVNRLKLIETLQCSLCMDVVAELLIIVPCGHFLCRECLTHVITSSEDMAKQTSNENISPKCSVCEEYIDTERLLSYALFRRYSGMAPIVDADNKLRTENISELLPKQYSNILENRQMGMKIFTDPKHWTTSTKIEKALNAVKEIIKKQPTDKILIFSQFVSFLELFTVPFRQEGIKYLMYTGGLSTAERNQALINFEVDPNVRVLLISLKAGNVGLNLTCANHVIILDPFWNPYIEEQAVDRAHRIGQDKPVNILRIVTNNTIEERVLALQDRKRELIDSALGEKGLREISRLNTKELSFLFGMSSR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVNETGESQKAAKGTPVSGKVWKAEKTPLRAKSRVVKNKKLTSWELKKQKRLEDKQFKERLKALKDEKEEARQAKITMLKERREKKEENERYERLAAKMHAKKVERMRRREKRNKALKER", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAGSGAGVRCSLLRLQETLSAADRCGAALAGHQLIRGLGQECVLSSSPAVLALQTSLVFSRDFGLLVFVRKSLNSIEFRECREEILKFLCIFLEKMGQKIAPYSVEIKNTCTSVYTKDRAAKCKIPALDLLIKLLQTFRSSRLMDEFKIGELFSKFYGELALKKKIPDTVLEKVYELLGLLGEVHPSEMINNAENLFRAFLGELKTQMTSAVREPKLPVLAGCLKGLSSLLCNFTKSMEEDPQTSREIFNFVLKAIRPQIDLKRYAVPSAGLRLFALHASQFSTCLLDNYVSLFEVLLKWCAHTNVELKKAALSALESFLKQVSNMVAKNAEMHKNKLQYFMEQFYGIIRNVDSNNKELSIAIRGYGLFAGPCKVINAKDVDFMYVELIQRCKQMFLTQTDTGDDRVYQMPSFLQSVASVLLYLDTVPEVYTPVLEHLVVMQIDSFPQYSPKMQLVCCRAIVKVFLALAAKGPVLRNCISTVVHQGLIRICSKPVVLPKGPESESEDHRASGEVRTGKWKVPTYKDYVDLFRHLLSSDQMMDSILADEAFFSVNSSSESLNHLLYDEFVKSVLKIVEKLDLTLEIQTVGEQENGDEAPGVWMIPTSDPAANLHPAKPKDFSAFINLVEFCREILPEKQAEFFEPWVYSFSYELILQSTRLPLISGFYKLLSITVRNAKKIKYFEGVSPKSLKHSPEDPEKYSCFALFVKFGKEVAVKMKQYKDELLASCLTFLLSLPHNIIELDVRAYVPALQMAFKLGLSYTPLAEVGLNALEEWSIYIDRHVMQPYYKDILPCLDGYLKTSALSDETKNNWEVSALSRAAQKGFNKVVLKHLKKTKNLSSNEAISLEEIRIRVVQMLGSLGGQINKNLLTVTSSDEMMKSYVAWDREKRLSFAVPFREMKPVIFLDVFLPRVTELALTASDRQTKVAACELLHSMVMFMLGKATQMPEGGQGAPPMYQLYKRTFPVLLRLACDVDQVTRQLYEPLVMQLIHWFTNNKKFESQDTVALLEAILDGIVDPVDSTLRDFCGRCIREFLKWSIKQITPQQQEKSPVNTKSLFKRLYSLALHPNAFKRLGASLAFNNIYREFREEESLVEQFVFEALVIYMESLALAHADEKSLGTIQQCCDAIDHLCRIIEKKHVSLNKAKKRRLPRGFPPSASLCLLDLVKWLLAHCGRPQTECRHKSIELFYKFVPLLPGNRSPNLWLKDVLKEEGVSFLINTFEGGGCGQPSGILAQPTLLYLRGPFSLQATLCWLDLLLAALECYNTFIGERTVGALQVLGTEAQSSLLKAVAFFLESIAMHDIIAAEKCFGTGAAGNRTSPQEGERYNYSKCTVVVRIMEFTTTLLNTSPEGWKLLKKDLCNTHLMRVLVQTLCEPASIGFNIGDVQVMAHLPDVCVNLMKALKMSPYKDILETHLREKITAQSIEELCAVNLYGPDAQVDRSRLAAVVSACKQLHRAGLLHNILPSQSTDLHHSVGTELLSLVYKGIAPGDERQCLPSLDLSCKQLASGLLELAFAFGGLCERLVSLLLNPAVLSTASLGSSQGSVIHFSHGEYFYSLFSETINTELLKNLDLAVLELMQSSVDNTKMVSAVLNGMLDQSFRERANQKHQGLKLATTILQHWKKCDSWWAKDSPLETKMAVLALLAKILQIDSSVSFNTSHGSFPEVFTTYISLLADTKLDLHLKGQAVTLLPFFTSLTGGSLEELRRVLEQLIVAHFPMQSREFPPGTPRFNNYVDCMKKFLDALELSQSPMLLELMTEVLCREQQHVMEELFQSSFRRIARRGSCVTQVGLLESVYEMFRKDDPRLSFTRQSFVDRSLLTLLWHCSLDALREFFSTIVVDAIDVLKSRFTKLNESTFDTQITKKMGYYKILDVMYSRLPKDDVHAKESKINQVFHGSCITEGNELTKTLIKLCYDAFTENMAGENQLLERRRLYHCAAYNCAISVICCVFNELKFYQGFLFSEKPEKNLLIFENLIDLKRRYNFPVEVEVPMERKKKYIEIRKEAREAANGDSDGPSYMSSLSYLADSTLSEEMSQFDFSTGVQSYSYSSQDPRPATGRFRRREQRDPTVHDDVLELEMDELNRHECMAPLTALVKHMHRSLGPPQGEEDSVPRDLPSWMKFLHGKLGNPIVPLNIRLFLAKLVINTEEVFRPYAKHWLSPLLQLAASENNGGEGIHYMVVEIVATILSWTGLATPTGVPKDEVLANRLLNFLMKHVFHPKRAVFRHNLEIIKTLVECWKDCLSIPYRLIFEKFSGKDPNSKDNSVGIQLLGIVMANDLPPYDPQCGIQSSEYFQALVNNMSFVRYKEVYAAAAEVLGLILRYVMERKNILEESLCELVAKQLKQHQNTMEDKFIVCLNKVTKSFPPLADRFMNAVFFLLPKFHGVLKTLCLEVVLCRVEGMTELYFQLKSKDFVQVMRHRDDERQKVCLDIIYKMMPKLKPVELRELLNPVVEFVSHPSTTCREQMYNILMWIHDNYRDPESETDNDSQEIFKLAKDVLIQGLIDENPGLQLIIRNFWSHETRLPSNTLDRLLALNSLYSPKIEVHFLSLATNFLLEMTSMSPDYPNPMFEHPLSECEFQEYTIDSDWRFRSTVLTPMFVETQASQGTLQTRTQEGSLSARWPVAGQIRATQQQHDFTLTQTADGRSSFDWLTGSSTDPLVDHTSPSSDSLLFAHKRSERLQRAPLKSVGPDFGKKRLGLPGDEVDNKVKGAAGRTDLLRLRRRFMRDQEKLSLMYARKGVAEQKREKEIKSELKMKQDAQVVLYRSYRHGDLPDIQIKHSSLITPLQAVAQRDPIIAKQLFSSLFSGILKEMDKFKTLSEKNNITQKLLQDFNRFLNTTFSFFPPFVSCIQDISCQHAALLSLDPAAVSAGCLASLQQPVGIRLLEEALLRLLPAELPAKRVRGKARLPPDVLRWVELAKLYRSIGEYDVLRGIFTSEIGTKQITQSALLAEARSDYSEAAKQYDEALNKQDWVDGEPTEAEKDFWELASLDCYNHLAEWKSLEYCSTASIDSENPPDLNKIWSEPFYQETYLPYMIRSKLKLLLQGEADQSLLTFIDKAMHGELQKAILELHYSQELSLLYLLQDDVDRAKYYIQNGIQSFMQNYSSIDVLLHQSRLTKLQSVQALTEIQEFISFISKQGNLSSQVPLKRLLNTWTNRYPDAKMDPMNIWDDIITNRCFFLSKIEEKLTPLPEDNSMNVDQDGDPSDRMEVQEQEEDISSLIRSCKFSMKMKMIDSARKQNNFSLAMKLLKELHKESKTRDDWLVSWVQSYCRLSHCRSRSQGCSEQVLTVLKTVSLLDENNVSSYLSKNILAFRDQNILLGTTYRIIANALSSEPACLAEIEEDKARRILELSGSSSEDSEKVIAGLYQRAFQHLSEAVQAAEEEAQPPSWSCGPAAGVIDAYMTLADFCDQQLRKEEENASVIDSAELQAYPALVVEKMLKALKLNSNEARLKFPRLLQIIERYPEETLSLMTKEISSVPCWQFISWISHMVALLDKDQAVAVQHSVEEITDNYPQAIVYPFIISSESYSFKDTSTGHKNKEFVARIKSKLDQGGVIQDFINALDQLSNPELLFKDWSNDVRAELAKTPVNKKNIEKMYERMYAALGDPKAPGLGAFRRKFIQTFGKEFDKHFGKGGSKLLRMKLSDFNDITNMLLLKMNKDSKPPGNLKECSPWMSDFKVEFLRNELEIPGQYDGRGKPLPEYHVRIAGFDERVTVMASLRRPKRIIIRGHDEREHPFLVKGGEDLRQDQRVEQLFQVMNGILAQDSACSQRALQLRTYSVVPMTSRLGLIEWLENTVTLKDLLLNTMSQEEKAAYLSDPRAPPCEYKDWLTKMSGKHDVGAYMLMYKGANRTETVTSFRKRESKVPADLLKRAFVRMSTSPEAFLALRSHFASSHALICISHWILGIGDRHLNNFMVAMETGGVIGIDFGHAFGSATQFLPVPELMPFRLTRQFINLMLPMKETGLMYSIMVHALRAFRSDPGLLTNTMDVFVKEPSFDWKNFEQKMLKKGGSWIQEINVAEKNWYPRQKICYAKRKLAGANPAVITCDELLLGHEKAPAFRDYVAVARGSKDHNIRAQEPESGLSEETQVKCLMDQATDPNILGRTWEGWEPWM", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAKVPELEDTFLQAQPAPQLSPGIQEDCCVQLLGKGLLVYPEETVYLAAEGQPGGEQGGGEKGEDPELPGAVKSEMHLNNGNFSSEEEDADNHDSKTKAADQYLSQKKTITQIVKDKKKQTQLTLQWLEENYIVCEGVCLPRCILYAHYLDFCRKEKLEPACAATFGKTIRQKFPLLTTRRLGTRGHSKYHYYGIGIKESSAYYHSVYSGKGLTRFSGSKLKNEGGFTRKYSLSSKTGTLLPEFPSAQHLVYQGCISKDKVDTLIMMYKTHCQCILDNAINGNFEEIQHFLLHFWQGMPDHLLPLLENPVIIDIFCVCDSILYKVLTDVLIPATMQEMPESLLADIRNFAKNWEQWVVSSLENLPEALTDKKIPIVRRFVSSLKRQTSFLHLAQIARPALFDQHVVNSMVSDIERVDLNSIGSQALLTISGSTDTESGIYTEHDSITVFQELKDLLKKNATVEAFIEWLDTVVEQRVIKTSKQNGRSLKKRAQDFLLKWSFFGARVMHNLTLNNASSFGSFHLIRMLLDEYILLAMETQFNNDKEQELQNLLDKYMKNSDASKAAFTASPSSCFLANRNKGSMVSSDAVKNESHVETTYLPLPSSQPGGLGPALHQFPAGNTDNMPLTGQMELSQIAGHLMTPPISPAMASRGSVINQGPMAGRPPSVGPVLSAPSHCSTYPEPIYPTLPQANHDFYSTSSNYQTVFRAQPHSTSGLYPHHTEHGRCMAWTEQQLSRDFFSGSCAGSPYNSRPPSSYGPSLQAQDSHNMQFLNTGSFNFLSNTGAASCQGATLPPNSPNGYYGSNINYPESHRLGSMVNQHVSVISSIRSLPPYSDIHDPLNILDDSGRKQTSSFYTDTSSPVACRTPVLASSLQTPIPSSSSQCMYGTSNQYPAQETLDSHGTSSREMVSSLPPINTVFMGTAAGGT", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSDSGEQNYGERESRSASRSGSAHGSGKSARHTPARSRSKEDSRRSRSKSRSRSESRSRSRRSSRRHYTRSRSRSRSHRRSRSRSYSRDYRRRHSHSHSPMSTRRRHVGNRANPDPNCCLGVFGLSLYTTERDLREVFSKYGPIADVSIVYDQQSRRSRGFAFVYFENVDDAKEAKERANGMELDGRRIRVDFSITKRPHTPTPGIYMGRPTYGSSRRRDYYDRGYDRGYDDRDYYSRSYRGGGGGGGGWRAAQDRDQIYRRRSPSPYYSRGGYRSRSRSRSYSPRRY", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASTIMSQEVSWTDILEKHPALRWIKPIPEDWEIFPKHLCAFESFLYVAVGQEVRSLDCRLLKHKNEASHKNFYKKLFNPELDFMIEQICLSKNGRFLAVVGKSKIVILGLRSKLSEQNPLAESVSNFGESVNNFSNSEHQENGTNSLKLSEVTICSVAVINPSSQIVSVRFHPLGKSGRSLVVLTETSLLLYEAGNGVLMPDYEIPLKLTHQASNSFDADVDLHIPTAFCFSNVSQGWGVFTIYILTRGGDVFSVCPVMPANAMIPQDVLKQIRLILTKKEDDADAENHRRNVHWITKLLGEAALANDLSTSFVISEGSSELFDSSDYVSVRRPDDFSFIPSMQGPFLLQPAVADDELIEDYCDIYSFGMNPIDVLAIGGSEGRLDLLLLVSEVSGRWSKLNDHGLASMKLIVSQVHSLYLSNNNPYMVLQPDIQSPYSLIAYHANGLHVVDIESWARDLNLNFENSEFLNNEEENDEDELSNVLVSIPSRTSVLERLDTNPLNESTDAVVGCAQLYYPSLGKILISLTRNWQTTVFDDSDLATMGVNKESLSNEMDYSKSLGTSSLEQVDDLDEKLTYTPLYVSLLEKTPFTDPSIPSLVERTIVPAELQNEITVSSASLRFLGKVVARYRETLNLLDHGCSELHHRLKLQREEYERQQNHIYKLSDRISNFREKAWSTEHLEHLTSDMSMCEKRIDQVLQRVMDLRVPDLSDKEKQFIKEIGNYKEKVTGERGIEKRVETLKTLLQRTKPRDAQTTLVASSSDMRLAAIEQLQKLLAQQSLSIKELKTKTVSFQRLLQTS", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATEPPSPLRVEAPGPPEMRTSPAIESTPEGTPQPAGGRLRFLNGCVPLSHQVAGHMYGKDKVGILQHPDGTVLKQLQPPPRGPRELEFYNMVYAADCFDGVLLELRKYLPKYYGIWSPPTAPNDLYLKLEDVTHKFNKPCIMDVKIGQKSYDPFASSEKIQQQVSKYPLMEEIGFLVLGMRVYHVHSDSYETENQHYGRSLTKETIKDGVSRFFHNGYCLRKDAVAASIQKIEKILQWFENQKQLNFYASSLLFVYEGSSQPTTTKLNDRTLAEKFLSKGQLSDTEVLEYNNNFHVLSSTANGKIESSVGKSLSKMYARHRKIYTKKHHSQTSLKVENLEQDNGWKSMSQEHLNGNVLSQLEKVFYHLPTGCQEIAEVEVRMIDFAHVFPSNTIDEGYVYGLKHLISVLRSILDN", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSAQTASGPTEDQVEILEYNFNKVNKHPDPTTLCLIAAEAGLTEEQTQKWFKQRLAEWRRSEGLPSECRSVTD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDAQVAFSGFPALPSLTPSGPQLPTLAGAEPGREPEEVVGGGDAEPTAVPGPGKRRRRPLQRGKPPYSYIALIAMALAHAPGRRLTLAAIYRFITERFAFYRDSPRKWQNSIRHNLTLNDCFVKVPREPGNPGKGNYWTLDPAAADMFDNGSFLRRRKRFKRAELPAPPPPPPPFPYAPFPPPPAPASAPPARLFRLDSLLGLQPEPPGPVAPEPPCCAAPDAAFPPCAAAASPPLYSPASERLGLPAPLPAQPLLALAGSAGALGPLGAGEAYLRQPGFAPGLERYL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSQESDNNKRLVALVPMPSDPPFNTRRAYTSEDEAWKSYLENPLTAATKAMMSINGDEDSAAALGLLYDYYKVPRDKRLLSVSKASDSQEDQEKRNCLGTSEAQSNLSGGENRVQVLKTVPVNLSLNQDHLENSKREQYSISFPESSAIIPVSGITVVKAEDFTPVFMAPPVHYPRGDGEEQRVVIFEQTQYDVPSLATHSAYLKDDQRSTPDSTYSESFKDAATEKFRSASVGAEEYMYDQTSSGTFQYTLEATKSLRQKQGEGPMTYLNKGQFYAITLSETGDNKCFRHPISKVRSVVMVVFSEDKNRDEQLKYWKYWHSRQHTAKQRVLDIADYKESFNTIGNIEEIAYNAVSFTWDVNEEAKIFITVNCLSTDFSSQKGVKGLPLMIQIDTYSYNNRSNKPIHRAYCQIKVFCDKGAERKIRDEERKQNRKKGKGQASQTQCNSSSDGKLAAIPLQKKSDITYFKTMPDLHSQPVLFIPDVHFANLQRTGQVYYNTDDEREGGSVLVKRMFRPMEEEFGPVPSKQMKEEGTKRVLLYVRKETDDVFDALMLKSPTVKGLMEAISEKYGLPVEKIAKLYKKSKKGILVNMDDNIIEHYSNEDTFILNMESMVEGFKVTLMEI", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSNLTSNEMSSTSAIVEPPEAVKGNERDKSTRRSTSQVVRPEKHEVENALEDQCSSSSLPKEAQYYAKLDKKLEGKDPRSQFYEAVRLSADIFAHKFEKAVCSRQTFEPTNSIIKVLNTAEEEMLHEKVVPLPVSSKLQYYLNRGRYDTIFDRDEQLQRTADPMADEPDHVEKRVTSILEQASREMEEGEVEPVFYDGSDEDQELPIDLGAMRNLQRTNKFAARSSRMAARRGGRPGYRGAFRGAARGAPSRRPAPAAEVAPETPVAAPMAPAAPAAPATPEAAPAAEVMDTSIATEMPQESAVDLSNVSAATEMDTSKEGEASRPTSEKKKKIRTTEMDRLMSMDLGPKDGGRVGELGHMWPESRRRPAAPLPETPAAQPRKSLPRRAAEKKKPEDSDAAEEQEVEMEVDNDASTSTPRNARGGRGGGNRRGSRRGQKRTSGGSGKLVEPKKEPVDEPAEKIPKRSEAAPEVPATATTKEAPPSTSSSPPDAPATPATPASSDSRDSPRKIRAMIFSLTGSPPESETPPVLQQEQVISTAAPTAGRHPNIIQQVPHINRIPPQPLRRLTAPQAPPASQPEEPPVQQTVPVVKVELASAPAPIVRDPQSTEPVPPAMPTLVENNHEATLILPPNKTSDYTRWNAQDLINWVRLLITNNVDSTIAIMVREEFDGETLACLVLDDDFRKEVPIPYGHYKKMKIYGTEVLNHYRTEKYQADLRKFHEELAAWKAQQR", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MFILTETAAGYAIFKAKDKLLKKRDALIEDLKSPEGASNLLKLQSFAKFESTVDALDNVSALVEGKVSSKLSSLLEGLSDSKSSTLVVADPKLGNAINKLPGLEFEIISDSSVQDLYRGIREHLSSLISGLAPSDLNAMSLGLSHSLSRHKLKFSPDKVDTMIVQAIALLDDLDKELNTYAMRVREWYGWHFPEMGKIIQDNLAYARVIKAMGMRTKCSETDFSDILPEEIEATLKSAAEISMGTEITEEDLDNIVMLADQVLELASYRAQLSEYLRNRMQAIAPNLTALVGELVGARLIAHAGSLMNLAKQPASTIQILGAEKALFRALKTKHSTPKYGLIYHASLVGQANSKNKGKIARVLATKAALSLRVDALSDKDTTNGNIGLENRIRVENRLRSLEGGKLLPLPTAPVQQSKVQINGTSAYSTATDAVTKDAEESQEDVEMDIVIEKKKKKSSKLKEADGESSKKEKKEKKDKKHKKSKRKSEESEDGESPKKKKKSKKSKD", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDLNNPPSKRFGDDDDIPANKRPKNEEYPEIPGYLGNRKPSYTQNPNSGPGKLHYASPRPNNVSSSVGVASGGDRQEADQLQDALISCGIQLKEEELNLSTSFYDPSSLNTFALTTEDRSRKSDFLNSFVLMQTVSNIVNLHRLKSMDSDIHALISMAVRDYLANLLQKMIVESHHRTSQLHTDNYKQVDNVRQTLANFAYKEYESEERRRTVLNIRRAEHEARLAELNSASTNEEGSSRRRKEQSSSAAAKNISEDAQNRMTNATASIMAGSALPSGGKKYSWMATDMTPMTPAVGGGFGIRKKDSNSLKPSSRDGVLPLQQEEKGIITIRDALAVLEMDREGAGRIFGRGAKAMMRAYIRLKD", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSTLLLNLDFGEPPPKKALEGNAKHRNFVKKRRLLERRGFLSKKNQPPSKAPKLHSEPSKKGETPTVDGTWKTPSFPKKKTAASSNGSGQPLDKKAAVSWLTPAPSKKADSVAAKVDLLGEFQSALPKINSHPTRSQKKSSQKKSSKKNHPQKNAPQNSTQAHSENKCSGASQKLPRKMVAIDCEMVGTGPKGHVSSLARCSIVNYNGDVLYDEYILPPCHIVDYRTRWSGIRKQHMVNATPFKIARGQILKILTGKIVVGHAIHNDFKALQYFHPKSLTRDTSHIPPLNRKADCPENATMSLKHLTKKLLNRDIQVGKSGHSSVEDAQATMELYKLVEVEWEEHLARNPPTD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSAVEPPPPPPPESAPSKPSAAGAGGSSSGNKGGPEGGAAPAAPCAAGSGPADTEMEEVFDHGSPGKQKEIQEPDPTYEEKMQTDRANRFEYLLKQTELFAHFIQPAAQKTPTSPLKMKPGRPRVKKDEKQNLLSVGDYRHRRTEQEEDEELLTESSKATNVCTRFEDSPSYVKWGKLRDYQVRGLNWLISLYENGINGILADEMGLGKTLQTISLLGYMKHYRNIPGPHMVLVPKSTLHNWMSEFKKWVPTLRSVCLIGDKEQRAAFVRDVLLPGEWDVCVTSYEMLIKEKSVFKKFNWRYLVIDEAHRIKNEKSKLSEIVREFKTTNRLLLTGTPLQNNLHELWSLLNFLLPDVFNSADDFDSWFDTNNCLGDQKLVERLHMVLRPFLLRRIKADVEKSLPPKKEVKIYVGLSKMQREWYTRILMKDIDILNSAGKMDKMRLLNILMQLRKCCNHPYLFDGAEPGPPYTTDMHLVTNSGKMVVLDKLLPKLKEQGSRVLIFSQMTRVLDILEDYCMWRNYEYCRLDGQTPHDERQDSINAYNEPNSTKFVFMLSTRAGGLGINLATADVVILYDSDWNPQVDLQAMDRAHRIGQTKTVRVFRFITDNTVEERIVERAEMKLRLDSIVIQQGRLVDQNLNKIGKDEMLQMIRHGATHVFASKESEITDEDIDGILERGAKKTAEMNEKLSKMGESSLRNFTMDTESSVYNFEGEDYREKQKIAFTEWIEPPKRERKANYAVDAYFREALRVSEPKAPKAPRPPKQPNVQDFQFFPPRLFELLEKEILYYRKTIGYKVPRSPDLPNAAQAQKEEQLKIDEAEPLNDEELEEKEKLLTQGFTNWNKRDFNQFIKANEKWGRDDIENIAREVEGKTPEEVIEYSAVFWERCNELQDIEKIMAQIERGEARIQRRISIKKALDTKIGRYKAPFHQLRISYGTNKGKNYTEEEDRFLICMLHKLGFDKENVYDELRQCIRNSPQFRFDWFLKSRTAMELQRRCNTLITLIERENMELEEKEKAEKKKRGPKPSTQKRKMDGAPDGRGRKKKLKL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNRIRIHVLPTNRGRITPVPRSQEPLSCAFTHRPCSHPRLEGQEFCIKHILEDKNAPFKQCSYISTKNGKRCPNAAPKPEKKDGVSFCAEHVRRNALALHAQMKKTNPGPVGETLLCQLSSYAKTELGSQTPESSRSEASRILDEDSWSDGEQEPITVDQTWRGDPDSEADSIDSDQEDPLKHAGVYTAEEVALIMREKLIRLQSLYIDQFKRLQHLLKEKKRRYLHNRKVEHEALGSSLLTGPEGLLAKERENLKRLKCLRRYRQRYGVEALLHRQLKERRMLATDGAAQQAHTTRSSQRCLAFVDDVRCSNQSLPMTRHCLTHICQDTNQVLFKCCQGSEEVPCNKPVPVSLSEDPCCPLHFQLPPQMYKPEQVLSVPDDLEAGPMDLYLSAAELQPTESLPLEFSDDLDVVGDGMQCPPSPLLFDPSLTLEDHLVKEIAEDPVDILGQMQMAGDGCRSQGSRNSEKASAPLSQSGLATANGKPEPTSIS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MACGATLKRPMEFEAALLSPGSPKRRRCAPLPGPTPGLRPPDAEPPPPFQTQTPPQSLQQPAPPGSERRLPTPEQIFQNIKQEYSRYQRWRHLEVVLNQSEACASESQPHSSALTAPSSPGSSWMKKDQPTFTLRQVGIICERLLKDYEDKIREEYEQILNTKLAEQYESFVKFTHDQIMRRYGTRPTSYVS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSAAQVSSSRRQSCYLCDLPRMPWAMIWDFSEPVCRGCVNYEGADRIEFVIETARQLKRAHGCFQDGRSPGPPPPVGVKTVALSAKEAAAAAAAAQQQQQQQQQQQQQQQQQPQQLNHVDGSTKPAVLPAPSGLERYGLSAAAAAAAAAAAAVEQRSRFEYPPPPVSLGSSSHAARLPNGLGGPNGFPKPAPEEGPPELNRQSPNSSSAATSVASRRGTHSGLVTGLPNPGGGGGPQLTVPPNLLPQTLLNGPASAAVLPPPHGLGGSRGPPTPAPPGAPGGPACLGGPPGVSATVSSAPSSTSSTVAEVGVGAAGKRPGSVSSTDQERELKEKQRNAEALAELSESLRNRAEEWANKPKMVRDTLLTLAGCTPYEVRFKKDHSLLGRVFAFDAVSKPGMDYELKLFIEYPTGSGNVYSSASGVAKQMYQDCMKDFGRGLSSGFKYLEYEKKHGSGDWRLLGDLLPEAVRFFKEGVPGADMLPQPYLDASCPMLPTALVSLSRAPSAPPGTGALPPAAPTGRGAAASLRKRKASPEPPDSAESALKLGEEQQRQQWMANQSEALKLTMSAGGFAAPGHAAGGPPPPPPPLGPHSNRTTPPESAPQNGPSPMAALMSVADTLGTAHSPKDGSSVHSTTASARRNSSSPVSPASVPGQRRLASRNGDLNLQVAPPPPSAHPGMDQVHPQNIPDSPMANSGPLCCTICHERLEDTHFVQCPSVPSHKFCFPCSRESIKAQGATGEVYCPSGEKCPLVGSNVPWAFMQGEIATILAGDVKVKKERDP", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MQAVKRSRRHVEEEPTMVEPKTKYDRQLRIWGEVGQAALEEASICLLNCGPTGSEALKNLVLGGVGSITVVDGSKVQFGDLGNNFMVDAKSVGQSKAKSVCAFLQELNDSVNAKFIEENPDTLITTNPSFFSQFTLVIATQLVEDSMLKLDRICRDANVKLVLVRSYGLAGFVRISVKEHPIIDSKPDHFLDDLRLNNPWPELKSFVETIDLNVSEPAAAHKHIPYVVILVKMAEEWAQSHSGNLPSTREEKKEFKDLVKSKMVSTDEDNYKEAIEAAFKVFAPRGISSEVQKLINDSCAEVNSNSSAFWVMVAALKEFVLNEGGGEAPLEGSIPDMTSSTEHYINLQKIYLAKAEADFLVIEERVKNILKKIGRDPSSIPKPTIKSFCKNARKLKLCRYRMVEDEFRNPSVTEIQKYLADEDYSGAMGFYILLRAADRFAANYNKFPGQFDGGMDEDISRLKTTALSLLTDLGCNGSVLPDDLIHEMCRFGASEIHVVSAFVGGIASQEVIKLVTKQFVPMLGTYIFNGIDHKSQLLKL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAGADVDVGTELRLGLPGGGGGAAEAAAKAAKRGFEETIDLKLKLPTAGMEEAAAGKAEAPAAEKAKRPAEAAAADAEKPPAPKAQAVGWPPVRSFRRNIMTVQSVKSKKEEEADKQQQQPAANASGSNSSAFVKVSMDGAPYLRKVDLKMYNSYKDLSLALQKMFGTFTATGNNMNEVNGSDAVTTYEDKDGDWMLVGDVPWQMFVESCKRLRIMKGSEAIGLAPRAKDKYKNKS", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MQARYSVSDPNALGVVPYLSEQNYYRAAGSYGGMASPMGVYSGHPEQYSAGMGRSYAPYHHHQPAAPKDLVKPPYSYIALITMAIQNAPEKKITLNGIYQFIMDRFPFYRENKQGWQNSIRHNLSLNECFVKVPRDDKKPGKGSYWTLDPDSYNMFENGSFLRRRRRFKKKDVSKEKEERAHLKEPPPAASKGAPATPHLADAPKEAEKKVVIKSEAASPALPVITKVETLSPESALQGSPRSAASTPAGSPDGSLPEHHAAAPNGLPGFSVENIMTLRTSPPGGELSPGAGRAGLVVPPLALPYAAAPPAAYGQPCAQGLEAGAAGGYQCSMRAMSLYTGAERPAHMCVPPALDEALSDHPSGPTSPLSALNLAAGQEGALAATGHHHQHHGHHHPQAPPPPPAPQPQPTPQPGAAAAQAASWYLNHSGDLNHLPGHTFAAQQQTFPNVREMFNSHRLGIENSTLGESQVSGNASCQLPYRSTPPLYRHAAPYSYDCTKY", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSTPRKAAGNNENTEVSEIRTPFRERALEEQRLKDEVLIRNTPGYRKLLSASTKSHDILNKDPNEVRSFLQDLSQVLARKSQGNDTTTNKTQARNLIDELAYEESQPEENELLRSRSEKLTDNNIGNETQPDYTSLSQTVFAKLQERDKGLKSRKIDPIIIQDVPTTGHEDELTVHSPDKANSISMEVLRTSPSIGMDQVDEPPVRDPVPISITQQEEPLSEDLPSDDKEETEEAENEDYSFENTSDENLDDIGNDPIRLNVPAVRRSSIKPLQIMDLKHLTRQFLNENRIILPKQTWSTIQEESLNIMDFLKQKIGTLQKQELVDSFIDMGIINNVDDMFELAHELLPLELQSRIESYLF", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTSVTRSEIIDEKGPVMSKTHDHQLESSLSPVEVFAKTSASLEMNQGVSEERIHLGSSPKKGGNCDLSHQERLQSKSLHLSPQEQSASYQDRRQSWRRASMKETNRRKSLHPIHQGITELSRSISVDLAESKRLGCLLLSSFQFSIQKLEPFLRDTKGFSLESFRAKASSLSEELKHFADGLETDGTLQKCFEDSNGKASDFSLEASVAEMKEYITKFSLERQTWDQLLLHYQQEAKEILSRGSTEAKITEVKVEPMTYLGSSQNEVLNTKPDYQKILQNQSKVFDCMELVMDELQGSVKQLQAFMDESTQCFQKVSVQLGKRSMQQLDPSPARKLLKLQLQNPPAIHGSGSGSCQ", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAPKKPSKRQNLRREVAPEVFQDSQARNQLANVPHLTEKSAQRKPSKTKVKKEQSLARLYGAKKDKKGKYSEKDLNIPTLNRAIVPGVKIRRGKKGKKFIADNDTLTLNRLITTIGDKYDDIAESKLEKARRLEEIRELKRKEIERKEALKQDKLEEKKDEIKKKSSVARTIRRKNKRDMLKSEAKASESKTEGRKVKKVSFAQ", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAVPAALIPPTQLVPPQPPISTSASSSGTTTSTSSATSSPAPSIGPPASSGPTLFRPEPIASAAAAAATVTSTGGGGGGGGGGSGGGGGSSGNGGGGGGGGGGSNCNPNLAAASNGSGGGGGGISAGGGVASSTPINASTGSSSSSSSSSSSSSSSSSSSSSSSSCGPLPGKPVYSTPSPVENTPQNNECKMVDLRGAKVASFTVEGCELICLPQAFDLFLKHLVGGLHTVYTKLKRLEITPVVCNVEQVRILRGLGAIQPGVNRCKLISRKDFETLYNDCTNASSRPGRPPKRTQSVTSPENSHIMPHSVPGLMSPGIIPPTGLTAAAAAAAAATNAAIAEAMKVKKIKLEAMSNYHASNNQHGADSENGDMNSSVGSSDGSWDKETLPSSPSQGPQASITHPRMPGARSLPLSHPLNHLQQSHLLPNGLELPFMMMPHPLIPVSLPPASVTMAMSQMNHLSTIANMAAAAQVQSPPSRVETSVIKERVPDSPSPAPSLEEGRRPGSHPSSHRSSSVSSSPARTESSSDRIPVHQNGLSMNQMLMGLSPNVLPGPKEGDLAGHDMGHESKRMHIEKDETPLSTPTARDSLDKLSLTGHGQPLPPGFPSPFLFPDGLSSIETLLTNIQGLLKVAIDNARAQEKQVQLEKTELKMDFLRERELRETLEKQLAMEQKNRAIVQKRLKKEKKAKRKLQEALEFETKRREQAEQTLKQAASTDSLRVLNDSLTPEIEADRSGGRTDAERTIQDGRLYLKTTVMY", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATSKAPKERLKNYKYRGKEMSLPRQQRIASSLQLRKTRKDEQVLKRRNIDLFSSDMVSQALVKEVNFTLDDIIQAVNSSDPILHFRATRAAREMISQENTPPLNLIIEAGLIPKLVDFLKATPHPKLQFEAAWVLTNIASGTSEQTRAVVKEGAIQPLIELLCSPHLTVSEQAVWALGNIAGDCAEFRDCVISNNAIPHLINLISKGIPITFLRNISWTLSNLCRNKDPYPSESAVRQMLPPLCQLLLHRDNEILADTCWALSYLTKGGKEYIHHVVTTGILPRLVELMTSSELSISIPCLHTIGNIVAGTDEQTQMAIDAGMLKVLGQVLKHPKTSIQVLAAWTMSNVAAGPRHQVEQLLCNLLPILVDLLRNAELKVQKEVVCTVINIATGASQDQLTLLAHSGILEPMLSLLSAPDLEVVIIVLDIISYLLQHIDNLQEKKRLYFQIEKFGGFEKIECLQHHHNISISNSALDIIEKYFCEDGDGDSLPGPGLRV", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNEQKMNEQMKKTAKTSGQKGPGGRALDRLTLKQDEARPVQNTRVEAPRVTYTIRDESEISPETEEDGFPDGYLECIIRGEFSEPILEEDFLFKSFESLEEVEQNLSRQVLEASSLLESSLEYMTKGTKQEKTEVTQETPPLRVGASSLLAGGPAEKPEGGVYCGVLSMLECPQAGCKKKLRGKTALRKHMLVHGPRRHVCAECGKAFTESSKLKRHFLVHTGEKPYQCTFEGCGKRFSLDFNLRTHIRIHTGERRFVCPFDGCEKSFIQSNNQKIHILTHAKAGKKC", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASRRKSTTPCMVLASEQDPDLELISDLDEGPPILTPVENAKAESVSSDEEVHGSVDSDNQQNKKVEGGYECKYCTFQTPDLNMFTFHVDSEHPNVVLNSSYVCVECNFLTKRYDALSEHNLKYHPGEENFKLTMVKRNNQTIFEQTINDLTFDGSFVKEENTEQGESIDVSSSGISISKTPIMKMMKNKVENKRITVHHNSAEGTSEEKENGVKASQEENAESVSSSALESNTSTSTINRVHPSPASTVVTPTAVLPGLAQVITAVSAQQNSNLLPKVLIPVNSIPTYNAALDNNPLLLNTYNKFPYPTMSEITVLSAQAKYTEEQIKIWFSAQRLKHGVSWTPEEVEEARRKQFNGTVHTVPQTITVIPTHISTGSNGLPSILQTCQIVGQPGLVLTQVAGTNTLPVTAPIALTVAGVPNQTNVQKSQVPAAQPATDTKPATAAVPSSPSVRPEAALVNPDSFGIRAKKTKEQLAELKVSYLKNQFPHDSEIIRLMKITGLTKGEIKKWFSDTRYNQRNSKSNQCLHLNNDSSATIIIDSSDETPEPPAAAASQQKQSWNPFPDFAPQKFKEKTAEQLRALQASFLNSSVLTDEEVNRLRAQTKLTRREIDAWFTEKNKTKALKDEKIEVDESNVGSSKEEPGESSPGDETVAPKSGGTGKICKKTPEQLHMLKSAFVRTQWPSAEEYDKLAEESGLARTDIVSWFGDTRYAWKNGNLKWYYYYQSSNSSSLNGLSSLRRRGRGRPKGRGRGRPRGRPRGGKRMNTWDRVPSLIKFKTGTAILKDYYLKHKFLNEQDLDELVNRSHMGYEQVREWFAERQRRSELGIELFEENEEEDEVVDDQEEDEEETDDSDTWEPPRHVKRKLSKSDD", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDQDFDSLLLGFNDSDSVQKDPTVPNGLDGSVVDPTIADPTAITARKRRPQVKLTAEKLLSDKGLPYVLKNAHKRIRISSKKNSYDNLSNIIQFYQLWAHELFPKAKFKDFMKICQTVGKTDPVLREYRVSLFRDEMGMSFDVGTRETGQDLERQSPMVEEHVTSAEERPIVADSFAQDKRNVNNVDYDNDEDDDIYHLSYRNRRGRVLDERGNNETVLNNVVPPKEDLDALLKTFRVQGPVGLEENEKKLLLGWLDAHRKMEKGSMTEEDVQLIQSLEEWEMNDIEGQHTHYDLLPGGDEFGVDQDELDAMKEMGF", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDQKAIVLDNSKSGSKQTKSSGKMQTQTDTNAEVLNTDNSIKKETGSDSEDLFNKFSNKKTNRKIPNIAEELAKNRNYVKGASPSPIIISGSSSTSPSGPSSSSTNPMGIPTNRFNKNTVELYQHSPSPVMTTNKTDTEEKRQNNRNMDNKNTPERGSSSFAAKQLKISSLLTISSNEDSKTLHINDTNGNKNSNAASNNIPSAYAELHTEGNSIESLIKPPSSPRNKSLTPKVILPTQNMDGTIAKDPHLGDNTPGILIAKTSSPVNLDVESTAQSLGKFNKSTNSLKAALTKAPAEKVSLKRSISSVTNSDSNISSSKKPTSEKAKKSSSASAILPKPTTTKTSKKAASNSSDSTRKKNASNKTTSAIKKESNAGSKLNTVKKENSSLSSIKATEKEKDKGGNSTEAKNSTSNVRKEPTAKSPKRLVAAPTVSPPKILQTAETKAKEPSILIDVPLYQADTNDYLDENGQVIFNLSTLIKEKYHPKSKELAQLKDSKRNLLMQLSDHSNGSLEKEKDEEGDVIELDDDEDMEEDEGEIDTETNTVTTTISPKKKSHPMKGKNLIGKYDVEDPFIDDSELLWEEQRAATKDGFFVYFGPLIEKGHYASLERANGTMKRGGVKNK", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEVDNKRRHSEDELKQEAVKKIKSQEPNYAYLETVVREKLDFDSEKICCITLSPLNVYCCLVCGHYYQGRHEKSPAFIHSIDENHHVFLNLTSLKFYMLPQNVQILHDGEVQLLNSIKFAAYPTYCPKDLEDFPRQCFDLSNRTYLNGFIGFTNAATYDYAHSVLLLISHMVPVRDHFLLNHFDNQGEFIKRLSICVKKIWSPKLFKHHLSVDDFVSYLKVREGLNLNPIDPRLFLLWLFNKICSSSNDLKSILNHSCKGKVKIAKVENKPEASESVTGKVIVKPFWVLTLDLPEFSPFEDGNSVDDLPQINITKLLTKFTKSRSSSTSTVFELTRLPQFLIFHFNRFDRNSDHPVKNRNQTLVEFSSELEILHVKYRLKANVVHVVIKQPSTDGNAFNGDEKSHWITQLYDNKSEKWIEIDGINTTEREAELLFLKETFIQVWEKQE", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAGYKPVAIQTYPILGEKITQDTLYWNNYKTPVQIKEFGAVSKVDFSPQPPYNYAVTASSRIHIYGRYSQEPIKTFSRFKDTAYCATFRQDGRLLVAGSEDGGVQLFDISGRAPLRQFEGHTKAVHTVDFTADKYHVVSGADDYTVKLWDIPNSKEILTFKEHSDYVRCGCASKLNPDLFITGSYDHTVKMFDARTSESVLSVEHGQPVESVLLFPSGGLLVSAGGRYVKVWDMLKGGQLLVSLKNHHKTVTCLCLSSSGQRLLSGSLDRKVKVYSTTSYKVVHSFDYAASILSLALAHEDETIVVGMTNGILSVKHRKSEAKKESLPRRRRPAYRTFIKGKNYMKQRDDILINRPAKKHLELYDRDLKHFRISKALDRVLDPTCTIKTPEITVSIIKELNRRGVLANALAGRDEKEISHVLNFLIRNLSQPRFAPVLINAAEIIIDIYLPVIGQSPVVDKKFLLLQGLVEKEIDYQRELLETLGMMDMLFATMRRKEGTSVLEHTSDGFPENKKIES", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVALRGLGSGLQPWCPLDLRLEWVDTVWELDFTETEPLDPSIEAEIIETGLAAFTKLYESLLPFATGEHGSMESIWTFFIENNVSHSTLVALFYHFVQIVHKKNVSVQYREYGLHAAGLYFLLLEVPGSVANQVFHPVMFDKCIQTLKKSWPQESNLNRKRKKEQPKSSQANPGRHRKRGKPPRREDIEMDEIIEEQEDENICFSARDLSQIRNAIFHLLKNFLRLLPKFSLKEKPQCVQNCIEVFVSLTNFEPVLHECHVTQARALNQAKYIPELAYYGLYLLCSPIHGEGDKVISCVFHQMLSVILMLEVGEGSHRAPLAVTSQVINCRNQAVQFISALVDELKESIFPVVRILLQHICAKVVDKSEYRTFAAQSLVQLLSKLPCGEYAMFIAWLYKYSRSSKIPHRVFTLDVVLALLELPEREVDNTLSLEHQKFLKHKFLVQEIMFDRCLDKAPTVRSKALSSFAHCLELTVTSASESILELLINSPTFSVIESHPGTLLRNSSAFSYQRQTSNRSEPSGEINIDSSGETVGSGERCVMAMLRRRIRDEKTNVRKSALQVLVSILKHCDVSGMKEDLWILQDQCRDPAVSVRKQALQSLTELLMAQPRCVQIQKAWLRGVVPVVMDCESTVQEKALEFLDQLLLQNIRHHSHFHSGDDSQVLAWALLTLLTTESQELSRYLNKAFHIWSKKEKFSPTFINNVISHTGTEHSAPAWMLLSKIAGSSPRLDYSRIIQSWEKISSQQNPNSNTLGHILCVIGHIAKHLPKSTRDKVTDAVKCKLNGFQWSLEVISSAVDALQRLCRASAETPAEEQELLTQVCGDVLSTCEHRLSNIVLKENGTGNMDEDLLVKYIFTLGDIAQLCPARVEKRIFLLIQSVLASSADADHSPSSQGSSEAPASQPPPQVRGSVMPSVIRAHAIITLGKLCLQHEDLAKKSIPALVRELEVCEDVAVRNNVIIVMCDLCIRYTIMVDKYIPNISMCLKDSDPFIRKQTLILLTNLLQEEFVKWKGSLFFRFVSTLIDSHPDIASFGEFCLAHLLLKRNPVMFFQHFIECIFHFNNYEKHEKYNKFPQSEREKRLFSLKGKSNKERRMKIYKFLLEHFTDEQRFNITSKICLSILACFADGILPLDLDASELLSDTFEVLSSKEIKLLAMRSKPDKDLLMEEDDMALANVVMQEAQKKLISQVQKRNFIENIIPIIISLKTVLEKNKIPALRELMHYLREVMQDYRDELKDFFAVDKQLASELEYDMKKYQEQLVQEQELAKHADVAGTAGGAEVAPVAQVALCLETVPVPAGQENPAMSPAVSQPCTPRASAGHVAVSSPTPETGPLQRLLPKARPMSLSTIAILNSVKKAVESKSRHRSRSLGVLPFTLNSGSPEKTCSQVSSYSLEQESNGEIEHVTKRAISTPEKSISDVTFGAGVSYIGTPRTPSSAKEKIEGRSQGNDILCLSLPDKPPPQPQQWNVRSPARNKDTPACSRRSLRKTPLKTAN", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MALEGMSKRKRKRSVQEGENPDDGVRGSPPEDYRLGQVASSLFRGEHHSRGGTGRLASLFSSLEPQIQPVYVPVPKQTIKKTKRNEEEESTSQIERPLSQEPAKKVKAKKKHTNAEKKLADRESALASADLEEEIHQKQGQKRKNSQPGVKVADRKILDDTEDTVVSQRKKIQINQEEERLKNERTVFVGNLPVTCNKKKLKSFFKEYGQIESVRFRSLIPAEGTLSKKLAAIKRKIHPDQKNINAYVVFKEESAATQALKRNGAQIADGFRIRVDLASETSSRDKRSVFVGNLPYKVEESAIEKHFLDCGSIMAVRIVRDKMTGIGKGFGYVLFENTDSVHLALKLNNSELMGRKLRVMRSVNKEKFKQQNSNPRLKNVSKPKQGLNFTSKTAEGHPKSLFIGEKAVLLKTKKKGQKKSGRPKKQRKQK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGVGGSFWDLLKPYARHEGAGYLRGRRVAVDLSFWVVSHSAAIRARSPHARLPHLRTLFFRTLSLFSKMGAFPVFVVDGQPSPLKSQVRAARFFRGSGMDLAALPSTEAEASADALVQPRNAKFTRYVEDCVELLEYLGMPVLRAKGEGEALCAQLNNQGHVDACITSDSDAFLFGAKTVIKVLRSNCKEPFECYNMADIESGLGLKRKQMVAMALLVGSDHDLHGVPGFGPETALRFVQLFDEDNVLAKLYEIGKGVYPFIGVSAPNIDDLPSPSTKSLPRARSPHCSHCGHPGNKKNHIKDGCNFCLVDSLENCVEKPAGFICECPSCDKARDLKVQRRNENWQIKVCKRIAAETNFPNEEIINLYLNDDNLDNENGVPLLTWNKPDMEILVDFLSFKQNWEPAYIRQRMLPMLSTIYLREMASSQSKSFLLYDQYKFHSIQRIKIRYGHPYYLVKWKRVTRSMISNDPPSKQTELEGKNDKVEVLDGDDEVVDEEEEEPTMISETTELLDEPDVPQVLDDDKDCFLLTDEDIELVNAAFPDEAQRFQEEQRLKEAKSIARKSKLNVAGFETPKGPRPSGVQLSIKEFYRSKKGLSGDSGKDGSRKSSDVDLSKNLPKSVRRRLLFD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSRLQKQNYEILSGTSTSRLKNHQHPRESESLAYEEPDQMVRNHLNGQLVANGNGKTRKNSNSETMTNGKKSKLNTEGSGSGSGKTLNYNNNNNNNNSISATNGQYTNSSSKTTSASARDYTYRETISPPTPPSPPTTNVADIVCISDAESEDGRDPEREYYDQDMEEDEPNGIEIDESSSSLSKAKSNNAAAAAAAAAAAAAAAASKASSSTTPSYAMPTSNSTPLDLDNEAHQRDLEAVTDLKYYVKLYSDEAVSLNDFKIIRVLGTGAYGRVFLVRKLTRHDAGKLYAMKVLNKITVVQKRKTAEHTKTERVVLEAIQRNPFLVSLHYAFQSSSKLYLVLDFANGGELFTHLYHSENFEESRVRVYIAEVVLALEQLHQLGIIYRDIKLENILLDGEGHIVLSDFGLSKILTAENEYRAHSFCGTLEYMAPEIIRTGPPGHDSAVDWWSVGVLTFELLTGASPFATSDGQVQQSEISRRIQKEQPMIPSSFSANARDFVLKMLEKNPKRRLGGNHRDASEIKEHPFFNGINWQELRTKRRKAPYKPTLTAEDDVQNFSNEFTDQVPEDPECDAPPSRIRLFRGYTYVAPEHLEQMRRDNHCEIQYFNTGLQNIPCRPDDLELGTRTSNGAYGTCHFVVDSSTDLVFLAKIIPLSKFRPSEVDALISCALDTTNHKNIVSYHGTFREKCETWIVMEYLSGPELTASIRMDEDSCREIFLQLVMAVRHIHSKHFIHGDLKPENIMFENREDRTVKLIDFGSACYNNRFKSWKDKPRYTLDYAPPEMLADANLVTYSPAVDIYGLGATLYTMLVGHRPYRQNEDDVDHSAAAHHELRKRMRRGTFNQRSMRWESASPAFRHLVSWCLQRDPADRPTLSDILDSEWLQYGSNDPDVDIILPQQMVVDLSEDTMEQPTGGMFDDQQQLEFMHDKSAEDEGITLVSEPMDTTVATHESRRNAAAFSSVVAPTTDDEIVHERFDPAFEVQADFYGFDENAPPLPLPEEYYSELPLPEEDRQYIPPPPALIPVEPETTFRRPRTRQQRRTESQLVQPVSVATYEDSKASLRVLMQQLPPPGDNVVARIPKRTHRVVRTLPPTFGTTKREENFYGFSKTAISWRKTRASWRHFCLLINGVQQVLKVRFKKARRVYCLPHIKEEKLDHAYEKPLTFPRPKAQLKRTKREPKVPRPPTRVQPERARAMRQLYQFQ", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAGKRLFQDLDSDQENKSEKRIKSVLPSLASPISSVFGALISENTLRSVLEPVIRKVVRQEVEYGISKRFRLSRSSSFRIEAPEATTPTLKLIFRKNLMTPIFTGSKISDVDNNPLEIILVDDSNKPVNLNRPIKLDIVALHGDFPSGDKWTSDEFESNIIKERDGKRPLLAGEVSVTVRNGVATIGEIVFTDNSSWIRSRKFRIGAKVAKGSSGQGVVVCEAMTEAIVVRDHRGELYKKHHPPMLEDEVWRLEKIGKDGAFHKKLSSRHINTVQDFLKLSVVDVDELRQILGPGMSDRKWEVTLKHARECILGNKLYISRGPNFFMILNPICEVMKALIDGHVLSSQESLNQPYVKNLVRDAYSKGNFLEVGERTANEAALLTQGDDLDQQYAASHYQNIEIDKSYQQNGYVQERSTNNLEIVNEGYITTPAEFNICFTGSSSQNHINPF", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSKFLDMLSGSQCVSLEKCGDVVVSTNDCMIALYCHFCRDLFTQLPEFLRHLQSNHSDVLHFTKEHNVYSVEELLSGEQGKAHEDAQSAGHNSSSGDSSSLMNSEDSRAIEGSEDNSDNSPMKPEQIGKQSEINLLAEVTNILLQTNDKELKPENGVFNRPRKKANNESSSLKICDLKSHTIARTSRKRMSMVKNRILRVLDSDLSAKLEIKPPEPNSKLSITEPIQEDNIPGTCFDTPTKPIPSSSQLSVRKSSLTEANQICTKYAEKKTAPTMPKLLNCVPKPILTSQQAHTNAEISEINETYHLAASQVTKTTKTFPVKITQIDILQPVKLPKTLITPINEEGVSDQVENSTNNINNAQSLPKENTKKFFKKRSELGIKAQGSPNKFFKIIKSKANPIIVKRVQTTSAKASTNKIQIRSNDKTNCFASEFNSTKIRKLKMENCVDLKSEDPCANTNTRLNKLATISSCEILKAVGLPAITDNPIEDTLLPDELETIRKKADQFIKIYRKYDSIWNYRKICPPAKPDFISQQIFALTREVNKTMLCNLANSDIKGIINQISVWHYNIYTQYIDLDTISENARYTLKLFSFLPVSFAYFCKCCDDIFILKKEYLKHLISHQVRFQCTKCIKVFKYKGYYEKHLRNAHS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPRYCAAICCKNRRGRNNKDRKLSFYPFPLHDKERLEKWLKNMKRDSWVPSKYQFLCSDHFTPDSLDIRWGIRYLKQTAVPTIFSLPEDNQGKDPSKKKSQKKNLEDEKEVCPKAKSEESFVLNETKKNIVNTDVPHQHPELLHSSSLVKPPAPKTGSIQNNMLTLNLVKQHTGKPESTLETSVNQDTGRGGFHTCFENLNSTTITLTTSNSESIHQSLETQEVLEVTTSHLANPNFTSNSMEIKSAQENPFLFSTINQTVEELNTNKESVIAIFVPAENSKPSVNSFISAQKETTEMEDTDIEDSLYKDVDYGTEVLQIEHSYCRQDINKEHLWQKVSKLHSKITLLELKEQQTLGRLKSLEALIRQLKQENWLSEENVKIIENHFTTYEVTMI", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKTKPVSHKTENTYRFLTFAERLGNVNIDIIHRIDRTASYEEEVETYFFEGLLKWRELNLTEHFGKFYKEVIDKCQSFNQLVYHQNEIVQSLKTHLQVKNSFAYQPLLDLVVQLARDLQMDFYPHFPEFFLTITSILETQDTELLEWAFTSLSYLYKYLWRLMVKDMSSIYSMYSTLLAHKKLHIRNFAAESFTFLMRKVSDKNALFNLMFLDLDKHPEKVEGVGQLLFEMCKGVRNMFHSCTGQAVKLILRKLGPVTETETQLPWMLIGETLKNMVKSTVSYISKEHFGTFFECLQESLLDLHTKVTKTNCCESSEQIKRLLETYLILVKHGSGTKIPTPADVCKVLSQTLQVASLSTSCWETLLDVISALILGENVSLPETLIKETIEKIFESRFEKRLIFSFSEVMFAMKQFEQLFLPSFLSYIVNCFLIDDAVVKDEALAILAKLILNKAAPPTAGSMAIEKYPLVFSPQMVGFYIKQKKTRSKGRNEQFPVLDHLLSIIKLPPNKDTTYLSQSWAALVVLPHIRPLEKEKVIPLVTGFIEALFMTVDKGSFGKGNLFVLCQAVNTLLSLEESSELLHLVPVERVKNLVLTFPLEPSVLLLTDLYYQRLALCGCKGPLSQEALMELFPKLQANISTGVSKIRLLTIRILNHFDVQLPESMEDDGLSERQSVFAILRQAELVPATVNDYREKLLHLRKLRHDVVQTAVPDGPLQEVPLRYLLGMLYINFSALWDPVIELISSHAHEMENKQFWKVYYEHLEKAATHAEKELQNDMTDEKSVGDESWEQTQEGDVGALYHEQLALKTDCQERLDHTNFRFLLWRALTKFPERVEPRSRELSPLFLRFINNEYYPADLQVAPTQDLRRKGKGMVAEEIEEEPAAGDDEELEEEAVPQDESSQKKKTRRAAAKQLIAHLQVFSKFSNPRALYLESKLYELYLQLLLHQDQMVQKITLDCIMTYKHPHVLPYRENLQRLLEDRSFKEEIVHFSISEDNAVVKTAHRADLFPILMRILYGRMKNKTGSKTQGKSASGTRMAIVLRFLAGTQPEEIQIFLDLLFEPVRHFKNGECHSAVIQAVEDLDLSKVLPLGRQHGILNSLEIVLKNISHLISAYLPKILQILLCMTATVSHILDQREKIQLRFINPLKNLRRLGIKMVTDIFLDWESYQFRTEEIDAVFHGAVWPQISRLGSESQYSPTPLLKLISIWSRNARYFPLLAKQKPGHPECDILTNVFAILSAKNLSDATASIVMDIVDDLLNLPDFEPTETVLNLLVTGCVYPGIAENIGESITIGGRLILPHVPAILQYLSKTTISAEKVKKKKNRAQVSKELGILSKISKFMKDKEQSSVLITLLLPFLHRGNIAEDTEVDILVTVQNLLKHCVDPTSFLKPIAKLFSVIKNKLSRKLLCTVFETLSDFESGLKYITDVVKLNAFDQRHLDDINFDVRFETFQTITSYIKEMQIVDVNYLIPVMHNCFYNLELGDMSLSDNASMCLMSIIKKLAALNVTEKDYREIIHRSLLEKLRKGLKSQTESIQQDYTTILSCLIQTFPNQLEFKDLVQLTHYHDPEMDFFENMKHIQIHRRARALKKLAKQLMEGKVVLSSKSLQNYIMPYAMTPIFDEKMLKHENITTAATEIIGAICKHLSWSAYMYYLKHFIHVLQTGQINQKLGVSLLVIVLEAFHFDHKTLEEQMGKIENEENAIEAIELPEPEAMELERVDEEEKEYTCKSLSDNGQPGTPDPADSGGTSAKESECITKPVSFLPQNKEEIERTIKNIQGTITGDILPRLHKCLASTTKREEEHKLVKSKVVNDEEVVRVPLAFAMVKLMQSLPQEVMEANLPSILLKVCALLKNRAQEIRDIARSTLAKIIEDLGVHFLLYVLKELQTTLVRGYQVHVLTFTVHMLLQGLTNKLQVGDLDSCLDIMIEIFNHELFGAVAEEKEVKQILSKVMEARRSKSYDSYEILGKFVGKDQVTKLILPLKEILQNTTSLKLARKVHETLRRITVGLIVNQEMTAESILLLSYGLISENLPLLTEKEKNPVAPAPDPRLPPQSCLLLPPTPVRGGQKAVVSRKTNMHIFIESGLRLLHLSLKTSKIKSSGECVLEMLDPFVSLLIDCLGSMDVKVITGALQCLIWVLRFPLPSIETKAEQLTKHLFLLLKDYAKLGAARGQNFHLVVNCFKCVTILVKKVKSYQITEKQLQVLLAYAEEDIYDTSRQATAFGLLKAILSRKLLVPEIDEVMRKVSKLAVSAQSEPARVQCRQVFLKYILDYPLGDKLRPNLEFMLAQLNYEHETGRESTLEMIAYLFDTFPQGLLHENCGMFFIPLCLMTINDDSATCKKMASMTIKSLLGKISLEKKDWLFDMVTTWFGAKKRLNRQLAALICGLFVESEGVDFEKRLGTVLPVIEKEIDPENFKDIMEETEEKAADRLLFSFLTLITKLIKECNIIQFTKPAETLSKIWSHVHSHLRHPHNWVWLTAAQIFGLLFASCQPEELIQKWNTKKTKKHLPEPVAIKFLASDLDQKMKSISLASCHQLHSKFLDQSLGEQVVKNLLFAAKVLYLLELYCEDKQSKIKEDLEEQEALEDGVACADEKAESDGEEKEEVKEELGRPATLLWLIQKLSRIAKLEAAYSPRNPLKRTCIFKFLGAVAMDLGIDKVKPYLPMIIAPLFRELNSTYSEQDPLLKNLSQEIIELLKKLVGLESFSLAFASVQKQANEKRALRKKRKALEFVTNPDIAAKKKMKKHKNKSEAKKRKIEFLRPGYKAKRQKSHSLKDLAMVE", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDNTSLLTRGGEIYSRKKGKKQRLEEIVFDKEKRKEYLTGFHKRKVERRKHAQVQLEQQKREERLALRKSLREQRKRELAERLAFSKELNSSLENDEESSQQEDSSSKSDSEEESSMEPKTTEYDEDDKHVTVEIVEDDDDEEIAYPKEGFVTPRISPPPDVPLRPHKPKNAAKKKFRYESKFERTQDRRKEKIRRLKKKIRR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPRRRRRRGSSGAGGRGRTCSRTVRAELSFSVSQVERSLREGHYAQRLSRTAPVYLAAVIEYLTAKVPELAGNEAQNSGERNITPLLLDMVVHNDRLLSTLFNTTTISQVAPGED", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEDGELDFSNQEVFSSSEMGELPPSNCSMDSFFDGLLMDTNAACTHTHTCNPTGPENTHTHTCFHVHTKILPDESDEKVSTDDTAESCGKKGEKRPLGNREAVRKYREKKKAKAASLEDEVARLRAVNQQLVKRLQNQATLEAEVSRLKCLLVDLRGRIDGEIGSFPYQKPMAANIPSFSHMMNPCNVQCDDEVYCPQNVFGVNSQEGASINDQGLSGCDFDQLQCMANQNLNGNGNGSFSNVNTSVSNKRKGGHRASRAV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSGIGNKRAAGEPGTSMPPEKKAAVEDSGTTVETIKLGGVSSTEELDIRTLQTKNRKLAEMLDQRQAIEDELREHIEKLERRQATDDASLLIVNRYWSQFDENIRIILKRYDLEQGLGDLLTERKALVVPEPEPDSDSNQERKDDRERGEGQEPAFSFLATLASSSSEEMESQLQERVESSRRAVSQIVTVYDKLQEKVELLSRKLNSGDNLIVEEAVQELNSFLAQENMRLQELTDLLQEKHRTMSQEFSKLQSKVETAESRVSVLESMIDDLQWDIDKIRKREQRLNRHLAEVLERVNSKGYKVYGAGSSLYGGTITINARKFEEMNAELEENKELAQNRLCELEKLRQDFEEVTTQNEKLKVELRSAVEQVVKETPEYRCMQSQFSVLYNESLQLKAHLDEARTLLHGTRGTHQHQVELIERDEVSLHKKLRTEVIQLEDTLAQVRKEYEMLRIEFEQTLAANEQAGPINREMRHLISSLQNHNHQLKGEVLRYKRKLREAQSDLNKTRLRSGSALLQSQSSTEDPKDEPAELKPDSEDLSSQSSASKASQEDANEIKSKRDEEERERERREKEREREREREKEKEREREKQKLKESEKERDSAKDKEKGKHDDGRKKEAEIIKQLKIELKKAQESQKEMKLLLDMYRSAPKEQRDKVQLMAAEKKSKAELEDLRQRLKDLEDKEKKENKKMADEDALRKIRAVEEQIEYLQKKLAMAKQEEEALLSEMDVTGQAFEDMQEQNIRLMQQLREKDDANFKLMSERIKSNQIHKLLKEEKEELADQVLTLKTQVDAQLQVVRKLEEKEHLLQSNIGTGEKELGLRTQALEMNKRKAMEAAQLADDLKAQLELAQKKLHDFQDEIVENSVTKEKDMFNFKRAQEDISRLRRKLETTKKPDNVPKCDEILMEEIKDYKARLTCPCCNMRKKDAVLTKCFHVFCFECVKTRYDTRQRKCPKCNAAFGANDFHRIYIG", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSGGGNNVVNKVFYATSYHPIQAGSIDGTDVAPHDNGVRRALLCYNAGLYDPSGDSKAVGDPYCTLFVGRLSHHTTEDTLREVMSKYGRIKNLRLVRHIVTGASRGYGFVEYETEKEMLRAYEDAHHSLIDGREIIVDYNRQQLMPGWIPRRLGGGLGGRKESGQLRFGGRDRPFRAPLRPIPHEDLKKLGIQLPPEGRYMSRTQIPSPPRRKGSVSDREEEYYREKSSVEREEEFKERSSLRSYHSHRSSAHTHSSHRRRSKDREECSREESRSDRKERARGMEDRYGDNKGEVSGSKRSKRSEEDRSRKRHKHLPSHHHRRSYSQDHHSSD", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MFEQPSSTTNTTTSSGSGSDSNHYFELGPRNPINQAHPTSVIVPPRQHHHQIHQQQTDNSPLTPCTPYYPSNAYGLPLFFGTDFLQFQPSDIPSPLTPNISSPLTPHPFGPIPAIPTNQIYNRTFTDFYSTAASSPMVQYSTVKKSSAGRKPKEEDNMEDDDDDKRLKRRQRNKEAAARCRQRRIDLMKELQDQVNDFKNSNDKKMAECNNIRNKLNSLKNYLETHDCKLSREERTHEINRLIIPPSTVPPSQPYLQHSLRVHPPRADSVPYSIRSGHSSSSSEQHSPVEDYKPSIDQLLLPPISCIQNIKDRNINSMPPPALPASTSAAGIHVITSIPVSHANSLHGRSENVFAEPERKIPKIELDQTLTSLTMPDDVERPSALPTLSRIVENQPITTPSRPFRLGGEYQNQTPQSTGNGLFGGPPGPFDLLSSNTGLTPSGQPTMNFVSTPTPIQPHPDADLRPL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNCAISGEVPEEPVVSKKSGLLYEKRLIQTHISDYGKCPVTGEPHTLDDIVPIKTGKIVKPKPLHTASIPGLLGTFQTEWDSLMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDESRQLLAEAERQLPAAPEVATSNAALSNGKRGIDDGEQGPNAKKMRLGISAEVITELTDCNAALSQQRKKRQIPKTLASVDALEKFTQLSSHPLHKTNKPGIFSMDILHSKDVIATGGIDTTAVLFDRPSGQILSTLTGHSKKVTSIKFVGDTDLVLTASSDKTVRIWGCSEDGNYTSRHTLKDHSAEVRAVTVHATNKYFVSASLDSTWCFYDLSSGLCLAQVTDASENDVNYTAAAFHPDGLILGTGTAQSIVKIWDVKSQANVAKFGGHNGEITSISFSENGYFLATAALDGVRLWDLRKLKNFRTFDFPDANSVEFDHSGSYLGIAASDIRVFQAASVKAEWNPIKTLPDLSGTGKATSVKFGLDSKYIAVGSMDRNLRIFGLPDDDNTEDSAQDS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSKDNRLASILLQPVASSSGNCTEFHDSKLHTLQEELNFLPLEGVASNVCPPMFRGHKNYVFVLYCLNQVDLVTNLQDSTKRYYPLQIFKDCQLSSLVQKDFSHYFQLSRQKEGEDRNDSDTTLVNVVNSGVSRHRSQLLKMCIIPRICSFDKSNSKTYKLIQEYVNRFETVLTKFGPEKDFTKVYANWSKLIESFNELILHDLLVKWQQWSELTQPNATVHQNIPNVLRELVIKLTQRYFTFQPSYSCSIDEFTTILLNKNALSLLDVFRKPRKYKLNFGLWLDCQNGILIFTNGIVQMADEITSERVKSFVRPAHLLVLEDHSNDEAVKKLMFFTFSAILQCFTDEILNC", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGRKKISIAPITDDRSRSVTFVKRKQGLYKKAYELAVLADCEVAVTVIDRKGRLHVFCSSDYQRTLQQLNTLSIYELKNRSHFSSSPVEESSTVSPETTTGSFTPLNNKHLKSQDQPLSDSQLDTGDSPATSETTVQDYNPQVQSYCRPEPLSSNHVRSCPPFPPTQHHHPHTRPPHHPPHPHFHNNNYPPPYCFQSPVSPGATVPLQHHSPYPSDNGFPGHRRQTHFAPYYYPQRATSPSLKQVPTYLGTHVLQQDQSTYDQKVLMPPASYLSSPNQYTLKNVSPGNPACPPFLYEHPNPQLTPEMFDVKQGSPIPPTAYSGSSCETSQHTIANTPFLAYDRSPSLTNQEAESSFQDVASISPHSLSDVKY", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSFMNPVDMVDEDAADLQFPKVALLHIFTTLNCLFAVEPLGPQVKRFIHAHQNEHTRNSMVLYNPHTLHRYLEEMEEKTRDQNSSVPSATKDANRCATCRCSLTEPYIKCSECLDTLLCLQCFSRGKEAFSHRNNHAYIIVRDNIQVFADEPHWTARDERILLKTLRTHGYGNWEAVSQALDQRHEPAEVRRHYHDCYFGGIFERLLNLKHARDSYVPERMPYVFKMRSLDPPRHDDIASMQFRLSAGYRCARGDFDTPYDTSAESLLSIMVDHRGRDDDNEASESEFEREVTEELQLGLVRAYNNRLRERQRRYKIMRQHGLIMPNRTVSWISKYVHAFGSDASCMRFLGFMQICPDPIKFDMLLESLRYYRELHSQLHKLYDLREHGVRTLSGAKLYARLSKERQQAQRDYSRLKQTDAFDWQQLVQHYESNRSGDPGPLAINSKLYVMNTRRKASPIEIGGGKHFTHCLTPTEYNFSLIPDLPGYSKLDDGERKLCSVARLVPQSYLDYKNQLVTEQAKLGYLRLADARRLIKIDVNKTRQIYDFLLEHGHISRPPSYG", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSAHLAMPSYGSVRCGHYYWPSPGSMDSASAAEAPAADLSLTTEQKTPCHPDASPASSSSGTLIQYTPDSATSPTADHPSHRPTFQKVKDKGESGTRKAKSRTAFSQEQLQTLHQRFQSQKYLSPHQIRELAAALGLTYKQVKTWFQNQRMKFKRCQKESQWVDKGIYLPQNGFHQAAYLDMTPTFHQGFPVVTNRNLQAVTSAHQAYSSGQTYGNGQGLYPFMAVEDEGFFGKGGTSCNTQQAMGLLSQQMNFYHGYSTNVDYDSLQAEDTYSFQSTSDSITQFSSSPVRHQYQAPWHTLGTQNGYET", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFSPDGGLPAAPFGLLPDGGPPFPRGGYDGAAAQQLFFPFASEPDGARDAATARAWLPPPAGPPAKAEARPARPCRQGSPEPRAAPPATPCCGPAWAAPPWPGPAPPAATAVPGPPFPGPAAAAFPAAPGHALCPAALQPSSGGLANLGSSGSSSGAASEGGHSSDSGDEDAPTSEELEQFAKDLKHKRIMLGFTQADVGLALGTLYGKMFSQTTICRFEALQLSFKNMCKLKPLLQRWLNEAENTDNMQEMCNAEQVLAQARKRKRRTSIETNVKGTLESFFRKCVKPSPQEISQIAEDLNLDKDVVRVWFCNRRQKGKRLLLPFGNESEGVMYDMNQSLVPPGLPIPVTSQGYSLAPSPPVYMPPFHKAEMFPPPLQPGISMNNSSH", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASVQASRRQWCYLCDLPKMPWAMVWDFSEAVCRGCVNFEGADRIELLIDAARQLKRSHVLPEGRSPGPPALKHPATKDLAAAAAQGPQLPPPQAQPQPSGTGGGVSGQDRYDRATSSGRLPLPSPALEYTLGSRLANGLGREEAVAEGARRALLGSMPGLMPPGLLAAAVSGLGSRGLTLAPGLSPARPLFGSDFEKEKQQRNADCLAELNEAMRGRAEEWHGRPKAVREQLLALSACAPFNVRFKKDHGLVGRVFAFDATARPPGYEFELKLFTEYPCGSGNVYAGVLAVARQMFHDALREPGKALASSGFKYLEYERRHGSGEWRQLGELLTDGVRSFREPAPAEALPQQYPEPAPAALCGPPPRAPSRNLAPTPRRRKASPEPEGEAAGKMTTEEQQQRHWVAPGGPYSAETPGVPSPIAALKNVAEALGHSPKDPGGGGGPVRAGGASPAASSTAQPPTQHRLVARNGEAEVSPTAGAEAVSGGGSGTGATPGAPLCCTLCRERLEDTHFVQCPSVPGHKFCFPCSREFIKAQGPAGEVYCPSGDKCPLVGSSVPWAFMQGEIATILAGDIKVKKERDP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRKGRGSSVVGPALPVTAGGSVKEPRYRGVRKRPWGRFAAEIRDPLKKSRVWLGTFDSAVDAARAYDTAARNLRGPKAKTNFPIDCSPSSPLQPLTYLHNQNLCSPPVIQNQIDPFMDHRLYGGGNFQEQQQQQIISRPASSSMSSTVKSCSGPRPMEAAAASSSVAKPLHAIKRYPRTPPVAPEDCHSDCDSSSSVIDDGDDIASSSSRRKTPFQFDLNFPPLDGVDLFAGGIDDLHCTDLRL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKRGYGETWDPPPKPLPASRSGEGPSMADKKKADDDNNNSNMDDELLAVLGYKVRSSEMAEVAQKLEQLEMVLSNDDVGSTVLNDSVHYNPSDLSNWVESMLSELNNPASSDLDTTRSCVDRSEYDLRAIPGLSAFPKEEEVFDEEASSKRIRLGSWCESSDESTRSVVLVDSQETGVRLVHALVACAEAIHQENLNLADALVKRVGTLAGSQAGAMGKVATYFAQALARRIYRDYTAETDVCAAVNPSFEEVLEMHFYESCPYLKFAHFTANQAILEAVTTARRVHVIDLGLNQGMQWPALMQALALRPGGPPSFRLTGIGPPQTENSDSLQQLGWKLAQFAQNMGVEFEFKGLAAESLSDLEPEMFETRPESETLVVNSVFELHRLLARSGSIEKLLNTVKAIKPSIVTVVEQEANHNGIVFLDRFNEALHYYSSLFDSLEDSYSLPSQDRVMSEVYLGRQILNVVAAEGSDRVERHETAAQWRIRMKSAGFDPIHLGSSAFKQASMLLSLYATGDGYRVEENDGCLMIGWQTRPLITTSAWKLA", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDEKGRSLKNNNMEDEMDLKRGPWTAEEDFKLMNYIATNGEGRWNSLSRCAGLQRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDTMKYLWMPRLVERIQSASASSAAAATTTTTTTTGSAGTSSCITTSNNQFMNYDYNNNNMGQQFGVMSNNDYITPENSSVAVSPASDLTEYYSAPNPNPEYYSGQMGNSYYPDQNLVSSQLLPDNYFDYSGLLDEDLTAMQEQSNLSWFENINGAASSSDSLWNIGETDEEFWFLQQQQQFNNNGSF", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSNFGRRTWDREEYAEQARSGYDDRSLKATLTPIELQALKSKYTNYDHLIKGSLKDLNKRKLTANTESLSSFKRGKKFGFYCDICNLTFKDTLQYIDHLNHKVHAIKFENLFDEPLIIDIRDNDDVPQEEFELCYHNLIKDFVEVRSMETQSKRKRLLDTDVEKAKKVATKPSIESESKVSQMMGFSNFATSKK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAAAALSGAGAPPAGGGAGGGGSPPGGWAVARLEGREFEYLMKKRSVTIGRNSSQGSVDVSMGHSSFISRRHLEIFTPPGGGHSAAAPEPAQPRPDAGGDFYLRCLGKNGVFVDGVFQRRGAPPLQLPRVCTFRFPSTNIKITFTALSSEKREKQEAPESPVKPVQPHISPLTINIPDTMAHLISPLPSPTGTISAANSCPSSPRGAGSSGYKVGRVMPSDLSLMADNSQPENEKEASGGDSPKDDSKPPYSYAQLIVQAITMAPDKQLTLNGIYTHITKNYPYYRTADKGWQNSIRHNLSLNRYFIKVPRSQEEPGKGSFWRIDPASESKLVEQAFRKRRPRGVPCFRTPLGPLSSRSAPASPNHAGVLSAHSSGAQTPESLSREGSPAPLEPEPGASQPKLAVIQEARFAQSAPGSPLSSQPVLITVQRQLPPAIKPVTYTVATPVTTPTSQPPVVQTVHVVHQIPAVSVTSVAGLAPANTYTVAGQAVVTQAAVLAPPNPEPQENGDHREVRVKVEPVPAISPATLGAASRIIQTSQGTPVQTVTIVQQAPLGQHQLPIKTVTQNGAHVVPMPTAVHSQVNNAAASPLHMLATHASASASLPTKRQNGDQAEQPELKRVKAEDGESIVIALSVDAPPAAVREKAIQN", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MQLSWKDIPTVAPANDLLDIVLNRTQRKTPTVIRPGFKITRIRAFYMRKVKYTGEGFVEKFEDILKGFPNINDVHPFHRDLMDTLYEKNHYKISLAAISRAKSLVEQVARDYVRLLKFGQSLFQCKQLKRAALGRMATIVKKLRDPLAYLEQVRQHIGRLPSIDPNTRTLLICGYPNVGKSSFLRCITKSDVDVQPYAFTTKSLYVGHFDYKYLRFQAIDTPGILDRPTEEMNNIEMQSIYAIAHLRSCVLYFMDLSEQCGFTIEAQVKLFHSIKPLFANKSVMVVINKTDIIRPEDLDEERAQLLESVKEVPGVEIMTSSCQLEENVMEVRNKACEKLLASRIENKLKSQSRINNVLNKIHVAQPQARDDVKRTPFIPESVKNLKKYDPEDPNRRKLARDIEAENGGAGVFNVNLKDKYLLEDDEWKNDIMPEILDGKNVYDFLDPEIAAKLQALEEEEEKLENEGFYNSDDEEEIYDGFEASEVDDIKEKAAWIRNRQKTMIAEARNRKSLKNKAIMPRSKLTKSFGKMEEHMSTLGHDMSALQDKQNRAARKNRYVERGSDVVFGDQDALTASTENGVKLRQTDRLLDGVADGSMRSKADRMAKMERRERNRHAKQGESDRHNAVSLSKHLFSGKRGVGKTDFR", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSSRSGEHRRNYAQGRNYESSRSRGDQRDRDYREYRRNYRDERSSRRYEDSQRRDYSPARRRDRYERHRESVREESPRRPVEHERNWQPELKHGRERFRERDYEGRRDRKERRDGVSPFSPEGEGLERKREHEKLQAPSPKEEEERPVDQGDKMDGVKEDKDGSLEVGKSHDAMTRTKSAEEEIVEQEDEATAEMKRIMGFSGFDTTTGKKHGDVGQVYKQKKTKYRQYMNRPGGFNRPLDNE", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEAEETMECLQEFPEHHKMILDRLNEQREQDRFTDITLIVDGHHFKAHKAVLAACSKFFYKFFQEFTQEPLVEIEGVSKMAFRHLIEFTYTAKLMIQGEEEANDVWKAAEFLQMLEAIKALEVRNKENSAPLEENTTGKNEAKKRKIAETSNVITESLPSAESEPVEIEVEIAEGTIEVEDEGIETLEEVASAKQSVKYIQSTGSSDDSALALLADITSKYRQGDRKGQIKEDGCPSDPTSKQVEGIEIVELQLSHVKDLFHCEKCNRSFKLFYHFKEHMKSHSTESFKCEICNKRYLRESAWKQHLNCYHLEEGGVSKKQRTGKKIHVCQYCEKQFDHFGHFKEHLRKHTGEKPFECPNCHERFARNSTLKCHLTACQTGVGAKKGRKKLYECQVCNSVFNSWDQFKDHLVIHTGDKPNHCTLCDLWFMQGNELRRHLSDAHNISERLVTEEVLSVETRVQTEPVTSMTIIEQVGKVHVLPLLQVQVDSAQVTVEQVHPDLLQDSQVHDSHMSELPEQVQVSYLEVGRIQTEEGTEVHVEELHVERVNQMPVEVQTELLEADLDHVTPEIMNQEERESSQADAAEAAREDHEDAEDLETKPTVDSEAEKAENEDRTALPVLE", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MMKLKVYADRMSQPSRAVIIFCKVNGIQFDEVLISLAKRQQLSPEFKDINPLGKVPAIVDGRLKLFESHAILIYLSSAFPSVADHWYPNDLSKRAKIHSVLDWHHTNLRRGAAGYVLNSVLGPALGLPLNPKAAAEAEQLLTKSLSTLETFWLKGNAKFLLGSNQPSIADLSLVCELMQLQVLDDKDRLRLLSTHKKVEQWIENTKKATMPHFDETHEILFKVKEGFQKRREMGTLSKPGLQSKI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLKQESNDIGSGENNRARPCDTCRSNACTVYCHADSAYLCMSCDAQVHSANRVASRHKRVRVCESCERAPAAFLCEADDASLCTACDSEVHSANPLARRHQRVPILPISGNSFSSMTTTHHQSEKTMTDPEKRLVVDQEEGEEGDKDAKEVASWLFPNSDKNNNNQNNGLLFSDEYLNLVDYNSSMDYKFTGEYSQHQQNCSVPQTSYGGDRVVPLKLEESRGHQCHNQQNFQFNIKYGSSGTHYNDNGSINHNAYISSMETGVVPESTACVTTASHPRTPKGTVEQQPDPASQMITVTQLSPMDREARVLRYREKRKTRKFEKTIRYASRKAYAEIRPRVNGRFAKREIEAEEQGFNTMLMYNTGYGIVPSF", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTSVRKRKMNRSSVGKATRRNKDKQRKINIQSNPIIAANWDYSLTMAQNYKKLGLRAKLQTPAGGKEADLSKVVKRIPLTKPVLDEDEDEDEGEDEQNDYNAATVELDENEIPEGGARIQRDKNGDVVRVVYGKKKNFDADEDVNEIKARDTTEETEVVKKLEELASRPVIRKERSQSEREEEWLEKLYKKHGDDYKKMFFDKKLNIYQQSEGDLKRRLLRWKKRNGIASK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSKLSQPATTPGVNGISVIHTQAHASGLQQVPQLVPAGPGGGGKAVPPSKQSKKSSPMDRNSDEYRQRRERNNMAVKKSRLKSKQKAQDTLQRVNQLKEENERLEAKIKLLTKELSVLKDLFLEHAHSLADNVQPISTETTATNSDNPGQ", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSKVIKPPGQARTADFRTLERESRFINPPKDKSAFPLLQEAVQPHIGSFNALTEGPDGGLLNLGVKDIGEKVIFDGKPLNSEDEISNSGYLGNKLSVSVEQVSIAKPMSNDGVSSAVERKVYPSESRQRLTSYRGKLLLKLKWSVNNGEENLFEVRDCGGLPVMLQSNRCHLNKMSPYELVQHKEESDEIGGYFIVNGIEKLIRMLIVQRRNHPMAIIRPSFANRGASYSHYGIQIRSVRPDQTSQTNVLHYLNDGQVTFRFSWRKNEYLVPVVMILKALCHTSDREIFDGIIGNDVKDSFLTDRLELLLRGFKKRYPHLQNRTQVLQYLGDKFRVVFQASPDQSDLEVGQEVLDRIVLVHLGKDGSQDKFRMLLFMIRKLYSLVAGECSPDNPDATQHQEVLLGGFLYGMILKEKIDEYLQNIIAQVRMDINRGMAINFKDKRYMSRVLMRVNENIGSKMQYFLSTGNLVSQSGLDLQQVSGYTVVAEKINFYRFISHFRMVHRGSFFAQLKTTTVRKLLPESWGFLCPVHTPDGSPCGLLNHFAHKCRISTQQSDVSRIPSILYSLGVAPASHTFAAGPSLCCVQIDGKIIGWVSHEQGKIIADTLRYWKVEGKTPGLPIDLEIGYVPPSTRGQYPGLYLFGGHSRMLRPVRYLPLDKEDIVGPFEQVYMNIAVTPQEIQNNVHTHVEFTPTNILSILANLTPFSDFNQSPRNMYQCQMGKQTMGTPGVALCHRSDNKLYRLQTGQTPIVKANLYDDYGMDNFPNGFNAVVAVISYTGYDMDDAMIINKSADERGFGYGTMYKTEKVDLALNRNRGDPITQHFGFGNDEWPKEWLEKLDEDGLPYIGTYVEEGDPICAYFDDTLNKTKIKTYHSSEPAYIEEVNLIGDESNKFQELQTVSIKYRIRRTPQIGDKFSSRHGQKGVCSRKWPTIDMPFSETGIQPDIIINPHAFPSRMTIGMFVESLAGKAGALHGIAQDSTPWIFNEDDTPADYFGEQLAKAGYNYHGNEPMYSGATGEELRADIYVGVVYYQRLRHMVNDKFQVRSTGPVNSLTMQPVKGRKRHGGIRVGEMERDALIGHGTSFLLQDRLLNSSDYTQASVCRECGSILTTQQSVPRIGSISTVCCRRCSMRFEDAKKLLTKSEDGEKIFIDDSQIWEDGQGNKFVGGNETTTVAIPFVLKYLDSELSAMGIRLRYNVEPK", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFPQSRHPTPHQAAGQPFKFTIPESLDRIKEEFQFLQAQYHSLKLECEKLASEKTEMQRHYVMYYEMSYGLNIEMHKQTEIAKRLNTICAQVIPFLSQEHQQQVAQAVERAKQVTMAELNAIIGQQQLQAQHLSHGHGPPVPLTPHPSGLQPPGIPPLGGSAGLLALSSALSGQSHLAIKDDKKHHDAEHHRDREPGTSNSLLVPDSLRGTDKRRNGPEFSNDIKKRKVDDKDSSHYDSDGDKSDDNLVVDVSNEDPSSPRASPAHSPRENGIDKNRLLKKDASSSPASTASSASSTSLKSKEMSLHEKASTPVLKSSTPTPRSDMPTPGTSATPGLRPGLGKPPAIDPLVNQAAAGLRTPLAVPGPYPAPFGMVPHAGMNGELTSPGAAYASLHNMSPQMSAAAAAAAVVAYGRSPMVGFDPPPHMRVPTIPPNLAGIPGGKPAYSFHVTADGQMQPVPFPPDALIGPGIPRHARQINTLNHGEVVCAVTISNPTRHVYTGGKGCVKVWDISHPGNKSPVSQLDCLNRDNYIRSCKLLPDGCTLIVGGEASTLSIWDLAAPTPRIKAELTSSAPACYALAISPDSKVCFSCCSDGNIAVWDLHNQTLVRQFQGHTDGASCIDISNDGTKLWTGGLDNTVRSWDLREGRQLQQHDFTSQIFSLGYCPTGEWLAVGMESSNVEVLHVNKPDKYQLHLHESCVLSLKFAYCGKWFVSTGKDNLLNAWRTPYGASIFQSKESSSVLSCDISVDDKYIVTGSGDKKATVYEVIY", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPGCRISACGPGAQEGTAEPGSPPPPPREPLPSLQPPSPSPTSTPTPTKSPPLPEAAETPVEGQELQRWRQGASGGSGGAGPAGIAGAAAGAGGRALELAEARRRLLEVEGRRRLVSELESRVLQLHRVFLAAELRLAHRAESLSRLSGGVAQAELYLAAHGSRLKKGARRGRRGRPPALLASALGLGSCVPWGAGRLRRGQGPEPDSPFRRSPPRGPASPQR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKRDFDEISEEEWSQHSFNASRVLKRPRTPKKTRAATNPTPSIESFAFRRPSTAMTIESNSSDGDCVEIEDLGDSDSDVKIVNGEDLLLEDEEEVEETKVVMRAARVGRRFVIEDEEASDDDDDEAESSASEDEFGGGGGGSGGRRGEDEDVVGKALQKCAKISADLRKELYGTSSGVTDRYSEVETSTVRIVTQNDIDDACKAEDSDFQPILKPYQLVGVNFLLLLYKKGIEGAILADEMGLGKTIQAITYLTLLSRLNNDPGPHLVVCPASVLENWERELRKWCPSFTVLQYHGAARAAYSRELNSLSKAGKPPPFNVLLVCYSLFERHSEQQKDDRKVLKRWRWSCVLMDEAHALKDKNSYRWKNLMSVARNANQRLMLTGTPLQNDLHELWSLLEFMLPDIFTTENVDLKKLLNAEDTELITRMKSILGPFILRRLKSDVMQQLVPKIQRVEYVLMERKQEDAYKEAIEEYRAASQARLVKLSSKSLNSLAKALPKRQISNYFTQFRKIANHPLLIRRIYSDEDVIRIARKLHPIGAFGFECSLDRVIEEVKGFNDFRIHQLLFQYGVNDTKGTLSDKHVMLSAKCRTLAELLPSMKKSGHRVLIFSQWTSMLDILEWTLDVIGVTYRRLDGSTQVTDRQTIVDTFNNDKSIFACLLSTRAGGQGLNLTGADTVIIHDMDFNPQIDRQAEDRCHRIGQTKPVTIFRLVTKSTVDENIYEIAKRKLVLDAAVLESGVHVDDNGDTPEKTMGEILASLLMG", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLLPTQWRTIAPIVGRTPSQCLERYEKLLDAACTKDENYDAADDPRKLRPGEIDPNPEAKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDGRHRKRKRKGIDYNAEIPFEKRAPAGFYDTADEDRPADQVKFPTTIEELEGKRRADVEAHLRKQDVARNKIAQRQDAPAAILQANKLNDPEVVRKRSKLMLPPPQISDHELEEIAKMGYASDLLAENEELTEGSAATRALLANYSQTPRQGMTPMRTPQRTPAGKGDAIMMEAENLARLRDSQTPLLGGENPELHPSDFTGVTPRKKEIQTPNPMLTPSMTPGGAGLTPRIGLTPSRDGSSFSMTPKGTPFRDELHINEDMDMHESAKLERQRREEARRSLRSGLTGLPQPKNEYQIVAQPPPEESEEPEEKIEEDMSDRIAREKAEEEARQQALLKKRSKVLQRDLPRPPAASLAVIRNSLLSADGDKSSVVPPTPIEVADKMVREELLQLLEHDNAKYPLDDKAEKKKGAKNRTNRSASQVLAIDDFDENELQEADKMIKEEGKFLCVSMGHENKTLDDFVEAHNTCVNDLMYFPTRSAYELSSVAGNADKVAAFQEEMENVRKKMEEDEKKAEHMKAKYKTYTKGHERRAETVWTQIEATLKQAEIGGTEVECFKALKRQEEMAASFRKKNLQEEVIKQKETESKLQTRYGNMLAMVEKAEEIMVGFRAQALKKQEDVEDSHKLKEAKLATGEEEDIAIAMEASA", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAVSEQSQDVFPWLKSLPVAPEFRPTLAEFQDPIAYILKIEEEASRYGICKILPPLPPPSKKTSISNLNRSLAARAAARVRDGGFGACDYDGGPTFATRQQQIGFCPRKQRPVQRPVWQSGEEYSFGEFEFKAKNFEKNYLKKCGKKSQLSALEIETLYWRATVDKPFSVEYANDMPGSAFIPLSLAAARRRESGGEGGTVGETAWNMRAMSRAEGSLLKFMKEEIPGVTSPMVYVAMMFSWFAWHVEDHDLHSLNYLHMGAGKTWYGVPKDAALAFEEVVRVHGYGEELNPLVTFSTLGEKTTVMSPEVFVKAGIPCCRLVQNPGEFVVTFPGAYHSGFSHGFNFGEASNIATPEWLRMAKDAAIRRAAINYPPMVSHLQLLYDFVLALGSRVPTSINPKPRSSRLKDKARSEGERLTKKLFVQNIIHNNELLSSLGKGSPVALLPQSSSDISVCSDLRIGSHLITNQENPIQLKCEDLSSDSVVVDLSNGLKDTVSVKEKFTSLCERSRNHLASTEKDTQETLSDAERRKNDAAVALSDQRLFSCVTCGVLSFDCVAIVQPKEAAARYLMSADCSFFNDWTAASGSANLGQAARSLHPQSKEKHDVNYFYNVPVQTMDHSVKTGDQKTSTTSPTIAHKDNDVLGMLASAYGDSSDSEEEDQKGLVTPSSKGETKTYDQEGSDGHEEARDGRTSDFNCQRLTSEQNGLSKGGKSSLLEIALPFIPRSDDDSCRLHVFCLEHAAEVEQQLRPFGGINLMLLCHPEYPRIEAEAKIVAEELVINHEWNDTEFRNVTREDEETIQAALDNVEAKGGNSDWTVKLGVNLSYSAILSRSPLYSKQMPYNSIIYKAFGRSSPVASSPSKPKVSGKRSSRQRKYVVGKWCGKVWMSHQVHPFLLEQDLEGEESERSCHLRVAMDEDATGKRSFPNNVSRDSTTMFGRKYCRKRKIRAKAVPRKKLTSFKREDGVSDDTSEDHSYKQQWRASGNEEESYFETGNTASGDSSNQMSDPHKGIIRHKGYKEFESDDEVSDRSLGEEYTVRACAASESSMENGSQHSMYDHDDDDDDIDRQPRGIPRSQQTRVFRNPVSYESEDNGVYQQSGRISISNRQANRMVGEYDSAENSLEERGFCSTGKRQTRSTAKRIAKTKTVQSSRDTKGRFLQEFASGKKNEELDSYMEGPSTRLRVRHQKPSRGSLETKPKKIGKKRSGNASFSRVATEKDVEEKEEEEEEEENEEEECAAYQCNMEGCTMSFSSEKQLMLHKRNICPIKGCGKNFFSHKYLVQHQRVHSDDRPLKCPWKGCKMTFKWAWSRTEHIRVHTGARPYVCAEPDCGQTFRFVSDFSRHKRKTGHSVKKTNKR", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAFNPFALGRPDLLLPFMGAGVGGPGAGGPPPNLFFSMLQAGFPPGPVGSPPEDDGVTDDPKVELDERELWQQFSQCGTEMVITKSGRRIFPAYRVKISGLDKKSQYFVMMDLVPADEHRYKFNNSRWMIAGKADPEMPKTLYIHPDSPSTGEHWMSKGANFHKLKLTNNISDKHGYTILNSMHKYQPRLHVVRCADRHNLMYSTFRTFVFRETEFIAVTAYQNEKVTELKIENNPFAKGFRDAGAGKREKKRQLHRMNGDATQSPPGKTASLPTHSPHPSESNSEDDEPTLKKCKPEPSQTPTTSSLSTSTTPTLSAHHPLRSPQFCIPPPIDMMYQNMPMDLLAHWQMATLFPQFSMALNSPAAAASLLSKHLAKASSECKVEATSEDSEEAEKPEVKKEQKSVTPPKKGGFDVLDLLSKP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTSDGATSTSAAAAAAAAAAARRKPSWRERENNRRRERRRRAVAAKIYTGLRAQGDYNLPKHCDNNEVLKALCVEAGWVVEEDGTTYRKGCKPLPGEIAGTSSRVTPYSSQNQSPLSSAFQSPIPSYQVSPSSSSFPSPSRGEPNNNMSSTFFPFLRNGGIPSSLPSLRISNSCPVTPPVSSPTSKNPKPLPNWESIAKQSMAIAKQSMASFNYPFYAVSAPASPTHRHQFHTPATIPECDESDSSTVDSGHWISFQKFAQQQPFSASMVPTSPTFNLVKPAPQQMSPNTAAFQEIGQSSEFKFENSQVKPWEGERIHDVGMEDLELTLGNGKARG", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLCVAGAKLKRELDATATVLANRQDESEQSRKRLIEQSREFKKNTPEDLRKQVAPLLKSFQGEIDALSKRSKEAEAAFLTVYKRLIDVPDPVPALDVGQQLEIKVQRLHDIETENQKLRETLEEYNKEFAEVKNQEVTIKALKEKIREYEQTLKSQAETIALEKEQKLQNDFAEKERKLQETQMSTTSKLEEAEHKLQTLQTALEKTRTELFDLKTKYDEETTAKADEIEMIMTDLERANQRAEVAQREAETLREQLSSANHSLQLASQIQKAPDVAIEVLTRSSLEVELAAKEREIAQLVEDVQRLQASLTKLRENSASQISQLEQQLNAKNSTLKQLEEKLKGQADYEEVKKELNTLKSMEFAPSEGAGTQDSTKPLEVLLLEKNRSLQSENATLRISNSDLSGSARRKGRDQPESRRPGPLPASPPPQLPRNTGEQVSNTNGTHHFSPAGLSQDFFSSNLASPSLPLASTGKFALNSLLQRQLMQSFYSKAMQEAGSTSTIFSTGPYSTNSISSPSPLQQSPDVNGMAPSPSQSESAGSISEGEEIDTAEIARQVKEQLIKHNIGQRIFGHYVLGLSQGSVSEILARPKPWNKLTVRGKEPFHKMKQFLSDEQNILALRSIQGRQRENPGQSLNRLFQEVPKRRNRSEGNITTRIRASETGSDEAIKSILEQAKRELQVQKTAEPVQTSSTSSSGNSDDAIRSILQQARREMEAQQAALDPALKPAPLSQPDLTILTPKHLSASPMSTVSTYPPLAISLKKTPAAPETSTAALPSAPALKKEAQDVPTLDPPGSADAAQGVLRPMKSELVRGSTWKDPWWSPIQPERRNLTSSEETKADETTASGKERAGSSQPRAERSQLQGPSASAEYWKEWPSAESPYSQSSELSLTGASRSETPQNSPLPSSPIVPMAKPAKPSVPPLTPEQYEVYMYQEVDTIELTRQVKEKLAKNGICQRIFGEKVLGLSQGSVSDMLSRPKPWSKLTQKGREPFIRMQLWLNGELGQGVLPVQGQQQGPVLHSVASLQDPLQQGCVSSESTPKTSASCSPAPESPMSSSESVKSLTELVQQPCPAIETSKEGKPPEPSDPPASDSQPTTPLPLSGHSALSIQELVAMSPELDTYGITKRVKEVLTDNNLGQRLFGETILGLTQGSVSDLLARPKPWHKLSLKGREPFVRMQLWLNDPNNVEKLMDMKRMEKKAYMKRRHSSVSDSQPCEPPSVGIDYSQGASPQPQHQLKKPRVVLAPEEKEALKRAYQQKPYPSPKTIEELATQLNLKTSTVINWFHNYRSRIRRELFIEEIQAGSQGQAGASDSPSARSSRAAPSSEGDSCDGVEATDAEEPGGNIVATKSQGGLAEVAAAPADREEATQPAEKAKAQPLCSGTPGQDDGEDASRPRPLPEGLADAPAPVPSLAAPAAGEDAATSATAPATATEAPGAARAGPAERSSALPSTSAPANAPARRPSSLQSLFGLPEAAGARDNPVRKKKAANLNSIIHRLEKAASREEPIEWEF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKLKREYDELIKADAVKEIAKELGSRPLEVALPEKYIARHEEKFNMACEHILEKDPSLFPILKNNEFTLYLKETQVPNTLEDYFIRLASTILSQQISGQAAESIKARVVSLYGGAFPDYKILFEDFKDPAKCAEIAKCGLSKRKMIYLESLAVYFTEKYKDIEKLFGQKDNDEEVIESLVTNVKGIGPWSAKMFLISGLKRMDVFAPEDLGIARGFSKYLSDKPELEKELMRERKVVKKSKIKHKKYNWKIYDDDIMEKCSETFSPYRSVFMFILWRLASTNTDAMMKAEENFVKS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MESADFYEVEPRPPMSSHLQSPPHAPSNAAFGFPRGAGPAPPPAPPAAPEPLGGICEHETSIDISAYIDPAAFNDEFLADLFQHSRQQEKAKAAAGPAGGGGDFDYPGAPAGPGGAVMSAGAHGPPPGYGCAAAGYLDGRLEPLYERVGAPALRPLVIKQEPREEDEAKQLALAGLFPYQPPPPPPPPHPHASPAHLAAPHLQFQIAHCGQTTMHLQPGHPTPPPTPVPSPHAAPALGAAGLPGPGSALKGLAGAHPDLRTGGGGGGSGAGAGKAKKSVDKNSNEYRVRRERNNIAVRKSRDKAKQRNVETQQKVLELTSDNDRLRKRVEQLSRELDTLRGIFRQLPESSLVKAMGNCA", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRGREPVGALGGRRRQRRHAQAGGRRGSPCRENSNSPMESADFYEVEPRPPMSSHLQSPPHAPSNAAFGFPRGAGPAPPPAPPAAPEPLGGICEHETSIDISAYIDPAAFNDEFLADLFQHSRQQEKAKAAAGPAGGGGDFDYPGAPAGPGGAVMSAGAHGPPPGYGCAAAGYLDGRLEPLYERVGAPALRPLVIKQEPREEDEAKQLALAGLFPYQPPPPPPPPHPHASPAHLAAPHLQFQIAHCGQTTMHLQPGHPTPPPTPVPSPHAAPALGAAGLPGPGSALKGLAGAHPDLRTGGGGGGSGAGAGKAKKSVDKNSNEYRVRRERNNIAVRKSRDKAKQRNVETQQKVLELTSDNDRLRKRVEQLSRELDTLRGIFRQLPESSLVKAMGNCA", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDEPPGKPLSCEEKEKLKEKLAFLKREYSKTLARLQRAQRAEKIKHSIKKTVEEQDCLSQQDLSPQLKHSEPKNKICVYDKLHIKTHLDEETGEKTSITLDVGPESFNPGDGPGGLPIQRTDDTQEHFPHRVSDPSGEQKQKLPSRRKKQQKRTFISQERDCVFGTDSLRLSGKRLKEQEEISSKNPARSPVTEIRTHLLSLKSELPDSPEPVTEINEDSVLIPPTAQPEKGVDTFLRRPNFTRATTVPLQTLSDSGSSQHLEHIPPKGSSELTTHDLKNIRFTSPVSLEAQGKKMTVSTDNLLVNKAISKSGQLPTSSNLEANISCSLNELTYNNLPANENQNLKEQNQTEKSLKSPSDTLDGRNENLQESEILSQPKSLSLEATSPLSAEKHSCTVPEGLLFPAEYYVRTTRSMSNCQRKVAVEAVIQSHLDVKKKGFKNKNKDASKNLNLSNEETDQSEIRMSGTCTGQPSSRTSQKLLSLTKVSSPAGPTEDNDLSRKAVAQAPGRRYTGKRKSACTPASDHCEPLLPTSSLSIVNRSKEEVTSHKYQHEKLFIQVKGKKSRHQKEDSLSWSNSAYLSLDDDAFTAPFHRDGMLSLKQLLSFLSITDFQLPDEDFGPLKLEKVKSCSEKPVEPFESKMFGERHLKEGSCIFPEELSPKRMDTEMEDLEEDLIVLPGKSHPKRPNSQSQHTKTGLSSSILLYTPLNTVAPDDNDRPTTDMCSPAFPILGTTPAFGPQGSYEKASTEVAGRTCCTPQLAHLKDSVCLASDTKQFDSSGSPAKPHTTLQVSGRQGQPTCDCDSVPPGTPPPIESFTFKENQLCRNTCQELHKHSVEQTETAELPASDSINPGNLQLVSELKNPSGSCSVDVSAMFWERAGCKEPCIITACEDVVSLWKALDAWQWEKLYTWHFAEVPVLQIVPVPDVYNLVCVALGNLEIREIRALFCSSDDESEKQVLLKSGNIKAVLGLTKRRLVSSSGTLSDQQVEVMTFAEDGGGKENQFLMPPEETILTFAEVQGMQEALLGTTIMNNIVIWNLKTGQLLKKMHIDDSYQASVCHKAYSEMGLLFIVLSHPCAKESESLRSPVFQLIVINPKTTLSVGVMLYCLPPGQAGRFLEGDVKDHCAAAILTSGTIAIWDLLLGQCTALLPPVSDQHWSFVKWSGTDSHLLAGQKDGNIFVYHYS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVINLCLPQFRPRIHCNKISADGYEVENLISEDLTKRSHGFRTEYFIKPPVYVTVSFPFNVEICRINIDLTAGGGQNVTGLEMYTSASSSRVSWNTPQCRTLGPAEPSVPDKEAFTLVGKVLLKNQSQVVFSHRGFKARPPFGAMEATLPSPAVVAQELWNKGALSLSHVAHLRICITHVTGGGIPCIKRLEVWGQPAKTCSQEVIDSILLVTSENLPQDVALQAPALPMESDCDPGDQPESQQAPSSLQKLAEIIQDVPEEFLDPITLEIMPCPMLLPSGKVIDQSTLEKCNRSEATWGRVPSDPFTGVAFTPHSQPLPHPSLKARIDHFLLQHSIPGCHLLGRAQTALAVIPSSIVLPSQKRKIEQAEHVPDSNFGVNASCFSATSPLVLPTTSEHTAKKMKATNEPSLTHMDCSTGPLSHEQKLSQSLEIALASTLGSMPSFTARLTRGQLQHLGTRGSNTSWRPGTGSEQPGSILGPECASCKRVFSPYFKKEPVYQLPCGHLLCRPCLGEKQRSLPMTCTACQRPVASQDVLRVHF", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAVDLLAARGTEPVTFRDVAVSFSQDEWLHLDPAQRTLYREVMLENYSNLASLGFQASIPPVIGKLQKGQDPCMEREAPEDTCLDFQIQSEIEASSPEQDVFIEGPSRGLLKNRSTKCAYWKISFGELVKYERLETAQEQEKKAHEPGAASPKEVTSEDGIPTDPELEKPLFMNKALVSQETDPIERVPGMYHTSEKDLPQDFDLMRNFQIYPGQKPYVCSECGKGFSQSLHLLEHKRIHTGEKPYKCSECGKSFSHRSSLLAHQRTHTGEKPYKCSECEKAFGSSSTLIKHLRVHTGEKPYRCRECGKAFSQCSTLTVHQRIHTGEKLYKCAECDKAFNCRAKLHRHQRIHTGEKPYKCAECGKGYSQFPSLAEHQRLHTGGQLCQCLQCGRTFTRVSTLIEHQRIHTGQKPYQCNECGKTFNQYSSFNEHRKIHTGEKLYTCEECGKAFGCKSNLYRHQRIHTGEKPYQCNQCGKAFSQYSFLTEHERIHTGEKLYKCMECGKAYSYRSNLCRHKKVHLKERLYKWKEYGTPFMYGSSLAPHQRCLKGEKPEDLNSSL", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNEKKKDSLSVLDSNEFFGETTMVSPSIDVSSSPRPNVERFSPCSTKKDLLEGNNIMTRIPEELSRVSLQFDSKGSQQSMIFTNNRCLSDKENLENLQNLLYLHCDLNRPHLSCELPSEHREKCLKRRNSSLSSNLHANKRFLFNSQSDGNKKNETFPSTNYSNVFYPNNCDSKEVASETTFSLDAPNNSVNYSYFSPNLLGNDSKTRQSFPPHSSSSSHNSLHEPVIYDFSSENPSIHPSNHLSSQKNAVLKLAQLISSFEKLPESVRQYLLFHLLSRCGKHAVQNIHKILLPIFQKNFLTGFPAEITNLVLTHLDAPSLCAVSQVSHHWYKLVSSNEELWKSLFLKDGFFWDSIDSKIRTMCLEQSLSACAIMKRVYFRHFNLRERWLHAPEKIKRCSFPIHGVRLITKLQFDDDKIIVSTCSPRINIYDTKTGVLIRSLEEHEGDVWTFEYVGDTLVTGSTDRTVRVWDLRTGECKQVFYGHTSTIRCIKIVQGNQSTTDTDDVEKENRPASNDANSMPPYIISSSRDCTIRLWSLPCLDDPPFVNVNENPDQNNDFTSATTNPFYIRTLRGHTDSVREVACLGDLIVSASYDGTLRVWKASTGVCLHVLRGHVGRVYSVTINPSRQQCISAGTDAKIRIWNLESGELLQTLHGHSNLVSQVTFNQNILVSASAPPDTSLRVWDLNTGSCRDILKCPLGHIFFQHDESKVVSGSHSTLQLWDIRSGKLVRDLLTDLDIIWQVAYNENVCVAAVLRNNRFWIEVLEFGSTKSS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSKMVISEPGLNWDISPKNGLKTFFSRENYKDHSMAPSLKELRVLSNRRIGENLNASASSVENEPAVSSATQAKEKVKTTIGMVLLPKPRVPYPRFSRFSQREQRSYVDLLVKYAKIPANSKAVGINKNDYLQYLDMKKHVNEEVTEFLKFLQNSAKKCAQDYNMLSDDARLFTEKILRACIEQVKKYSEFYTLHEVTSLMGFFPFRVEMGLKLEKTLLALGSVKYVKTVFPSMPIKLQLSKDDIATIETSEQTAEAMHYDISKDPNAEKLVSRYHPQIALTSQSLFTLLNNHGPTYKEQWEIPVCIQVIPVAGSKPVKVIYINSPLPQKKMTMRERNQIFHEVPLKFMMSKNTSVPVSAVFMDKPEEFISEMDMSCEVNECRKIESLENLYLDFDDDVTELETFGVTTTKVSKSPSPASTSTVPNMTDAPTAPKAGTTTVAPSAPDISANSRSLSQILMEQLQKEKQLVTGMDGGPEECKNKDDQGFESCEKVSNSDKPLIQDSDLKTSDALQLENSQEIETSNKNDMTIDILHADGERPNVLENLDNSKEKTVGSEAAKTEDTVLCSSDTDEECLIIDTECKNNSDGKTAVVGSNLSSRPASPNSSSGQASVGNQTNTACSPEESCVLKKPIKRVYKKFDPVGEILKMQDELLKPISRKVPELPLMNLENSKQPSVSEQLSGPSDSSSWPKSGWPSAFQKPKGRLPYELQDYVEDTSEYLAPQEGNFVYKLFSLQDLLLLVRCSVQRIETRPRSKKRKKIRRQFPVYVLPKVEYQACYGVEALTESELCRLWTESLLHSNSSFYVGHIDAFTSKLFLLEEITSEELKEKLSALKISNLFNILQHILKKLSSLQEGSYLLSHAAEDSSLLIYKASDGKVTRTAYNLYKTHCGLPGVPSSLSVPWVPLDPSLLLPYHIHHGRIPCTFPPKSLDTTTQQKIGGTRMPTRSHRNPVSMETKSSCLPAQQVETEGVAPHKRKIT", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPRERRERDAKERDTMKEDGGAEFSARSRKRKANVTVFLQDPDEEMAKIDRTARDQCGSQPWDNNAVCADPCSLIPTPDKEDDDRVYPNSTCKPRIIAPSRGSPLPVLSWANREEVWKIMLNKEKTYLRDQHFLEQHPLLQPKMRAILLDWLMEVCEVYKLHRETFYLAQDFFDRYMATQENVVKTLLQLIGISSLFIAAKLEEIYPPKLHQFAYVTDGACSGDEILTMELMIMKALKWRLSPLTIVSWLNVYMQVAYLNDLHEVLLPQYPQQIFIQIAELLDLCVLDVDCLEFPYGILAASALYHFSSSELMQKVSGYQWCDIENCVKWMVPFAMVIRETGSSKLKHFRGVADEDAHNIQTHRDSLDLLDKARAKKAMLSEQNRASPLPSGLLTPPQSGKKQSSGPEMA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSFDREEDQKLKFKTSKKLKVSSTFESMNLKDDLLRGIYSYGFEAPSSIQSRAITQIISGKDVIAQAQSGTGKTATFTIGLLQAIDLRKKDLQALILSPTRELASQIGQVVKNLGDYMNVNAFAITGGKTLKDDLKKMQKHGCQAVSGTPGRVLDMIKKQMLQTRNVQMLVLDEADELLSETLGFKQQIYDIFAKLPKNCQVVVVSATMNKDILEVTRKFMNDPVKILVKRDEISLEGIKQYVVNVDKEEWKFDTLCDIYDSLTITQCVIFCNTKKKVDWLSQRLIQSNFAVVSMHGDMKQEERDKVMNDFRTGHSRVLISTDVWARGIDVQQVSLVINYDLPEIIENYIHRIGRSGRFGRKGVAINFITKADLAKLREIEKFYSIKINPMPANFAELS", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MASPADSCIQFTRHASDVLLNLNRLRSRDILTDVVIVVSREQFRAHKTVLMACSGLFYSIFTDQLKCNLSVINLDPEINPEGFCILLDFMYTSRLNLREGNIMAVMATAMYLQMEHVVDTCRKFIKASEAEMVSAIKPPREEFLNSRMLMPQDIMAYRGREVVENNLPLRSAPGCESRAFAPSLYSGLSTPPASYSMYSHLPVSSLLFSDEEFRDVRMPVANPFPKERALPCDSARPVPGEYSRPTLEVSPNVCHSNIYSPKETIPEEARSDMHYSVAEGLKPAAPSARNAPYFPCDKASKEEERPSSEDEIALHFEPPNAPLNRKGLVSPQSPQKSDCQPNSPTESCSSKNACILQASGSPPAKSPTDPKACNWKKYKFIVLNSLNQNAKPEGPEQAELGRLSPRAYTAPPACQPPMEPENLDLQSPTKLSASGEDSTIPQASRLNNIVNRSMTGSPRSSSESHSPLYMHPPKCTSCGSQSPQHAEMCLHTAGPTFPEEMGETQSEYSDSSCENGAFFCNECDCRFSEEASLKRHTLQTHSDKPYKCDRCQASFRYKGNLASHKTVHTGEKPYRCNICGAQFNRPANLKTHTRIHSGEKPYKCETCGARFVQVAHLRAHVLIHTGEKPYPCEICGTRFRHLQTLKSHLRIHTGEKPYHCEKCNLHFRHKSQLRLHLRQKHGAITNTKVQYRVSATDLPPELPKAC", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTADKEKKRSSSELRKEKSRDAARCRRSKETEVFYELAHELPLPHSVSSHLDKASIMRLAISFLRTHKLLSSVCSENESEAEADQQMDNLYLKALEGFIAVVTQDGDMIFLSENISKFMGLTQVELTGHSIFDFTHPCDHEEIRENLTLKNGSGFGKKSKDVSTERDFFMRMKCTVTNRGRTVNLKSATWKVLHCTGQVRVYNNCPPHSSLCGSKEPLLSCLIIMCEPIQHPSHMDIPLDSKTFLSRHSMDMKFTYCDDRILELIGYHPEELLGRSAYEFYHALDSENMTKSHQNLCTKGQVVSGQYRMLAKHGGYVWLETQGTVIYNPRNLQPQCIMCVNYVLSEIEKNDVVFSMDQTESLFKPHLMAMNSIFDSSDDVAVTEKSNYLFTKLKEEPEELAQLAPTPGDAIISLDFGSQNFDEPSAYGKAILPPGQPWVSGLRSHSAQSESGSLPAFTVPQADTPGNTTPSASSSSSCSTPSSPEDYYSSLENPLKIEVIEKLFAMDTEPRDPGSTQTDFSELDLETLAPYIPMDGEDFQLSPICPEEPLMPESPQPTPQHCFSTMTSIFQPLTPGATHGPFFLDKYPQQLESRKTESEHWPMSSIFFDAGSKGSLSPCCGQASTPLSSMGGRSNTQWPPDPPLHFGPTKWPVGDQSAESLGALPVGSSQLEPPSAPPHVSMFKMRSAKDFGARGPYMMSPAMIALSNKLKLKRQLEYEEQAFQDTSGGDPPGTSSSHLMWKRMKSLMGGTCPLMPDKTISANMAPDEFTQKSMRGLGQPLRHLPPPQPPSTRSSGENAKTGFPPQCYASQFQDYGPPGAQKVSGVASRLLGPSFEPYLLPELTRYDCEVNVPVPGSSTLLQGRDLLRALDQAT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKIQCNVCEAAEATVLCCADEAALCWACDEKIHAANKLAGKHQRVPLSASASSIPKCDICQEASGFFFCLQDRALLCRKCDVAIHTVNPHVSAHQRFLLTGIKVGLESIDTGPSTKSSPTNDDKTMETKPFVQSIPEPQKMAFDHHHHQQQQEQQEGVIPGTKVNDQTSTKLPLVSSGSTTGSIPQWQIEEIFGLTDFDQSYEYMENNGSSKADTSRRGDSDSSSMMRSAEEDGEDNNNCLGGETSWAVPQIQSPPTASGLNWPKHFHHHSVFVPDITSSTPYTGSSPNQRVGKRRRRF", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLPYQAAVAMDYAGYQRQPTPGHPGSHMATMGSLGMPAVPFTHSWMVPTQDLCAMPPYNKMTGHQQPPGAGMHAQQQPLEPGILELRKEKSRDAARSRRGKENYEFYELAKMLPLPAAITSQLDKASIIRLTISYLKLRDFSGHGDPPWTREASSSSKLKSAAIRRSPAVDLFEQHQGTHILQSLDGFALAVAADGRFLYISETVSIYLGLSQVEMTGSSIFDYIHQADHSEIADQLGLSLTSGGGGGGGSSSSGGGGGGAGGGMASPTSGASDDGSGTHGTNNPDVAASMTQASTSGYKGYDRSFCVRMKSTLTKRGCHFKSSGYRASDATSNCNNGNNASNNAKNVKNPGSNYSVVLLLCKLRPQYTFSHSRKSQPPLLGMVALAIALPPPSVHEIRLECDMFVTRVNFDLRVAHCEPRVSDLLDYSPEDLVNKSLYSLCHAEDANRLRKSHSDLIEKGQVLTGYYRLMNKSGGYTWLQTCATVVCSTKNADEQNIICVNYVISNRENENMILDCCQLEPSPDSIKHEEGLGNDKSSGSPGGDASGEGNSHLSAGDMKLNSPKTDSEGHSHRGRGRSAAASHGSSMNSLTMIKDSPTPLGVEIDSGVLPTTVATPVPAATPPVQSTKRKRKTKASQHAEDQGQEQVISEQPLPKLPTMEQRDQQPRSRLPSIVDEQPSSAADSAVKDLEQAMSKHLPSPAAVVSVAPPNTDFSADSLLKQQQQQQQLDPNEKSSTIQWIGTPYQQPPAPMPATALLRQLYANRESVIRATARQTPTGVGPGVFYGDQQTGPLPTPPGSESSYENQYLQLHSAASGGHPGGQKTSADAFTNLVSTYGGYHSSIDYHNAMTPPSSVSPRDSNQPGKAAPVLASNGGYDYAPDPLRGQYATSSGDVVPATLPLKPQASYTATMHPSGSTTTEGGVTYSNLDQPQYFAPHSSFHLYHKGSPASGWYSTPSXVVDDQGQVPPSCQDQYHHHHHHHHHQDGSAGSSASQASERWDFVGALGKVARMFFSARKGNPG", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSPAFRAMDVEPRAKGVLLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMRKFTPQYKGVVSVRFEEDEDRNLCLIAYPLKGDHGIVDIVDNSDCEPKSKLLRWTTNKKHHVLETEKTPKDWVRQHRKEEKMKSHKLEEEFEWLKKSEVLYYTVEKKGNISSQLKHYNPWSMKCHQQQLQRMKENAKHRNQYKFILLENLTSRYEVPCVLDLKMGTRQHGDDASEEKAANQIRKCQQSTSAVIGVRVCGMQVYQAGSGQLMFMNKYHGRKLSVQGFKEALFQFFHNGRYLRRELLGPVLKKLTELKAVLERQESYRFYSSSLLVIYDGKERPEVVLDSDAEDLEDLSEESADESAGAYAYKPIGASSVDVRMIDFAHTTCRLYGEDTVVHEGQDAGYIFGLQSLIDIVTEISEESGE", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAPVEHVVADAGAFLRHAALQDIGKNIYTIREVVTEIRDKATRRRLAVLPYELRFKEPLPEYVRLVTEFSKKTGDYPSLSATDIQVLALTYQLEAEFVGVSHLKQEPQKVKVSSSIQHPETPLHISGFHLPYKPKPPQETEKGHSACEPENLEFSSFMFWRNPLPNIDHELQELLIDRGEDVPSEEEEEEENGFEDRKDDSDDDGGGWITPSNIKQIQQELEQCDVPEDVRVGCLTTDFAMQNVLLQMGLHVLAVNGMLIREARSYILRCHGCFKTTSDMSRVFCSHCGNKTLKKVSVTVSDDGTLHMHFSRNPKVLNPRGLRYSLPTPKGGKYAINPHLTEDQRFPQLRLSQKARQKTNVFAPDYIAGVSPFVENDISSRSATLQVRDSTLGAGRRRLNPNASRKKFVKKR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MARDIQLPCDGDGVCMRCKSNPPPEESLTCGTCVTPWHVSCLSSPPKTLASTLQWHCPDCSGEIDPLPVSGGATGFESAGSDLVAAIRAIEADESLSTEEKAKMRQRLLSGKGVEEDDEEEKRKKKGKGKNPNLDVLSALGDNLMCSFCMQLPERPVTKPCGHNACLKCFEKWMGQGKRTCGKCRSIIPEKMAKNPRINSSLVAAIRLAKVSKSAAATTSKVFHFISNQDRPDKAFTTERAKKTGKANAASGKIYVTIPPDHFGPIPAENDPVRNQGLLVGESWEDRLECRQWGAHFPHVAGIAGQSTYGAQSVALSGGYKDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSFDQKFEKSNAALKLSCKLGYPVRVVRSHKEKRSAYAPEEGVRYDGVYRIEKCWRKVGVQGSFKVCRYLFVRCDNEPAPWTSDENGDRPRPIPNIPELNMATDLFERKETPSWDFDEGEGCWKWMKPPPASKKSVNVLAPEERKNLRKAIKAAHSNTMRARLLKEFKCQICQQVLTLPVTTPCAHNFCKACLEAKFAGKTLVRERSTGGRTLRSRKNVLNCPCCPTDISDFLQNPQVNREVAEVIEKLKTQEEDTAELEDEDEGECSGTTPEEDSEQPKKRIKLDTDATVSATIR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRQSGTSQPLLINMYLPDPVGDGLFKEGKSPSWGPLSPAVQKGSGQIQLWQFLLELLADRANAGCIAWEGGHGEFKLTDPDEVARRWGERKSKPNMNYDKLSRALRYYYDKNIMSKVHGKRYAYRFDFQGLAQACQPPPAHAHAAAAAAAAAAAAQDGALYKLPAGLAPLPFPGLSKLNLMAASAGVAPAGFSYWPGPNATAAAAATAALYPTPGLQPPPGPFGAVAAASHLGGHYH", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEDTQAIDWDVEEEEETEQSSESLRCNVEPVGRLHIFSGAHGPEKDFPLHLGKNVVGRMPDCSVALPFPSISKQHAEIEILAWDKAPILRDCGSLNGTQILRPPKVLSPGVSHRLRDQELILFADLLCQYHRLDVSLPFVSRGPLTVEETPRVQGETQPQRLLLAEDSEEEVDFLSERRMVKKSRTTSSSVIVPESDEEGHSPVLGGLGPPFAFNLNSDTDVEEGQQPATEEASSAARRGATVEAKQSEAEVVTEIQLEKDQPLVKERDNDTKVKRGAGNGVVPAGVILERSQPPGEDSDTDVDDDSRPPGRPAEVHLERAQPFGFIDSDTDAEEERIPATPVVIPMKKRKIFHGVGTRGPGAPGLAHLQESQAGSDTDVEEGKAPQAVPLEKSQASMVINSDTDDEEEVSAALTLAHLKESQPAIWNRDAEEDMPQRVVLLQRSQTTTERDSDTDVEEEELPVENREAVLKDHTKIRALVRAHSEKDQPPFGDSDDSVEADKSSPGIHLERSQASTTVDINTQVEKEVPPGSAIIHIKKHQVSVEGTNQTDVKAVGGPAKLLVVSLEEAWPLHGDCETDAEEGTSLTASVVADVRKSQLPAEGDAGAEWAAAVLKQERAHEVGAQGGPPVAQVEQDLPISRENLTDLVVDTDTLGESTQPQREGAQVPTGREREQHVGGTKDSEDNYGDSEDLDLQATQCFLENQGLEAVQSMEDEPTQAFMLTPPQELGPSHCSFQTTGTLDEPWEVLATQPFCLRESEDSETQPFDTHLEAYGPCLSPPRAIPGDQHPESPVHTEPMGIQGRGRQTVDKVMGIPKETAERVGPERGPLERETEKLLPERQTDVTGEEELTKGKQDREQKQLLARDTQRQESDKNGESASPERDRESLKVEIETSEEIQEKQVQKQTLPSKAFEREVERPVANRECDPAELEEKVPKVILERDTQRGEPEGGSQDQKGQASSPTPEPGVGAGDLPGPTSAPVPSGSQSGGRGSPVSPRRHQKGLLNCKMPPAEKASRIRAAEKVSRGDQESPDACLPPTVPEAPAPPQKPLNSQSQKHLAPPPLLSPLLPSIKPTVRKTRQDGSQEAPEAPLSSELEPFHPKPKIRTRKSSRMTPFPATSAAPEPHPSTSTAQPVTPKPTSQATRSRTNRSSVKTPEPVVPTAPELQPSTSTDQPVTSEPTSQVTRGRKSRSSVKTPETVVPTALELQPSTSTDRPVTSEPTSQATRGRKNRSSVKTPEPVVPTAPELQPSTSTDQPVTSEPTYQATRGRKNRSSVKTPEPVVPTAPELRPSTSTDRPVTPKPTSRTTRSRTNMSSVKTPETVVPTAPELQISTSTDQPVTPKPTSRTTRSRTNMSSVKNPESTVPIAPELPPSTSTEQPVTPEPTSRATRGRKNRSSGKTPETLVPTAPKLEPSTSTDQPVTPEPTSQATRGRTNRSSVKTPETVVPTAPELQPSTSTDQPVTPEPTSQATRGRTDRSSVKTPETVVPTAPELQASASTDQPVTSEPTSRTTRGRKNRSSVKTPETVVPAAPELQPSTSTDQPVTPEPTSRATRGRTNRSSVKTPESIVPIAPELQPSTSRNQLVTPEPTSRATRCRTNRSSVKTPEPVVPTAPEPHPTTSTDQPVTPKLTSRATRRKTNRSSVKTPKPVEPAASDLEPFTPTDQSVTPEAIAQGGQSKTLRSSTVRAMPVPTTPEFQSPVTTDQPISPEPITQPSCIKRQRAAGNPGSLAAPIDHKPCSAPLEPKSQASRNQRWGAVRAAESLTAIPEPASPQLLETPIHASQIQKVEPAGRSRFTPELQPKASQSRKRSLATMDSPPHQKQPQRGEVSQKTVIIKEEEEDTAEKPGKEEDVVTPKPGKRKRDQAEEEPNRIPSRSLRRTKLNQESTAPKVLFTGVVDARGERAVLALGGSLAGSAAEASHLVTDRIRRTVKFLCALGRGIPILSLDWLHQSRKAGFFLPPDEYVVTDPEQEKNFGFSLQDALSRARERRLLEGYEIYVTPGVQPPPPQMGEIISCCGGTYLPSMPRSYKPQRVVITCPQDFPHCSIPLRVGLPLLSPEFLLTGVLKQEAKPEAFVLSPLEMSST", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MFYAHFVLSKRGPLAKIWLAAHWDKKLTKAHVFECNLESSVESIISPKVKMALRTSGHLLLGVVRIYHRKAKYLLADCNEAFIKIKMAFRPGVVDLPEENREAAYNAITLPEEFHDFDQPLPDLDDIDVAQQFSLNQSRVEEITMREEVGNISILQENDFGDFGMDDREIMREGSAFEDDDMLVSTTTSNLLLESEQSTSNLNEKINHLEYEDQYKDDNFGEGNDGGILDDKLISNNDGGIFDDPPALSEAGVMLPEQPAHDDMDEDDNVSMGGPDSPDSVDPVEPMPTMTDQTTLVPNEEEAFALEPIDITVKETKAKRKRKLIVDSVKELDSKTIRAQLSDYSDIVTTLDLAPPTKKLMMWKETGGVEKLFSLPAQPLWNNRLLKLFTRCLTPLVPEDLRKRRKGGEADNLDEFLKEFENPEVPREDQQQQHQQRDVIDEPIIEEPSRLQESVMEASRTNIDESAMPPPPPQGVKRKAGQIDPEPVMPPQQVEQMEIPPVELPPEEPPNICQLIPELELLPEKEKEKEKEKEDDEEEEDEDASGGDQDQEERRWNKRTQQMLHGLQRALAKTGAESISLLELCRNTNRKQAAAKFYSFLVLKKQQAIELTQEEPYSDIIATPGPRFHII", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDSNTNENNSHASSNERQSSEGHDDYLNRNPNSEATEGEEGTHPTTGTQPVAFSIGTMFIITPQANFEGGENDPFANPFQPPVKRAVKEAWDSFEPLSNDQLMDLTCPICYDDMNENDEKQATKMPCGHIFGKNCLQKWLENHCTCPLCRKEVPHETVGSAHPPILFIIPHSHTLRGNQGNTAVSQENASNGVHSDFHPSEELNNANTDGRTGVDEPARQLHRIAFNRIRFILAPNRSATNTPVENTHPENPDSNTSTPTTRSEPLAGEGASIDAENASSRQETTPSDSRPSTLTSLFNAFFSSMPDRPSSNEPMTSNLTSNSGSMTNSTSTDLPTSNLPSQNAPARPVEPSPSIQPPNLLNLPTASPESTSWLPGSQTNIPANTNRSERPFTQLMTFHGLPSLADLPAVLESMFRPSGNNNLLNLNGIFHPDHNAQTENGQTLPENTDDTNSNATSAVPNLQNLNQQNAVTMGTNTPNNGSSPAVHPVIHIYLSRPPLQPAVSEQETPSEAVSREGTRSTDATMEDSSRPPSNGSFQGPGITHLSEMGQRILQRFQEEMENRMNQTRSESSTPAQQSAAGSSINVDTAGRQPSDEINIPGEYENSSEVAGSRNQTPTHSSIAVDTLSNVAVDQPAISTPSDVVGSDAGDTSKVSSGTSTPRMAAPIARRSNRHHPYSRPSSTRPQCQLEDQGICDPNDRFVHFECGHSVHERCQQSTSNSENQMDEEIGECPKCRNEEHK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MATLEDGTSEDRVANDEYKIWKKNTPFLYDLVMTHALEWPSLSVQWLPDVAKDNSDHTIHRLILGTHTSDEQNHLLISKICMPTDDAQFDASRYDTERSEYGGFGAVNGKVEPDIRINHEGEVNRARYMPQKSNIIATKSPHADVYIFDYLKHSAVPRDNTFNPLIRLKGHTKEGYGLSWNPNKEGLILSASDDQTVCHWDINANQNVAGELQAKDVFKGHESVVEDVAWHVLHDGVFGSVGDDKKLLIWDVRTSTPGHCIDAHSAEVNCLAFNPYSEFILATGSADKTVALWDLRNLRMKLHSFESHRDEIFQVQWSPHNETILASSGTDKRLHVWDLSKIGEDQSAEDAEDGPPELLFIHGGHTAKISDFSWNPNEPWVVCSVSEDNILQVWQMADNIYNEVDEETPADVVERQQ", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSHLSQQRIYSGENPFACKVCGKVFSHKSNLTEHEHFHTREKPFECNECGKAFSQKQYVIKHQNTHTGEKLFECNECGKSFSQKENLLTHQKIHTGEKPFECKDCGKAFIQKSNLIRHQRTHTGEKPFVCKECGKTFSGKSNLTEHEKIHIGEKPFKCSECGTAFGQKKYLIKHQNIHTGEKPYECNECGKAFSQRTSLIVHVRIHSGDKPYECNVCGKAFSQSSSLTVHVRSHTGEKPYGCNECGKAFSQFSTLALHLRIHTGKKPYQCSECGKAFSQKSHHIRHQKIHTH", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAKDKKDHKHSGSTEDEYDSYLPALMPIAKPLAPKKLNKKMMKTVKKASKQKHILRGVKEVVKAVRKGEKGLVILAGDISPMDVISHIPVLCEDNNVPYLYTVSKELLGEASNTKRPTSCVMIVPGGKKKDMSKVEEYKESYEEIIKEVPALEV", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGVPSFYRWLAEKYPLLVADVIEEEPVEIEGIKIPVDTSKPNPNNLEYDNLYLDMNGIIHPCFHPEDRPSPTTFEEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRSAKDASDAAAEEERLREEFEREGRRLPPKVDSQVFDSNVITPGTEFMGVLSIALQYYVHLRLNHDVGWKNIKVILSDANVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLGLATHEVHFSILREVVYTPGQQERCFLCGQMGHFASNCEGKPKKRAGESDEKGDGNDFVKKPYQFLHIWVLREYLELEMRIPNPPFEIDLERIVDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFRSFDGYLTDGCKPNLKRVEQFIQAVGSFEDKIFQKRAMQHQRQAERVKRDKAGKATKRMDDEAPTVQPDLVPVARFSGSRLASAPTPSPFQSNDGRSAPHQKVRRLSPGSSVGAAIVDVENSLESDERENKEELKTKLKELIREKSDAFNSDTTEEDKVKLGQPGWRERYYEEKFSVVTPEEMERVRKDVVLKYTEGLCWVMHYYMEGVCSWQWFYPYHYAPFASDLKDLGEMDIKFELGTPFKPFNQLLGVFPAASSHALPERYRTLMTDPNSPIIDFYPTDFEVDMNGKRFSWQGIAKLPFIDERRLLEAVSEVEFTLTDEEKRRNSRMCDMLFIATSHRLAELVFSLDNHCRQLSARERVDFKVKIKPKLSDGMNGYLTPCSGETHPPVFRSPMEGMEDILTNQVICCIYRLPDAHEHITRPPPGVIFPKKTVDIGDLKPPPALWHEDNGRRPMHNNHGMHNNHGMHNNQGRQNPPGSVSGRHLGNAAHRLVSNSLQMGTDRYQTPTDVPAPGYGYNPPQYVPPIPYQHGGYMAPPGAQGYAQPAPYQNRGGYQPRGPSGRFPSEPYQSQSREGQHASRGGGYSGNHQNQHQQQQWHGQGGSEQNNPRGYNGQHHHQQGGDHDRRGRGRGSHHHHDQGGNPRHRY", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGVPSFYRWLIQRYPLTIQEVIEEEPLEVNGGGVTIPIDSSKPNPNGYEYDNLYLDMNGIIHPCFHPEDKPSPTTFTEVFQCMFDYIDRLFVMVRPRKLLFMAIDGVAPRAKMNQQRARRFRAAKDAAEAAAEEEQLREEFEREGKKLPPKVDSQVFDSNVITPGTEFMATLSFALRYYIHVRLNSDPGWKNIKVILSDANVPGEGEHKIMSYIRCNKNHPGYNPNTHHCLYGLDADLIMLSLATHEIHFSILREVVFFPGEEGKCFLCGQEGHRAADCEGKIKRKTGEMLDNTEADVVVKKPYEFVNIWILREYLEHDMQIPGAKKNLDRLIDDFIFICFFVGNDFLPHMPTLEIREGAIELLMSVYKNKFRSAKKYLTDSSKLNLRNVERFIKAVGMYENQIFQKRAQVQQRQSERFRRDKARDKARDNARDNAQASRQFSGKLVQLDSLDEVSDSLHSSPSRKYLRLSLDDNIGVANVETENSLKAEELDNEEDLKFKLKKLLRDKGDGFRSGNGEQDKVKLNKVGWRERYYEEKFAAKSVEEMEQIRRDVVLKYTEGLCWIMHYYYHGVCSWNWFYPYHYAPFASDLKGLEKLDIKFELGSPFKPFNQLLAVLPSASAHALPECYRSLMTNPDSPIADFYPADFEIDMNGKRYSWQGISKLPFVEEKRLLEAAAQVEKSLTNEEIRRNSALFDMLFVVASHPLGELIRSLNSRTNNLSNEERATIIEKIDPGLSDGMNGYIASCGGDSQPSCFCSTVEGMEDVLTNQVICAIYKLPEDIRGSEITHQIPRLAIPKKTISLVDLKSGGLLWHEDGDKRRAPPKVIKIKRYNPEGSISGGRLGKASHRLVLQTINAQPDYMNINSEPALCPNTVFQNERVPKKIPTFKDNGIQWISPPPSQITPKKMNSPQRQKAWKKDETPQSREKSKKLKSSLKVNPLKMKKTKSPQREFTREKKKENITPQRKLTKAQRQVKHIRMMEEAKMIKQRKKEKYLRKKAKYAQGAPPKTA", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSSTQDSKAQTLNSNPEILLRKRRNADRTRIERQELAKKKREEQIKKKRSNKNKFVRAESIVAKTLATSREKERIKRVSILEDKKAKNETQHIASGKDFILKITEKANGAEENSVDLEETEEEEDDGLIREKTTYDGKPALLFIVRVRGPLAVNIPNKAFKILSLLRLVETNTGVFVKLTKNVYPLLKVIAPYVVIGKPSLSSIRSLIQKRGRIIYKGENEAEPHEIVLNDNNIVEEQLGDHGIICVEDIIHEIATMGESFSVCNFFLQPFKLNREVSGFGSLNRLRKIKQREAESRTRQFSNAATAPVIEVDIDSLLAKLN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSFVAGVIRRLDETVVNRIAAGEVIQRPANAIKEMIENCLDAKSTSIQVIVKEGGLKLIQIQDNGTGIRKEDLDIVCERFTTSKLQSFEDLASISTYGFRGEALASISHVAHVTITTKTADGKCAYRASYSDGKLKAPPKPCAGNQGTQITVEDLFYNIATRRKALKNPSEEYGKILEVVGRYSVHNAGISFSVKKQGETVADVRTLPNASTVDNIRSIFGNAVSRELIEIGCEDKTLAFKMNGYISNANYSVKKCIFLLFINHRLVESTSLRKAIETVYAAYLPKNTHPFLYLSLEISPQNVDVNVHPTKHEVHFLHEESILERVQQHIESKLLGSNSSRMYFTQTLLPGLAGPSGEMVKSTTSLTSSSTSGSSDKVYAHQMVRTDSREQKLDAFLQPLSKPLSSQPQAIVTEDKTDISSGRARQQDEEMLELPAPAEVAAKNQSLEGDTTKGTSEMSEKRGPTSSNPRKRHREDSDVEMVEDDSRKEMTAACTPRRRIINLTSVLSLQEEINEQGHEVLREMLHNHSFVGCVNPQWALAQHQTKLYLLNTTKLSEELFYQILIYDFANFGVLRLSEPAPLFDLAMLALDSPESGWTEEDGPKEGLAEYIVEFLKKKAEMLADYFSLEIDEEGNLIGLPLLIDNYVPPLEGLPIFILRLATEVNWDEEKECFESLSKECAMFYSIRKQYISEESTLSGQQSEVPGSIPNSWKWTVEHIVYKALRSHILPPKHFTEDGNILQLANLPDLYKVFERC", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLQNVTPHKLPGEGNAGLLGLGPEAAAPGKRIRKPSLLYEGFESPTMASVPALQLAPANPPPPEVSNPKKPGRVTNQLQYLHKVVMKALWKHQFAWPFRQPVDAVKLGLPDYHKIIKQPMDMGTIKRRLENNYYWAASECMQDFNTMFTNCYIYNKPTDDIVLMAQTLEKIFLQKVASMPQEEQELVVTIPKNSHKKGAKLAALQGSITSAHQVPAVSSVSHTALYTPPPEIPTTVLNIPHPSVISSPLLKSLHSAGPPLLAVSAAPPAQPLAKKKGVKRKADTTTPTPTAILAPGSPASPPGSLEPKAARLPPMRRESGRPIKPPRKDLPDSQQQHQSSKKGKLSEQLKHCNGILKELLSKKHAAYAWPFYKPVDASALGLHDYHDIIKHPMDLSTVKRKMENRDYRDAQEFAADVRLMFSNCYKYNPPDHDVVAMARKLQDVFEFRYAKMPDEPLEPGPLPVSTALPPGLTKSSSESSSEESSSESSSEEEEEEEEDEDEEESESSDSEEERAHRLAELQEQLRAVHEQLAALSQGPISKPKRKREKKEKKKKRKAEKHRGRIGIDEDDKGPRAPRPPQPKKSKKAGGGGSNATTLSHPGFGTSGGSSNKLPKKSQKTAPPVLPTGYDSEEEEESRPMSYDEKRQLSLDINKLPGEKLGRVVHIIQAREPSLRDSNPEEIEIDFETLKPSTLRELERYVLSCLRKKPRKPYTIRKPVGKTKEELALEKKRELEKRLQDVSGQLNSTKKPPKKASEKTESSAQQVAVSRLSASSSSSDSSSSSSSSSSSDTSDSDSG", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLNYQCLETDSYCGTSHIELDGIHIYIDPGSDDSLKHPEVPEQPDLILLSHSDLAHIGGLVYAYYKYDWKNAYIYATLPTINMGRMTMLDAIKSNYISDMSKADVDAVFDSIIPLRYQQPTLLLGKCSGLTITAYNAGHTLGGTLWSLIKESESVLYAVDWNHSKDKHLNGAALYSNGHILEALNRPNTLITDANNSLVSIPSRKKRDEAFIESVMSSLLKGGTVLLPVDAASRVLELCCILDNHWSASQPPLPFPILFLSPTSTKTIDYAKSMIEWMGDNIVRDFGINENLLEFRNINTITDFSQISHIGPGPKVILATALTLECGFSQRILLDLMSENSNDLILFTQRSRCPQNSLANQFIRYWERASKKKRDIPHPVGLYAEQAVKIKTKEPLEGEELRSYQELEFSKRNKDAEDTALEFRNRTILDEDLSSSSSSEDDDLDLNTEVPHVALGSSAFLMGKSFDLNLRDPAVQALHTKYKMFPYIEKRRRIDEYGEIIKHQDFSMINEPANTLELENDSDDNALSNSNGKRKWSEINDGLQQKKEEEDEDEVPSKIITDEKTIRVSCQVQFIDIEGLHDGRSLKTIIPQVNPRRLVLIHASTEEKEDMKKTCASLSAFTKDVYIPNYGEIINVSIDVNAFSLKLADDLIKNLIWTKVGNCEVSHMLAKVEISKPSEEEDKKEEVEKKDGDKERNEEKKEEKETLPVLNALTLRSDLARAPRAAPLLVGNIRLAYLRKALLDQGISAELKGEGVLLCGGAVAVRKLSGGKISVEGSLSNRFFEIRKLVYDALAVV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSDQERGSENNNRSRSRSRSPVRRRMSDDHGYERDNHLSRRSGNYNGRRKFADTYRGSRDRGEYRGGRERSDYRERERFNNRDNPRSRDRYDDRRRGRDVTGRYGNRRDDYPRSFRSRHNTRDDSRRGGFGSSGARGDYGPLLARELDSTYEEKVNRNYSNSIFVGNLTYDSTPEDLTEFFSQIGKVVRADIITSRGHHRGMGTVEFTNSDDVDRAIRQYDGAFFMDRKIFVRQDNPPPSNNIKERKALDRGELRHNRKTHEVIVKNLPASVNWQALKDIFKECGNVAHADVELDGDGVSTGSGTVSFYDIKDLHRAIEKYNGYSIEGNVLDVKSKESVHNHSDGDDVDIPMDDSPVNEEARKFTENVVGGGERNRLIYCSNLPFSTAKSDLYDLFETIGKVNNAELRYDSKGAPTGIAVVEYDNVDDADVCIERLNNYNYGGCDLDISYAKRL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSLRIKALDASVVNKIAAGEIIISPVNALKEMMENSIDANATMIDILVKEGGIKVLQITDNGSGINKADLPILCERFTTSKLQKFEDLSQIQTYGFRGEALASISHVARVTVTTKVKEDRCAWRVSYAEGKMLESPKPVAGKDGTTILVEDLFFNIPSRLRALRSHNDEYSKILDVVGRYAIHSKDIGFSCKKFGDSNYSLSVKPSYTVQDRIRTVFNKSVASNLITFHISKVEDLNLESVDGKVCNLNFISKKSISPIFFINNRLVTCDLLRRALNSVYSNYLPKGNRPFIYLGIVIDPAAVDVNVHPTKREVRFLSQDEIIEKIANQLHAELSAIDTSRTFKASSISTNKPESLIPFNDTIESDRNRKSLRQAQVVENSYTTANSQLRKAKRQENKLVRIDASQAKITSFLSSSQQFNFEGSSTKRQLSEPKVTNVSHSQEAEKLTLNESEQPRDANTINDNDLKDQPKKKQKLGDYKVPSIADDEKNALPISKDGYIRVPKERVNVNLTSIKKLREKVDDSIHRELTDIFANLNYVGVVDEERRLAAIQHDLKLFLIDYGSVCYELFYQIGLTDFANFGKINLQSTNVSDDIVLYNLLSEFDELNDDASKEKIISKIWDMSSMLNEYYSIELVNDGLDNDLKSVKLKSLPLLLKGYIPSLVKLPFFIYRLGKEVDWEDEQECLDGILREIALLYIPDMVPKVDTSDASLSEDEKAQFINRKEHISSLLEHVLFPCIKRRFLAPRHILKDVVEIANLPDLYKVFERC", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDGIVTEVAVGVKRGSDELLSGSVLSSPNSNMSGMVVTANGNDSKKFKGEDKMDGAPSRVLHIRKLPGEVTETEVIALGLPFGKVTNILMLKGKNQAFLELATEEAAITMVNYYSAVTPHLRNQPIYIQYSNHKELKTDNTLNQRAQVVLQAVTAVQTANTPLSGTTVSESAVTPAQSPVLRIIIDNMYYPVTLDVLHQIFSKFGAVLKIITFTKNNQFQALLQYGDPVNAQQAKLALDGQNIYNACCTLRIDFSKLVNLNVKYNNDKSRDYTRPDLPSGDGQPALDPAIAAAFAKETSLLAVPGALSPLAIPNAAAAAAAAAAGRVGMPGVSAGGNTVLLVSNLNEEMVTPQSLFTLFGVYGDVQRVKILYNKKDSALIQMADGNQSQLAMNHLNGQKMYGKIIRVTLSKHQTVQLPREGLDDQGLTKDFGNSPLHRFKKPGSKNFQNIFPPSATLHLSNIPPSVAEEDLRTLFANTGGTVKAFKFFQDHKMALLQMATVEEAIQALIDLHNYNLGENHHLRVSFSKSTI", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVPTPQSDLPLNENDSQEMVLYEVLNEANALNIPYLPQRNQLLPRNNILRPLQCIGKKYRGVRRRPWGKYAAEIRDSARHGARVWLGTFETAEEAALAYDRAAFRMRGAKALLNFPSEIVNASVSVDKLSLCSNSYTTNNNSDSSLNEVSSGTNDVFESRC", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNQDDFRKLLATPKAETSQLKNFSSNKSQRLVFGRKHKTAKLEAPRALIKRKQLSHSTSSDITRHSNAKNSGKDTQFYEEPSSKQDIELHKLHEKLRNGQITTKEYSEKSKELGGDLNTTHLVRGLDRKLLEKVRSNELALDDSLLSSSEKEVDEEADKLLEKVAEESSHPESVSILEEKKKIPLYPNGQPKYRKILENGKKVKYLLDENGEILKRLVKKEKKLKNDNERLENEHRTEKLNVNANSLGKSFVKHDIPLPPVDLKLDIFEEVGEYDPFHENDKEPAELKAKDAFQLKGHHELDAPYHKKVFDTNQYSDLKPTNFMSQIHRLAKVQERKEEEERKKGKDGQIVDAGFGLVLSKDDTADIHELGESDDDDNVKRRKTKG", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEGGAALYNPRTVEEVFKDFKGRRTAIVKALTTDVQEFYQQCDPEKENLCLYGLPNEEWEVNLPAEEVPPELPEPALGINFARDGLSEKEWLSLVAIHSDAWLLSVSFYFGSRFSFHKEERKRLFNMINDVPTIFEVVTGMAKAKDKSSAANQNGNKSKSNSKVRTSEGKSSKTKQPKEEDEEIDEDDEDDHGETLCGACGDSDGADEFWICCDLCEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEGRDMLSWEQKTLLSELINGFDAAKKLQARLREAPSPSSSFSSPATAVAETNEILVKQIVSSYERSLLLLNWSSSPSVQLIPTPVTVVPVANPGSVPESPASINGSPRSEEFADGGGSSESHHRQDYIFNSKKRKMLPKWSEKVRISPERGLEGPQDDVFSWRKYGQKDILGAKFPRSYYRCTHRSTQNCWATKQVQRSDGDATVFEVTYRGTHTCSQAITRTPPLASPEKRQDTRVKPAITQKPKDILESLKSNLTVRTDGLDDGKDVFSFPDTPPFYNYGTINGEFGHVESSPIFDVVDWFNPTVEIDTTFPAFLHESIYY", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTSENPDVLLSRVINVVRAASSLASQDVDFYKNLDRGFSKDLKSKADKLADMANEIILSIDEHHESFELKEEDISDLWNNFGNIMDNLLEMSDHSLDKLNCAINSKSRGSDLQYLGEFSGKNFSPTKRVEKPQLKFKSPIDNSESHPFIPLLKEKPNALKPLSESLRLVDDDENNPSHYPHPYEYEIDHQEYSPEILQIREEIPSKSWDDSVPIWVDTSTELESMLEDLKNTKEIAVDLEHHDYRSYYGIVCLMQISTRERDYLVDTLKLRENLHILNEVFTNPSIVKVFHGAFMDIIWLQRDLGLYVVGLFDTYHASKAIGLPRHSLAYLLENFANFKTSKKYQLADWRIRPLSKPMTAYARADTHFLLNIYDQLRNKLIESNKLAGVLYESRNVAKRRFEYSKYRPLTPSSEVYSPIEKESPWKILMYQYNIPPEREVLVRELYQWRDLIARRDDESPRFVMPNQLLAALVAYTPTDVIGVVSLTNGVTEHVRQNAKLLANLIRDALRNIKNTNEEATPIPSSETKADGILLETISVPQIRDVMERFSVLCNSNISKSRAKPVTNSSILLGKILPREEHDIAYSKDGLPNKVKTEDIRIRAQNFKSALANLEDIIFEIEKPLVVPVKLEEIKTVDPASAPNHSPEIDNLDDLVVLKKKNIQKKQPAKEKGVTEKDAVDYSKIPNILSNKPGQNNRQQKKRRFDPSSSDSNGPRAAKKRRPAAKGKNLSFKR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MQKTDGIRIPGVILDELKTLDYSQDERFSISEGKKRRRGNGKHLSRKEKRKMERADKKRKIISTREINSSRLKSAPTSEKRSANAGVKNVGKQANGKNPISSDESESNENWDSDEVLTDEVAEESGEQAMSAEETMKKLESLKRKAKGIQGAENSGEIKGNSYEKKHIRNRDTNENFVSYPLAPSDRSAFERDEMDMQYYAKKLGLKGERKAIHAKDEFDAIGGLLEGLEYFENYGKSDEEYGDFATETNSMRKDDEASEKAFSSDDDLSASDFEDSDGLSESDNDSVADSDDNYRREKENPYVAPTQSVESYVPPSLRKKLDDSENNSTLSEISKKVNSSLNKLSDSNITIIITDLNRLYDSLPRQYVTESLTKGILNIISQNQKLLDGFIMNYAALAYTLSKLRGIEVGAFFIQKTVEAFLHHYEEEMENILKDQQSKISSKICINIATLLSYCYNFGFVSCRLIYDIIRIFVADPNEFTTELLLRIISISGQLIRGDDPSALRDIRSELLKNAKNLKEQSPRLRFLMDTMSDLKNNRLKPSILATDHHPLKKNLQSILNSSSSWEPLQVSLEDIKNIDSKGKWWLVGASWRGNMENAFEVSINNENDASKSKKSKISIEDDLLDDIPDWNIIARQQRMNTDIRRAIFISIMSAQDYLDAFSKLEKLSLKNKQVLEIPRIVLHCLLADSGSNGYNHYYALVANKICERYSHLSKSFQFLFWDVIKKFEDKEFDSESDTDEEDDLDDKEKLLRISNQGRFFGSLLANDILKLDVFKHVPFMGGLNTEGMLFMEILLFQLFLTVAKKSEKKLKMDESGNKRIIYSDDYLRDVLTKNVKSENMLFILKGLKWFINKKFRYHNFLAGKKGDKAFDRDERRLAWASKAAKSIIDKELENIDS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSQGQSKKLDVTVEQLRSIYHQFHDILEEKTDLHLPKKEYDDDAVRREVQIQLQEFLLSAMTMASKSLEVVNADTVGKTVKQLIMESQEKYMEPFDLDLNEQVRKMYQEWEDETVKVAQLRQTGPAKINEVYNNSKDEYLAQLDGRIGVLQARMMQQQSADHDDSTDDADDHINWEHIKQDYVASLNELYQTQQDLPKVRYNVEKVKRLMDFLEED", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASEASPSSSATRSEPPKDSPAEERGPASKEVSEVIESLKKKLAADRCISIKKRIDENKKNLFAITQSFMRSSMERGGSCKDGSDLLVKRQRDSPGMKSGIDESNNNRYVEDGPASSGMVQGSSVPVKISLRPIKMPDIKRLSPYTTWVFLDRNQRMTEDQSVVGRRRIYYDQTGGEALICSDSEEEAIDDEEEKRDFLEPEDYIIRMTLEQLGLSDSVLAELASFLSRSTSEIKARHGVLMKEKEVSESGDNQAESSLLNKDMEGALDSFDNLFCRRCLVFDCRLHGCSQDLIFPAEKPAPWCPPVDENLTCGANCYKTLLKSGRFPGYGTIEGKTGTSSDGAGTKTTPTKFSSKLNGRKPKTFPSESASSNEKCALETSDSENGLQQDTNSDKVSSSPKVKGSGRRVGRKRNKNRVAERVPRKTQKRQKKTEASDSDSIASGSCSPSDAKHKDNEDATSSSQKHVKSGNSGKSRKNGTPAEVSNNSVKDDVPVCQSNEVASELDAPGSDESLRKEEFMGETVSRGRLATNKLWRPLEKSLFDKGVEIFGMNSCLIARNLLSGFKSCWEVFQYMTCSENKASFFGGDGLNPDGSSKFDINGNMVNNQVRRRSRFLRRRGKVRRLKYTWKSAAYHSIRKRITEKKDQPCRQFNPCNCKIACGKECPCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVIGGDGSLGVPSQRGDNYECRNMKLLLKQQQRVLLGISDVSGWGAFLKNSVSKHEYLGEYTGELISHKEADKRGKIYDRENCSFLFNLNDQFVLDAYRKGDKLKFANHSPEPNCYAKVIMVAGDHRVGIFAKERILAGEELFYDYRYEPDRAPAWAKKPEAPGSKKDENVTPSVGRPKKLA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAGEVEGRGCGFSLGVLVTLLVLPLPSLCTLSTEKELHVIKLYEGRMVRYNESRNFCYQRTYEPKWSDVWTKIQIRINSTKMIRVTQVDNEEKLKEMETFNMFDFFSSFLKEKLNDTFIYVNLYSNKTCVKVHLTDTDTYYSVALSRGFDPRLFFVFLCGLLLFFYGDTLSRSQLFFYSTGITVGMLASMLILVFMLSKLMPKKSPFFALLLGGWSVSIYVIQLVFRNLQAICSEYWQYLIVYLGIVGFVSFAFCYIYGPLENERSINILNWTLQLIGLLLMYVSVQIQHIAVTIVVIAFCTKQIEYPVQWIYILYRKIKLKRAKPGPPRLLTEEEYRKQADVETRKALEELRECCSSPDFAAWKTISRIQSPKRFADFVEGSSHLTPNEVSVHEHEYGLGGSFLEDELFGEDSDVEEEMEIEPPLYPIPRSVF", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNDSEEELEQQGSQEDISSSQDTSDEKEMRKQDALYAQLIEYREIVSELRRSEMKNLCIQLYCSYKAGITRRNELQSDLDGYERRIPPRLRAVWPTIHSIPDESFFDGTSASRFRQEAAYLAVRLASKKLHKLGRMASSEELPNPDGLRYLVERLQIKLERLFDSVDTFRQEQGSKSMQSRVKPMDWKILYGLARVTNGSFSSSQKADVLALGNGTRACQSLFKEMSLQPSIDIEKVSHSNPEISIEFED", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAKTLDKSKKRKLVKSKSTNFDKKQKINKQQQQPESSTPYSSSSSSSDSSDSESDNEFDPEELRELLQPYSKDQLVDLVCSASRIGSSIYSAVVEAADRDVTHRKIFVYGLPWETTRETLVGVFEGYGEIEECTVVIDKATGKAKGFGFVMFKTRKGAKEALKEPKKRILNRTATCQLASMGPAASGKGHDQPGPVKISMGSMANHGQPQQQQVQGQHVFNGGGMAASPFMLGNQYHPLYGAGMLGNPALAAAAAAGGGYMYPMLAGALAHGGLGSDMVQSSQMGGIGVDPSVGAAGLSALGSYFRGQSLPSTYPDSDAGGKRGTGKDSDAGGSSFHGYSNYS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSRPRFNPRGDFPLQRPRAPNPSGMRPPGPFMRPGSMGLPRFYPAGRARGIPHRFAGHESYQNMGPQRMNVQVTQHRTDPRLTKEKLDFHEAQQKKGKPHGSRWDDEPHISASVAVKQSSVTQVTEQSPKVQSRYTKESASSILASFGLSNEDLEELSRYPDEQLTPENMPLILRDIRMRKMGRRLPNLPSQSRNKETLGSEAVSSNVIDYGHASKYGYTEDPLEVRIYDPEIPTDEVENEFQSQQNISASVPNPNVICNSMFPVEDVFRQMDFPGESSNNRSFFSVESGTKMSGLHISGGQSVLEPIKSVNQSINQTVSQTMSQSLIPPSMNQQPFSSELISSVSQQERIPHEPVINSSNVHVGSRGSKKNYQSQADIPIRSPFGIVKASWLPKFSHADAQKMKRLPTPSMMNDYYAASPRIFPHLCSLCNVECSHLKDWIQHQNTSTHIESCRQLRQQYPDWNPEILPSRRNEGNRKENETPRRRSHSPSPRRSRRSSSSHRFRRSRSPMHYMYRPRSRSPRICHRFISRYRSRSRSRSPYRIRNPFRGSPKCFRSVSPERMSRRSVRSSDRKKALEDVVQRSGHGTEFNKQKHLEAADKGHSPAQKPKTSSGTKPSVKPTSATKSDSNLGGHSIRCKSKNLEDDTLSECKQVSDKAVSLQRKLRKEQSLHYGSVLLITELPEDGCTEEDVRKLFQPFGKVNDVLIVPYRKEAYLEMEFKEAITAIMKYIETTPLTIKGKSVKICVPGKKKAQNKEVKKKTLESKKVSASTLKRDADASKAVEIVTSTSAAKTGQAKASVAKVNKSTGKSASSVKSVVTVAVKGNKASIKTAKSGGKKSLEAKKTGNVKNKDSNKPVTIPENSEIKTSIEVKATENCAKEAISDAALEATENEPLNKETEEMCVMLVSNLPNKGYSVEEVYDLAKPFGGLKDILILSSHKKAYIEINRKAAESMVKFYTCFPVLMDGNQLSISMAPENMNIKDEEAIFITLVKENDPEANIDTIYDRFVHLDNLPEDGLQCVLCVGLQFGKVDHHVFISNRNKAILQLDSPESAQSMYSFLKQNPQNIGDHMLTCSLSPKIDLPEVQIEHDPELEKESPGLKNSPIDESEVQTATDSPSVKPNELEEESTPSIQTETLVQQEEPCEEEAEKATCDSDFAVETLELETQGEEVKEEIPLVASASVSIEQFTENAEECALNQQMFNSDLEKKGAEIINPKTALLPSDSVFAEERNLKGILEESPSEAEDFISGITQTMVEAVAEVEKNETVSEILPSTCIVTLVPGIPTGDEKTVDKKNISEKKGNMDEKEEKEFNTKETRMDLQIGTEKAEKNEGRMDAEKVEKMAAMKEKPAENTLFKAYPNKGVGQANKPDETSKTSILAVSDVSSSKPSIKAVIVSSPKAKATVSKTENQKSFPKSVPRDQINAEKKLSAKEFGLLKPTSARSGLAESSSKFKPTQSSLTRGGSGRISALQGKLSKLDYRDITKQSQETEARPSIMKRDDSNNKTLAEQNTKNPKSTTGRSSKSKEEPLFPFNLDEFVTVDEVIEEVNPSQAKQNPLKGKRKETLKNVPFSELNLKKKKGKTSTPRGVEGELSFVTLDEIGEEEDAAAHLAQALVTVDEVIDEEELNMEEMVKNSNSLFTLDELIDQDDCISHSEPKDVTVLSVAEEQDLLKQERLVTVDEIGEVEELPLNESADITFATLNTKGNEGDTVRDSIGFISSQVPEDPSTLVTVDEIQDDSSDLHLVTLDEVTEEDEDSLADFNNLKEELNFVTVDEVGEEEDGDNDLKVELAQSKNDHPTDKKGNRKKRAVDTKKTKLESLSQVGPVNENVMEEDLKTMIERHLTAKTPTKRVRIGKTLPSEKAVVTEPAKGEEAFQMSEVDEESGLKDSEPERKRKKTEDSSSGKSVASDVPEELDFLVPKAGFFCPICSLFYSGEKAMTNHCKSTRHKQNTEKFMAKQRKEKEQNEAEERSSR", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MENTEQEHNLPEGDETQWPNGVKRKRKNSQCSMNSTSDKSISVPGYVPSYLEKDEPCVVCGDKATGYHYRCITCEGCKGFFRRTIQKNLHPSYSCKYDSCCIIDKITRNQCQLCRFRKCISVGMAMDLVLDDSKRVAKRRLIEENREKRKKEEIVKTLHNRPEPTVSEWELIRMVTEAHRHTNAQGPHWKQKRKFLPEDIGQSPAPTSDNDKVDLEAFSEFTKIITPAITRVVDFAKKLPMFSELPCEDQIILLKGCCMEIMSLRAAVRYDPESETLTLSGEMAVSREQLKNGGLGVVSDAIFDLGKSLSQFNLDDSEVALLQAVLLMSSDRSGLTCVEKIEKCQEMYLLAFEHYINHRKHNISHFWPKLLMKVTNLRMIGACHASRFLHMKVECPTELFPPLFLEVFEDQEGSTGVAAQEDGSCLR", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDQARISFFPDGLRVMIIDDDAKAVRRATATLSQLQYAVVATHSTASAGLRALSGDNVVEIQAILCDVHKVVSSGFDFRRVVESELRIPVIYLLSKMEEEDMVAGEDAEFLNHLLLTATYIVRKPLNPTVMARLWRVVAWRMYCLEERIQANVAANAGAGGEDDDDDDDVVIVEEPQVHFKVVRRTSGGSRKRQLTINVVDDGNRGSGSGGGGGGGADANPTRILQHITSNLQEFRTKHQKKDMAIERPLISSDSMFLKAILPTLKISPCNPLTLTGGIGSSSVAAEAFAGGSSSAAPLQIPVFQQQSTGNGNTVISFSNNASPMAMRAPTDNTMISFNNVSAAPVANAVISFSNISRSAAMQAPAARGQHLSGDVQQLDFPQQKLYFGPFSYQGPPPPSMHNHINLLPPTSSPVTCSMDKGKVPIIELPYGMPVDDFLVSQTTYGGAGPSIGATDAAAAAYPYTDAPSNNVATGCLMVPPMGPAFSITEPTVVAQGEGTGTGVDAGTSEKNAIVEAPNNPAPLMVLDQVAADAAMDVQEDIMFSLESLLGPDYDLLPMEDVSAPDTAAAGDAAGGSLDGEEGGMDIGWDLDLDDILVENVNDFAFLDNLAGSE", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAIQKVSNKDLSRKDKRRFNIESKVNKIYQNFYSERDNQYKDRLTALQTDLTSLHQGDNGQYARQVRDLEEERDLELVRLRLFEEYRVSRSGIEFQEDIEKAKAEHEKLIKLCKERLYSSIEQKIKKLQEERLLMDVANVHSYAMNYSRPQYQKNTRSHTVSGWDSSSNEYGRDTANESATDTGAGNDRRTLRRRNASKDTRGNNNNQDESDFQTGNGSGSNGHGSRQGSQFPHFNNLTYKSGMNSDSDFLQGINEGTDLYAFLFGEKNPKDNANGNEKKKNRGAQRYSTKTAPPLQSLKPDEVTEDISLIRELTGQPPAPFRLRSD", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAASGVEKSSKKKTEKKLAAREEAKLLAGFMGVMNNMRKQKTLCDVILMVQERKIPAHRVVLAAASHFFNLMFTTNMLESKSFEVELKDAEPDIIEQLVEFAYTARISVNSNNVQSLLDAANQYQIEPVKKMCVDFLKEQVDASNCLGISVLAECLDCPELKATADDFIHQHFTEVYKTDEFLQLDVKRVTHLLNQDTLTVRAEDQVYDAAVRWLKYDEPNRQPFMVDILAKVRFPLISKNFLSKTVQAEPLIQDNPECLKMVISGMRYHLLSPEDREELVDGTRPRRKKHDYRIALFGGSQPQSCRYFNPKDYSWTDIRCPFEKRRDAACVFWDNVVYILGGSQLFPIKRMDCYNVVKDSWYSKLGPPTPRDSLAACAAEGKIYTSGGSEVGNSALYLFECYDTRTESWHTKPSMLTQRCSHGMVEANGLIYVCGGSLGNNVSGRVLNSCEVYDPATETWTELCPMIEARKNHGLVFVKDKIFAVGGQNGLGGLDNVEYYDIKLNEWKMVSPMPWKGVTVKCAAVGSIVYVLAGFQGVGRLGHILEYNTETDKWVANSKVRAFPVTSCLICVVDTCGANEETLET", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAERGGDGGESERFNPGELRMAQQQALRFRGPAPPPNAVMRGPPPLMRPPPPFGMMRGPPPPPRPPFGRPPFDPNMPPMPPPGGIPPPMGPPHLQRPPFMPPPMSSMPPPPGMMFPPGMPPVTAPGTPALPPTEEIWVENKTPDGKVYYYNARTRESAWTKPDGVKVIQQSELTPMLAAQAQVQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQVQAQVQAQVQAQAVGASTPTTSSPAPAVSTSTSSSTPSSTTSTTTTATSVAQTVSTPTTQDQTPSSAVSVATPTVSVSTPAPTATPVQTVPQPHPQTLPPAVPHSVPQPTTAIPAFPPVMVPPFRVPLPGMPIPLPGVAMMQIVSCPYVKTVATTKTGVLPGMAPPIVPMIHPQVAIAASPATLAGATAVSEWTEYKTADGKTYYYNNRTLESTWEKPQELKEKEKLEEKIKEPIKEPSEEPLPMETEEEDPKEEPIKEIKEEPKEEEMTEEEKAAQKAKPVATAPIPGTPWCVVWTGDERVFFYNPTTRLSMWDRPDDLIGRADVDKIIQEPPHKKGMEELKKLRHPTPTMLSIQKWQFSMSAIKEEQELMEEINEDEPVKAKKRKRDDNKDIDSEKEAAMEAEIKAARERAIVPLEARMKQFKDMLLERGVSAFSTWEKELHKIVFDPRYLLLNPKERKQVFDQYVKTRAEEERREKKNKIMQAKEDFKKMMEEAKFNPRATFSEFAAKHAKDSRFKAIEKMKDREALFNEFVAAARKKEKEDSKTRGEKIKSDFFELLSNHHLDSQSRWSKVKDKVESDPRYKAVDSSSMREDLFKQYIEKIAKNLDSEKEKELERQARIEASLREREREVQKARSEQTKEIDREREQHKREEAIQNFKALLSDMVRSSDVSWSDTRRTLRKDHRWESGSLLEREEKEKLFNEHIEALTKKKREHFRQLLDETSAITLTSTWKEVKKIIKEDPRCIKFSSSDRKKQREFEEYIRDKYITAKADFRTLLKETKFITYRSKKLIQESDQHLKDVEKILQNDKRYLVLDCVPEERRKLIVAYVDDLDRRGPPPPPTASEPTRRSTK", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MERAGATSRGGQAPGFLLRLHTEGRAEAARVQEQDLRQWGLTGIHLRSYQLEGVNWLAQRFHCQNGCILGDEMGLGKTCQTIALFIYLAGRLNDEGPFLILCPLSVLSNWKEEMQRFAPGLSCVTYAGDKEERACLQQDLKQESRFHVLLTTYEICLKDASFLKSFPWSVLVVDEAHRLKNQSSLLHKTLSEFSVVFSLLLTGTPIQNSLQELYSLLSFVEPDLFSKEEVGDFIQRYQDIEKESESASELHKLLQPFLLRRVKAEVATELPKKTEVVIYHGMSALQKKYYKAILMKDLDAFENETAKKVKLQNILSQLRKCVDHPYLFDGVEPEPFEVGDHLTEASGKLHLLDKLLAFLYSGGHRVLLFSQMTQMLDILQDYMDYRGYSYERVDGSVRGEERHLAIKNFGQQPIFVFLLSTRAGGVGMNLTAADTVIFVDSDFNPQNDLQAAARAHRIGQNKSVKVIRLIGRDTVEEIVYRKAASKLQLTNMIIEGGHFTLGAQKPAADADLQLSEILKFGLDKLLASEGSTMDEIDLESILGETKDGQWVSDALPAAEGGSRDQEEGKNHMYLFEGKDYSKEPSKEDRKSFEQLVNLQKTLLEKASQEGRSLRNKGSVLIPGLVEGSTKRKRVLSPEELEDRQKKRQEAAAKRRRLIEEKKRQKEEAEHKKKMAWWESNNYQSFCLPSEESEPEDLENGEESSAELDYQDPDATSLKYVSGDVTHPQAGAEDALIVHCVDDSGHWGRGGLFTALEKRSAEPRKIYELAGKMKDLSLGGVLLFPVDDKESRNKGQDLLALIVAQHRDRSNVLSGIKMAALEEGLKKIFLAAKKKKASVHLPRIGHATKGFNWYGTERLIRKHLAARGIPTYIYYFPRSKSAVLHAQSSSSSSRQLVP", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTQEYDNKRPVLVLQNEALYPQRRSYTSEDEAWKSFLENPLTAATKAMMSINGDEDSAAALGLLYDYYKVPRERRSSTAKPEVEHPEPDHSKRNSIPIVTEQPLISAGENRVQVLKNVPFNIVLPHGNQLGIDKRGHLTAPDTTVTVSIATMPTHSIKTETQPHGFAVGIPPAVYHPEPTERVVVFDRNLNTDQFSSGAQAPNAQRRTPDSTFSETFKEGVQEVFFPSDLSLRMPGMNSEDYVFDSVSGNNFEYTLEASKSLRQKPGDSTMTYLNKGQFYPITLKEVSSSEGIHHPISKVRSVIMVVFAEDKSREDQLRHWKYWHSRQHTAKQRCIDIADYKESFNTISNIEEIAYNAISFTWDINDEAKVFISVNCLSTDFSSQKGVKGLPLNIQVDTYSYNNRSNKPVHRAYCQIKVFCDKGAERKIRDEERKQSKRKVSDVKVPLLPSHKRMDITVFKPFIDLDTQPVLFIPDVHFANLQRGTHVLPIASEELEGEGSVLKRGPYGTEDDFAVPPSTKLARIEEPKRVLLYVRKESEEVFDALMLKTPSLKGLMEAISDKYDVPHDKIGKIFKKCKKGILVNMDDNIVKHYSNEDTFQLQIEEAGGSYKLTLTEI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MATEAQSEGEVPARESGRSDAICSFVICNDSSLRGQPIIFNPDFFVEKLRHEKPEIFTELVVSNITRLIDLPGTELAQLMGEVDLKLPGGAGPASGFFRSLMSLKRKEKGVIFGSPLTEEGIAQIYQLIEYLHKNLRVEGLFRVPGNSVRQQILRDALNNGTDIDLESGEFHSNDVATLLKMFLGELPEPLLTHKHFNAHLKIADLMQFDDKGNKTNIPDKDRQIEALQLLFLILPPPNRNLLKLLLDLLYQTAKKQDKNKMSAYNLALMFAPHVLWPKNVTANDLQENITKLNSGMAFMIKHSQKLFKAPAYIRECARLHYLGSRTQASKDDLDLIASCHTKSFQLAKSQKRNRVDSCPHQEETQHHTEEALRELFQHVHDMPESAKKKQLIRQFNKQSLTQTPGREPSTSQVQKRARSRSFSGLIKRKVLGNQMMSEKKKKNPTPESVAIGELKGTSKENRNLLFSGSPAVTMTPTRLKWSEGKKEGKKGFL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSSIDKRDLRKRYRNLINKVQESRLELVDEENNNLYETITTANDLFSSVDAPTEATLDALLLTKTVDLASIKARQLHIGRPKFNIELFTKNIKQFLNYPTSHSNVTRIQEIDTAWSRLGKLASNCEKQPASLNLMVGPLSFRKKERNIQRRERLQKAPNVLTQPTMLNERNITTQENNTTKNVLHISRLLQAHQPVNFLKFITNPQSYPQTVENLFYVSFLFKEGKAALVENESGILMLETRIPPTDDQVVAGEIRNIQLVLDMTMDLYENIIKEYNIKESIIPTRAPVETSTNSNTWYG", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDDSKVVGGKVKKPGKRGRKPAKIDLKAKLERSRQSARECRARKKLRYQYLEELVSSRERAICALREELEMYKQWCMAMDQGKIPSEIKALLTGEEQSKSQQNSSRHMKAGKTDANSNSW", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSMLAERRRKQKWAVDPRNTAWSNDDSKFGQKMLEKMGWSKGKGLGAQEQGATEHIKVKVKNNHLGLGATNNNEDNWIAHQDDFNQLLAALNTCHGQETADSSDNKEKKSFSLEEKSKISKNRVHYMKFTKGKDLSSRSETDLDCIFGKRRNKKLAQDGCSNSTADEADTSLTTTTTTTSAFTIQEYFAKRMAQLKSKSQAAAPGSDLSETPIEWKKGKKKTKEAAGTDIENSPQHKAKRHKKKKRVEAERGPAAKKRDQVELQPGGPSGDECSDASVEAAEDRVQTPDTQDDVPKPRKRRAKKTLQRPGGVAVDTAPDSAPVKKKKKVSR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MARKSIRGKEVVMVSDDDDDDDDDVDDDKNIIKCVKPLTVYKNLETPTDSDDNDDDDDDDVDVDENIIKYIKPVAVYKKLETRSKNNPYFLRRSLKYIIQAKKKKKSNSGGKIRFNYRDVSNKMTLKAEVVENFSCPFCLIPCGGHEGLQLHLKSSHDAFKFEFYRAEKDHGPEVDVSVKSDTIKFGVLKDDVGNPQLSPLTFCSKNRNQRRQRDDSNNVKKLNVLLMELDLDDLPRGTENDSTHVNDDNVSSPPRAHSSEKISDILTTTQLAIAESSEPKVPHVNDGNVSSPPRAHSSAEKNESTHVNDDDDVSSPPRAHSLEKNESTHVNEDNISSPPKAHSSKKNESTHMNDEDVSFPPRTRSSKETSDILTTTQPAIVEPSEPKVRRVSRRKQLYAKRYKARETQPAIAESSEPKVLHVNDENVSSPPEAHSLEKASDILTTTQPAIAESSEPKVPHVNDENVSSTPRAHSSKKNKSTRKNVDNVPSPPKTRSSKKTSDILTTTQPTIAESSEPKVRHVNDDNVSSTPRAHSSKKNKSTRKNDDNIPSPPKTRSSKKTSNILATTQPAKAEPSEPKVTRVSRRKELHAERCEAKRLERLKGRQFYHSQTMQPITFEQVMSNEDSENETDDYALDISERLRLERLVGVSKEEKRYMYLWNIFVRKQRVIADGHVPWACEEFAKLHKEEMKNSSSFDWWWRMFRIKLWNNGLICAKTFHKCTTILLSNSDEAGQFTSGSAANANNQQSMEVDE", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MANVRDSDTSLWLHNKLGTSNDSWINGSICSQLNKEVLRNIKECFPDLQTQVKLKLLLSFLHIPRRLVEEWKAELEEVIEVAGLDSELWVSMLAETMKTFPATSSLNTEISDYEDTRPIFIDMVNDLRKLVTKHSDLGMLPLECQYLNKNALISVVGQQPAPVKHFTLKRKPKSAQLRTELLHKSADAQSSLKKASAPTIPLRSRGMPRKMTDTTPLKGIPSRMPTTGFRSATVPGNAAQRPNLSRTPAGRKDGGIKLIEFTEQPLGYAAAKKRKREQQLEEQQKKQEQKQQQAAAAAAAAATAAASNAGDSSPTDAATASGGETPVTPTSANNSFEIKMEPQQLNQSAGSLEEPQDDEMSGKANMECDIETPEYATATLDFAQATSTSVADGQPGKPAVETKLKTPRTPKSAAKLNNNNNNNNNNSFNHTPKRIKQEIEIKSEEIIVPASIKLEKIETSPSTQRVSIQQQPPSLVQRTPHLLIRSSPQKRQNNGATTSAGTTTTTVGNTTIKMEKLDIKPMIRATGVSPSTSAGTTTTLLTPQQLRQAANPLANLPNNISVKITSAKAKAAAAAAATSSGSQTQSQQPQTLQVQQAPQQSHPPLLINSSTPVILASSPSAQRAKALALPSSSTSATTTTTIPSQAIKTMPLSQLKTATNSGPVIISQTIIQPAKRAQQQAGTSSAAAASQQQQQQQSQQQLLHQQIQQQTQQQAQLPQQPQQQQTQYILATPQQQPQQIQQQQQQQQPILPTLTSFSHSRPMPQTTTLYQATTPSGSGQTPTKILLKTSGSSSVVMTPLRQAQPQQATAVVSSNPPPLVATSAAVVSPGQTTLNIQNVQLPNRPVTIQPASQAAQQQHMQAQLQQQQPHPQHTIVANTTATQQPKLSQVLMQPTAAVGTSGVSALNVSPTSGKNKTIILTQKGVILRNIGGDMYQQIPISNVGNMQGLGGTTLMTTTAGPPSLVKTTPSSGVQLQQQQSGKQILPTLIPTSSLGGQHVIVQQQQPTNVIGNSQQQTIIRPVMTNVGGGLTTLPQGLTLIQRPGQQPQLVQVQAAPGSTQRTIITQSNTAAAASQQQPRQQQQQILVQHKPAPTLQQRLVTSTTSGGQGQQGNPNAGLPRTVQVQVQAQQQQQPQQQQATQQQSQQAPQRRGLSLSNEHVHKAHEMFRKANRVSRPDKALILGFMAGLRENPRPNNENVLVIKLGETEEKVQQDNGHTALCLVESHIRLDYNTGEWKTFQNYRLQDQSAAS", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MARKSIRGKEVVMVSDDDDDDDDVDDDKNIIKCVKPLTVYKNLETPTDSDDNDDDDDDVDVDENIIKYIKPVAVYKKLETRSKNNPYFLRRSLKYIIQAKKKKKSNSGGKIRFNYRDVSNKMTLKAEVVENFSCPFCLIPCGGHEGLQLHLKSSHDAFKFEFYRAEKDHGPEVDVSVKSDTIKFGVLKDDVGNPQLSPLTFCSKNRNQRRQRDDSNNVKKLNVLLMELDLDDLPRGTENDSTHVNDDNVSSPPRAHSSEKISDILTTTQLAIAESSEPKVPHVNDGNVSSPPRAHSSAEKNESTHVNDDDDVSSPPRAHSLEKNESTHVNEDNISSPPKAHSSKKNESTHMNDEDVSFPPRTRSSKETSDILTTTQPAIVEPSEPKVRRGSRRKQLYAKRYKARETQPAIAESSEPKVLHVNDENVSSPPEAHSLEKASDILTTTQPAIAESSEPKVPHVNDENVSSTPRAHSSKKNKSTRKNVDNVPSPPKTRSSKKTSDILTTTQPTIAESSEPKVRHVNDDNVSSTPRAHSSKKNKSTRKNDDNIPSPPKTRSSKKTSNILTRTQPAIAESEPKVPHVNDDKVSSTPRAHSSKKNKSTHKKDDNASLPPKTRSSKKTSDILATTQPAKAEPSEPKVTRVSRRKELHAERCEAKRLERLKGRQFYHSQTMQPMTFEQVMSNEDSENETDDYALDISERLRLERLVGVSKEEKRYMYLWNIFVRKQRVIADGHVPWACEEFAKLHKEEMKNSSSFDWWWRMFRIKLWNNGLICAKTFHKCTTILLSNSDEAGQFTSGSAANANNQQSMEVDE", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKSVIYHALSQKEANDSDVQPSGAQRAEAFVRAFLKRSTPRMSPQAREDQLQRKAVVLEYFTRHKRKEKKKKAKGLSARQRRELRLFDIKPEQQRYSLFLPLHELWKQYIRDLCSGLKPDTQPQMIQAKLLKADLHGAIISVTKSKCPSYVGITGILLQETKHIFKIITKEDRLKVIPKLNCVFTVETDGFISYIYGSKFQLRSSERSAKKFKAKGTIDL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MALTSFLPAPTQLSQDQLEAEEKARSQRSRQTSLVSSRREPPPYGYRKGWIPRLLEDFGDGGAFPEIHVAQYPLDMGRKKKMSNALAIQVDSEGKIKYDAIARQGQSKDKVIYSKYTDLVPKEVMNADDPDLQRPDEEAIKEITEKTRVALEKSVSQKVAAAMPVRAADKLAPAQYIRYTPSQQGVAFNSGAKQRVIRMVEMQKDPMEPPRFKINKKIPRGPPSPPAPVMHSPSRKMTVKEQQEWKIPPCISNWKNAKGYTIPLDKRLAADGRGLQTVHINENFAKLAEALYIADRKAREAVEMRAQVERKMAQKEKEKHEEKLREMAQKARERRAGIKTHVEKEDGEARERDEIRHDRRKERQHDRNLSRAAPDKRSKLQRNENRDISEVIALGVPNPRTSNEVQYDQRLFNQSKGMDSGFAGGEDEIYNVYDQAWRGGKDMAQSIYRPSKNLDKDMYGDDLEARIKTNRFVPDKEFSGSDRRQRGREGPVQFEEDPFGLDKFLEEAKQHGGSKRPSDSSRPKEHEHEGKKRRKE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MQNSVSVPPKDEGESNIPSGTIQSRKGLQNKSQFRTIAPKIVPKVLTSRMLPCHSPSRSDQVNLGPSINSKLLGMSTQNYALMQVAGQEGTFSLVALPHVASAQPIQKPRMSLPENLKLPIPRYQPPRNSKASRKKPILIFPKSGCSKAPAQTQMCPQMSPSPPHHPELLYKPSPFEEVPSLEQAPASISTAALTNGSDHGDLRPPVTNTHGSLNPPATPASSTPEEPAKQDLTALSGKAHFVSKITSSKPSAVASEKFKEQVDLAKTMTNLSPTILGNAVQLISSVPKGKLPIPPYSRMKTMEVYKIKSDANIAGFSLPGPKADCDKIPSTTEGFNAATKVASRLPVPQVSQQSACESAFCPPTKLDLNHKTKLNSGAAKRKGRKRKVPDEILAFQGKRRKYIINKCRDGKERVKNDPQEFRDQKLGTLKKYRSIMPKPIMVIPTLASLASPTTLQSQMLGGLGQDVLLNNSLTPKYLGCKQDNSSSPKPSSVFRNGFSGIKKPWHRCHVCNHHFQFKQHLRDHMNTHTNRRPYSCRICRKSYVRPGSLSTHMKLHHGENRLKKLMCCEFCAKVFGHIRVYFGHLKEVHRVVISTEPAPSELQPGDIPKNRDMSVRGMEGSLERENKSNLEEDFLLNQADEVKLQIKCGRCQITAQSFAEIKFHLLDVHGEEIEGRLQEGTFPGSKGTQEELVQHASPDWKRHPERGKPEKVHSSSEESHACPRLKRQLHLHQNGVEMLMENEGPQSGTNKPRETCQGPECPGLHTFLLWSHSGFNCLLCAEMLGRKEDLLHHWKHQHNCEDPSKLWAILNTVSNQGVIELSSEAEK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNPYVSYPEIHMKRNRTGSINSNPLYIPNPNVEPTPKPTKRRTKTGCLTCRRRRIKCDETKPFCLNCTKTNRECEGYPNSAAQMQAMGSVSPPELSVHSAQQPLIPTSIASSSAQTGDTFSGSSQSNFNTNDLNQMTSSSNLSTVTPIKQDHQKPMNLQGFPSAYQQHQYLQSNHNVPTNNSSSATSSTKPSVQSVGQASYPFLSSVSNFPSNFNSELFPFYFHDVVPSICAFEFDNNIALHFWSVTVPQFAQSMPCIANSLMAFASIKKLDVFGAYSHLTRALRCPMPGPNSFEYLLVSAFLTLTQLNLPAYDLNFCNNFIRKLSWSSSTKSNYVSLLIAMVVRELVFAILPRGCIWGFNGKPLSEVSVSRSHAPVSDSLFTIGLDILSQPTLSDDLHRERMVAWRDEYHVHLYSASRSPLTKVIDCVGHAVTKNNNDVLSGLQQLMQEECTDIAVLRTSYLCVLSLQNVFASNSKEFKLRAQIESHFGRLMLEHFMDCNVLNRPVL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDNINTTIDICGAASGLPSPGDSNSKRLRHRKYLPQRWKCEPRGPARTETQRPQTLFWRPWLLKSHKPKTQAHPYARGLRENPQEQKPVEYNHPVRLFWPKSKLLDNTYQEAADLLRNFPVQATISLYNDSESDTDNEEDSSEEEQDSGFESE", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSLQRPNGNSSSSSSHKKHKTEESDEELLMVPDMEAAGSTCVLSSSADDGVNNPELDQTQNGVSTAKRRRGRNPVDKEYRSLKRLLRNRVSAQQARERKKVYVSDLESRANELQNNNDQLEEKISTLTNENTMLRKMLINTRPKTDDNH", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDSDSWSDRLASASRRYQLDFLSRSDNFLGFEEIEGEDDFREEYACPFCSDYFDIVSLCCHIDEDHPMDAKNGVCPICAVKVSSDMIAHITLQHANMFKVTRKRKSRRGGAQSMLSILKREFPDGNFQSLFEGTSRAVSSSSASIAADPLLSSFISPMADDFFISESSLCADTSSAKKTLNQSLPERNVEKQSLSAEDHREKLKQSEFVQGILSSMILEDGL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAEYLASIFGTEKDKVNCSFYFKIGACRHGDRCSRLHNKPTFSQTIALLNIYRNPQNSSQSADGLRCAVSDVEMQEHYDEFFEEVFTEMEEKYGEVEEMNVCDNLGDHLVGNVYVKFRREEDAEKAVIDLNNRWFNGQPIHAELSPVTDFREACCRQYEMGECTRGGFCNFMHLKPISRELRRELYGRRRKKHRSRSRSRERRSRSRDRGRGGGGGGGGGGGRERDRRRSRDRERSGRF", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MADGPRCKRRKQANPRRNNVTNYNTVVETNSDSDDEDKLHIVEEESVTDAADCEGVPEDDLPTDQTVLPGRSSEREGNAKNCWEDDRKEGQEILGPEAQADEAGCTVKDDECESDAENEQNHDPNVEEFLQQQDTAVIFPEAPEEDQRQGTPEASGHDENGTPDAFSQLLTCPYCDRGYKRFTSLKEHIKYRHEKNEDNFSCSLCSYTFAYRTQLERHMTSHKSGRDQRHVTQSGCNRKFKCTECGKAFKYKHHLKEHLRIHSGEKPYECPNCKKRFSHSGSYSSHISSKKCISLIPVNGRPRTGLKTSQCSSPSLSASPGSPTRPQIRQKIENKPLQEQLSVNQIKTEPVDYEFKPIVVASGINCSTPLQNGVFTGGGPLQATSSPQGMVQAVVLPTVGLVSPISINLSDIQNVLKVAVDGNVIRQVLENNQANLASKEQETINASPIQQGGHSVISAISLPLVDQDGTTKIIINYSLEQPSQLQVVPQNLKKENPVATNSCKSEKLPEDLTVKSEKDKSFEGGVNDSTCLLCDDCPGDINALPELKHYDLKQPTQPPPLPAAEAEKPESSVSSATGDGNLSPSQPPLKNLLSLLKAYYALNAQPSAEELSKIADSVNLPLDVVKKWFEKMQAGQISVQSSEPSSPEPGKVNIPAKNNDQPQSANANEPQDSTVNLQSPLKMTNSPVLPVGSTTNGSRSSTPSPSPLNLSSSRNTQGYLYTAEGAQEEPQVEPLDLSLPKQQGELLERSTITSVYQNSVYSVQEEPLNLSCAKKEPQKDSCVTDSEPVVNVIPPSANPINIAIPTVTAQLPTIVAIADQNSVPCLRALAANKQTILIPQVAYTYSTTVSPAVQEPPLKVIQPNGNQDERQDTSSEGVSNVEDQNDSDSTPPKKKMRKTENGMYACDLCDKIFQKSSSLLRHKYEHTGKRPHECGICKKAFKHKHHLIEHMRLHSGEKPYQCDKCGKRFSHSGSYSQHMNHRYSYCKREAEERDSTEQEEAGPEILSNEHVGARASPSQGDSDERESLTREEDEDSEKEEEEEDKEMEELQEEKECEKPQGDEEEEEEEEEVEEEEVEEAENEGEEAKTEGLMKDDRAESQASSLGQKVGESSEQVSEEKTNEA", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNSDLEYLEDGFDPNSMKVATLRRILVENNVDFPSNARKNALVGLFDEKVKPQIPQLRKMYLNVRPSDEGIVKMDRPSSSPSIASPRRSRRARREKSASPMAKQFKKNRILDDVSNDDDDDDDDDDDNDKKDDPLIVPSGTDTDEVDDEEDDVITSSSNKSDTNDFQQNSDTRKKRKDPDSDDWSESNSKENKIDNKHLNLLSSDSEIEQDYQKAKKRKTSDLNQEHGNGSAILGKLSVKTPIKNTNRKPVSMDNFNDSLTSSGTENDPFVPNIRHNPKELGTANGTGHSTPLSKLKVSASFADKLPQKEVPSTILVPEVEQQEPSQSERTPSLFSSEGSGSESEAPLLPEITTPGPHQPMGNTSNNVVEMIDTDSSNLVSDEDEVLVPTRIETPQLPTEKDVEKCEARVQELQEEVNEQLEHENGSEFDVKQGSGKVGNRHKFKRALKFLSKSLLALFLFCIFIVIPLLFGLWYREQRLLIGYCGHEVPSHRVSGNSFEFIQKLDNLLQDYRPKCIPCPPNGICYPYLKLKCKPDYKLAPSRLDFLEIIPAQGKCVKDDKKQQLVSEVVEKSLEFLRAKNAQISCGDGKDDIESGMTEDALYQIFNEARAPWIRDDEFEDLWIQVIKDLTEEPEILWRQLSPTDNNIGGNSNNIIKTNDVPRQKRHLPEKFISKTRNFRSTSKKYIGMKCRFEREIYQTYKKFQRPIWLMFLLIVISKVIEIKLKNYYRKKARIEELVTQTMEKLKFQKIKSMSDPKENAYLSIVQLRDIFLSDIVDLKYKNQLWSEVVKYLEHNNSNIKSNLTEIRGEIMKCWEWIGPMELNEPKDSAENKI", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGIKVQRPRCFFDIAINNQPAGRVVFELFSDVCPKTCENFRCLCTGEKGTGKSTQKPLHYKSCLFHRVVKDFMVQGGDFSEGNGRGGESIYGGFFEDESFAVKHNKEFLLSMANRGKDTNGSQFFITTKPTPHLDGHHVVFGQVISGQEVVREIENQKTDAASKPFAEVRILSCGELVPKSKVKKEEKKRHKSSSSSSSSDSDSSSDSQSSSDSSDSESASEEKSRKRKKKHRKNSRKHKKEKKKRKKSKKSPSSESEADNVDAQPQSTVRPEEIPPIPENRFLMRKSPPKADDKERKNRERERERECNPPNSQPASYQRRFLVTRFGRKIKGRGPRRYRTPSRSRSRDRFRRSETPPHWRQEMQRAQRMRVSSGERWIKGDKSELNEIKENQRSPVRVKEKKITDHRHMSESPNRKIEKEKKVKDHKSESKERDIRRNSEKDDKYNKNKVKKRGKSKSRSKSKERSKSKERDSKHSRHEDKRVRSRSKERDHETTKEKEKQLDSKGKDQERSRSKENSKQVESKSNEHDHSKSKEKDRRAQSRSRERDLTKSKHSYNSRTRERSRSRDRSRRVRSRSHDRDRSRSKEYHRYREQEYRRRGRSRSRDRRTPGRSRSKDRRRRRRDSRSSEREESQSRNKEKYRSQDSKSSHRKENSEGEKRMYSKSRDHSSSNNNREKKADIDQSPVSKTKQSSQDNEVKSSTLKNQEDEKTRSPVEKENQKSKGQENDHVHDKNKKCDHESSPGTDEDKSG", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEQTRKIPNQPLPTPTSQSKKRRTPLLSFLSKVSWKLRLQKRELLKNALFVLAERARDPNAKKRHLAMRGLGALAREAPDKQVRKYKKVMLDLLVRGLYDPVSSEVIHESVKTLTIMLGKIQGHGLGSFFIDITLQARTLLDDEDDSVRYSAFVLFGQLASFAGWRWKKFFTQQVNQTQDSLLGHLQDESPKVAKACKMTVRACVPYLKPRKVPSFQSEEEQKNHRLSRQLSHCHPEILLFFYANKIL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MADAKYVLCRWEKRLWPAKVLARTATSTKNKRRKEYFLAVQILSLEEKIKVKSTEVEILEKSQIEAIASSLASQNEVPAAPLEELAYRRSLRVALDVLSEGSIWSQESSAGTGRADRSLRGKPMEHVSSPCDSNSSSLPRGDVLGSSRPHRRRPCVQQSLSSSFTCEKDPECKVDHKKGLRKSENPRGPLVLPAGGGAQDESGSRIHHKNWTLASKRGGNSAQKASLCLNGSSLSEDDTERDMGSKGGSWAAPSLPSGVREDDPCANAEGHDPGLPLGSLTAPPAPEPSACSEPGECPAKKRPRLDGSQRPPAVQLEPMAAGAAPSPGPGPGPRESVTPRSTARLGPPPSHASADATRCLPCPDSQKLEKECQSSEESMGSNSMRSILEEDEEDEEPPRVLLYHEPRSFEVGMLVWHKHKKYPFWPAVVKSVRQRDKKASVLYIEGHMNPKMKGFTVSLKSLKHFDCKEKQTLLNQAREDFNQDIGWCVSLITDYRVRLGCGSFAGSFLEYYAADISYPVRKSIQQDVLGTKLPQLSKGSPEEPVVGCPLGQRQPCRKMLPDRSRAARDRANQKLVEYIVKAKGAESHLRAILKSRKPSRWLQTFLSSSQYVTCVETYLEDEGQLDLVVKYLQGVYQEVGAKVLQRTNGDRIRFILDVLLPEAIICAISAVDEVDYKTAEEKYIKGPSLSYREKEIFDNQLLEERNRRRR", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPRPFFHKLIFSSTIQEKRLRVPDKFVSKFKDELSVAVALTVPDGHVWRVGLRKADNKIWFQDGWQEFVDRYSIRIGYLLIFRYEGNSAFSVYIFNLSHSEINYHSTGLMDSAHNHFKRARLFEDLEDEDAEVIFPSSVYPSPLPESTVPANKGYASSAIQTLFTGPVKAEEPTPTPKIPKKRGRKKKNADPEEINSSAPRDDDPENRSKFYESASARKRTVTAEERERAINAAKTFEPTNPFFRVVLRPSYLYRGCIMYLPSGFAEKYLSGISGFIKVQLAEKQWPVRCLYKAGRAKFSQGWYEFTLENNLGEGDVCVFELLRTRDFVLKVTAFRVNEYV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MQSDDVIWDTLGNKQFCSFKIRTKTQSFCRNEYSLTGLCNRSSCPLANSQYATIKEEKGQCYLYMKVIERAAFPRRLWERVRLSKNYEKALEQIDENLIYWPRFIRHKCKQRFTKITQYLIRIRKLTLKRQRKLVPLSKKVERREKRREEKALIAAQLDNAIEKELLERLKQDTYGDIYNFPIHAFDKALEQQEAESDSSDTEEKDDDDDDEEDVGKREFVEDGEVDESDISDFEDMDKLDASSDEDQDGKSSSEEEEEKALSAKHKGKMPLRGPLQRKRAYVEIEYEQETEPVAKAKTT", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNNNHSYDDRSFHIPLHPSNTSNPNPNLQFALSSSYDHSPKKKRTKTVASSSSSSPKSASKPKYTKKPDPNAPKITRPCTECGRKFWSWKALFGHMRCHPERQWRGINPPPNYRVPTAASSKQLNQILPNWVSFMSEEDHEVASCLLMLSNGTPSSSSIERFECGGCKKVFGSHQALGGHRASHKNVKGCFAITNVTDDPMTVSTSSGHDHQGKILTFSGHHKCNICFRVFSSGQALGGHMRCHWEKEEEPMISGALDLNVPPTIQDLSTSDTSGCCLDLRLGL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVVNTIYIARHGYRSNWLPEGPYPDPLTGIDSDVPLAEHGVQQAKELAHYLLSLDNQPEAAFASPFYRCLETVQPIAKLLEIPVYLERGIGEWYRPDRKPVIPVPAGYEILSKFFPGVISQEWDSTLTPNEKGETEQEMYMRFKKFWPLFIERVEKEYPNVECILLVTHAASKIALGMSLLGYDNPRMSLNENGDKIRSGSCSLDKYEILKKSYDTIDETDDQTSFTYIPFSDRKWVLTMNGNTEFLSSGEEMNWNFDCVAEAGSDADIKKRQMTKKTSSPIPEADDQTEVETVYISVDIPSGNYKERTEIAKSAILQYSGLETDAPLFRIGNRLYEGSWERLVGTELAFPNAAHVHKKTAGLLSPTEENETTNAGQSKGSSTANDPNIQIQEEDVGLPDSTNTSRDHTGDKEEVQSEKIYRIKERIVLSNVRPM", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGPLTFRDVAIEFSLKEWQCLDTAQRNLYRNVMLENYRNLVFLGITVSKPDLITCLEQGKEAWSMKRHEIMVAKPTVMCSHFAQDLWPEQNIKDSFQKVTLKRYGKCRHENLPLRKGCESMDECKMHKGGCNGLNQCLTATQSKIFQCDKYVKVAHKFSNSNRHEIRHTKKKPFKCTKCGKSFGMISCLTEHSRIHTRVNFYKCEECGKAFNWSSTLTKHKRIHTGEKPYKCEECGKAFNQSSNLIKHKKIHTGEKPYKCEECGKTFNRFSTLTTHKIIHTGEKPYKCKECGKAFNRSSTLTTHRKIHTGEKPYKCEECGKAFKQSSNLTTHKIIHTGEKPYKCKKCGKAFNQSAHLTTHEVIHTGEKPYKCEKCGKAFNHFSHLTTHKIIHTGEKPYKCKECGKAFKHSSTLTKHKIIHTGEKPYKCKECEKAFNQSSKLTEHKKIHTGEKPYECEKCGKAFNQSSNLTRHKKSHTEEKPYKCEECGKGFKWPSTLTIHKIIHTGEKPYKCEECGKAFNQSSKLTKHKKIHTGEKPYTCEECGKAFNQSSNLTKHKRIHTGEKPYKCEECDKAFKWSSVLTKHKIIHTGEKLQI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAMKEAFPEDYLSQEELEPSLNVSHHENPLAGRAKRKRTVKNTEVKKRTLKNTEVMKMTEKKTEEAYLVKVEQLAKLKQKQEEDKAAVTLHCFSKTSETGKDVVAPPEGFEQMQSLRFIDNNYTKLKPSDIQGQVDPLFPEVILCVEIYNSRKVKTQEFLVLGRQMLTELKDNIHCATDQVMQKAGKYDPSGYFLIEDVFHNDLRNPSAKDYSYPILDWLWNSKDEALKKWECVLTGELQKKQKLVLGEAKSVDLPRYRTADMQSTHFCDIRFRVGASYVYCHQGDCKHTIVIRDMRMSHPEDVQNRAAYPIMFWPKRRIQKCGVCKIKRASKVAVDDKWASENSSYFCDVCFELLHSEEGPLNCDFPVFDYVHE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSSLSQTSKYQATSVVNGLLSNLLPGVPKIRANNGKTSVNNGSKAQLIDRNLKKRVQLQNRDVHKIKKKCKLVKKKKVKKHKLDKEQLEQLAKHQVLKKHQHEGTLTDHERKYLNKLIKRNSQNLRSWDLEEEVRDELEDIQQSILKDTVSTANTDRSKRRRFKRKQFKEDIKESDFVKDHRYPGLTPGLAPVGLSDEEDSSEED", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPSLRTRREEAEMELSVPGPSPWTPAAQARVRDAPAVTHPGSAACGTPCCSDTELEAICPHYQQPDCDTRTEDKEFLHKEDIHEDLESQAEISENYAGDVSQVPELGDLCDDVSERDWGVPEGRRLPQSLSQEGDFTPAAMGLLRGPLGEKDLDCNGFDSRFSLSPNLMACQEIPTEERPHPYDMGGQSFQHSVDLTGHEGVPTAESPLICNECGKTFQGNPDLIQRQIVHTGEASFMCDDCGKTFSQNSVLKNRHRSHMSEKAYQCSECGKAFRGHSDFSRHQSHHSSERPYMCNECGKAFSQNSSLKKHQKSHMSEKPYECNECGKAFRRSSNLIQHQRIHSGEKPYVCSECGKAFRRSSNLIKHHRTHTGEKPFECGECGKAFSQSAHLRKHQRVHTGEKPYECNDCGKPFSRVSNLIKHHRVHTGEKPYKCSDCGKAFSQSSSLIQHRRIHTGEKPHVCNVCGKAFSYSSVLRKHQIIHTGEKPYRCSVCGKAFSHSSALIQHQGVHTGDKPYACHECGKTFGRSSNLILHQRVHTGEKPYECTECGKTFSQSSTLIQHQRIHNGLKPHECNQCGKAFNRSSNLIHHQKVHTGEKPYTCVECGKGFSQSSHLIQHQIIHTGERPYKCSECGKAFSQRSVLIQHQRIHTGVKPYDCAACGKAFSQRSKLIKHQLIHTRE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGRRSRAAMLPTNIILLQNLVKRDPESYQEEFLQQYAHYESLRDIFMLNGLAGGDSAAATNGLDVGNGSSTMAGTNGTTMSTSTSQLIELVGFVSQVCSCFPRETANFPSELKQLLLEHHKSLPFELKEKILSCLTMLRNKDVITAEELIQSLFPLLVAYSSHGNSLGVNSHAKELRKIIYTNLISLLKSCNTNGKNQKLNKSTQAVCFNLLDQPDSQGIWATKLTRELWRRGIWDDSRTVEIMTQAALHQDVKIVMSGVMFFLDADREREENFEENSEDEDGFDLDALRHKMQVNKKTGRRGKKLENAIKTVKKKKKNGPGAPQGYLNFSAIHLLRDPQGFAEKLFKEHLSGKTKNKFDMEQKISLMQLLSRLIGTHKLIVLGIYTFFLKYLTPKQRDVTRIMSACAQACHDLVPPEVINVMVRKIADEFVSDGVANEVAAAGINTIREICSRAPLAIDEILLQDLVEYKGSKAKGVNMAAKSLIALYRDVAPEMLKKKDRGKNAAMEVQEAKKGGKDSKRPQFGADNSVQGIAGIELLAKWKKEHGEESENEDADANWEVDVDSEEDDVDGEWVTMDSDKEYDVDMEDSDDEKDNAKGKESDSDLELSDDDDEKEVKDEQEDADIDPEAAFREIASTRILTPADFAKLQELRNEESVAKIMGIHKQDKREELVDASTLTGPIKYKQSREERLQKVLEGREGRDKFGSRRGKRDNMRSTTNREKERRKNFVMSIHKRSVRGKQKMSLRDKQKVLRAHITKQKKKGY", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVTRVASSERPRPLVPESIVDAPTQRLYAIGVFVALQAYKIYDLLKLETSSISDVPKSGFLVKWIIIDAIYLRLLPKFRIPWLSFQPAATLLQIAIFAAINLLLSSLSSLKWISIGSILLPYFKKKELSISEHKINPNNVIHNSSRILGQYTLQVLPEGTAKINPLHENYCLNSLRKDQYVDLAIQFNSTIPKYIQYSHVDLETKEETLVEVSGRSLRKLLSSSSKNPKEPRLQTIYLKTNKRGLYTLKHVVDKSKLDVRIFRSEAVVVSCPTATFASRQSGGRLRERCVGDTDNAELKVTGVAPLQVTYRNWDGKHFNTHIIDSTIPDDFHPPAVVLSSNPKDIVFYKGIDIQWARSSEIFVPINTLLKAPGQWIYAVTQVTDALGNSQQFPSNDQFLLRFAHGYTEADGESHSLPENVYSVFVHQRPDIQFRGCSIESPANLFPNKETSLSLYSSFSEYNSLEVGVDRYELGLDPQNITVPPLSHKTYQISPRSSANINVKKPGIYVLSSVSSQYCSGEVLEPNTCLVVTPPEAKVSVSFEEISDQCAGSIGARADLELEGTPPFTIAYRMTKDNEASRIQYVTTDRTRYQLNFTPKKAGKYRYIILGIQDANYGYRELSGSSFYKDQTVFPLADASFEERRNGDLSTVVKTSCIGDTMSLPVLLTGSAPWTLEYEIFRNNKREESHVVESKDPRYILEVPMLVHGSQYTITLVSVKDSNGCKRSLNTADTVIKVRRQRPTATFYSSDNTYTLKSVEGALMKIPLRLAGEKPWYVEYSHTSGLNKVSHHKEVLNDPNSYLTVRKSGTYTLLSVSDSSCPGTIQNVEQKYQVEWLPRPFLSIPSLESSVKGKTRYYEQNAVCAGDSSAFEVQLSGSGPFLLKHDKILVDEKSKTYPKQKSELSTVQNTVLVKADTAVPGVYHYEFTKLSDSLYSDSDAVTIVNNQSYQAVVLQRVNSLPKASFMNVEKLYTFCINTDVTQSNAQLIAIQLQGASPFSLVIGIKNELTGSVSKYTLNDIHESVYKFAFPQEQLTLGKHVVRLLQVRDANGCAASITKTQPAAKVSVVEMASLAPLGSRQYYCVGDRLSFALQGLPPFDVEYEFNGVTQHATSDSHILTRLIELPGVVAMKSISDHGSHCKSYINPPIEQIVHDIPTVRISNGKDVIENIHEGDQAEISFHFTGTPPFSFSYARRALGKKRPGKVLETHTVTGINEYEYKVLSSVEGVYTVLSVQDKYCRYPQDSTSSSNI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MENDEAFDRLIRKIQENQKHPSFEGSNKVLHLALSYLNTNRQNPHWVCDPKLQVAVRECLFLFSFQNDNEYLVWFKKHLNERLQLCPKCIVKYHQSLDDFKSLCLNIFHFDPNTLEIVMEKIYSWDIFRLQEVLKTVPKIDTSSASKPILCAFYEILYSPRILHNKEIFPLFRNRFLESGFLRLSKNLVPGVISLLFSRDDELRRWACSILSDVKTISDNEFKILEGPLLQEIRSLDQKKENENEMQIFLKGLSLLLKHVDHLYFRGLTGKNFNVADFILSGLQSDHTNLCPSFLVCLHSLLYCYGRNFWTPEISPSEVIDKIFNGNAYKRWTEENTSNFSNDQKAENPFEWATSLLKTISIIDEVELINKILINYTMQYKKELEVGFKNVQLLQSLADIFSVLFSEYFMIFPHSDQSLQNKVFELHSTLAIKFDELFRLLNLENEESVEWRLIKKVFEYRLNLDLYILKQFYCHYLDRNRKPPLNIKSVSESFKNFWVYLQNVFREEKFFMVRIVFRACSTVCLIDKLPPKKVDIPFKSDFENALNGFVATFSEMLIQTQCWHLSAQTQLVSNPLTFRGIFSLVFSPILEISTGSISIIKSISLSDSVVDTIGELLRSQFSNTLNSSCYVLLQWLKVRNFGGAKHIVYFNKLIINTIFDSVDGLTSKDATFAKQVEKKESLKNFWESLWKFFTHFFIVLPTWPVHDKDNLVDLMRDTLDFCDMLINIFEEVNGFIFGLSDNDIKIVSANDKGSALAMCIADSLVTVSYWLKLTDSSLLTSVVKVICKMLKICKKLECPISQNVIDIIHRASITSDEQTILTFTEREDLFISLTPYLSEDVLNHSPFNDTNTLETKLQSDDRGLLSKDQTIGIAKKLPESNISTSNHFLLPPKAISASKAINRNAQKSQNLNFLKSKQETTQRIRESAKVPRTSAGNHLSEKLNSDNHIPKALQKLDSADPIRKPSLLHTSKSYSNPDDKNTSTSDEDTSESEEESSNGLFSLAREANSHASKSLPQRRQIQFLDFDSLKTKNVVHPTQLRRNTQQSAQLARLRLNPDVQEFYKVILGWNPLADSFSASNVEMQCVQAKFTYNDSNAYEKVFKPMLFHECWAQVKSAVEEKQYPPIDLILNTRSTVDNFVDIYFTSCSPTEVSFLSDTDICLLSKSQSSGDTNNPKSFQLCKIQSISRKKESLELCLRMNIESIDLQEYAPNIRFTAQKLFNATTSLREFAALKSLRHLPLSQRILDANVTRLPSNFTDDKKQKIMKSYGVNEPQAYAIYASSVNDGFTLIQGPPGTGKTKTILGMIGAVLTSSSQGLQFNVPGQTRKTSKNKILICAPSNAAIDEILLRIKAGVYDHEGIKFFPKVIRVGFGDSISVHAKEFTLEEQMIKQMELTNLKKDQEANNSSDTRKKYDSIIKKRDSLREDLEKFRSTGKNSSILEAQLREITKQKNMLEQSLDDMRERQRSTNRNLDVLKKQIQNQLLQEADIVCATLSASGHELLLNAGLTFRTVIIDEAAQAVELSSIIPLKYGCESCVMVGDPNQLPPTVLSKTSAKFGYSQSLYVRMFKQHNESACLLSIQYRMNPEISRFPSKFFYNSKLLDGPNMSAVTSRPWHEDPQLGIYRFFNVHGTEAFSNSKSLYNVEEASFILLLYERLIQCYLNIDFEGKIGVVTPYRSQVQQLRSQFQRKYGSIIFKHLDIHTVDGFQGQEKDIIIFSCVRSSMSGGIGFLQDLRRLNVALTRAKSSLYIVGNSKPLMQEDIFYSLIEDAKTRGVWRDLSANQFKNSKSISNVSTHLASNNLNLASRDTPIKSPSVGICEEKQEAHKVKKRHNIDSANLSRGTERDEDIPNKRAKNKVSTDQTAADNKVTKPRLDESSSSKQDVLNKIDESEIEQASSKKPGYVEKNKDKGHMKKSKKPKSKLALAAMAHGFAPPKVEHFKRK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSAQSVEEDSILIIPTPDEEEKILRVKLEEDPDGEEGSSIPWNHLPDPEIFRQRFRQFGYQDSPGPREAVSQLRELCRLWLRPETHTKEQILELVVLEQFVAILPKELQTWVRDHHPENGEEAVTVLEDLESELDDPGQPVSLRRRKREVLVEDMVSQEEAQGLPSSELDAVENQLKWASWELHSLRHCDDDGRTENGALAPKQELPSALESHEVPGTLNMGVPQIFKYGETCFPKGRFERKRNPSRKKQHICDECGKHFSQGSALILHQRIHSGEKPYGCVECGKAFSRSSILVQHQRVHTGEKPYKCLECGKAFSQNSGLINHQRIHTGEKPYECVQCGKSYSQSSNLFRHQRRHNAEKLLNVVKV", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAAGPSTRASSAAAAAALSRRGRRGRCDETAAAKTGAPGPASGPSLLVLSPPLLQPPLPPRPEESGCAGCLEPPGEAAALPCGHSLCRGCAQRAADAAGPGCPRCRARGPGWARRRARDDGQADSEVLGECARRSQPERCRPRRDGGAAAAGPRPEQEPRAAPAEPDFIFRAPIKLSKPGELREEYESLRKLREEKLQEEKPSEDQIHKLLPEDTETGKRKMDEQKKRDEPLVLKTNLERCPARLSDSENEEPSRGQMTQTHRSAFVSKNNSYSLAFLAGKLNSKVERSQSCSDTAQERAKSRVRAVPGNKAKVTTMTPASNPIIGVLLSTQNNRCVSAPDLTIEKRLPFSSLSSLASLHKPERSVSPESNDSISEELNHFKPIVCSPCTPPKRLPDGRVLSPLIIKSTPRNLNRSLQKQTSYEASPRILKKWEQIFQERQIKKTLSKATLTSLAPEMGEELLGSEGIHSSKEKPLVAVNTRLSGGQVLSEYTGPTSADLDHFPSVSQTKAEQDSDNKSSTEIPLETCCSSELKGGGSGTSLEREQFEGLGSTPDAKLDKTCISRAMKITTVNSVLPQNSVLGGVLKTKQQLKTLNHFDLTNGVLVESLSEEPLPSLRRGRKRHCKTKHLEQNGSLKKLRQTSGEVGLAPTDPVLREMEQKLQQEEEDRQLALQLQRMFDNERRTVSRRKGSVDQYLLRSSNMAGAK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFKRMAEFGPDSGGRVKGVTIVKPIVYGNVARYFGKKREEDGHTHQWTVYVKPYRNEDMSAYVKKIQFKLHESYGNPLRVVTKPPYEITETGWGEFEIIIKIFFIDPNERPVTLYHLLKLFQSDTNAMLGKKTVVSEFYDEMIFQDPTAMMQQLLTTSRQLTLGAYKHETEFAELEVKTREKLEAAKKKTSFEIAELKERLKASRETINCLKNEIRKLEEDDQAKDI", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MFTMTRAMEEALFQHFMHQKLGIAYAIHKPFPFFEGLLDNSIITKRMYMESLEACRNLIPVSRVVHNILTQLERTFNLSLLVTLFSQINLREYPNLVTIYRSFKRVGASYEWQSRDTPILLEAPTGLAEGSSLHTPLALPPPQPPQPSCSPCAPRVSEPGTSSQQSDEILSESPSPSDPVLPLPALIQEGRSTSVTNDKLTSKMNAEEDSEEMPSLLTSTVQVASDNLIPQIRDKEDPQEMPHSPLGSMPEIRDNSPEPNDPEEPQEVSSTPSDKKGKKRKRCIWSTPKRRHKKKSLPGGTASSRHGIQKKLKRVDQVPQKKDDSTCNSTVETRAQKARTECARKSRSEEIIDGTSEMNEGKRSQKTPSTPRRVTQGAASPGHGIQEKLQVVDKVTQRKDDSTWNSEVMMRVQKARTKCARKSRLKEKKKEKDICSSSKRRFQKNIHRRGKPKSDTVDFHCSKLPVTCGEAKGILYKKKMKHGSSVKCIRNEDGTWLTPNEFEVEGKGRNAKNWKRNIRCEGMTLGELLKRKNSDECEVCCQGGQLLCCGTCPRVFHEDCHIPPVEAKRMLWSCTFCRMKRSSGSQQCHHVSKTLERQMQPQDQLIRDYGEPFQEAMWLDLVKERLITEMYTVAWFVRDMRLMFRNHKTFYKASDFGQVGLDLEAEFEKDLKDVLGFHEANDGGFWTLP", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSTVEEDSDTVTVETVNSVTFTQDTDGNLILHCPQNDPDEVDSEDSTEPPHKRLCLSSEDDQSIDDATPCISVVALPLSENDQSFEVTMTATTEVADDELSEGTVTQIQILQNDQLDEISPLGTEEVSAVSQAWFTTKEDKDSLTNKGHKWKQGMWSKEEIDILMNNIERYLKARGIKDATEIIFEMSKDERKDFYRTIAWGLNRPLFAVYRRVLRMYDDRNHVGKYTPEEIEKLKELRIKHGNDWATIGAALGRSASSVKDRCRLMKDTCNTGKWTEEEEKRLAEVVHELTSTEPGDIVTQGVSWAAVAERVGTRSEKQCRSKWLNYLNWKQSGGTEWTKEDEINLILRIAELDVADENDINWDLLAEGWSSVRSPQWLRSKWWTIKRQIANHKDVSFPVLIKGLKQLHENQKNNPVLLENKSGSGVPNSNCNSSVQHVQIRVARLEDNTAISPSPMAALQIPVQITHVSSTDSPAASVDSETITLNSGTLQTFEILPSFHLQPTGTPGTYLLQTSSSQGLPLTLTTNPTVTLAAAAPASPEQIIVHALSPEHLLNTSDNVTVQCHTPRVIIQTVATEDITSSLSQEELTVDSDLHSSDFPEPPDALEADTFPDEIPRPKMTIQPSFNNAHVSKFSDQNSTELMNSVMVRTEEEIADTDLKQEEPPSDLASAYVTEDLESPTIVHQVHQTIDDETILIVPSPHGFIQASDVIDTESVLPLTTLTDPIFQHHQEASNIIGSSLGSPVSEDSKDVEDLVNCH", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MFEMGPVGTRLPAMTSPAHNHYSYHSPTSSDRGRSRQNSDAMDIQSITEREPATRYAVAGGPAPWNRNGSPSMSPMYSNNSERNQFHEENGRTYHGFRRGMYFLPCDEQEQDRLDIFHKLFTVARVSESLIYAPHPTNGRFLDLGCGTGIWAIEVANKYPDAFVAGVDLAPIQPPNHPKNCEFYAPFDFEAPWAMGEDSWDLIHLQMGCGSVMGWPNLYRRIFAHLRPGAWFEQVEIDFEPRCDDRSLDGTALRHWYDCLKQATAETMRPIAHSSRDTIKDLQDAGFTEIDHQIVGLPLNPWHQDEHERKVARWYNLAVSESIENLSLAPFSRVYRWPLERIQQLAADVKSEAFNKEIHAYNILHIYQARKPLR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPTAVNVAKQCLTAEASYALEEAVNVARRRGHSQTTSLHAISALLSLPTSVLRDACARVRNSAYSPRLQFKALDLCLSVSLDRIQSGHQLGSDDSPPVSNSLMAAIKRSQAHQRRLPENFRIYQEMSQSQNQNSLSCVKVELRQLILSILDDPVVSRVFGEAGFRSSELKLSIIRPVPHLLRYSSQQPLFLCNLTGNPEPNPVRWGFTVPSLNFNGDLDYRRISAVFTKDKGRNPLLVGVSAYGVLTSYLNSLEKNQTDGMILPTKLHGLTAVNIGSEISDQISVKFDKTYTDTRFHDLGKLAEQGSGPGLLLHYGDLRVFTNGEGNVPAANYIVNRISELLRRHGRRVWLIGATTSNEVYEKMMRRFPNVEKDWDLQLLTITSLKPCLPHNKSSLIGSFVPFGGFFSTTPSELKLPFSGFKTEITGPVSSISDQTQSTLPPWLQMTTRTDLNQKSSAKVVQTKEGLESVCGNKFTSSASASTCSAKSVTTDLNLRVSSVTTGSGLKKHLDSKDFSQPQSVSSYSFDNPRDLNAESFKIIYRRLTDMVSGQDEAARVISCALSQPPKSVTRRDVWLNLVGPDTVGKRRMSLVLAEIVYQSEHRFMAVDLGAAEQGMGGCDDPMRLRGKTMVDHIFEVMCRNPFCVVFLENIEKADEKLQMSLSKAIETGKFMDSHGREVGIGNTIFVMTSSSQGSATTTSYSEEKLLRVKGRQVEIRIETVSSLPMVRSVYGPTSVNKRKLMGLGNLQETKDTVESVKRLNRTTNGVLDLNLPAQETEIEEKYHCEENSNVWLMNLKNHKRLIEVPFKPFDFEGLAEKIKKSVKENFDKCVRSDCLLEVDPKIIERLLAAVYFSDSRKDIKELLENIMSPVFLRIKERYEITTSCVVKLVGRDLDIFLEDQMDLFFVKSQ", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDSQRGIVEQAKSQSLNRQSSLYSLTLDEVQNHLGSSGKALGSMNLDELLKSVCSVEANQPSSMAVNGGAAAQEGLSRQGSLTLPRDLSKKTVDEVWKDIQQNKNGGSAHERRDKQPTLGEMTLEDLLLKAGVVTETIPGSNHDGPVGGGSAGSGAGLGQNITQVGPWIQYHQLPSMPQPQAFMPYPVSDMQAMVSQSSLMGGLSDTQTPGRKRVASGEVVEKTVERRQKRMIKNRESAARSRARKQAYTHELEIKVSRLEEENERLRKQKEVEKILPSVPPPDPKRQLRRTSSAPF", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQNRRKAFYKLCPNAMPDLSNRLSKKQSKTEKKLHKNIFAHTGPVTIYVDPPSSAVDSALATIDWQDLVDCNSVIQQDAAGGAITQQQDHCLSGEPDFDLQEFRDAVDQFIADQPSLMQPSLGPPEFQLPACNVPVFEPCMTNPLQAQPEHLLPINVQTIPSTEQYWRDVADHNQKALGDALVENNQLQVSLTEKQEEIVSLKEKNIQLNELANQAKHLSSVLDKLMKERTKQNSGATQGRLPVKRSLEDFYPQSNEPDSTQVDEILREISKKCNIALMGSDLSERKRPRLEPMDSMDWQEEGVTEIKMCGAFHGLKTSTGLNSVNLGDTDLEDVSFRTSIKEHSTIRTLAFPQGNAFTIRTSGGGYKFRWVPN", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSDEGADKSLDTNTEFIIQTRSRRSNAGNKLQKLLEQELRDIESTKRQISSYKNGNDDEEDEIGLLFQEDEDDEDFEMMAKDDDDEGEEKEDETQSIRKEPSQASSEQAADDLMFSSSESEDSSNENDEDAEEKEIRRQELLSRKKRNKRLQKGPVVIKKQKPKPKSGEAIPRSHHTHEQLNAETLLLNTRRTSKRSSVMENTMKVYEKLSKAEKKRKIIQERIRKHKEQESQHMLTQEERLRIAKETEKLNILSLDKFKEQEVWKKENRLALQKRQKQKFQPNETILQFLSTAWLMTPAMELEDRKYWQEQLNKRDKKKKKYPRKPKKNLNLGKQDASDDKKRESEESIKNDGDVNSLGENSSSVHNQKRIEETSTNDTVEGESSPDAAVSRVNSDELKPTALPDVTLDAIANKQSTVDEAPNSQPQKNIITNEQKITNVGEPIQNLHNEEIKDEMVSALESRENTFENSSPAAQVVSQRDNSATPTPSNSTGTEDTILISPDTDIKGEPEPCLKTEGIENLSHNVPQETKSNTDVSFLKQVTFTDHPQVAIIDTEESPSKKDTANVDESSAENSLSTQTYEGPEQLTSRNFVTLYDFPNAPPNLKDFNTNLFGDRWSYTNGLSATQRPQDMKTVFHSILPSPPQSSVPSPTVDISLDLSALANFPSFGEYDKKIVHQINTEINKDLEIKIKTQPPTGVFLANGIRKKCLITNKECQYFDPRTGVPYSDVEAYKIIQRIQDPISKEEGRSDIKRDETTNEDSDDQVRFKWFGFKNGGIYLDLSQRPAKGVPEGF", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAANSSGQGFQNKNRVAILAELDKEKRKLLMQNQSSTNHPGASIALSRPSLNKDFRDHAEQQHIAAQQKAALQHAHAHSSGYFITQDSAFGNLILPVLPRLDPE", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSEPAPEVPEELFREVKYYAVGDIDPQVIQLLKAGKAKEVSYNALASHIISEDGDNPEVGEAREVFDLPVVKPSWVTLSVQCGALLPVNGFSPESCQIFFGLTACLSQVSSEDRSALWALVTFHGGSCQLNLNKKCTHLIVPEPKGEKYERAVKRTSIKIVTPDWVLDCVSEKRRKDEAFYHPRLIIYEEEEEEEEEGDNEEQDSQNEGSTEKSSVASSAVASPAEQPCSPKPRAEVSKGELMFDDSSDSSPEKQERSLNWAPAEAPPLNTAQRRLPQGKGPGLINLCANVPPVPGDILPPDMRGNLMAPGQNLQNSERSEILGTWSPAVRTLRNITNNADIQQINRPSNVAHILQSLSAPTKSLEQQVARGQQGHPNASAVLFGQAKGAPETHVLQQHHPPQQPQQQHPALHLQPQIMQLQQQQQQQQQQQQQPQPYPQPPSHQFPQQVHQHQFSQQQLQFPQQPLHPQQQLHRPQQQLQPFQQQHALQQQLHQLQQQQLQHHQLAQLQQQQQQQHNLLQQQQQQQQLQRLQQQQQMQNQAAHLSQASQALQHQVLPQQPLQLSLQPPPQQQQQQQLFGHDPAVEIPEESFLLGCVFAIADYPEQMSDKQLLATWKRIIQAHGGTVDPTFTSRCTHLLCASQVSSMYTQALRERKRCVTAHWLNTVLKKKKLMPPHRALHFPVAFPPGGKPCSQHIISVTGFVDNDRDDLKLMAYLAGAKYTGYLCRSNTVLICKEPSGLKYEKAKEWRIPCVNAQWLGDILLGNFEALRQVQYSRYTAFNMPDPFVPTPHLVLGLLDAWRTPVKVTAELLMGVRLPPKLKPNEVANIQPSSKRARIEDLPPPTKKLTPELTPLVLFTGFEPVQVQQYIKKLYILGGEVAECTKKCTHLIASKVTRTVKFLTAISVVKHIVTPDWLEECFKRQTFIDEQNYILRDAEAEVLFSFSLEESLKRAHVSPLFKTKYFYITPGICPSLATMKAIVECAGGKVLAKQPSFRKLMEHKQNKSLSEIILISCENDLHLCREYFARGIDVHNAEFVLTGVLTQTLDYESYKFN", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTADKDKDKDKEKDRDRDRDREREKRDKARESENSRPRRSCTLEGGAKNYAESDHSEDEDNDNNSATAEESTKKNKKKPPKKKSRYERTDTGEITSYITEDDVVYRPGDCVYIESRRPNTPYFICSIQDFKLVHNSQACCRSPTPALCDPPACSLPVASQPPQHLSEAGRGPVGSKRDHLLMNVKWYYRQSEVPDSVYQHLVQDRHNENDSGRELVITDPVIKNRELFISDYVDTYHAAALRGKCNISHFSDIFAAREFKARVDSFFYILGYNPETRRLNSTQGEIRVGPSHQAKLPDLQPFPSPDGDTVTQHEELVWMPGVNDCDLLMYLRAARSMAAFAGMCDGGSTEDGCVAASRDDTTLNALNTLHESGYDAGKALQRLVKKPVPKLIEKCWTEDEVKRFVKGLRQYGKNFFRIRKELLPNKETGELITFYYYWKKTPEAASSRAHRRHRRQAVFRRIKTRTASTPVNTPSRPPSSEFLDLSSASEDDFDSEDSEQELKGYACRHCFTTTSKDWHHGGRENILLCTDCRIHFKKYGELPPIEKPVDPPPFMFKPVKEEDDGLSGKHSMRTRRSRGSMSTLRSGRKKQPASPDGRTSPINEDIRSSGRNSPSAASTSSNDSKAETVKKSAKKVKEEASSPLKSNKRQREKVASDTEEADRTSSKKTKTQEISRPNSPSEGEGESSDSRSVNDEGSSDPKDIDQDNRSTSPSIPSPQDNESDSDSSAQQQMLQAQPPALQAPTGVTPAPSSAPPGTPQLPTPGPTPSATAVPPQGSPTASQAPNQPQAPTAPVPHTHIQQAPALHPQRPPSPHPPPHPSPHPPLQPLTGSAGQPSAPSHAQPPLHGQGPPGPHSLQAGPLLQHPGPPQPFGLPPQASQGQAPLGTSPAAAYPHTSLQLPASQSALQSQQPPREQPLPPAPLAMPHIKPPPTTPIPQLPAPQAHKHPPHLSGPSPFSMNANLPPPPALKPLSSLSTHHPPSAHPPPLQLMPQSQPLPSSPAQPPGLTQSQNLPPPPASHPPTGLHQVAPQPPFAQHPFVPGGPPPITPPTCPSTSTPPAGPGTSAQPPCSGAAASGGSIAGGSSCPLPTVQIKEEALDDAEEPESPPPPPRSPSPEPTVVDTPSHASQSARFYKHLDRGYNSCARTDLYFMPLAGSKLAKKREEAIEKAKREAEQKAREEREREKEKEKEREREREREREAERAAKASSSAHEGRLSDPQLSGPGHMRPSFEPPPTTIAAVPPYIGPDTPALRTLSEYARPHVMSPTNRNHPFYMPLNPTDPLLAYHMPGLYNVDPTIRERELREREIREREIRERELRERMKPGFEVKPPELDPLHPAANPMEHFARHSALTIPPTAGPHPFASFHPGLNPLERERLALAGPQLRPEMSYPDRLAAERIHAERMASLTSDPLARLQMFNVTPHHHQHSHIHSHLHLHQQDPLHQGSAGPVHPLVDPLTAGPHLARFPYPPGTLPNPLLGQPPHEHEMLRHPVFGTPYPRDLPGAIPPPMSAAHQLQAMHAQSAELQRLAMEQQWLHGHPHMHGGHLPSQEDYYSRLKKEGDKQL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTAELQQDDAAGAADGHGSSCQMLLNQLREITGIQDPSFLHEALKASNGDITQAVSLLTDERVKEPSQDTVATEPSEVEGSAANKEVLAKVIDLTHDNKDDLQAAIALSLLESPKIQADGRDLNRMHEATSAETKRSKRKRCEVWGENPNPNDWRRVDGWPVGLKNVGNTCWFSAVIQSLFQLPEFRRLVLSYSLPQNVLENCRSHTEKRNIMFMQELQYLFALMMGSNRKFVDPSAALDLLKGAFRSSEEQQQDVSEFTHKLLDWLEDAFQLAVNVNSPRNKSENPMVQLFYGTFLTEGVREGKPFCNNETFGQYPLQVNGYRNLDECLEGAMVEGDVELLPSDHSVKYGQERWFTKLPPVLTFELSRFEFNQSLGQPEKIHNKLEFPQIIYMDRYMYRSKELIRNKRECIRKLKEEIKILQQKLERYVKYGSGPARFPLPDMLKYVIEFASTKPASESCPPESDTHMTLPLSSVHCSVSDQTSKESTSTESSSQDVESTFSSPEDSLPKSKPLTSSRSSMEMPSQPAPRTVTDEEINFVKTCLQRWRSEIEQDIQDLKTCIASTTQTIEQMYCDPLLRQVPYRLHAVLVHEGQANAGHYWAYIYNQPRQSWLKYNDISVTESSWEEVERDSYGGLRNVSAYCLMYINDKLPYFNAEAAPTESDQMSEVEALSVELKHYIQEDNWRFEQEVEEWEEEQSCKIPQMESSTNSSSQDYSTSQEPSVASSHGVRCLSSEHAVIVKEQTAQAIANTARAYEKSGVEAALSEVMLSPAMQGVILAIAKARQTFDRDGSEAGLIKAFHEEYSRLYQLAKETPTSHSDPRLQHVLVYFFQNEAPKRVVERTLLEQFADKNLSYDERSISIMKVAQAKLKEIGPDDMNMEEYKKWHEDYSLFRKVSVYLLTGLELYQKGKYQEALSYLVYAYQSNAALLMKGPRRGVKESVIALYRRKCLLELNAKAASLFETNDDHSVTEGINVMNELIIPCIHLIINNDISKDDLDAIEVMRNHWCSYLGQDIAENLQLCLGEFLPRLLDPSAEIIVLKEPPTIRPNSPYDLCSRFAAVMESIQGVSTVTVK", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPKVFLVKRRSPGVSVRSWDELPDDKRADTYIPVSLGCLLRDPPEDCRSDGGSSSGCSSSAGEPGGAESSSSPRAPEPETPELHDAQGTDGHLAAMQRPVARSKIKFTTGTCDNSVIHNCDLCGKSFRLQRMLNRHLKCHNQVKRHLCTFCGKGFNDTFDLKRHVRTHTGIRPYKCEVCNKAFTQRCSLESHLKKIHGVQQQYAYKQRRDKLYVCEDCGYTGPTQEDLYLHVNSDHPGSTFLKKTSKKLAALMQNKLTSPLQENSTLSEEEEKK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVVMARVPRPERPDLVFEEEDLPYEEEIMRNQFSVKCWLRYIEFKQGAPKPRLNQLYERALKLLPCSYKLWYRYLKARRAQVKHRCVTDPAYEDVNNCHERAFVFMHKMPRLWLDYCQFLMDQGRVTHTRRTFDRALRALPITQHSRIWPLYLRFLRSHPLPETAVRGYRRFLKLSPESAEEYIEYLKSSDRLDEAAQRLATVVNDERFVSKAGKSNYQLWHELCDLISQNPDKVQSLNVDAIIRGGLTRFTDQLGKLWCSLADYYIRSGHFEKARDVYEEAIRTVMTVRDFTQVFDSYAQFEESMIAAKMETASELGREEEDDVDLELRLARFEQLISRRPLLLNSVLLRQNPHHVHEWHKRVALHQGRPREIINTYTEAVQTVDPFKATGKPHTLWVAFAKFYEDNGQLDDARVILEKATKVNFKQVDDLASVWCQCGELELRHENYDEALKLLRKATALPARRAEYFDGSEPVQNRVYKSLKVWSMLADLEESLGTFQSTKAVYDRILDLRIATPQIVINYAMFLEEHKYFEESFKAYERGISLFKWPNVSDIWSTYLTKFISRYGGRKLERARDLFEQALDGCPPKYAKTLYLLYAQLEEEWGLARHAMAVYDRATRAVEPAQQYDMFNIYIKRAAEIYGVTHTRGIYQKAIEVLSDEHAREMCLRFADMECKLGEIDRARAIYSFCSQICDPRTTGAFWQTWKDFEVRHGNEDTIREMLRIRRSVQATYNTQVNFMASQMLKVSGSATGTVSDLAPGQSGMDDMKLLEQRAEQLAAEAERDQPPRAQSKIFFVRSDASREELAELAQQANPEEIQLGEDEDEDEMDLEPNEVRLEQQSVPAAVFGSLKED", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAAAVSSNPRTVEEIFKDYSARRAALLRALTKDVDDFYSQCDPEKENLCLYGHPNESWEVNLPAEEVPPELPEPALGINFARDGMQRKDWLSLVAVHSDCWLLSVSFYFGARLNRNERKRLFSLINDLPTLFDVVTGRKAMKDNKPSSDSGSKSRNGTKRSIDGQTKSSTPKLMEESYEEEEEEDEHGDTLCGSCGGHYTNEEFWICCDVCERWYHGKCVKITPAKAESIKQYKCPPCCAKKGRQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSTSVKHREFVGEPMGDKEVTCIAGIGPTYGTKLTDAGFDKAYVLFGQYLLLKKDEDLFIEWLKETAGVTANHAKTAFNCLNEWADQFM", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPGRCQSDAAMRVNGPASRAPAGWTSGSLHTGPRAGRPRAQARGVRGRGLLLRPRPAKELPLPRKGGAWAPAGNPGPLHPLGVAVGMAGSGRLVLRPWIRELILGSETPSSPRAGQLLEVLQDAEAAVAGPSHAPDTSDVGATLLVSDGTHSVRCLVTREALDTSDWEEKEFGFRGTEGRLLLLQDCGVHVQVAEGGAPAEFYLQVDRFSLLPTEQPRLRVPGCNQDLDVQKKLYDCLEEHLSESTSSNAGLSLSQLLDEMREDQEHQGALVCLAESCLTLEGPCTAPPVTHWAASRCKATGEAVYTVPSSMLCISENDQLILSSLGPCQRTQGPELPPPDPALQDLSLTLIASPPSSPSSSGTPALPGHMSSEESGTSISLLPALSLAAPDPGQRSSSQPSPAICSAPATLTPRSPHASRTPSSPLQSCTPSLSPRSHVPSPHQALVTRPQKPSLEFKEFVGLPCKNRPPFPRTGATRGAQEPCSVWEPPKRHRDGSAFQYEYEPPCTSLCARVQAVRLPPQLMAWALHFLMDAQPGSEPTPM", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTSYSYFTIPSTATTGFNYPVQPMTMFSGAPYNAAMIPRKNRRERTTYSRQQLEILETLFNETQYPDVFARERVADQIRLQESRIQVWFKNRRAKYRLQEKQKPKRSNEKSQEHKSEDQQQTDVLDGEPLKGGSPGYQPQIKSELESCDGAVASGKLGTPKSISPVETTASTTSSNTSAAELQWNGDHKILGFGKNETTTSAAVSPTADNASTPSSSSSITATSSLPTTSSSLSVYNYPAIYPQWGLDYSTYANPQYAQFSHNPYAGTPFWYSNGNNL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSITASTCGSPSSSESLPNGDLFKELWSKLKECHDKDLQELLMKIGKLKKERCLDAQRLEEFYTKNQHLREQQKTLHDTIKVLEDRLRAGLCDRCTVTEEHMRKKQQEFENIRQQNLKLITELMNDKNALQDENKRLSEQLHDMQKNRHRRKSDEENPADTGDGEDGVIPDSPLSTFSLSMVSRMRRKKENKHVRYTEQTQEDALTFDRKISSGTRPQISTQVNMRKGEDVLVAETLELAPLPNKYEVCTEKPVFNLATVVAETLGLDAMEESQSQSVFNQPGITCAPLFHKSEDSSPRQVKVEFTEGSMEGFQTNDDDTEWNRREASPVFGEPVRNIRRGTDMDCSSPPLPVGLSSKLKSHCSRNAPDFSVHAKAEDGALLTRLSHCIETDSVISQCSSNRQDVLRPSPNKSDAQMGKYIFDSEQHKQTGNRYGKRKNAEAEQEESCESSFDKENNIPLKDISGARHSMLDKPLDLSDRFSVLRPQDRSHESSSRTKLTISLVPEKPDTKTILHIDLKENLHQQTRQKKVFVSGLVEHSAFNLHEDNEVTEEDNKPFHDSETEIMCHVPKRKPRAVHRGVQPTSVLQPNLHMVHACLESQGRPPIDNMQWSIDPGADLSQYEMDMTMEDSKSGSPAKPELEDMDYTYVNESCLLKLKMGDPDDSEAESKDQDSFGEMFDKTEYGEYASYIKDKSPSQSISCKERSDIPSIENKKITSEKEHESKGEPYQKQKAFVEPYFQRPERKKPAIDFPHIEVVRNKEERRKMLGHTCKECELYYADLPEEERAKKLASCSRHRFRYIPPSTPENFWEVGFPSTQTCKDRGYIKEELSPCQRPRRRQPYNAIFTSKIKEQKT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAVRSRPQLAFQTEKENGIGITAFNETAKLYANVVEALDAQRLRIFDSVAGSLKTEYILEKEKVISCIAWEQKPLYASEQITTDISGSGEILVLGTNSGEILIYSEHLGSLTRTYSFGILQKIIGAHVLANDGFAIDITGKVVCFSVNTGEVRTSFTVPSSSREFSGLYLSTVFKNLALASSHNIHIVDLNHRNPIDSLTTHTSMINSVVFQYLKDENKFYFGVSANQDRFINLYSKELDGTGEFPTNTVKNVGALVCENEVKMLSIAYEIENPETGVLAALTNDGTIEMFENPWLSKLRQNGTNASSLSHRRKLLTSHSTLKICFCRSRGDPPIVLESIAFESTDSLTVVWKESTRTVFETVPWRILSSQATNGLIELVRSKTRLTSKNKTVSNVYDESNATISSGVMQKDLRKTEEIGSAEAMEGEEQEPSLAERLQNLTKLDQQAQALSTQISASTSLSTVLTQALKTNDQSLLESCFNNNNVETIDTTIRRLDPSLAPILLDKLAEKLALRPMRADVLMVWIRCTLITHGGHLVLVDDLKHKLANLHSILEDRASKYSSMLALQGKLDLVLSQIAFRKAGGSKDNAEDEEPISIYYEGYEESMDEASSTGDEGYSSDDSVDNEMYSDEENSSKGAFPDEENENRELSEDYSGDESLENSESE", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNGPADGEVDYKKKYRNLKRKLKFLIYEHECFQEELRKAQRKLLKVSRDKSFLLDRLLQYENVDEDSSDSDATASSDNSETEGTPKLSDTPAPKRKRSPPLGGAPSPSSLSLPPSTGFPLQASGVPSPYLSSLASSRYPPFPSDYLALQLPEPSPLRPKREKRPRLPRKLKMAVGPPDCPVGGPLTFPGRGSGAGVGTTLTPLPPPKMPPPTILSTVPRQMFSDAGSGDDALDGDDDLVIDIPE", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKYNNEKKKGGSFKRGGKKGSNERDPFFEEEPKKRRKVSYDDDDIESVDSDAEENGFTGGDEDGRRVDGEVEDEDEFADETAGEKRKRLAEEMLNRRREAMRREREEADNDDDDDEDDDETIKKSLMQKQQEDSGRIRRLIASRVQEPLSTDGFSVIVKHRRSVVSVALSDDDSRGFSASKDGTIMHWDVSSGKTDKYIWPSDEILKSHGMKLREPRNKNHSRESLALAVSSDGRYLATGGVDRHVHIWDVRTREHVQAFPGHRNTVSCLCFRYGTSELYSGSFDRTVKVWNVEDKAFITENHGHQGEILAIDALRKERALTVGRDRTMLYHKVPESTRMIYRAPASSLESCCFISDNEYLSGSDNGTVALWGMLKKKPVFVFKNAHQDIPDGITTNGILENGDHEPVNNNCSANSWVNAVATSRGSDLAASGAGNGFVRLWAVETNAIRPLYELPLTGFVNSLAFAKSGKFLIAGVGQETRFGRWGCLKSAQNGVAIHPLRLA", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASASSSRAGVALPFEKSQLTLKVVSAKPKVHNRQPRINSYVEVAVDGLPSETKKTGKRIGSSELLWNEIIILNVTAQSHLDLKVWSCHTLRNELLGTASVNLSNVLKNNGGKMENMQLTLNLQTENKGSVVSGGELTIFLDGPTVDLGNVPNGSALTDGSQLPSRDSSGTAVAPENRHQPPSTNCFGGRSRTHRHSGASARTTPATGEQSPGARSRHRQPVKNSGHSGLANGTVNDEPTTATDPEEPSVVGVTSPPAAPLSVTPNPNTTSLPAPATPAEGEEPSTSGTQQLPAAAQAPDALPAGWEQRELPNGRVYYVDHNTKTTTWERPLPPGWEKRTDPRGRFYYVDHNTRTTTWQRPTAEYVRNYEQWQSQRNQLQGAMQHFSQRFLYQSSSASTDHDPLGPLPPGWEKRQDNGRVYYVNHNTRTTQWEDPRTQGMIQEPALPPGWEMKYTSEGVRYFVDHNTRTTTFKDPRPGFESGTKQGSPGAYDRSFRWKYHQFRFLCHSNALPSHVKISVSRQTLFEDSFQQIMNMKPYDLRRRLYIIMRGEEGLDYGGIAREWFFLLSHEVLNPMYCLFEYAGKNNYCLQINPASSINPDHLTYFRFIGRFIAMALYHGKFIDTGFTLPFYKRMLNKRPTLKDLESIDPEFYNSIVWIKENNLEECGLELYFIQDMEILGKVTTHELKEGGESIRVTEENKEEYIMLLTDWRFTRGVEEQTKAFLDGFNEVAPLEWLRYFDEKELELMLCGMQEIDMSDWQKSTIYRHYTKNSKQIQWFWQVVKEMDNEKRIRLLQFVTGTCRLPVGGFAELIGSNGPQKFCIDKVGKETWLPRSHTCFNRLDLPPYKSYEQLREKLLYAIEETEGFGQE", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPKFYCDYCDTYLTHDSPSVRKTHCSGRKHKENVKDYYQKWMEEQAQSLIDKTTAAFQQGKIPPTPFAAPPAGSAMIPPPPSLGGPPRPGMMPAPPMAGPPMMPMMGPPPPGMMPVGHGPGMRPPMGAHMPMMPGPPMMRPPTRPMMLQSRPGMARPDR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNAFQDFELGAKLYLQCLLSLSSSRSATPSYTSPVNHAGASPLNAIAHSPVNVSATHRQNFFTPIANQSQQQQQQQPVAVPLDSKWKTTPSPVLYNANNNSSNNNTSSSNNNNNSNWEVGSNSNTHVAATAAATSTVGAQPLPPQTTPVSLVMHAPPPQQQPLQQQHHHHQPPPPPPASLPAPSAPPTSGSSSSHNSVGHATSVIRISSSQQQHQQQQQHQQQAHPHVVVSGGQTFHPVIVDATQLSVPLPPTTVSFHQPNTPTSTAASVASMSQDKMLAKNGYNAPWFKLLPHMTPMSKASPAPVTPTLTTSASSYNVVMMQQQQQHQQLQQQQQLQQQQQSPPQMPLNHNNNHLIVSAPLSSPGKPLNCSMNDAKVAAAAAAAAVANQRQKQQQEEPDDQLDDDVFETTTPGISANSKKQTAAMRLPTHNSNIRKLEECHDDGAAGAPATSAAKRRSQSLSALQQQQQQQQQAGAAGTAAGQPANKKIRRPMNAFMIFSKKHRKMVHKKHPNQDNRTVSKILGEWWYALKPEQKAQYHELASSVKDAHFKLHPEWKWCSKDRRKSSTSTATPGGKASGAAGTGDAKQRLVSVDGSDSLEHDMCPSTPGGSGSCGGQGISSDLQGDIIPLTIDNYNSTCDEAPTTISMKGNGNGKLMKNELPSDEDEQMLVVEEEQQQQTVKKIDLHCRERVNDSDMDDTPFDYRKQQPEANQRSAEEHSTSGANGQAINAPPLSGGEREITLKPKAIKAHPVLESNMLPYTQMSIYTQYTSPKNPIGVTPFQPTGGAFKSMPISPKGSGGKPEDAGSLQAHIKQEDIKQEPPSPYKLNNGSGSASGGGVVSAPPPNSGSVGAIFNFNVPTATALSQKQFHYPMHHPHRSPTDLRDEEADREEITQGTKSGESSEKDKPALDDQERDEVEEEDEDEEDDDEDDEDDEQFMQELASVNASAGFDDLVPYAMPKVVITPTPTPPPVATIVTPIKRKQFTIVRSLTPLQPSNSPHQQLKHLHQRRGETPPTVITRVPTPTINHFTIIRTQQHPHTHPHNTPPPLFFKQKVQGSPVIATVTTSTLSSSSSNPANNEAPNKFSNFPTQHQPTTTTTISCNTNNNATPIIRKLLTLQEGAELGGSHKGTGRAAILYDALVLDTLHGQDEEEEEDEGNAEKQENPKVAGKEQVTTSQPATMLLITDVNAYNQQHVAGNAATPVSGAATLRPVSFISINACNKITLPANARILTAATATSTAAGAAVTSQAGATLTVMTKASAATNHSSSNASDITITAASAAPVPTSGSSIVMINSTTNPSTSSNSTSCSAAAHQACVPSSPAGMGLGHAANIATPPASAPAQIMGGGPASQKMFFAMTHPYTLLQRSHQPGTPSLEHLQLDAFAPGGYTLRNHNGLSSLPPPVSAQPTMLLHGYPPSHGVEPPARSPSYKSMPSTPKSATYLMSAPPERGMDGGMSGCASAAASGGDESDIDADGQQFILAPTPAQLGRAPLQRRKNLSQSKSESNVSFGANLGASNGQHISRKLHSPTMMESSSPIIGHVNSSNLSSALPTPTSSTTTPNSDEQLPLTPTTSSSNSNLNQQQPKSPMKGAPGSTAAALKKKNDEMNNSVLKQVDFEKKYKALPQFQPEDCQSPSAIAVPSSPRVYGTNYRKKNTAPPPVQKLMCEDDSIDEPASAPPTTTQRFFGPDFNNELKELESSDQTGRSPRTPKTPLQSARSDASEKGHRKVLETRRSLVLQLFAEHGNFPTAQATMAFQSKHSDVFPRKQDLQLKIREVRQKLLGQASCTPHSAGPNTPSDSNSSSTTLSASSTSLNMQTTSAADVFQYY", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEREPGAAGVRRALGRRLEAVLASRSEANAVFDILAVLQSEDQEEIQEAVRTCSRLFGALLERGELFVGQLPSEEMVMTGSQGATRKYKVWMRHRYHSCCNRLGELLGHPSFQVKELALSALLKFVQLEGAHPLEKSKWEGNYLFPRELFKLVVGGLLSPEEDQSLLLSQFREYLDYDDTRYHTMQAAVDAVARVTGQHPEVPPAFWNNAFTLLSAVSLPRREPTVSSFYVKRAELWDTWKVAHLKEHRRVFQAMWLSFLKHKLPLSLYKKVLLIVHDAILPQLAQPTLMIDFLTRACDLGGALSLLALNGLFILIHKHNLEYPDFYRKLYGLLDPSVFHVKYRARFFHLADLFLSSSHLPAYLVAAFAKRLARLALTAPPEALLMVLPFICNLLRRHPACRVLVHRPHGPELDADPYDPGEEDPAQSRALESSLWELQALQRHYHPEVSKAASVINQALSMPEVSIAPLLELTAYEIFERDLKKKGPEPVPLEFIPAQGLLGRPGELCAQHFTLS", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSSTRKFKKVEKPLSQTRHYSLCIPTTLVSDCRNLSQITHKVYQVAKFASLFNVSEVVILEDNSQVDATKKKISTAKLILALLQYFVTPPYLRNTVFNEKFRPYLTAASKLPRLSTLPFTRYQKQDHGRYREGLTIKMQKPTLARKKIGKVFKQTKYINIGKSKALALQSQLVPINARVTIDTITRKIVSPQEAYGDFTGLDSQYGYYTRIASSFTDLFMKGPLKEGYTQSVYVPLTTRDTSIPELSSLPTAETNPHILLVFSTWDTLARAFKLDQDQFVDCQGPQEFFDAQLPCPVSNSDVADAIPMTLTTLSTVF", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTDDIVFENMVIDDTIVEEEDVEMKYYLKNKKEFEFLAKEMLSGKKILFITGAGLSINSGISAYRNTKTSVWSNFITEWGTRKKFEQDPAQFWNHFWLRTHEKQEYLDALPNSGHLAISNFVEYLGSNVITQNVDALHLKAKVPIEKLVEVHGRISLYKCITKGCRFEYDDTIDNIEIGDYSINGTTMKQGNLEITPPLCPECKKPILPQSLLFDENYSSHQFYNIEKAMDWIQEADIFIFIGTSFSVGITEEVICHAQSERKKMFNFNIFKETKISGLKSIVGKSEITLPLLERQLLYEAQKRNNGKKQIWYGNTIKRIVSENSMLLKEAMLKNDTKSSVKV", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MITSPSASRNSDTDLVWESSSSSSRNNSSAVASKPFLHSVPPSDPLRQANRLPIKILKMLTARTGHILHPEYLQPLPSTPVSPIELDAKKSPLALLAQTCSQIGKPDPPPSSKLSSVTSNGSSEKESKSGPLKLSDIGVEDKSSFKPYSKPADKKDSSSGVSSGEKSGFRVPSATCQPFTPRTGSPNSSTSASPMPSDGKGERDEKKDSDCNKNCSSDGSAPTSVSHSRISVSCAGINVEVNQHQETTSGSKASATSDSVSCVTSSSSASVLGSGLVAPVSPYKPGQTVFPLPPAGMTYPGSLAGAYAGYPQHFLPHGGSLVNAQLASSLGCSKAGSSPLAGASPPSIMSASLCRDPYCLSYHCASHLAGAAGASCTHDSAAAAAASALKSGYPLMYPTHPLHGVHSSPPSFGGHPLYPYGFMLPNDPLPHVCNWVSANGPCDKRFSSSEELLNHLRTHTAFTGTEKLISGYPSSSSLASAAAAAMACHMHMPPSGAPGSPGTLALRSPHHALGLSSRYHPYSKSPLPTPGAPVPVPAATGPYYSPYALYGQRLTTASALGYQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKTPFGKTPGQRSRADAGHAGVSANMMKKRTSHKKHRSSVGPSKPVSQPRRNIVGCRIQHGWKEGNGPVTQWKGTVLDQVPVNPSLYLIKYDGFDCVYGLELNKDERVSALEVLPDRVATSRISDAHLADTMIGKAVEHMFETEDGSKDEWRGMVLARAPVMNTWFYITYEKDPVLYMYQLLDDYKEGDLRIMPDSNDSPPAEREPGEVVDSLVGKQVEYAKEDGSKRTGMVIHQVEAKPSVYFIKFDDDFHIYVYDLVKTS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNKTENLSIEETNEIREKLGMKPIPVFQEKNTDHKESLSIEETNELRASLGLKLIPPQQNFNSSPPNVHNTSKIDELREKITKFQKKANAPLRMAHLLEETNVNDDSSWLENMDAIPSSHESKRSSTLPRKGATKEDENIDLHNVQVSYNIEALSPKKDTILTLKESSIFDDTDSTEVLENVKAAEENADREKLRLRQMNKDRRQKKKILNVSSLDIEEEEEGEKHSITTTHLIIGAEQGVMKAPNTISAKPPTGKVKVNFDSANNMSDEDGGDFKPLKIKKRKIKDPRSTKARKSKITDKMEIVKLVDEDESLSWMEEEQPVTIINPRTSSNNELKGPEDLAREIEKARDEEKRRTESILKMREISNSIVVDEKVTFLNTLDTSLSERSATENKVKVHGEGEKNIGDVTNGHTKEGSGNNTLTEAVNNEPNYEGDAENAPNFFSGLASTLGYLRKKSVFTTGDVDLKPGKDVNNSESLRRDVRNKEHTGTGTYTKDKLHGLEQFTSSDSSNANTHSKRQDHYDPDIKLVYRDEKGNRLTTKEAYKKLSQKFHGTKSNKKKRAKMKSRIEARKNTPENGSLFEFDDN", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSVAAMTMDDQRPCMNSYDKMPPTKYEQNLNILNSSQNSGATGGPASPTPSGLEDHHHHHHPHPHHHHHQEQQQQQQQQQHLQQQQQQQQQQHAAAVAEAVAAAEQRQRLLEDEIENLKLEQVRMAQQCADAQRREKILMRRLANKEQEFQDYVSQIAEYKAQQAPTALALRTALLDPAVNLLFERLKKELKATKAKLEETQNELSAWKFTPDSNTGKRLMAKCRLLYQENEELGKMTSNGRLAKLETELAMQKSFSEEVKKSQSELDDFLQELDEDVEGMQSTILFLQQELKTTRDRIQTLEKENAQLKQAIKDEVVAPAAATNGGTNTTINKLETIHEDACMANNPTNPDCYNGNTNNEQIAAVPQIPLSDDGSNMNGNAARLARKRNYQEEEALPTVVVVPTPTPVGNNVQEAPPIREVTAPRTLPPKKSKLRGITTRRNSQLEEDHQPVTTPVAVPMIVDNAVAGMASEEAAAAAAAVNNSNTGIIPETGVQVGVPVEGGDPGAPAAPGRILTRRRSVRMQQNGSGAVDYST", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPKKVQPTGDENEEASVPCKRVKEELPETLSVLNFDSPSSFFESLISPIKVETFFKEFWEQKPLLIQRDDPVLAKYYQSLFSLSDLKRLCKKGVYYGRDVNVCRSISGKKKVLNKDGRAHFLQLRKDFDQKRATIQFHQPQRYKDELWRIQEKLECYFGSLVGSNVYMTPAGSQGLPPHYDDVEVFILQLEGTKHWRLYSPTVPLAHEYSVESEDRIGTPTHDFLLKPGDLLYFPRGTIHQAETPSGLAYSIHLTISTYQNNSWGDCLLDSISGFVFDIAKEDVALRSGMPRRMLLNVETPADVTRKLSGFLRTLADQLEGREELLSSDMKKDFVKHRLPPFFEGNGTETMDPGKQLPRLDNIIRLQFKDHIVLTVGPDKNPFDEAQQKVVYIYHSLKNVRQMHMIGEEEESEIFGLRFPLSHVDALKQIWCGSPIRVKDLKLDTDEEKENLALSLWSESLIQVL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEQPKKVADRYLKREVLGQGTYGVVFKATDTKNGETVAIKKIRLGKEKEGVNVTALREIKLLKELKHPHIIELIDAFPHKENLHIVFEFMETDLEAVIRDRNLYLSPGDVKSYLQMILKGLEYCHGKWVLHRDMKPNNLLIGPNGQLKLADFGLARIFGSPGRKFTHQVFARWYRAPELLFGAKQYDGAVDVWAAGCIFAELLLRRPFLQGNSDIDQLSKIFAAFGTPKADQWPDMICLPDYVEYQFVPAPSLRSLLPTVSEDALDLLSKMFTYDPKSRISIQQALKHRYFTSAPSPTDPLKLPRPVSKQDAKSSDSKLEAIKVLSPAHKFRRVMPDRGKSGNGFKDQSVDVMRQASHDGQAPMSLDFTILAERPPNRPTITSADRSHLKRKLDLEFL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGTSSGSNLPHQMLPPRQQLQTSLSLVSSDPHLSRSNSGIVRESPAESASSQETWPTSKSIMGRKTDSGKTGPDSHDQHVIRHVSIADKVSLRDIARERLDIVAERMHRLPEEYLEELKNGLKAILEGNGAQPIDEFMFLQKFVQTRSDLTSKTLVRAHRVQLEVLVVINTGIQAFLHPNINLSQSSLIEIFVYKRCRNIACQNELPADGCPCEICANRKGFCNLCMCVICNKFDFAVNTCRWIGCDVCSHWTHTDCAIRDGEISMGVSPKSVSGMGEMLFKCRACNHTSELLGWVKDVFQHCAPNWDRESLMKELDFVSRIFRGSEDTRGRKLFWKCEELMEKIKGGLAEATAAKLILMFFQEIELDSPKSLESGEGGGTIAPQDACNRIAEVVKETLRKMEIVGEEKTRMYKKARMGLEECEREVEEKAKQVAELQMERQKKKQQIEEVERIVRLKQAEAEMFQLKANEAKVEAERLERIVKAKKEKTEEEYASNYLKLRLSEAEAEKEYLFEKIKEQESGGNGGEASQAVMYSKIREMLHGYNASSPRVDPRSNQRNPFRSNP", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MMLQHPGQVSASEVSASAIVPCLSPPGSLVFEDFANLTPFVKEELRFAIQNKHLCHRMSSALESVTVSDRPLGVSITKAEVAPEEDERKKRRRERNKIAAAKCRNKKKEKTECLQKESEKLESVNAELKAQIEELKNEKQHLIYMLNLHRPTCIVRAQNGRTPEDERNLFIQQIKEGTLQS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSAALLRRGLELLAASEAPRDPPGQAKPRGAPVKRPRKTKAIQAQKLRNSAKGKVPKSALDEYRKRECRDHLRVNLKFLTRTRSTVAESVSQQILRQNRGRKACDRPVAKTKKKKAEGTVFTEEDFQKFQQEYFGS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTRWARVSTTYNKRPLPATSWEDMKKGSFEGTSQNLPKRKQLEANRLSLKNDAPQAKHKKNKKKKEYLNEDVNGFMEYLRQNSQMVHNGQIIATDSEEVREEIAVALKKDSRREGRRLKRQAAKKNAMVCFHCRKPGHGIADCPAALENQDMGTGICYRCGSTEHEITKCKAKVDPALGEFPFAKCFVCGEMGHLSRSCPDNPKGLYADGGGCKLCGSVEHLKKDCPESQNSERMVTVGRWAKGMSADYEEILDVPKPQKPKTKIPKVVNF", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSNRNNNKLPSNLPQLQNLIKRDPPAYIEEFLQQYNHYKSNVEIFKLQPNKPSKELAELVMFMAQISHCYPEYLSNFPQEVKDLLSCNHTVLDPDLRMTFCKALILLRNKNLINPSSLLELFFELFRCHDKLLRKTLYTHIVTDIKNINAKHKNNKVNVVLQNFMYTMLRDSNATAAKMSLDVMIELYRRNIWNDAKTVNVITTACFSKVTKILVAALTFFLGKDEDEKQDSDSESEDDGPTARDLLVQYATGKKSSKNKKKLEKAMKVLKKQKKKKKPEVFNFSAIHLIHDPQDFAEKLLKQLECCKERFEVKMMLMNLISRLVGIHELFLFNFYPFLQRFLQPHQREVTKILLFAAQASHHLVPPEIIQSLLMTVANNFVTDKNSGEVMTVGINAIKEITARCPLAMTEELLQDLAQYKTHKDKNVMMSARTLIHLFRTLNPQMLQKKFRGKPTEASIEARVQEYGELDAKDYIPGAEVLEVEKEENAENDEDGWESTSLSEEEDADGEWIDVQHSSDEEQQEISKKLNSMPMEERKAKAAAISTSRVLTQEDFQKIRMAQMRKELDAAPGKSQKRKYIEIDSDEEPRGELLSLRDIERLHKKPKSDKETRLATAMAGKTDRKEFVRKKTKTNPFSSSTNKEKKKQKNFMMMRYSQNVRSKNKRSFREKQLALRDALLKKRKRMK", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MCFNNIETGDEVETERQVFGSSEEDEFRVEDTARNTNNVQISQQQQQPLAHVVKWERYLPVRSLKVLLVENDDSTRHIVTALLKNCSYEVTAVPDVLEAWRILEDEKSCIDLVLTEVDMPVHSGTGLLSKIMSHKTLKNIPVIMMSSHDSMVLVFKCLSNGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIHDKKSVKPESTQGSENDASISDEHRNESGSSGGLSNQDGGSDNGSGTQSSWTKRASDTKSTSPSNQFPDAPNKKGTYENGCAHVNRLKEAEDQKEQIGTGSQTGMSMSKKAEEPGDLEKNAKYSVQALERNNDDTLNRSSGNSQVESKAPSSNREDLQSLEQTLKKTREDRDYKVGDRSVLRHSNLSAFSKYNNGATSAKKAPEENVESCSPHDSPIAKLLGSSSSSDNPLKQQSSGSDRWAQREAALMKFRLKRKERCFEKKVRYHSRKKLAEQRPHVKGQFIRKRDDHKSGSEDN", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPRRRPPASGAAQFPERIATRSPDPIPLCTFQRQPRAAPVQPPCRLFFVTFAGCGHRWRSESKPGWISRSRSGIALRAARPPGSSPPRPAAPRPPPPGGVVAEAPGDVVIPRPRVQPMRVARGGPWTPNPAFREAESWSQIGNQRVSEQLLETSLGNEVSDTEPLSPASAGLRRNPALPPGPFAQNFSWGNQENLPPALGKIANGGGTGAGKAECGYETESHLLEPHEIPLNVNTHKFSDCEFPYEFCTVCFSPFKLLGMSGVEGVWNQHSRSASMHTFLNHSATGIREAGCRKDMPVSEMAEDGSEEIMFIWCEDCSQYHDSECPELGPVVMVKDSFVLSRARSWPASGHVHTQAGQGMRGYEDRDRADPQQLPEAVPAGLVRRLSGQQLPCRSTLTWGRLCHLVAQGRSSLPPNLEIRRLEDGAEGVFAITQLVKRTQFGPFESRRVAKWEKESAFPLKVFQKDGHPVCFDTSNEDDCNWMMLVRPAAEAEHQNLTAYQHGSDVYFTTSRDIPPGTELRVWYAAFYAKKMDKPMLKQAGSGVHAAGTPENSAPVESEPSQWACKVCSATFLELQLLNEHLLGHLEQAKSLPPGSQSEAAAPEKEQDTPRGEPPAVPESENVATKEQKKKPRRGRKPKVSKAEQPLVIVEDKEPTEQVAEIITEVPPDEPVSATPDERIMELVLGKLATTTTDTSSVPKFTHHQNNTITLKRSLILSSRHGIRRKLIKQLGEHKRVYQCNICSKIFQNSSNLSRHVRSHGDKLFKCEECAKLFSRKESLKQHVSYKHSRNEVDGEYRYRCGTCEKTFRIESALEFHNCRTDDKTFQCEMCFRFFSTNSNLSKHKKKHGDKKFACEVCSKMFYRKDVMLDHQRRHLEGVRRVKREDLEAGGENLVRYKKEPSGCPVCGKVFSCRSNMNKHLLTHGDKKYTCEICGRKFFRVDVLRDHIHVHFKDIALMDDHQREEFIGKIGISSEENDDNSDESADSEPHKYSCKRCQLTFGRGKEYLKHIMEVHKEKGYGCSICNRRFALKATYHAHMVIHRENLPDPNVQKYIHPCEICGRIFNSIGNLERHKLIHTGVKSHACEQCGKSFARKDMLKEHMRVHDNVREYLCAECGKGMKTKHALRHHMKLHKGIKEYECKECHRRFAQKVNMLKHCKRHTGIKDFMCELCGKTFSERNTMETHKLIHTVGKQWTCSVCDKKYVTEYMLQKHVQLTHDKVEAQSCQLCGTKVSTRASMSRHMRRKHPEVLAVRIDDLDHLPETTTIDASSIGIVQPELTLEQEDLAEGKHGKAAKRSHKRKQKPEEEAGAPVPEDATFSEYSEKETEFTGSVGDETNSAVQSIQQVVVTLGDPNVTTPSSSVGLTNITVTPITTAAATQFTNLQPVAVGHLTTPERQLQLDNSILTVTFDTVSGSAMLHNRQNDVQIHPQPEASNPQSVAHFINLTTLVNSITPLGSQLSDQHPLTWRAVPQTDVLPPSQPQAPPQQAAQPQVQAEQQQQQMYSY", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTMESGADNQQSGDAAVTEAENQQMTVQAQPQIATLAQVSMPAAHATSSAPTVTLVQLPNGQTVQVHGVIQAAQPSVIQSPQVQTVQSSCKDLKRLFSGTQISTIAESEDSQESVDSVTDSQKRREILSRRPSYRKILNDLSSDAPGVPRIEEEKSEEETSAPAITTVTVPTPIYQTSSGQYIAITQGGAIQLANNGTDGVQGLQTLTMTNAAATQPGTTILQYAQTTDGQQILVPSNQVVVQAASGDVQTYQIRTAPTSTIAPGVVMASSPALPTQPAEEAARKREVRLMKNREAARECRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLYCHKSD", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDSIIGRSQFVSETANLFTDEKTATARAKVVDWCNELVIASPSTKCELLAKVQETVLGSCAELAEEFLESVLSLAHDSNMEVRKQVVAFVEQVCKVKVELLPHVINVVSMLLRDNSAQVIKRVIQACGSIYKNGLQYLCSLMEPGDSAEQAWNILSLIKAQILDMIDNENDGIRTNAIKFLEGVVVLQSFADEDSLKRDGDFSLADVPDHCTLFRREKLQEEGNNILDILLQFHGTTHISSVNLIACTSSLCTIAKMRPIFMGAVVEAFKQLNANLPPTLTDSQVSSVRKSLKMQLQTLLKNRGAFEFASTIRGMLVDLGSSTNEIQKLIPKMDKQEMARRQKRILENAAQSLAKRARLACEQQDQQQREMELDTEELERQKQKSTRVNEKFLAEHFRNPETVVTLVLEFLPSLPTEVPQKFLQEYTPIREMSIQQQVTNISRFFGEQLSEKRLGPGAATFSREPPMRVKKVQAIESTLTAMEVDEDAVQKLSEEEFQRKEEATKKLRETMERAKGEQTVIEKMKERAKTLKLQEITKPLPRNLKEKFLTDAVRRILNSERQCIKGGVSSKRRKLVTVIAATFPDNVRYGIMEFILEDIKQRIDLAFSWLFEEYSLLQGFTRHTYVKTENRPDHAYNELLNKLIFGIGERCDHKDKIILIRRVYLEAPILPEVSIGHLVQLSLDDEFSQHGLELIKDLAVLRPPRKNRFVRVLLNFSVHERLDLRDLAQAHLVSLYHVHKILPARIDEFALEWLKFIEQESPPAAVFSQDFGRPTEEPDWREDTTKVCFGLAFTLLPYKPEVYLQQICQVFVSTSAELKRTILRSLDIPIKKMGVESPTLLQLIEDCPKGMETLVIRIIYILTERVPSPHEELVRRVRDLYQNKVKDVRVMIPVLSGLTRSELISVLPKLIKLNPAVVKEVFNRLLGIGAEFAHQTMAMTPTDILVALHTIDTSVCDIKAIVKATSLCLAERDLYTQEVLMAVLQQLVEVTPLPTLMMRTTIQSLTLYPRLANFVMNLLQRLIIKQVWRQKVIWEGFLKTVQRLKPQSMPILLHLPPAQLVDALQQCPDLRPALSEYAESMQDEPMNGSGITQQVLDIISGKSVDVFVTDESGGYISAEHIKKEAPDPSEISVISTVPVLTSLVPLPVPPPIGSDLNQPLPPGED", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQMDSAQNQFNKRARLFEDPELKDAKVIYPSNPESTEPVNKGYGGSTAIQSFFKESKAEETPKVLKKRGRKKKNPNPEEVNSSTPGGDDSENRSKFYESASARKRTVTAEERERAVNAAKTFEPTNPYFRVVLRPSYLYRGCIMYLPSGFAEKYLSGISGFIKLQLGEKQWPVRCLYKAGRAKFSQGWYEFTLENNIGEGDVCVFELLRTRDFVLEVTAFRVNEYV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSTIFYRFKSQRNTSRILFDGTGLTVFDLKREIIQENKLGDGTDFQLKIYNPDTEEEYDDDAFVIPRSTSVIVKRSPAIKSFSVHSRLKGNVGAAALGNATRYVTGRPRVLQKRQHTATTTANVSGTTEEERIASMFATQENQWEQTQEEMSAATPVFFKSQTNKNSAQENEGPPPPGYMCYRCGGRDHWIKNCPTNSDPNFEGKRIRRTTGIPKKFLKSIEIDPETMTPEEMAQRKIMITDEGKFVVQVEDKQSWEDYQRKRENRQIDGDETIWRKGHFKDLPDDLKCPLTGGLLRQPVKTSKCCNIDFSKEALENALVESDFVCPNCETRDILLDSLVPDQDKEKEVETFLKKQEELHGSSKDGNQPETKKMKLMDPTGTAGLNNNTSLPTSVNNGGTPVPPVPLPFGIPPFPMFPMPFMPPTATITNPHQADASPKK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKFGKSLSSQIVEMQPEWRDNFLSYKDLKKRLNLISGGAAGERASKRRRVGGATAVTVTAAAAGGMTLEQAGFVGLLDAELDKFNFFFLEKEEEYVIKQKELRERKMASAEEVMRVRKEIVDLHGEMVLLENYSALNYTGLVKILKKYDKRTGSMIRLPFVQKVLQQPFFTTDLLYKLVKECEEMLDQLMPTNEHSVASEDGKDDSEGEEKGSKPSSSSSANGGAVPGEAEAEDERSTDMKSTVTAALRALREIRSGSSTVSVFSLPPLHGSNGQDEPGR", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSESPSANISDADKSAITPTTGDTSQQDVKPSTEHINLKVVGQDNNEVFFKIKKTTEFSKLMKIYCARQGKSMNSLRFLVDGERIRPDQTPAELDMEDGDQIEAVLEQLGGCTHLCL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDVLIEWVAIYPQIYDILEHINYVPSNTLQRLRLHQPWSKIDYDVWFLYASDEIRETCKVKYYGETKTYGEVFVLENERISQLHRLFVSWTVSERAEHLKNLLFDAGLSNLPLVELGGNVFFNSHVPLPCSLVLTKSTQENLNRITPYLVQKRPILLAGPEGIGKKFLITQIAAKLGQQIIRIHLSDSTDPKMLIGTYTSPKPGEFEWQPGVLTQAVITGKWILFTNIEHAPSEVLSVLLPLLEKRQLVIPSRGETIYAKGSFQMFATSSMKTKILGQRLWQILDLTYQPDECVEVVSTLYPVLSIICPTLYSVYKDIFDLFSQRSFLATSKIYRRLCLRDFYKFIKRVAFLYHKFMIPSDHVVISQELQDAVFKEAIDMFGAFIPSRDGFDLVVRNVAIELNIPPEKALQLRYSIPVFQNLEHNINIGRCSLKKLSTIRSCSTNSYAFTSSSLGLLEQLAAGVQTNEPLLLVGETGTGKTTTIQLLAGLLGQKVTVINMSQQTESSDMLGGYKPINASTLGLPLHERFIDIFEQTFSSKKNAKFISMASTSARRFRWKTCLKIWKEACKLSKTVLDGQQPLPNPQKRQKRLSNQVELRNQWAKFEKEVEDFEKVLTGGSNGFMFSFVEGALVKAVRSGHWVLLDEINLASLETLEPIGQLLSSYESGILLSERGDITPITPHKNFRLFGCMNPSTDVGKRELEPSFRSRFTEIYVHSPDQNLDDLLSIIQKYIGSLCIGNEHVIREVAELYQVAKSLSLDGSLVDGAGQRPHYTVRTLSRTLSYVTEIAPIYGLRRSLYEGFCMSFLTLLDHTSESLLYNHVVRFTLGELNRDQQNAILKQIPKVPDHSSYIAFCHYWLRRGSFPVEEQEHYIITPFVQKNLLNIARACSTRMFPILIQGPTSSGKTSMIEYVAKKTGHKFVRINNHEHTDLQEYIGTYVTDDNGSLSFREGVLVEALRNGYWIVLDELNLAPTDVLEALNRLLDDNRELFIPETQVLVKPHPEFMLFATQNPPGVYAGRKHLSRAFRNRFLEIHFDDIPENELETILHKRCKIAPSYAAKIVQVFRELSLRRQTTRIFEQKNSFATLRDLFRWAFREAVGYQQLAENGYMLLAERARDQKDKLAVQEVIEKVMKVKIDTDGIYNLDSMEIFQDMSLKEGPLSKVVWTRPMIRLFCLVWRCLLAKEPVLLVGDTGCGKTTVCQILAECLHKELHIINAHQDTENGDIIGAQRPVRNRSAVNYSLHSQLCEKFNVQESLDSIDDLIEKFEKLSSSEKNDNLSNLIERQIIKYRSLFEWHDGALVTAMKQGDFFLLDEISLADDSVLERLNSVLELSRTLTLVEHSNAAVSLTAKDGFAFFATMNPGGDYGKKELSPALRNRFTEIWVPPMVDTEDILKIVEGKLHNNKIELARPLVEYAKWHANEYLYTDVISIRDVLSAVEFINACEILDLNLVLFNAVSMVFIDALGSFTTFSLSNNLASLHAERQRCFAKLNELAGSNIMASKSADISIKFSDSSFFIGDFGIPLGDSVESDSTYSLHTDTTLMNASKVLRALQVLKPILLEGSPGVGKTSLITALARETGHQLVRINLSDQTDLMDLFGSDVPVEGGEGGQFAWRDAPFLAAMRNGHWVLLDELNLASQSVLEGLNACLDHRNEAYIPELDKVFKAHPNFRVFAAQNPQHQGGGRKGLPRSFINRFSVVYVEALKEKDMIEIAACNYHQVNEDWRLKIIKFMFRLQDNIEKDISFGSFGSPWEFNLRDTLRWLQLLNDAPKYTCVSPADYLEVMVLHRMRTVEDRVRTCELFKEVFDIDYEPRTIGFSLSSQCFKVGHSLLVRDVERQKTLLDSQNILQSQLPVLESVITCINKKWPCILVGDTATGKTCILRLLAAIAGAKIKEMAVNSDTDTMDLIGEYEQIDISRKASELFTDLSQQLLNIVIKYRNFDNIFRETSLYTLTTTSFKTHSQAFTLLQKVVDQLDQLKIHETLVHSLGDIHEKARKLLAEFSASPAGRFEWFDGYLLKAVEEGHWFVLDNANLCSPAVLDRLNSLLEHKGVLIVNEKTTEDGHPKTIKPHPNFRLFLTVNPVYGELSRAMRNRGVEIFLLKEALTEIDKKQMSLLEPAPISSAVDTLASNISYIKYVFETMGKIEIDGNYMYIAHAIILALFSPRQLKLLRKVLLTNPQFSLSIKADAELLLTLKNLVQKIYCADYFNHMDLKASRFMDIYEYPVQLREVVGLIQTINDFQSVILTSHLELPETYASGLLFVSAHEILDLTEEVNRLAVSTSNSTYLLKSASAVYHNVSSFKGSTPSLWNLLNQFSKFLIEIASANSNIVYKLSYDVIRHFLKLVVLWKNIYVWTNVPDCDISRFYCYTKMLGEWMFTLTEKTKLLESFLPKDSLEKFSELQNLSTGLHMQAIWDKWHAFVPRTYDQWSLWNTVDKLLTQYVNANIPSISMETTACEVVGTSLSLLNKVLVENEVGDIYSYLKILGKGVNELKSSKQVILPENLVNLFNCLASLDLLHIFIKYTTSSFFLTDDFVRFIRVCFHSRISGNLLTLLHGISFDSTKAVAPVLTYFDFCSLTTGNILGRIALAFTSIDENANLESANIFEHARLALLQHFMDHSSLLAEDSSTKMNLILLQRYAVIISIFLDQGKCEKANDLITKLSLPYEELAENFVSILEACKAFLVANSEFISYTYTERFIHSLRFLKDSWLSSNQQKMLKNQGMAYIYFASGMLLVYVPDKPFDPALLPLLTVESLRHYLESLYKESQILEIAESLNSGKVNSVMRRLVSTEISNTPNIDSSFSTVYRSLNESIVPLYSELEFFMKSVVLNQYIFELAMRLSKESNIAVVEEAKSFVTKWKAYIERIREAYPQFVDVYELILSFISFMIYGIELLMFEAKRRLDERSQILSTLILTLVDPSSFARSLSFDDVSNLIEQIKVLDLNDSIRFEIYLFLASRLCSEKQHSSDTHSLANSFVLLANEFYIHNAKIKQKELEEIEEKNRLYRQREFNFDKNDYLKVFINYDDEVEPEVEPEVVIERKRFLQLQFAFWSLYNEIYSEKMNVIPLEQLMNTGSYLAKKIKVKNPDMIASSGFDIVSVVLMMGVKSTNERQYWTPPVYNFYSDPNPSKAIEVRDLIKIVESRAISLIKNWPENFVLRGLKDAIDAILNLSPFSPIAEYLSKLERVFHLLSEWEKLASREYSLANEMDLIKKKIIDWRKFELSNWNNLLKLEEYKLSERVYPRLYSILQFIILKPFFENSKFTKQNLCESASIIVQFITDLTVGEFQLCLKCLLSFSQHAASLRICHGIDAMLLNIYHYFEQFLSKVSEAIHTQKQSLENSIKERILLMSWKDTNVYALKESAKKSHAELFKVLHRYREVLRQPVSSYLSQKHDWDSLLDTENNSAMWVAKKVNLSPSYIEKMDTEIMKLVPVRFSNTPTTLRLMWTLFANVEKPGSTFTNMVSNLITDARELMKLTPETINDDNLSEIKHLKSRKHLLLTETFKTLKAFGLQYRVKAGIEENLSNLRNLLAVIPTFPVTSLSIEKVDRSLMKSLDFIPKFQTLAGHQHNDLSVPEVQKGVGLFNSMLSLQLGERAQLVEFTNELLALKNVYSEVGVNGSPLESFNNSSFNEVSSLGYDHDFENRAQAVSMLCQIYAIVIQKHSSISPTASFQSIGHELSRFADLLSNKLFPSSIPLYASADKVSSIRDQQKGINDLIEYCRKKRTELPELSYCFKHLVSLQSLKSISRTQVDLTNDEFLNLMNFVLNLFDSLLSSIETATKNMRTFKELAETSSFIEMSSCFSKVLRAFNLKFQSMKLSSLKEKLRSSSVDKMSCQLLMLFLPVCEQFINLAESVLDYFINVHNSNLDSLSKISTLFFMVANNGFCSPDLPQEGKSNSGELESGTGLGSGVGAEDITNTLNEDDDLEELANEEDTANQSDLDESEARELESDMNGVTKDSVVSENENSDSEEENQDLDEEVNDIPEDLSNSLNEKLWDEPNEEDLLETEQKSNEQSAANNESDLVSKEDDNKALEDKDRQEKEDEEEMSDDVGIDDEIQPDIQENNSQPPPENEDHLDLPEDLKLDEKEGDVSKDSDLEDMDMEAADENKEEADAEKDEPMQDFEDPLEENNTLDEDIQQDDFSDLAEDDEKMNEDGFEENVQENEESTEDGVKSDEELEQGEVPEDQAIDNHPKMDAKSTFASAEADEENTDKGIVGENEELGEEDGAAESGVRGNGTADGEFSSAEQVQKGEDTSTPKEAMSEADRQYQSLGDHLREWQQANRIHEWEDLTESQSQAFDDSEFMHVKEDEEEDLQALGNAEKDQIKSIDRDESANQNPDSMNSTNIAEDEADEVGDKQLQDGQDISDIKQTGEDTLPTEFGSINQSEKVFELSEDEDIEDELPDYNVKITNLPAAMPIDEARDLWNKHEDSTKQLSIELCEQLRLILEPTLATKMQGDFRTGKRLNMKRIIPYIASQFKKDKIWMRRVKPSKRTYQVMISIDDSKSMSESGSTVLALETLALVTKALSLLEVGQIAVMKFGEQPELLHPFDKQFSSESGVQMFSHFTFEQSNTNVLALADASMKCFNYANTASHHRSNSDIRQLEIIISDGICEDHDSIRKLLRRAQEEKVMIVFVILDNVNTQKKSSILDIKKVYYDTKEDGTMDLKIQPYIDEFAFDYYLVVRNIEELPQLLSSALRQWFQQMSNT", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGKKIDNPESSAKVSDAEEEEEEYAVEKIIDRRVRKGKVEYYLKWKGYPETENTWEPENNLDCQDLIQQYEASRKDEEKSAASKKDRPSSSAKAKETQGRASSSTSTASKRKSEEPTAPSGNKSKRTTDAEQDTIPVSGSTGFDRGLEAEKILGASDNNGRLTFLIQFKGVDQAEMVPSSVANEKIPRMVIHFYEERLSWYSDNED", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAKGAVTKLKFNSPIISTSDQLISTNELLDRLKALHEELASLDQDNTDLTGLDKYRDALVSRKLLKHKDVGIRAFTACCLSDILRLYAPDAPYTDAQLTDIFKLVLSQFEQLGDQENGYHIQQTYLITKLLEYRSIVLLADLPSSNNLLIELFHIFYDPNKSFPARLFNVIGGILGEVISEFDSVPLEVLRLIFNKFLTYNPNEIPEGLNVTSDCGYEVSLILCDTYSNRMSRHLTKYYSEIIHEATNDDNNSRLLTVVVKLHKLVLRLWETVPELINAVIGFIYHELSSENELFRKEATKLIGQILTSYSDLNFVSTHSDTFKAWISKIADISPDVRVEWTESIPQIIATREDISKELNQALAKTFIDSDPRVRRTSVMIFNKVPVTEIWKNITNKAIYTSLLHLAREKHKEVRELCINTMAKFYSNSLNEIERTYQNKEIWEIIDTIPSTLYNLYYINDLNINEQVDSVIFEYLLPFEPDNDKRVHRLLTVLSHFDKKAFTSFFAFNARQIKISFAISKYIDFSKFLNNQESMSSSQGPIVMNKYNQTLQWLASGLSDSTKAIDALETIKQFNDERIFYLLNACVTNDIPFLTFKNCYNELVSKLQTPGLFKKYNISTGASIMPRDIAKVIQILLFRASPIIYNVSNISVLLNLSNNSDAKQLDLKRRILDDISKVNPTLFKDQIRTLKTIIKDLDDPDAEKNDNLSLEEALKTLYKASKTLKDQVDFDDTFFFTKLYDFAVESKPEITKYATKLIALSPKAEETLKKIKIRILPLDLQKDKYFTSHIIVLMEIFKKFPHVLNDDSTDIISYLIKEVLLSNQVVGDSKKEIDWVEDSLLSDTKYSAIGNKVFTLKLFTNKLRSIAPDVPRDELAESFTEKTMKLFFYLIASGGELISEFNKEFYPTPSNYQTKLRCVAGIQVLKLARISNLNNFIKPSDIIKLINLVEDESLPVRKTFLEQLKDYVANELISIKFLPLVFFTAYEPDVELKTTTKIWINFTFGLKSFKKGTIFERALPRLIHAIAHHPDIVGGLDSEGDAYLNALTTAIDYLLFYFDSIAAQENFSLLYYLSERVKNYQDKLVEDEIDEEEGPQKEEAPKKHRPYGQKMYIIGELSQMILLNLKEKKNWQHSAYPGKLNLPSDLFKPFATVQEAQLSFKTYIPESLTEKIQNNIKAKIGRILHTSQTQRQRLQKRLLAHENNESQKKKKKVHHARSQADDEEGDGDRESDSDDDSYSPSNKNETKKGHENIVMKKLRVRKEVDYKDDEDDDIEMT", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSVQSSSGSLEGPPSWSQLSTSPTPGSAAAARSLLNHTPPSGRPREGAMDELHSLDPRRQELLEARFTGVASGSTGSTGSCSVGAKASTNNESSNHSFGSLGSLSDKESETPEKKQSESSRGRKRKAENQNESSQGKSIGGRGHKISDYFEYQGGNGSSPVRGIPPAIRSPQNSHSHSTPSSSVRPNSPSPTALAFGDHPIVQPKQLSFKIIQTDLTMLKLAALESNKIQDLEKKEGRIDDLLRANCDLRRQIDEQQKLLEKYKERLNKCISMSKKLLIEKSTQEKLSSREKSMQDRLRLGHFTTVRHGASFTEQWTDGFAFQNLVKQQEWVNQQREDIERQRKLLAKRKPPTANNSQAPSTNSEPKQRKNKAVNGAENDPFVRPNLPQLLTLAEYHEQEEIFKLRLGHLKKEEAEIQAELERLERVRNLHIRELKRINNEDNSQFKDHPTLNERYLLLHLLGRGGFSEVYKAFDLYEQRYAAVKIHQLNKSWRDEKKENYHKHACREYRIHKELDHPRIVKLYDYFSLDTDTFCTVLEYCEGNDLDFYLKQHKLMSEKEARSIVMQIVNALRYLNEIKPPIIHYDLKPGNILLVDGTACGEIKITDFGLSKIMDDDSYGVDGMDLTSQGAGTYWYLPPECFVVGKEPPKISNKVDVWSVGVIFFQCLYGRKPFGHNQSQQDILQENTILKATEVQFPVKPVVSSEAKAFIRRCLAYRKEDRFDVHQLANDPYLLPHMRRSNSSGNLHMAGLTASPTPPSSSIITY", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKIEKASHISQPVQLSTCTLIDTYPGHQGSMNNKEVELYGGAITTVVPPGFIDASTLREVPDTQEVYVNSRRDEEEFEDGLATNESIIVDLLETVDKSDLKEAWQFHVEDLTELNGTTKWEALQEDTVQQGTKFTGLVMEVANKWGKPDLAQTVVIGVALIRLTQFDTDVVISINVPLTKEEASQASNKELPARCHAVYQLLQEMVRKFHVVDTSLFA", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSWEQYQMYVPQCHPSFMYQGSIQSTMTTPLQSPNFSLDSPNYPDSLSNGGGKDDKKKCRRYKTPSPQLLRMRRSAANERERRRMNTLNVAYDELREVLPEIDSGKKLSKFETLQMAQKYIECLSQILKQDSKNENLKSKSG", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MESRETLSSSRQRGGESDFLPVSSAKPPAAPGCAGEPLLSTPGPGKGIPVGGERMEPEEEDELGSGRDVDSNSNADSEKWVAGDGLEEQEFSIKEANFTEGSLKLKIQTTKRAKKPPKNLENYICPPEIKITIKQSGDQKVSRAGKNSKATKEEERSHSKKKLLTASDLAASDLKGFQPQAYERPQKHSTLHYDTGLPQDFTGDTLKPKHQQKSSSQNHMDWSTNSDSGPVTQNCFISPESGRETASTSKIPALEPVASFAKAQGKKGSAGNTWSQLSNNNKDLLLGGVAPSPSSHSSPAPPSSSAECNGLQPLVDQDGGGTKEPPEPPTVGSKKKSSKKDVISQTIPNPDLDWVKNAQKAFDNTEGKREGYSADSAQEASPARQNVSSASNPENDSSHVRITIPIKAPSLDPTNHKRKKRQSIKAVVEKIMPEKALASGITMSSEVVNRILSNSEGNKKDPRVPKLSKMIENESPSVGLETGGNAEKVIPGGVSKPRKPPMVMTPPTCTDHSPSRKLPEIQHPKFAAKRRWTCSKPKPSTMLREAVMATSDKLMLEPPSAYPITPSSPLYTNTDSLTVITPVKKKRGRPKKQPLLTVETIHEGTSTSPVSPISREFPGTKKRKRRRNLAKLAQLVPGEDKPMSEMKFHKKVGKLGVLDKKTIKTINKMKTLKRKNILNQILSCSSSVALKAKAPPETSPGAAAIESKLGKQINVSKRGTIYIGKKRGRKPRAELPPPSEEPKTAIKHPRPVSSQPDVPAVPSNFQSLVASSPAAMHPLSTQLGGSNGNLSPASTETNFSELKTMPNLQPISALPTKTQKGIHSGTWKLSPPRLMANSPSHLCEIGSLKEITLSPVSESHSEETIPSDSGIGTDNNSTSDQAEKSSESRRRYSFDFCSLDNPEAIPSDTSTKNRHGHRQKHLIVDNFLAHESLKKPKHKRKRKSLQNRDDLQFLADLEELITKFQVFRISHRSYTFYHENPYPSIFRINFDHYYPVPYIQYDPLLYLRRTSDLKSKKKRGRPAKTNDTMTKVPFLQGFSYPIPSGSYYAPYGMPYTSMPMMNLGYYGQYPAPLYLSHTLGAASPFMRPTVPPPQFHTNSHVKMSGAAKHKAKHGVHLQGPVSMGLGDMQPSLNPPKVGSASLSSGRLHKRKHKHKHKHKEDRILGTHDNLSGLFAGKATGFSSHILSERLSSADKELPLVSEKNKHKEKQKHQHSEAGHKASKNNFEVDTLSTLSLSDAQHWTQAKEKGDLSSEPVDSCTKRYSGSGGDGGSTRSENLDVFSEMNPSNDKWDSDVSGSKRRSYEGFGTYREKDIQAFKMNRKERSSYDSSMSPGMPSPHLKVDQTAVHSKNEGSVPTMMTRKKPAAVDSVTIPPAPVLSLLAASAATSDAVGSSLKKRFKRREIEAIQCEVRKMCNYTKILSTKKNLDHVNKILKAKRLQRQSKTGNNFVKKRRGRPRKQPTQFDEDSRDQMPVLEKCIDLPSKRGQKPSLSPLVLEPAASQDTIMATIEAVIHMAREAPPLPPPPPPPLPPPPPPPLPPPPPLPKTPRGGKRKHKPQAPAQPPQQSPPQQPLPQEEEVKAKRQRKSRGSESEVLP", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAAQAAAAAQAAAAQAAQAEAADSWYLALLGFAEHFRTSSPPKIRLCVHCLQAVFPFKPPQRIEARTHLQLGSVLYHHTKNSEQARSHLEKAWLISQQIPQFEDVKFEAASLLSELYCQENSVDAAKPLLRKAIQISQQTPYWHCRLLFQLAQLHTLEKDLVSACDLLGVGAEYARVVGSEYTRALFLLSKGMLLLMERKLQEVHPLLTLCGQIVENWQGNPIQKESLRVFFLVLQVTHYLDAGQVKSVKPCLKQLQQCIQTISTLHDDEILPSNPADLFHWLPKEHMCVLVYLVTVMHSMQAGYLEKAQKYTDKALMQLEKLKMLDCSPILSSFQVILLEHIIMCRLVTGHKATALQEISQVCQLCQQSPRLFSNHAAQLHTLLGLYCVSVNCMDNAEAQFTTALRLTNHQELWAFIVTNLASVYIREGNRHQEVLYSLLERINPDHSFPVSSHCLRAAAFYVRGLFSFFQGRYNEAKRFLRETLKMSNAEDLNRLTACSLVLLGHIFYVLGNHRESNNMVVPAMQLASKIPDMSVQLWSSALLRDLNKACGNAMDAHEAAQMHQNFSQQLLQDHIEACSLPEHNLITWTDGPPPVQFQAQNGPNTSLASLL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTDELKSYEALKAELKKSLQDRREQEDTFDNLQQEIYDKETEYFSHNSNNNHSGHGGAHGSKSHYSGNIIKGFDTFSKSHHSHADSAFNNNDRIFSLSSATYVKQQHGQSQND", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEGQSVEELLAKAEQDEAEKLQRITVHKELELQFDLGNLLASDRNPPTGLRCAGPTPEAELQALARDNTQLLINQLWQLPTERVEEAIVARLPEPTTRLPREKPLPRPRPLTRWQQFARLKGIRPKKKTNLVWDEVSGQWRRRWGYQRARDDTKEWLIEVPGNADPLEDQFAKRIQAKKERVAKNELNRLRNLARAHKMQLPSAAGLHPTGHQSKEELGRAMQVAKVSTASVGRFQERLPKEKVPRGSGKKRKFQPLFGDFAAEKKNQLELLRVMNSKKPQLDVTRATNKQMREEDQEEAAKRRKMSQKGKRKGGRQGPGGKRKGGPPSQGGKRKGGLGGKMNSGPPGLGGKRKGGQRPGGKRRK", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MENPPLESEIKEDMSLKNHPPDKDKDKDTIMEQPSSPRHRKVVARWLPDEAQRPIINDAPVFTPSLEEFVDPLAYIEKIRPLAEPYGICRIIPPSTWKPPCRLKEKSIWEQTKFPTRIQTVDLLQNREPMKKKPKSRKRKRRRNSRMGSSKRRSGSSPAESTSSPEAEEKFGFNSGSDFTLDEFEKYALHFKDSYFEKKDSGGDIVKWTPSVDDIEGEYWRIVEQPTDEVEVYYGADLENGVLGSGFYKRAEKFTGSDMEQYTLSGWNLNNLPRLPGSVLSFEDCDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYHHFGEPKVWYGVPGSNATALEKAMRKHLPDLFEEQPDLLHGLVTQFSPSILKDEGVQAYRVVQNSGEYVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLAHGQNAVELYSKETRKTSLSHDKLLLGAAYEAVKALWELSASEGKENTTNLRWKSFCGKNGTLTNAIQARLQMEEGRITALGRDSSSLKKMEKDFDSNCERECFSCFYDLHLSASGCKCSPEEYACLKHADDLCSCDVKDGFILLRYTMDELSSLVRALEGESDDLKIWASKVLGIEHSDEDQTKTSSVISEEKKLKEGSFDLNIDLEMDYQEDVKEEASTSGGELTASENLGVSVEPINLGFLIFGKLWCNKYAIFPKGFRSRVKFYNVLDPTRMSNYISEVLDAGLMGPLFRVTLEESPDESFFNVSAQQCWEMVMRRVKDTSTSLGLPILPQFESINGLQMFGFLSPSIVQAIEALDPNHRLVEYWNHKNQTSSDSKDHFISSNCSASLTKGKLFGVDLM", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPRYDDRYGNTRLYVGRLSSRTRTRDLERLFSRYGRVRDVDMKRDYAFVEFGDPRDADDARHYLDGRDFDGSRITVEFSRGAPRGSRDFDSRGPPPGAGRCFNCGVDGHWARDCTAGDWKNKCYRCGERGHIERNCKNSPKKLRRSGSYSRSPVRSRSPRRRRSPSRSLSRSRSYSRSRSPVRRRERSVEERSRSPKRMDDSLSPRARDRSPVLDDEGSPKIIDGSPPPSPKLQKEVGSDRDGGSPQDNGRNSVVSPVVGAGGDSSKEDRSPVDDDYEPNRTSPRGSESP", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASKRKSTTPCMVRTSQVVEQDVPEEVDRAKEKGIGTPQPDVAKDSWAAELENSSKENEVIEVKSMGESQSKKLQGGYECKYCPYSTQNLNEFTEHVDMQHPNVILNPLYVCAECNFTTKKYDSLSDHNSKFHPGEANFKLKLIKRNNQTVLEQSIETTNHVVSITTSGPGTGDSDSGISVSKTPIMKPGKPKADAKKVPKKPEEITPENHVEGTARLVTDTAEILSRLGGVELLQDTLGHVMPSVQLPPNINLVPKVPVPLNTTKYNSALDTNATMINSFNKFPYPTQAELSWLTAASKHPEEHIRIWFATQRLKHGISWSPEEVEEARKKMFNGTIQSVPPTITVLPAQLAPTKVTQPILQTALPCQILGQTSLVLTQVTSGSTTVSCSPITLAVAGVTNHGQKRPLVTPQAAPEPKRPHIAQVPEPPPKVANPPLTPASDRKKTKEQIAHLKASFLQSQFPDDAEVYRLIEVTGLARSEIKKWFSDHRYRCQRGIVHITSESLAKDQLAIAASRHGRTYHAYPDFAPQKFKEKTQGQVKILEDSFLKSSFPTQAELDRLRVETKLSRREIDSWFSERRKLRDSMEQAVLDSMGSGKKGQDVGAPNGALSRLDQLSGAQLTSSLPSPSPAIAKSQEQVHLLRSTFARTQWPTPQEYDQLAAKTGLVRTEIVRWFKENRCLLKTGTVKWMEQYQHQPMADDHGYDAVARKATKPMAESPKNGGDVVPQYYKDPKKLCEEDLEKLVTRVKVGSEPAKDCLPAKPSEATSDRSEGSSRDGQGSDENEESSVVDYVEVTVGEEDAISDRSDSWSQAAAEGVSELAESDSDCVPAEAGQA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAPQKHGGGGGGGSGPSAGSGGGGFGGSAAVAAATASGGKSGGGSCGGGGSYSASSSSSAAAAAGAAVLPVKKPKMEHVQADHELFLQAFEKPTQIYRFLRTRNLIAPIFLHRTLTYMSHRNSRTNIKRKTFKVDDMLSKVEKMKGEQESHSLSAHLQLTFTGFFHKNDKPSPNSENEQNSVTLEVLLVKVCHKKRKDVSCPIRQVPTGKKQVPLNPDLNQTKPGNFPSLAVSSNEFEPSNSHMVKSYSLLFRVTRPGRREFNGMINGETNENIDVNEELPARRKRNREDGEKTFVAQMTVFDKNRRLQLLDGEYEVAMQEMEECPISKKRATWETILDGKRLPPFETFSQGPTLQFTLRWTGETNDKSTAPIAKPLATRNSESLHQENKPGSVKPTQTIAVKESLTTDLQTRKEKDTPNENRQKLRIFYQFLYNNNTRQQTEARDDLHCPWCTLNCRKLYSLLKHLKLCHSRFIFNYVYHPKGARIDVSINECYDGSYAGNPQDIHRQPGFAFSRNGPVKRTPITHILVCRPKRTKASMSEFLESEDGEVEQQRTYSSGHNRLYFHSDTCLPLRPQEMEVDSEDEKDPEWLREKTITQIEEFSDVNEGEKEVMKLWNLHVMKHGFIADNQMNHACMLFVENYGQKIIKKNLCRNFMLHLVSMHDFNLISIMSIDKAVTKLREMQQKLEKGESASPANEEITEEQNGTANGFSEINSKEKALETDSVSGVSKQSKKQKL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVQQQQQSQQRMMELHERNDREKLARKTEKEREEERRKQEDDKILQLEKKLEEFQENARFIGDLASNFQTKYQDALNGRIYTLVRGLQDLDRMKGTFSDKKVPLDLLPYLDDGKNPCLYSKHCMEKTLEKNKAVNGKIEIYKKFRAHLMKEFSEEMPDLVMYYRSIREDLDLS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAMVRELELMTSWSNSMGRHRYPTRILVDSFGHKCSASDKGVWTSCSIRAPLQGRGSFRRGANIRFGSLPSSAAVATSGGGRGGGGVVVGGGGGDPWRRLDGSTASTELSLSPPPAQAAGGGGGGGGADALPWRHRPSPPSSAVATTSAAAAAALMAPMMLQPLDAGGGASAPPPPIRGIPIYNGPGGFPFLQPSPTAGDVGHHHHHHPKMGFYSSYHHPSTWPSTSPSPLAAPPGAASSPLDPTAAFLSSPHHRMLSAASGRLNGMLSVSDTLRSYGVPGAAAPGVIGGAHHHHHHLHGGQPFVGALASRFMPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSGPADGGSGDEEFAGGGQAASGGGDSMCLRGGGGGGVAAAAFAEHGRSASEGAASSVGGGGGGDMDQSSAGNTSTTRWSNSSRDPWLSSNSCNMDAHRSVGLSSPIENLEPCRSSSSQVSNHELSSPSLEFTLGRPDWHGADHD", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSSPGTESAGKSLQYRVDHLLSAVENELQAGSEKGDPTERELRVGLEESELWLRFKELTNEMIVTKNGRRMFPVLKVNVSGLDPNAMYSFLLDFVAADNHRWKYVNGEWVPGGKPEPQAPSCVYIHPDSPNFGAHWMKAPVSFSKVKLTNKLNGGGQIMLNSLHKYEPRIHIVRVGGPQRMITSHCFPETQFIAVTAYQNEEITALKIKYNPFAKAFLDAKERSDHKEMMEEPGDSQQPGYSQWGWLLPGTSTLCPPANPHPQFGGALSLPSTHSCDRYPTLRSHRSSPYPSPYAHRNNSPTYSDNSPACLSMLQSHDNWSSLGMPAHPSMLPVSHNASPPTSSSQYPSLWSVSNGAVTPGSQAAAVSNGLGAQFFRGSPAHYTPLTHPVSAPSSSGSPLYEGAAAATDIVDSQYDAAAQGRLIASWTPVSPPSM", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNGHSDEESVRNSSGESRSDDDSGSASGSGSGSSSGSSSDGSSSQSGSSDSESGSESGSQSESESDTSREKKQVQAKPPKADGSEFWKSSPSILAVQRSAVLKKQQQQQKAASSDSGSEEDSSSSEDSADDSSSETKKKKHKDEDWQMSGSGSVSGTGSDSESEEDGDKSSCEESESDYEPKNKVKSRKPPSRIKPKSGKKSTGQKKRQLDSSEEEEDDDEDYDKRGSRRQATVNVSYKEAEETKTDSDDLLEVCGEDVPQTEEDEFETIEKFMDSRIGRKGATGASTTIYAVEVDGDPNAGFEKSKELGEIQYLIKWKGWSHIHNTWETEETLKQQNVKGMKKLDNYKKKDQETKRWLKNASPEDVEYYNCQQELTDDLHKQYQIVERIIAHSNQKSAAGYPDYYCKWQGLPYSECSWEDGALIAKKFQARIDEYFSRNQSKTTPFKDCKVLKQRPRFVALKKQPSYIGGHESLELRDYQLNGLNWLAHSWCKGNSCILADEMGLGKTIQTISFLNYLFHEHQLYGPFLLVVPLSTLTSWQREIQTWAPQMNAVVYLGDITSRNMIRTHEWMHPQTKRLKFNILLTTYEILLKDKSFLGGLNWAFIGVDEAHRLKNDDSLLYKTLIDFKSNHRLLITGTPLQNSLKELWSLLHFIMPEKFSSWEDFEEEHGKGREYGYASLHKELEPFLLRRVKKDVEKSLPAKVEQILRMEMSALQKQYYKWILTRNYKALSKGSKGSTSGFLNIMMELKKCCNHCYLIKPPDDNEFYNKQEALQHLIRSSGKLILLDKLLIRLRERGNRVLIFSQMVRMLDILAEYLKYRQFPFQRLDGSIKGELRKQALDHFNAEGSEDFCFLLSTRAGGLGINLASADTVVIFDSDWNPQNDLQAQARAHRIGQKKQVNIYRLVTKGSVEEDILERAKKKMVLDHLVIQRMDTTGKTVLHTGSTPSSSTPFNKEELSAILKFGAEELFKEPEGEEQEPQEMDIDEILKRAETRENEPGPLTVGDELLSQFKVANFSNMDEDDIELEPERNSRNWEEIIPESQRRRIEEEERQKELEEIYMLPRMRNCAKQISFNGSEGRRSRSRRYSGSDSDSITERKRPKKRGRPRTIPRENIKGFSDAEIRRFIKSYKKFGGPLERLDAVARDAELVDKSETDLRRLGELVHNGCIKALKDNSSGQERAGGRLGKVKGPTFRISGVQVNAKLVISHEEELAPLHKSIPSDPEERKRYVIPCHTKAAHFDIDWGKEDDSNLLVGIYEYGYGSWEMIKMDPDLSLTQKILPDDPDKKPQAKQLQTRADYLIKLLNKDLARKEAQRLAGAGNSKRRKTRNKKNKMKASKIKEEIKSDSSPQPSEKSDEDDDEEDNKVNEIKSENKEKSKKIPLLDTPVHITATSEPVPISEESEELDQKTFSVCKERMRPVKAALKQLDRPEKGLSEREQLEHTRQCLIKIGDHITECLKEYTNPEQIKQWRKNLWIFVSKFTEFDARKLHKLYKHAIKKRQESQQHNDQNISSNVNTHVIRNPDVERLKETTNHDDSSRDSYSSDRHLSQYHDHHKDRHQGDAYKKSDSRKRPYSAFSNGKDHRDWDHYKQDSRYYSDSKHRKLDDHRSRDHRSNLEGNLKDSRGHSDHRSHSDHRIHSDHRSTSEYSHHKSSRDYRYHSDWQMDHRASGSGPRSPLDQRSPYGSRSPLGHRSPFEHSSDHKSTPEHTWSSRKT", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKLTDSVLRSFRVAKVFRENSDKINCFDFSPNGETVISSSDDDSIVLYDCQEGKPKRTLYSKKYGVDLIRYTHAANTVVYSSNKIDDTIRYLSLHDNKYIRYFPGHSKRVVALSMSPVDDTFISGSLDKTIRLWDLRSPNCQGLMHLQGKPVCSFDPEGLIFAAGVNSEMVKLYDLRSFDKGPFATFKMQYDRTCEWTGLKFSNDGKLILISTNGSFIRLIDAFKGVVMHTFGGYANSKAVTLEASFTPDSQFIMIGSEDGKIHVWNGESGIKVAVLDGKHTGPITCLQFNPKFMTFASACSNMAFWLPTIDD", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDVVCTEHQMRKPTVEIPPRKLLLSSKSFPSDSSSPRSPRKHNWNKSNKITSEHEEDNEDNNRENKEYCYDSDSDDPYASDHFRMFEFKIRRCTRSRSHDWTDCPFAHPGEKARRRDPRRFQYSGEVCPEFRRGGDCSRGDDCEFAHGVFECWLHPIRYRTEACKDGKHCKRKVCFFAHSPRQLRVLPPENVSGVSASPSPAAKNPCCLFCSSSPTSTLLGNLSHLSRSPSLSPPMSPANKAAAFSRLRNRAASAVSAAAAAGSMNYKDVLSELVNSLDSMSLAEALQASSSSPVTTPVSAAAAAFASSCGLSNQRLHLQQQQPSSPLQFALSPSTPSYLTNSPQANFFSDDFTPRRRQMNDFTAMTAVRENTNIEDGSCGDPDLGWVNDLLT", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSDDERDEKELSLTSPEVVTKYKSAAEIVNKALQVVLAECKPKAKIVDICEKGDSFIKEQTASMYKNSKKKIERGVAFPTCISVNNTVGHFSPLASDESVLEDGDMVKIDMGCHIDGFIALVGHTHVLQEGPLSGRKADVIAAANTAADVALRLVRPGKKNTDVTEAIQKVAAAYDCKIVEGVLSHQLKQHVIDGNKVVLSVSSPETTVDEVEFEENEVYAIDIVASTGDGKPKLLDEKQTTIYKKDESVNYQLKMKASRFIISEIKQNFPRMPFTARSLEEKRARLGLVECVNHGHLQPYPVLYEKPGDFVAQIKFTVLLMPNGSDRITSHTLQELPKKTIEDPEIKGWLALGIKKKKGGGKKKKAQKAGEKGEASTEAEPMDASSNAQE", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNGGGKNVLNKNSVGSVSEVGPDSTQEETPRDVRLLHLLLASQSIHQYEDQVPLQLMDFAHRYTQGVLKDALVYNDYAGSGNSAGSGLGVEDIRLAIAARTQYQFKPTAPKELMLQLAAERNKKALPQVMGTWGVRLPPEKYCLTAKEWDLEDPKSM", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAQLQTRFYTDNKKYAVDDVPFSIPAASEIADLSNIINKLLKDKNEFHKHVEFDFLIKGQFLRMPLDKHMEMENISSEEVVEIEYVEKYTAPQPEQCMFHDDWISSIKGAEEWILTGSYDKTSRIWSLEGKSIMTIVGHTDVVKDVAWVKKDSLSCLLLSASMDQTILLWEWNVERNKVKALHCCRGHAGSVDSIAVDGSGTKFCSGSWDKMLKIWSTVPTDEEDEMEESTNRPRKKQKTEQLGLTRTPIVTLSGHMEAVSSVLWSDAEEICSASWDHTIRVWDVESGSLKSTLTGNKVFNCISYSPLCKRLASGSTDRHIRLWDPRTKDGSLVSLSLTSHTGWVTSVKWSPTHEQQLISGSLDNIVKLWDTRSCKAPLYDLAAHEDKVLSVDWTDTGLLLSGGADNKLYSYRYSPTTSHVGA", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAGDSDNVSLPKATVQKMISEILDQDLMFTKDAREIIINSGIEFIMILSSMASEMADNEAKKTIAPEHVIKALEELEYNEFIPFLEEILLNFKGSQKVKETRDSKFKKSGLSEEELLRQQEELFRQSRSRLHHNSVSDPVKSEDSS", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSLRPNAKTEVRRNRYKVAVDAEEGRRRREDNMVEIRKSKREESLMKKRREGMQALQGFPSASAASVDKKLDSLKDMVAGVWSDDPALQLESTTQFRKLLSIERSPPIEEVISAGVVPRFVEFLKKEDYPAIQFEAAWALTNIASGTSDHTKVVIDHNAVPIFVQLLASPSDDVREQAVWALGNVAGDSPRCRDLVLGCGALLPLLNQLNEHAKLSMLRNATWTLSNFCRGKPQPHFDQVKPALPALERLIHSDDEEVLTDACWALSYLSDGTNDKIQTVIQAGVVPKLVELLLHHSPSVLIPALRTVGNIVTGDDIQTQCVINSGALPCLANLLTQNHKKSIKKEACWTISNITAGNKDQIQTVVEANLISPLVSLLQNAEFDIKKEAAWAISNATSGGSHDQIKYLVEQGCIKPLCDLLVCPDPRIITVCLEGLENILKVGEAEKNLGHTGDMNYYAQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEEDDETQQPPGVDGSQAGFQFGGNQAPVPSGGFNFS", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEEMSGESVVSSAVPAAATRTTSFKGTSPSSKYVKLNVGGALYYTTMQTLTKQDTMLKAMFSGRMEVLTDSEGWILIDRCGKHFGTILNYLRDGAVPLPESRREIEELLAEAKYYLVQGLVEECQAALQNKDTYEPFCKVPVITSSKEEQKLIATSNKPAVKLLYNRSNNKYSYTSNSDDNMLKNIELFDKLSLRFNGRVLFIKDVIGDEICCWSFYGQGRKIAEVCCTSIVYATEKKQTKVEFPEARIYEETLNILLYEAQDGRGPDNALLEATGGAAGRSHHLDEDEERERIERVRRIHIKRPDDRAHLHQ", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDDEDVEIDLLTKSSNVDVFCEASTSGNVAQCATVSELRNGMDFESKEAAYYFYREYARSVGFGITIKASRRSKRSGKFIDVKIACSRFGTKREKATAINPRSCPKTGCKAGLHMKRKEDEKWVIYNFVKEHNHEICPDDFYVSVRGKNKPAGALAIKKGLQLALEEEDLKLLLEHFMEMQDKQPGFFYAVDFDSDKRVRNVFWLDAKAKHDYCSFSDVVLFDTFYVRNGYRIPFAPFIGVSHHRQYVLLGCALIGEVSESTYSWLFRTWLKAVGGQAPGVMITDQDKLLSDIVVEVFPDVRHIFCLWSVLSKISEMLNPFVSQDDGFMESFGNCVASSWTDEHFERRWSNMIGKFELNENEWVQLLFRDRKKWVPHYFHGICLAGLSGPERSGSIASHFDKYMNSEATFKDFFELYMKFLQYRCDVEAKDDLEYQSKQPTLRSSLAFEKQLSLIYTDAAFKKFQAEVPGVVSCQLQKEREDGTTAIFRIEDFEERQNFFVALNNELLDACCSCHLFEYQGFLCKHAILVLQSADVSRVPSQYILKRWSKKGNNKEDKNDKCATIDNRMARFDDLCRRFVKLGVVASLSDEACKTALKLLEETVKHCVSMDNSSKFPSEPDKLMTGGSIGLENEGVLDCASKVSKKKKIQKKRKVYCGPEDATNRSEELRQETEQVSSRAPTFENCYIPQANMEEPELGSRATTLGVYYSTQQTNQGFPSISSIQNGYYGHPPTIQAMGNLHSIHERMSQYETQPSMQGAFQGQTGFRGSAIRGCYDIEETLHDMTMGSSQFQGSDSSHPSDHRLSN", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAARRGRRDGVAPPPSGGPGPDPGGGARGSGWGSRSQAPYGTLGAVSGGEQVLLHEEAGDSGFVSLSRLGPSLRDKDLEMEELMLQDETLLGTMQSYMDASLISLIEDFGSLGESRLSLEDQNEVSLLTALTEILDNADSENLSPFDSIPDSELLVSPREGSSLHKLLTLSRTPPERDLITPVDPLGPSTGSSRGSGVEMSLPDPSWDFSPPSFLETSSPKLPSWRPPRSRPRWGQSPPPQQRSDGEEEEEVASFSGQILAGELDNCVSSIPDFPMHLACPEEEDKATAAEMAVPAAGDESISSLSELVRAMHPYCLPNLTHLASLEDELQEQPDDLTLPEGCVVLEIVGQAATAGDDLEIPVVVRQVSPGPRPVLLDDSLETSSALQLLMPTLESETEAAVPKVTLCSEKEGLSLNSEEKLDSACLLKPREVVEPVVPKEPQNPPANAAPGSQRARKGRKKKSKEQPAACVEGYARRLRSSSRGQSTVGTEVTSQVDNLQKQPQEELQKESGPLQGKGKPRAWARAWAAALENSSPKNLERSAGQSSPAKEGPLDLYPKLADTIQTNPIPTHLSLVDSAQASPMPVDSVEADPTAVGPVLAGPVPVDPGLVDLASTSSELVEPLPAEPVLINPVLADSAAVDPAVVPISDNLPPVDAVPSGPAPVDLALVDPVPNDLTPVDPVLVKSRPTDPRRGAVSSALGGSAPQLLVESESLDPPKTIIPEVKEVVDSLKIESGTSATTHEARPRPLSLSEYRRRRQQRQAETEERSPQPPTGKWPSLPETPTGLADIPCLVIPPAPAKKTALQRSPETPLEICLVPVGPSPASPSPEPPVSKPVASSPTEQVPSQEMPLLARPSPPVQSVSPAVPTPPSMSAALPFPAGGLGMPPSLPPPPLQPPSLPLSMGPVLPDPFTHYAPLPSWPCYPHVSPSGYPCLPPPPTVPLVSGTPGAYAVPPTCSVPWAPPPAPVSPYSSTCTYGPLGWGPGPQHAPFWSTVPPPPLPPASIGRAVPQPKMESRGTPAGPPENVLPLSMAPPLSLGLPGHGAPQTEPTKVEVKPVPASPHPKHKVSALVQSPQMKALACVSAEGVTVEEPASERLKPETQETRPREKPPLPATKAVPTPRQSTVPKLPAVHPARLRKLSFLPTPRTQGSEDVVQAFISEIGIEASDLSSLLEQFEKSEAKKECPPPAPADSLAVGNSGGVDIPQEKRPLDRLQAPELANVAGLTPPATPPHQLWKPLAAVSLLAKAKSPKSTAQEGTLKPEGVTEAKHPAAVRLQEGVHGPSRVHVGSGDHDYCVRSRTPPKKMPALVIPEVGSRWNVKRHQDITIKPVLSLGPAAPPPPCIAASREPLDHRTSSEQADPSAPCLAPSSLLSPEASPCRNDMNTRTPPEPSAKQRSMRCYRKACRSASPSSQGWQGRRGRNSRSVSSGSNRTSEASSSSSSSSSSSRSRSRSLSPPHKRWRRSSCSSSGRSRRCSSSSSSSSSSSSSSSSSSSSRSRSRSPSPRRRSDRRRRYSSYRSHDHYQRQRVLQKERAIEERRVVFIGKIPGRMTRSELKQRFSVFGEIEECTIHFRVQGDNYGFVTYRYAEEAFAAIESGHKLRQADEQPFDLCFGGRRQFCKRSYSDLDSNREDFDPAPVKSKFDSLDFDTLLKQAQKNLRR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGIFQSIEEANNTSERLLRSEIKNSHGEFEKFDFDTEEYEINPKRKLRLVSRINPNAGHLRKSKSCFTVDEHVDETRCQKPVMKSPFMSNVDDEIKKKRETITKMTLEIEHHELSQNIRKPTDDLLPDSTYQPYHKKMLKQENRMIQSDIVNGENEADRLSLISDRLGMLNWEVTLQKVTKINDPTDENEMETKRYQTKELIDSMLHKFESMKKKSRNLARRPASSDSLLKLVSGKDWPKIYTRIDRTFIPDYASSSDEEEEKITVEEIRERRLKKREQQCGGSIIVLLSDHQSQKGMTRFAIVAEPLRKPYLIKTSTKERNSWKNKVPTNPKKFKKAPRISTQIAVKRRREVIPLTMEVEPEVIRDIRQDTQKSMKLNVKAEEISVTETVKSKEMNALRNNAASISPTLSEKAPLGSISSCTASQISQRSSENVGAIINNINPNLAIVPSCNEKTFVKTHNGMKTNSGINILPVRKKKKV", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVWEVKTNQMPNAVQKLLLVMDKRAPGMSDSLELLQCNENLPSSPGYNSCDEHMELDDLPELQAVQSDPTQSAIYQLSSDVSHQEYPRSSWSQNTSDIPENTHREDEVDWLTELANIATSPQSPLMQCSFYNRSSPVHIIATSKSLHSYARPPPVSSSSKSGPAFPHDHWKEETPVRHERANSESESGIFCMSSLSDDDDLGWCNSWPSTIWHCFLKGTRLCFHKESNKEWQDVEDFARAASCDNEEEIQMGTHKGYGSDGLKLLSHEESVSFGESVLKLTFDPGTVEDGLLTVECKLDHPFYVKNKGWSSFYPSLTVVQHGIPCCEIHIGDVCLPPGHPDAINFDDSGVFDTFKSYDFTPMDSSAVYVLSSMARQRRASLSCGGGPGTGQEFSGSEFSKSCGSPGSSQLSSSSLYAKAVKSHSSGTVSATSPNKCKRPMNAFMLFAKKYRVEYTQMYPGKDNRAISVILGDRWKKMKNEERRMYTLEAKALAEEQKRLNPDCWKRKRTNSGSQQH", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MIRIAALNASSTIEDDHEGSFKSHKTQTKEAQEAEAFALYHKALDLQKHDRFEESAKAYHELLEASLLREAVSSGDEKEGLKHPGLILKYSTYKNLAQLAAQREDLETAMEFYLEAVMLDSTDVNLWYKIGHVALRLIRIPLARHAFEEGLRCNPDHWPCLDNLITVLYTLSDYTTCLYFICKALEKDCRYSKGLVLKEKIFEEQPCLRKDSLRMFLKCDMSIHDVSVSAAETQAIVDEALGLRKKRQALIVREKEPDLKLVQPIPFFTWKCLGESLLAMYNHLTTCEPPRPSLGKRIDLSDYQDPSQPLESSMVVTPVNVIQPSTVSTNPAVAVAEPVVSYTSVATTSFPLHSPGLLETGAPVGDISGGDKSKKGVKRKKISEESGETAKRRSARVRNTKCKKEEKVDFQELLMKFLPSRLRKLDPEEEDDSFNNYEVQSEAKLESFPSIGPQRLSFDSATFMESEKQDVHEFLLENLTNGGILELMMRYLKAMGHKFLVRWPPGLAEVVLSVYHSWRRHSTSLPNPLLRDCSNKHIKDMMLMSLSCMELQLDQWLLTKGRSSAVSPRNCPAGMVNGRFGPDFPGTHCLGDLLQLSFASSQRDLFEDGWLEFVVRVYWLKARFLALQGDMEQALENYDICTEMLQSSTAIQVEAGAERRDIVIRLPNLHNDSVVSLEEIDKNLKSLERCQSLEEIQRLYEAGDYKAVVHLLRPTLCTSGFDRAKHLEFMTSIPERPAQLLLLQDSLLRLKDYRQCFECSDVALNEAVQQMVNSGEAAAKEEWVATVTQLLMGIEQALSADSSGSILKVSSSTTGLVRLTNNLIQVIDCSMAVQEEAKEPHVSSVLPWIILHRIIWQEEDTFHSLCHQQQLQNPAEEGMSETPMLPSSLMLLNTAHEYLGRRSWCCNSDGALLRFYVRVLQKELAASTSEDTHPYKEELETALEQCFYCLYSFPSKKSKARYLEEHSAQQVDLIWEDALFMFEYFKPKTLPEFDSYKTSTVSADLANLLKRIATIVPRTERPALSLDKVSAYIEGTSTEVPCLPEGADPSPPVVNELYYLLADYHFKNKEQSKAIKFYMHDICICPNRFDSWAGMALARASRIQDKLNSNELKSDGPIWKHATPVLNCFRRALEIDSSNLSLWIEYGTMSYALHSFASRQLKQWRGELPPELVQQMEGRRDSMLETAKHCFTSAARCEGDGDEEEWLIHYMLGKVAEKQQQPPTVYLLHYRQAGHYLHEEAARYPKKIHYHNPPELAMEALEVYFRLHASILKLLGKPDSGVGAEVLVNFMKEAAEGPFARGEEKNTPKASEKEKACLVDEDSHSSAGTLPGPGASLPSSSGPGLTSPPYTATPIDHDYVKCKKPHQQATPDDRSQDSTAVALSDSSSTQDFFNEPTSLLEGSRKSYTEKRLPILSSQAGATGKDLQGATEERGKNEESLESTEGFRAAEQGVQKPAAETPASACIPGKPSASTPTLWDGKKRGDLPGEPVAFPQGLPAGAEEQRQFLTEQCIASFRLCLSRFPQHYKSLYRLAFLYTYSKTHRNLQWARDVLLGSSIPWQQLQHMPAQGLFCERNKTNFFNGIWRIPVDEIDRPGSFAWHMNRSIVLLLKVLAQLRDHSTLLKVSSMLQRTPDQGKKYLRDADRQVLAQRAFILTVKVLEDTLSELAEGSERPGPKVCGLPGARMTTDVSHKASPEDGQEGLPQPKKPPLADGSGPGPEPGGKVGLLNHRPVAMDAGDSADQSGERKDKESPRAGPTEPMDTSEATVCHSDLERTPPLLPGRPARDRGPESRPTELSLEELSISARQQPTPLTPAQPAPAPAPATTTGTRAGGHPEEPLSRLSRKRKLLEDTESGKTLLLDAYRVWQQGQKGVAYDLGRVERIMSETYMLIKQVDEEAALEQAVKFCQVHLGAAAQRQASGDTPTTPKHPKDSRENFFPVTVVPTAPDPVPADSVQRPSDAHTKPRPALAAATTIITCPPSASASTLDQSKDPGPPRPHRPEATPSMASLGPEGEELARVAEGTSFPPQEPRHSPQVKMAPTSSPAEPHCWPAEAALGTGAEPTCSQEGKLRPEPRRDGEAQEAASETQPLSSPPTAASSKAPSSGSAQPPEGHPGKPEPSRAKSRPLPNMPKLVIPSAATKFPPEITVTPPTPTLLSPKGSISEETKQKLKSAILSAQSAANVRKESLCQPALEVLETSSQESSLESETDEDDDYMDI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSETELSKEDAVTKKDNEEQVKKALLDPTKKRKAEDEIEIDLKSSIPLSKKQKRLLRRGKVTLEELNAKYNIDPKSIEEYKEDAEKKKSGASEKDAQGEESTINTPTGDESGEVVKKKKKDENKYGVWIGNLSFDTTKDDLVRFFIAKTKDNEDEKSRVTEQDITRLSMPRVAAKNSNAMKNKGFCYMFFKNVEQMKAVLELSESHLNGRNMLIKDSENYSGRPDKDDLVAMSKNPPSRILFVGNLSFDVTDDLLRKHFQHCGDIVKIRMATFEDSGKCKGFAFIDFKNEEGSTNALKDKSCRKIAGRPLRMEYGEDRSKRQVRKKVENVSRNNSSSFDISNNKGYDRAGQDNGSKPEYKRSNANRRPPVDSNNRTKSSVALATAQRGSAAIVPSQGKKVKFD", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSTADQEQPKVVEATPEDGTASSQKSTINAENENTKQNQSMEPQETSKGTSNDTKDPDNGEKNEEAAIDENSNVEAAERKRKHISTDFSDDDLEKEEHNDQSLQPTVENRASKDRDSSATPSSRQELEEKLDRILKKPKVRRTRRDEDDLEQYLDEKILRLKDEMNIAAQLDIDTLNKRIETGDTSLIAMQKVKLLPKVVSVLSKANLADTILDNNLLQSVRIWLEPLPDGSLPSFEIQKSLFAALNDLPVKTEHLKESGLGRVVIFYTKSKRVEAQLARLAEKLIAEWTRPIIGASDNYRDKRIMQLEFDSEKLRKKSVMDSAKNRKKKSKSGEDPTSRGSSVQTLYEQAAARRNRAAAPAQTTTDYKYAPVSNLSAVPTNARAVGVGSTLNNSEMYKRLTSRLNKKHK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MACKISPGANSASLPGHPNKVICERVRLQSLFPLLPSDQNTTVQEDAHFKAFFQSEDSPSPKRQRLSHSVFDYTSASPAPSPPMRPWEMTSNRQPPSVRPSQHHFSGERCNTPARNRRSPPVRRQRGRRDRLSRHNSISQDENYHHLPYAQQQAIEEPRAFHPPNVSPRLLHPAAHPPQQNAVMVDIHDQLHQGTVPVSYTVTTVAPHGIPLCTGQHIPACSTQQVPGCSVVFSGQHLPVCSVPPPMLQACSVQHLPVPYAAFPPLISSDPFLIHPPHLSPHHPPHLPPPGQFVPFQTQQSRSPLQRIENEVELLGEHLPVGGFTYPPSAHPPTLPPSAPLQFLTHDPLHQEVSFGVPYPPFMPRRLTGRSRYRSQQPIPPPPYHPSLLPYVLSMLPVPPAVGPTFSFELDVEDGEVENYEALLNLAERLGEAKPRGLTKADIEQLPSYRFNPNNHQSEQTLCVVCMCDFESRQLLRVLPCNHEFHAKCVDKWLKANRTCPICRADASEVHRDSE", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVSFCCEVCQDIIKKPKLDQHRSRCHGAYFTCIDCNTTFERTDYRNHTSCMTEAQRYQKGLYRPTKKELKKAKMNGNAVNSKELSPNTDNQNTPAGPTKHSLDENEKDKENKKSKKETVSSPAEQLLALTQNQEISLYKLLKKYNKQASKEDSLDSKEVLKHLAITADAKGNYLVKPITK", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEGMLSSGDQQRLVSSFLEIAVGQTAETARQFLQATSWKLEEAIQLFYIGNEGGMLQSGTHTQPASNDDAAAQSWGAATGTGNEMILPNDVDEVRAPLPVVRETLYGESMYYGAMRVGNSQPEPNSLIAFRNFSEEPKSPGIWEPDEGDSSASASASASASESASAPRDSLASLYRPPFHLMFQGSFEQAKTTSSSQDKWLLVNLQSTTEFSSHMLNRDTWANDAVSQTIKANFIFWQVYDDTTEGRKVCTYYKLESIPVVLVIDPTTGQRMRMWTGMVDPENLLEDLVPFMDGGPREHFASLSKKRPRGSFSLTPHSKPKEDVAKDEEEEELQRALAASLEDNNMKESSDDQSTIIPEEVAVEAVTSAVLPTFPPLPEEPKGGDRSLQCRVGIRLPNGQRLQRNFLKTDTIQLLWSFCYSQLEESERKKPLKLTQAIPGESKTLEYESNLTLEQSGVANSMISATWE", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEGLLHYINPAHAISLLSALNEERLKGQLCDVLLIVGDQKFRAHKNVLAASSEYFQSLFTNKENESQTVFQLDFCEPDAFDNVLNYIYSSSLFVEKSSLAAVQELGYSLGISFLTNIVSKTPQAPFPTCPNRKKVFVEDDENSSQKRSVIVCQSRNEAQGKTVSQNQPDVSHTSRPSPSIAVKANTNKPHVPKPIEPLHNLSLTEKSWPKDSSVVYAKSLEHSGSLDDPNRISLVKRNAVLPSKPLQDREAMDDKPGVSGQLPKGKALELALKRPRPPVLSVCSSSETPYLLKETNKGNGQGEDRNLLYYSKLGLVIPSSGSGSGNQSIDRSGPLVKSLLRRSLSMDSQVPVYSPSIDLKSSQGSSSVSSDAPGNVLCALSQKSSLKDCSEKTALDDRPQVLQPHRLRSFSASQSTDREGASPVTEVRIKTEPSSPLSDPSDIIRVTVGDAATTAAASSSSVTRDLSLKTEDDQKDMSRLPAKRRFQADRRLPFKKLKVNEHGSPVSEDNFEEGSSPTLLDADFPDSDLNKDEFGELEGTRPNKKFKCKHCLKIFRSTAGLHRHVNMYHNPEKPYACDICHKRFHTNFKVWTHCQTQHGIVKNPSPASSSHAVLDEKFQRKLIDIVREREIKKALIIKLRRGKPGFQGQSSSQAQQVIKRNLRSRAKGAYICTYCGKAYRFLSQFKQHIKMHPGEKPLGVNKVAKPKEHAPLASPVENKEVYQCRLCNAKLSSLLEQGSHERLCRNAAVCPYCSLRFFSPELKQEHESKCEYKKLTCLECMRTFKSSFSIWRHQVEVHNQNNMAPTENFSLPVLDHNGDVTGSSRPQSQPEPNKVNHIVTTKDDNVFSDSSEQVNFDSEDSSCLPEDLSLSKQLKIQVKEEPVEEAEEEAPEASTAPKEAGPSKEASLWPCEKCGKMFTVHKQLERHQELLCSVKPFICHVCNKAFRTNFRLWSHFQSHMSQASEESAHKESEVCPVPTNSPSPPPLPPPPPLPKIQPLEPDSPTGLSENPTPATEKLFVPQESDTLFYHAPPLSAITFKRQFMCKLCHRTFKTAFSLWSHEQTHN", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSEPGKGDDCLELESSMAESRLRAPDLGVSRCLGKCQKNSPGARKHPFSGKSFYLDLPAGKNLQFLTGAIQQLGGVIEGFLSKEVSYIVSSRREVKAESSGKSHRGCPSPSPSEVRVETSAMVDPKGSHPRPSRKPVDSVPLSRGKELLQKAIRNQGSISGGGSGGSSSLLTNARSWGVRILHVDEMMMHVQQLSLASLCVKKQQPKKPEGTCPAAESRTRKVARLKAPFLKIEDESRKFRPFHHQFKSFPEISFLGPKDASPFEAPTTLGSMHHTRESKDGEPSPRSAAHTMPRRKKGYCECCQEAFEELHVHLQSAQHRSFALEAHLYAEVDRIIAQLSHSFADIPFQAGLPRWSGSPASDCDPLCPETLHPHQPSHPRAASPRIRKEDSCQASVTQGRAAGQQRWTESLDGVMGPPASHTCVSATTLLPALPKGSREQGCLCPCPASFTQSHLVTSLALLPGEWSPAEDMPLHPSQENSFAPADIPVKGPLLFPEARPWLMSARCWVRPFPFVTWGCLIPHDTTPLHEEVSPCPCLRLGYLYLLLTQSLWCRVRVPSLSTAGPIPRTSHPCTLAFPSYLNDHDLGHLCQAKPQGWNTPQPFLHCGFLAVDSG", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGKRVYDPIHDTFQLREDNSDETKADSPMQSVKSGSQEEASPSSIQSETETVTTKSIPVIHEIEIDDKNDDDSTQSEEENTNILLNFEPSTVPEATGASTATGPVTTNTVRRKPKESNASKYNRHLKKPDGEPFNRKDIQFSFMQELLMDKRQIFTNVLKPLYKNSIVPINIDGDKLSINVTDKEYDARTFVFNDKLTFAQLYVLTIATSIKCSKILRDKLLLDQQVAFSTCVLALLVNIGRLNTTINFYLEMTSQLRTFHSVPVLQLHANDPKLLQDTPRLKSILKNLPWGNEQLSLMETYKKVDQNDGEVDTVNKFNIINMLFSICDNSGLIDKRFLSKYVEVESKAQEQDMVDEQNEVKETEAENEKQESKAAYATTLFDILDYSKYEPKDRSNILIWLLYIHLETNLSQEEVEESVRFFNGLEDGAPAGKFILRCTERSYDTDPEDELEFGANQRIKRREFMSKMEEGRKRERTNVTEVKKPSIGGDKSEEDGEGEDDKSEETVEETRSLLTPTPILESSSPMTLNRKKVTPQLPKVTPAAPTETEEEITSAAIIDKNDLNLTPLKKYNSSATVNKVDKLISLDLNKHVSENGKTQEEFLADLKKSQVPNRLKRRDIGLIKIFNEFEDIPVASVLGIRGKKRKKFKDNLLGFETDFMKNLGASKKVLLNKIERAEIDDEEATAMFKLE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGDKKSPTRPKRQPKPSSDEGYWDCSVCTFRNSAEAFKCMMCDVRKGTSTRKPRPVSQLVAQQVTQQFVPPTQSKKEKKDKVEKEKSEKETTSKKNSHKKTRPRLKNVDRSSAQHLEVTVGDLTVIITDFKEKTKSPPASSAASADQHSQSGSSSDNTERGMSRSSSPRGEASSLNGESH", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAQSNWEADKMLDVYIYDYLVKKKLHNTAKSFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEAQQGKAKEQQMQIQQLQMMRQAQMQRRDPNHPSLGGPMNAIGSEGMIGQSNASALAAKMYEERMKQPNPMNSETSQPHLDARMALLKSATNHHGQIVQGNHQGGVSAALQQIQSRTQQPTEIKTEVNLGTSPRQLPVDPSTVYGQGILQSKPGMGSAGLNPGVSGLPLKGWPLTGIEQMRPGLGGPQVQKSFLQNQSQFQLSPQQQQHQMLAQVQAQGNMTNSPMYGGDMDPRRFTGLPRGNLNPKDGQQNANDGSIGSPMQSSSSKHISMPPVQQSSSQQQDHLLSQQSQQNNRKRKGPSSSGPANSTGTGNTVGPSNSQPSTPSTHTPVDGVAIAGNMHHVNSMPKGPMMYGSDGIGGLASSANQLLQDDMDQFGDVGALEDNVESFLSQDDGDGGSLFGTLKRNSSVHTETSKPFSFNEVSCIRKSASKVICCSFSYDGKLLASAGHDKKVFIWNMETLQVESTPEEHAHIITDVRFRPNSTQLATSSFDKTIKIWDASDPGYFLRTISGHAAPVMSIDFHPKKTELLCSCDSNNDIRFWDINASCVRAVKGASTQVRFQPRTGQFLAAASENTVSIFDIENNNKRVNIFKGHSSNVHSVCWSPNGELVASVSEDAVKLWSLSSGDCIHELSNSGNKFHSVVFHPSYPDLLVIGGYQAIELWNTMENKCMTVAGHECVISALAQSPSTGVVASASHDKSVKIWK", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASSCAVQVKLELGHRAQVRKKPTVEGFTHDWMVFVRGPEHSNIQHFVEKVVFHLHESFPRPKRVCKDPPYKVEESGYAGFILPIEVYFKNKEEPKKVRFDYDLFLHLEGHPPVNHLRCEKLTFNNPTEDFRRKLLKAGGDPNRSIHTSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSTSFSKPHKLMKEHKEKPSKDSREHKSAFKEPSRDHNKSSKDSSKKPKENKPLKEEKIVPKMAFKEPKPMSKEPKADSNLLTVTSGQQDKKAPSKRPPASDSEELSAKKRKKSSSEALFKSFSSAPPLILTCSADKKQIKDKSHVKMGKVKIESETSEKKKSMLPPFDDIVDPNDSDVEENMSSKSDSEQPSPASSSSSSSSSFTPSQTRQQGPLRSIMKDLHSDDNEEESDEAEDNDNDSEMERPVNRGGSRSRRVSLSDGSDSESSSASSPLHHEPPPPLLKTNNNQILEVKSPIKQSKSDKQIKNGECDKAYLDELVELHRRLMTLRERHILQQIVNLIEETGHFHITNTTFDFDLCSLDKTTVRKLQSYLETSGTS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MALLTALDDTLPEEAQGPGRRMILLSTPSQSDALRACFERNLYPGIATKEELAQGIDIPEPRVQIWFQNERSCQLRQHRRQSRPWPGRRDPQKGRRKRTAITGSQTALLLRAFEKDRFPGIAAREELARETGLPESRIQIWFQNRRARHRGQSGRAPTQASIRCNAAPIG", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAGDGRRAEAVREGWGVYVTPRAPIREGRGRLAPQNGGSSDAPAYRTPPSRQGRREVRFSDEPPEVYGDFEPLVAKERSPVGKRTRLEEFRSDSAKEEVRESAYYLRSRQRRQPRPQETEEMKTRRTTRLQQQHSEQPPLQPSPVMTRRGLRDSHSSEEDEASSQTDLSQTISKKTVRSIQEAPVSEDLVIRLRRPPLRYPRYEATSVQQKVNFSEEGETEEDDQDSSHSSVTTVKARSRDSDESGDKTTRSSSQYIESFWQSSQSQNFTAHDKQPSVLSSGYQKTPQEWAPQTARIRTRMQNDSILKSELGNQSPSTSSRQVTGQPQNASFVKRNRWWLLPLIAALASGSFWFFSTPEVETTAVQEFQNQMNQLKNKYQGQDEKLWKRSQTFLEKHLNSSHPRSQPAILLLTAARDAEEALRCLSEQIADAYSSFRSVRAIRIDGTDKATQDSDTVKLEVDQELSNGFKNGQNAAVVHRFESFPAGSTLIFYKYCDHENAAFKDVALVLTVLLEEETLGTSLGLKEVEEKVRDFLKVKFTNSNTPNSYNHMDPDKLNGLWSRISHLVLPVQPENALKRGICL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPTPSNAIEINDGSHKSGRSTRRSGSRSAHDDGLDSFSKGDSGAGASAGSSNSRFRHRKISVKQHLKIYLPNDLKHLDKDELQQREVVEIETGVEKNEEKEVHLHRILQMGSGHTKHKDYIPTPDASMTWNEYDKFYTGSFQETTSYIKFSATVEDCCGTNYNMDERDETFLNEQVNKGSSDILTEDEFEILCSSFEHAIHERQPFLSMDPESILSFEELKPTLIKSDMADFNLRNQLNHEINSHKTHFITQFDPVSQMNTRPLIQLIEKFGSKIYDYWRERKIEVNGYEIFPQLKFERPGEKEEIDPYVCFRRREVRHPRKTRRIDILNSQRLRALHQELKNAKDLALLVAKRENVSLNWINDELKIFDQRVKIKNLKRSLNISGEDDDLINHKRKRPTIVTVEQREAELRKAELKRAAAAAAAAKAKNNKRNNQLEDKSSRLTKQQQQQLLQQQQQQQQNALKTENGKQLANASSSSTSQPITSHVYVKLPSSKIPDIVLEDVDALLNSKEKNARKFVQEKMEKRKIEDADVFFNLTDDPFNPVFDMSLPKNFSTSNVPFASIASSKFQIDRSFYSSHLPEYLKGISDDIRIYDSNGRSRNKDNYNLDTKRIKKTELYDPFQENLEIHSREYPIKFRKRVGRSNIKYVDRMPNFTTSSTKSACSLMDFVDFDSIEKEQYSREGSNDTDSINVYDSKYDEFVRLYDKWKYDSPQNEYGIKFSDEPARLNQISNDTQVIRFGTMLGTKSYEQLREATIKYRRDYITRLKQKHIQHLQQQQQQQQQQQQQAQQQKQKSQNNNSNSSNSLKKLNDSLINSEAKQNSSITQKNSS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSATAAARKRGKPASGAGAGAGAGKRRRKADSAGDRGKSKGGGKMNEEISSDSESESLAPRKPEEEEEEELEETAQEKKLRLAKLYLEQLRQQEEEKAEARAFEEDQVAGRLKEDVLEQRGRLQKLVAKEIQAPASADIRVLRGHQLSITCLVVTPDDSAIFSAAKDCSIIKWSVESGRKLHVIPRAKKGAEGKPPGHSSHVLCMAISSDGKYLASGDRSKLILIWEAQSCQHLYTFTGHRDAVSGLAFRRGTHQLYSTSHDRSVKVWNVAENSYVETLFGHQDAVAALDALSRECCVTAGGRDGTVRVWKIPEESQLVFYGHQGSIDCIHLINEEHMVSGADDGSVALWGLSKKRPLALQREAHGLRGEPGLEQPFWISSVAALLNTDLVATGSHSSCVRLWQCGEGFRQLDLLCDIPLVGFINSLKFSSSGDFLVAGVGQEHRLGRWWRIKEARNSVCIIPLRRVPVPPAAGS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKARKSQRKAGSKPNLIQSKLQVNNGSKSNKIVKCDKCEMSYSSTSIEDRAIHEKYHTLQLHGRKWSPNWGSIVYTERNHSRTVHLSRSTGTITPLNSSPLKKSSPSITHQEEKIVYVRPDKSNGEVRAMTEIMTLVNNELNAPHDENVIWNSTTEEKGKAFVYIRNDRAVGIIIIENLYGGNGKTSSRGRWMVYDSRRLVQNVYPDFKIGISRIWVCRTARKLGIATKLIDVARENIVYGEVIPRYQVAWSQPTDSGGKLASKYNGIMHKSGKLLLPVYI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAQPRYDDGLHGYGMDSGAAAAAMYDPHAGHRPPGLQGLPSHHSPHMTHAAAAAATVGMHGYHSGAGGHGTPSHVSPVGNHLMGAIPEVHKRDKDAIYEHPLFPLLALIFEKCELATCTPREPGVQGGDVCSSESFNEDIAMFSKQIRSQKPYYTADPEVDSLMVQAIQVLRFHLLELEKVHELCDNFCHRYISCLKGKMPIDLVIDERDTTKPPELGSANGEGRSNADSTSHTDGASTPDVRPPSSSLSYGGAMNDDARSPGAGSTPGPLSQQPPALDTSDPDGKFLSSLNPSELTYDGRWCRREWSSPADARNADASRRLYSSVFLGSPDNFGTSASGDASNASIGSGEGTGEEDDDASGKKNQKKRGIFPKVATNILRAWLFQHLTHPYPSEDQKKQLAQDTGLTILQVNNWFINARRRIVQPMIDQSNRAVYTPHPGPSGYGHDAMGYMMDSQAHMMHRPPGDPGFHQGYPHYPPAEYYGQHL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKLPVAQYSAPDGVEKSFAPIRDDPRYMTTEGRTTGPSDHVLNAGQIDRDKPSEPERTKDGSQLTYLGQLRTQLTGLQDDINEFLTGRMELAKNKKKAGADEKRIQEEINQLLDGGDGDEDAV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPRYREWDLACKVYVGNLGSSASKHEIEGAFAKYGPLRNVWVARNPPGFAFVEFEDRRDAEDATRALDGTRCCGTRIRVEMSSGRSRDRRRGEGGSSGRSGSGRYRITPSARTTSTATSSFYNINNLQQQPSSQPQPATFNLQL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MADHMMAMNHGRFPDGTNGLHHHPAHRMGMGQFPSPHHHQQQQPQHAFNALMGEHIHYGAGNMNATSGIRHAMGPGTVNGGHPPSALAPAARFNNSQFMGPPVASQGGSLPASMQLQKLNNQYFNHHPYPHNHYMPDLHPAAGHQMNGTNQHFRDCNPKHSGGSSTPGGSGGSSTPGGSGSSSGGGAGSSNSGGGSGSGNMPASVAHVPAAMLPPNVIDTDFIDEEVLMSLVIEMGLDRIKELPELWLGQNEFDFMTDFVCKQQPSRVSC", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSDTEKDKDVPMVDSHEATEEPPTTSTNTPSFPHLAQEQAKEESATLGAEVAHKKINYEQEAQKLEEKALRFLAKQTHPVIIPSFASWFDISKIHEIEKRSNPDFFNDSSRFKTPKAYKDTRNFIINTYRLSPYEYLTITAVRRNVAMDVASIVKIHAFLEKWGLINYQIDPRTKPSLIGPSFTGHFQVVLDTPQGLKPFLPENVIKQEVEGGDGAEPQVKKEFPVNLTIKKNVYDSAQDFNALQDESRNSRQIHKVYICHTCGNESINVRYHNLRARDTNLCSRCFQEGHFGANFQSSDFIRLENNGNSVKKNWSDQEMLLLLEGIEMYEDQWEKIADHVGGHKRVEDCIEKFLSLPIEDNYIREVVGSTLNGKGGDSRDGSVSGSKLMECVNDAVQTLLQGDDKLGKVSDKSREISEKYIEESQAIIQELVKLTMEKLESKFTKLCDLETQLEMEKLKYVKESEKMLNDRLSLSKQILDLNKSLEELNVSKKLVLISEQVDSGIQLVEKDQEGDDEDGNTATGHGVKRVGKEGEEVGEGDSIAKLQPQVYKPWSL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEELSSVGEQVFAAECILSKRLRKGKLEYLVKWRGWSSKHNSWEPEENILDPRLLLAFQKKEHEKEVQNRKRGKRPRGRPRKHTVTSSCSRRSKLKEPDAPSKSKSSSSSSSSTSSSSSSDEEEDDSDLDSKRGPRGRETHPVPQKKAQILVAKPELKDPIRKKRGRKPLPPEQKAARRPVSLAKVLKTTRKDLGTSAAKLPPPLSAPVAGLAALKAHTKEACGGPSTMATPENLASLMKGMAGSPSRGGIWQSSIVHYMNRMSQSQVQAASRLALKAQATNKCGLGLDLKVRTQKGGELGGSPAGGKVPKAPGGGAAEQQRGNHSGSPGAQLAPTQELSLQVLDLQSVKNGVPGVGLLARHAPAKAIPATNPATGKGPGSGPTGANMTNAPTDNNKGEKLTCKATALPAPSVKRDTVKSVAASGGQEGHTAPGEGRKPPALSELSTGEENSSSDSDPDSTSLPSAAQNLSVAIQTSQDWKPTRSLIEHVFVTDVTANLITVTVKESPTSVGFFNLRHY", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPNDDTFGKPSTPTEVPHAPGAPPQGKAGGYSKAAGAMAGAAGGSGAGGSGGASGSGPSGLGSGSKKSPRLPKCARCRNHGYASPLKGHKRFCMWRDCQCKKCSLIAERQRVMAAQVALRRQQAQEEELGISHPIPLPSAAELLVKRENNASNPCLMAENSSSAQPPPASTPTPAASEGRMVIQDIPAVTSRGHMENTSDLVSDPAYYSSFYQPSLFPYYNNLYNYPQYSMALSAESSSGEVGNSLGGSPVKNSLRSLPAPYVPAQTGNQWQMKTSESRHPVSSQYRMHSYYGPPSYLGQSMSQIFTFEEGPSYSEAKASVFSPPSSQDSGLVSLSSSSPMSNESSKGVLECESASSEPSSYAVNQVLEEDEDE", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLAGCSSSSLLSPTRRLRSEAVAATSATVSAHFPMNTQRLDLPCSSSFSRKETPSSRPLGRSISLDNSNNNNNKPIERKTKTSGCSLKQNIKLPPLATTRGNGEGFSWNNDNNNRGKSLKRLAEEDESCLSRAKRTKCENEGGFWFEHFTGQDSSSPALPFSLTCSGDDEEKVCFVPSEVISQPLPNWVDSVITELAGIGDKDVESSLPAAVKEASGGSSTSASSESRSLSHRVPEPTNGSRNPYSHRGATEERTTGNINNNNNRNDLQRDFELVNLLTGCLDAIRSRNIAAINHFIARTGDLASPRGRTPMTRLIAYYIEALALRVARMWPHIFHIAPPREFDRTVEDESGNALRFLNQVTPIPKFIHFTANEMLLRAFEGKERVHIIDFDIKQGLQWPSFFQSLASRINPPHHVRITGIGESKLELNETGDRLHGFAEAMNLQFEFHPVVDRLEDVRLWMLHVKEGESVAVNCVMQMHKTLYDGTGAAIRDFLGLIRSTNPIALVLAEQEAEHNSEQLETRVCNSLKYYSAMFDAIHTNLATDSLMRVKVEEMLFGREIRNIVACEGSHRQERHVGFRHWRRMLEQLGFRSLGVSEREVLQSKMLLRMYGSDNEGFFNVERSDEDNGGEGGRGGGVTLRWSEQPLYTISAWTTGGN", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSTISTSTDSKLDNLGLSVTSRRNQILFYLSKALNLAHLLRSDSLQKSFLDALKQSATDSELLHKNLDEIKFLQNEKLNNEKLLEQEQNEANDYRLKVERLEHKISDYVQEINSLNSQLQIQKSNPEKHEDAVSQNRLRGSLDTVSSPSKTHKANKDEKATRLHLIIANLKKALKEKDAEVLNLQSHVSSKESELDRFKIKLETEESNWKVRLQVLESKLATQDRKLRMQKKSTERKSLLVSPRVSSPKLFSPSKQAIMGTRQPNATSGSPLSVTPFLQKTSTSIGLSSSPPQSSPSAQSSQPFSRDKYPHSMTVSPSNARYLKKHLDDTIPSNVSDINHNDHLKIPQSPSSLSPSKIPIRKKRKLKDTVSNCEFTEEDSESSFLLETIQPTKSTLRRSISPLKKRNDEINELKKGFTMKK", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MQKILQTDDITDNQVLRKRKRKRTETANSENANSALEKAQRDPYSGNAFLPGESSSDEETPLMELSKEELCNKIESLKEKLRSIRKENSRLRQSLVMLQVLPQAVTQFEELVGMAETLLKSGGAVSTPASTLWRATNNSSPDSFASLCSNSNSTSSSPSSVKAEEEQHPGEKQFTIERWQIARCNKSKPQKFINDLMQVLYTNEYMATHSLTGAKSSTSRDKVVKPAMNQNEVQEIIGVTKQVFPSADDVSIRRMIGQKLNNCTKKPNASKAPNSQDGILK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSRAKELQEKLNLQAKLQSTFSNNTAAVLDWLKESDETGISNDTERNKQLKDHKELEDGKKAFFKLPVLQIGSGLHFRTQDDASAKEDIHTIGEFIEGDKKVSSLAKKKKRSDPGLQRNNMYRITKDDTKAMIALKRKMRKGEKEGLRKKQEHSKSSVSNSYSASDEEDEDAGTMPQKSTKKKFGLLFDKKKKARK", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGKAKTTRKFAQVKRVINLKDQRLQKKDQKKEKEKTTKNGELVREIPQMASNLFFQFNESLGPPYHVIIDTNFINFCLQQKIDLFEGLMTCLYAKTIPCISDCVMAELEKLGIRYRIALRIAKDERFERLPCTHKGTYADDCIVQRVMQHKCYLVATNDKNLKQRIRKIPGIPILSVANHKIRVERLVDVVD", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASRSKRRAVESGVPQPPDPPVQRDEEEEKEVENEDEDDDDSDKEKDEEDEVIDEEVNIEFEAYSLSDNDYDGIKKLLQQLFLKAPVNTAELTDLLIQQNHIGSVIKQTDVSEDSNDDMDEDEVFGFISLLNLTERKGTQCVEQIQELVLRFCEKNCEKSMVEQLDKFLNDTTKPVGLLLSERFINVPPQIALPMYQQLQKELAGAHRTNKPCGKCYFYLLISKTFVEAGKNNSKKKPSNKKKAALMFANAEEEFFYEKAILKFNYSVQEESDTCLGGKWSFDDVPMTPLRTVMLIPGDKMNEIMDKLKEYLSV", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "METTSLQRKFPEWMSMQSQRCATEEKACVQKSVLEDNLPFLEFPGSIVYSYEASDCSFLSEDISMRLSDGDVVGFDMEWPPIYKPGKRSRVAVIQLCVSESKCYLFHISSMSVFPQGLKMLLENKSIKKAGVGIEGDQWKLLRDFDVKLESFVELTDVANEKLKCAETWSLNGLVKHVLGKQLLKDKSIRCSNWSNFPLTEDQKLYAATDAYAGLIIYQKLGNLGDTAQVFALNKAEENLPLEMKKQLNSISEEMRDLANRFPVTCRNLETLQRVPVILKSISENLCSLRKVICGPTNTETRLKPGSSFNLLSSEDSAAAGEKEKQIGKHSTFAKIKEEPWDPELDSLVKQEEVDVFRNQVKQEKGESENEIEDNLLREDMERTCVIPSISENELQDLEQQAKEEKYNDVSHQLSEHLSPNDDENDSSYIIESDEDLEMEMLKSLENLNSDVVEPTHSTWLEMGTNGRLPPEEEDGHGNEAIKEEQEEEDHLLPEPNAKQINCLKTYFGHSSFKPVQWKVIHSVLEERRDNVVVMATGYGKSLCFQYPPVYTGKIGIVISPLISLMEDQVLQLELSNVPACLLGSAQSKNILGDVKLGKYRVIYITPEFCSGNLDLLQQLDSSIGITLIAVDEAHCISEWGHDFRSSFRMLGSLKTALPLVPVIALSATASSSIREDIISCLNLKDPQITCTGFDRPNLYLEVGRKTGNILQDLKPFLVRKASSAWEFEGPTIIYCPSRKMTEQVTAELGKLNLACRTYHAGMKISERKDVHHRFLRDEIQCVVATVAFGMGINKADIRKVIHYGAPKEMESYYQEIGRAGRDGLQSSCHLLWAPADFNTSRNLLIEIHDEKFRLYKLKMMVKMEKYLHSSQCRRRIILSHFEDKCLQKASLDIMGTEKCCDNCRPRLNHCLTANNSEDASQDFGPQAFQLLSAVDILQEKFGIGIPILFLRGSNSQRLPDKYRGHRLFGAGKEQAESWWKTLSHHLIAEGFLVEVPKENKYIKTCSLTKKGRKWLGEASSQSPPSLLLQANEEMFPRKVLLPSSNPVSPETTQHSSNQNPAGLTTKQSNLERTHSYKVPEKVSSGTNIPKKSAVMPSPGTSSSPLEPAISAQELDARTGLYARLVEARQKHANKMDVPPAILATNKVLLDMAKMRPTTVENMKQIDGVSEGKAALLAPLLEVIKHFCQVTSVQTDLLSSAKPHKEQEKSQEMEKKDCSLPQSVAVTYTLFQEKKMPLHSIAENRLLPLTAAGMHLAQAVKAGYPLDMERAGLTPETWKIIMDVIRNPPINSDMYKVKLIRMLVPENLDTYLIHMAIEILQSGSDSRTQPPCDSSRKRRFPSSAESCESCKESKEAVTETKASSSESKRKLPEWFAKGNVPSADTGSSSSMAKTKKKGLFS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPGLTIGDTVPNLELDSTHGKIRIHDYVGNGYVILFSHPGDFTPVCTTELAAMANYAKEFEKRGVKLLGISCDDVQSHKEWTKDIEAYKPGSKVTYPIMADPDRSAIKQLNMVDPDEKDAQGQLPSRTLHIVGPDKVVKLSFLYPSCTGRNMDEVVRAVDSLLTAAKHKVATPANWKPGECVVIAPGVSDEEAKKMFPQGFETADLPSKKGYLRFTKV", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTGEVGSEVHLEINDPNVISQEEADSPSDSGQGSYETIGPLSEGDSDEEIFVSKKLKNRKVLQDSDSETEDTNASPEKTTYDSAEEENKENLYAGKNTKIKRIYKTVADSDESYMEKSLYQENLEAQVKPCLELSLQSGNSTDFTTDRKSSKKHIHDKEGTAGKAKVKSKRRLEKEERKMEKIRQLKKKETKNQEDDVEQPFNDSGCLLVDKDLFETGLEDENNSPLEDEESLESIRAAVKNKVKKHKKKEPSLESGVHSFEEGSELSKGTTRKERKAARLSKEALKQLHSETQRLIRESALNLPYHMPENKTIHDFFKRKPRPTCHGNAMALLKSSKYQSSHHKEIIDTANTTEMNSDHHSKGSEQTTGAENEVETNALPVVSKETQIITGSDESCRKDLVKNEELEIQEKQKQSDIRPSPGDSSVLQQESNFLGNNHSEECQVGGLVAFEPHALEGEGPQNPEETDEKVEEPEQQNKSSAVGPPEKVRRFTLDRLKQLGVDVSIKPRLGADEDSFVILEPETNRELEALKQRFWKHANPAAKPRAGQTVNVNVIVKDMGTDGKEELKADVVPVTLAPKKLDGASHTKPGEKLQVLKAKLQEAMKLRRFEERQKRQALFKLDNEDGFEEEEEEEEEMTDESEEDGEEKVEKEEKEEELEEEEEKEEEEEEEGNQETAEFLLSSEEIETKDEKEMDKENNDGSSEIGKAVGFLSVPKSLSSDSTLLLFKDSSSKMGYFPTEEKSETDENSGKQPSKLDEDDSCSLLTKESSHNSSFELIGSTIPSYQPCNRQTGRGTSFFPTAGGFRSPSPGLFRASLVSSASKSSGKLSEPSLPIEDSQDLYNASPEPKTLFLGAGDFQFCLEDDTQSQLLDADGFLNVRNHRNQYQALKPRLPLASMDENAMDANMDELLDLCTGKFTSQAEKHLPRKSDKKENMEELLNLCSGKFTSQDASTPASSELNKQEKESSMGDPMEEALALCSGSFPTDKEEEDEEEEFGDFRLVSNDNEFDSDEDEHSDSGNDLALEDHEDDDEEELLKRSEKLKRQMRLRKYLEDEAEVSGSDVGSEDEYDGEEIDEYEEDVIDEVLPSDEELQSQIKKIHMKTMLDDDKRQLRLYQERYLADGDLHSDGPGRMRKFRWKNIDDASQMDLFHRDSDDDQTEEQLDESEARWRKERIEREQWLRDMAQQGKITAEEEEEIGEDSQFMILAKKVTAKALQKNASRPMVIQESKSLLRNPFEAIRPGSAQQVKTGSLLNQPKAVLQKLAALSDHNPSAPRNSRNFVFHTLSPVKAEAAKESSKSQVKKRGPSFMTSPSPKHLKTDDSTSGLTRSIFKYLES", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVQVPSTNTVKESRHVAISGLPSTLPDDRLQLHFTKFGEIQRLVRQHGNPEIVLVSYMDARGALRARSTKPQFEDSIEYKISAYIPEPTQNSSMASMSSTPSSGQSSSPRNAELSPQRYGDTRGAEVKSPSFRNQMEARRGGPHLSVQSQQRHSREYWPIPEFPSESTACVVYEIQSGSTPERDLFELVKKHSKRSGVPIDIQLESTTEPGWKKARVHYYRLDTDGLKADKSLILGRPPKFRVYYPTSGEQKHPQCHPSTSYAIPKLKGDHLLKASCSVHVPHLDRHSPDHYRRRFESYGQVIDVDMVKSNDNKAFAVVQFTNIDDAQKALQDTNIPKPMSYQSRPSHRIIIFYLPIECTNEEIMLIIRSLSDRIVDICVDWWDRSAVITLDDMEPANLLLKRMKLVGRNNFGEHKVAVDFCSDRFNLYFINRKKENIEVAARSSSPTSKSENDQGSSSPSSSRDRQNLHDPLQTRSSVEHHTNQEDQENNASGSDSSSDSDSEEGSSSSNEDSDEQNDVDEEDDEDVVSEEKRHEPEEGKSSSPGNGHRDESNGDKDHEDSSERFSQPSTSSHHETSHSPEKDSEAYQSRSFSPLNYQSQSPGYEFLESKEIKQEFSPTTSSASSSDLELDMEMPDNPLTRMLERMHWRPFIDVSSFVNRIDEIVELNQKARASYEKFTGRPFPKCNNDEVLSIQKIVFHEPRDYYYYENPCSELEVRIRDWRKLSDTADLDDFRATDSKELGRDQPAGGRTSGRPSLDESRTNRLSFDSTHHPAELAQRSHSLCIGPMTPSTPFPTSQPLLVNTTHLPGTSQPSTSGGITTPRSSQPPPLMSPVSRHNSMSSTGRPASIQTLRHQSVMFPPDVSIPPPPIPPTHDEMMAPRGTPPSRRSSETMVPLRSPPFGTPIQNLLTMPIVPPPHLIAATSTGTHSVSSSAHSTPRHSISGTPVHCEPSNSKTSQPPTPKSRPEKVQIRHDTISKSGPSNAINALQARSQSMTSGDPKKSAPSTPVVRDAGSDLVAQIMSNQPNLGLRKLPRIEKKSSALQNIQNHQPPHSNANSTPSTPSTSTHQAMFKDKEKERKKKEKEKEEREREARREMKRKETKEERNKRKEMERAKRLEDERQERKREKKKERDERKKEKEKVRKKAEKEKLKKKKHRKGDSSDESDSDSNDELDLDVRKSTKEMTQEEKDHQLALLLSKGGIIENLKSRRRSDKRAHDSFEKMQQKSQQRRVLIESSDDEGGKDGDKGNSSNGEESDSEKADLPPPPAPPSLSESADQRLKVLKEREKGELTTSSDDEDHNDAGEIHQQRLTEDRENRKRQKSLTAYSSDEQGERKNVPKRMRRDDSEDAAAKHPGWSAKDDQKQRKRKLEHRRSSEDESKKNAKRDFRDIPHEDVSDEEETEDGSRSRRQSTSSTISNVTAKERKEKSGKTPLRIVPEPTGTPLLSPKILSPKHLSPKTSTSSTKRSSISDHENLISPRQRNRTTSSTSTATTSSKHEALSIPEKPLSPPVTAKSSVSSIDDPSIRDEFSMNSAADSPMSTTGRPMVLTKAAMKAFNSTPPKKKNSSSGQHDSSSGSSSDSSSSDGSTSSDDSSDDEVPKQTEPVTSIPVVASDNGSPENVVVETPSIVSQTPREPEPFTISEQSSESEPEAVPECPEASVEPQMETSQNVEPVSEEHEDSHEHGDSEVAVESQQQPLEHQEEKEELENKILDVAAEHHEEQVQGDEDSVESSIPAPSDEPDPVTQAQEKSAHTLISDQETDQAVQSIFDEEEADEFPQYPDFGISTNEKEVSGKDPHNIKPTEPLNNGHTDLLFSPSSSAHASEKQSTKSEDDMEEDSELVVMEKEVPMEQVIAQEVHVPSEPSPMEEEVKLETSPVPKEEPIKMEESPEQTPTPDLISNNESQDTPGAVNNHLHENHDAVQTPIQLQPASQHQVAQPSPRPAVAPDSQQNGPVLVSQQSQPSPMSSQQSDMAQNLILSSKDINDLAAKLHKNPEALAQATRGDCSGIFQHLLLHAQGNGQNMTPEMLQLKAAFFAQQQENEANQMMQAKMKQQTINKDRIKEQERVKRMYEENERKVEEDRREKQRKEEERQRLAAATAAATMATQKAAEALKQKQEVPRHGFQHVLSMMTPEARSLYEQFPGLSSYINRDSIGATNGVLHLPTQSIQRPSSTASTSSNPPKAPLQPSASVNQNTIDPAEIEEIRVQRWFYKHFPMVWTGRLALKSTEAMINLHLINGSETFLNDVLGRQVTEENPRRDSVKILQRLRLDNGQVEHIYRILTNPEYACCLALSSVNNIENLKENDTNLKSHFIDYLINKKIAGISSLGEVETKFKSARVHVFAPGEIVNRYLSELATSLHDYLQNTDTRYLLIVFTNDKADPNMTGPPSVASLAVPPVSST", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLSSNTRGDCSDTAEEMTVDSRDSKDLSAQDIGEQKQQQMEDQLEDQLNDSRDPQNNNNNIDDDADEDAEFEEPEKANPQQDQDLGETEMEQEHDLQQEDLQQELPANSPSTPPRSPSSPQLIPKLEQPATPPSEPEASPCPSPSPCPTPKYPKVRLNALLASDPALKPDAKELTLPDSRLLAPPPLVKPDTQAQPEVAEPLLKPARFMCLPCGIAFSSPSTLEAHQAYYCSHRIKDTDEAGSDKSGAGGSGATAGDAAGLTGGSTEPPAKMARTGKQYGCTQCSYSADKKVSLNRHMRMHQTSPAAPTLAGLPSLLQNGIAPPGVTPNPMEDSSSQQTDRYCSHCDIRFNNIKTYRAHKQHYCSSRRPEGQLTPKPDASPGAGSGPGSAGGSIGVSAQAATPGKLSPQARNKTPTPAMVAVAAAAAAAAASLQATPHSHPPFLALPTHPIIIVPCSLIRAASFIPGPLPTPNSGIVNPETTCFTVDNGTIKPLATALVGATLEPERPSAPSSAAEATEAKSSPPEPKRKEAGLTRESAPLDLSLRRSPITLNSLSLRQRQLRNALLDVEEVLLAGVGTGKENVETPRGGGSVTPEQIVCAPSLPSSPSMSPSPKRRAISPRSSGAGSASSMSPPGLNVAVPHLLDMRSMLPADFGLSESLLAKTNPELALKLAAAAAAAAVAGSSGAAAFPPASLPAQTSSGNPGSGGSAGGAQQPQIYVKKGVSKCMECNIVFCKYENYLAHKQHYCSARSQEGASEVDVKSAVSPSIAGAGGLGAGAAEAASSVETTPVAYQQLICAACGIKYTSLDNLRAHQNYYCPKGGAVAAPAATPTDPGQLGMPKEKCGKCKTLHEIGLPCPPPVANPLAAPTVNPQPATNSLNKCPVCGVVSPTAALAKKHMEMHGTVKAYRCSICQYKGNTLRGMRTHIRTHFDKKTSDVNEELYMTCIFEEDASALSQELVTPTGASTTTGHDSMDHPSQMFNCDYCNYVSTYKGNVLRHMKLMHPHVAINSPSISPDTRDQDVTSNPTTNQHSNSDVSNGEAPSFHIKSEPLDPPPTVNLVHENNNSPIATPHIKAEPIEVGADAAPGGLVPPMTSPLGNSSSVAAAAAAAAEVMKKYCSTCDISFNYVKTYLAHKQFYCKNKPIRPEASDSPSPNHLGGGVAVGLGIGGLVGGHGQQKNKENLQEAAI", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPGRGRCPDCGSTELVEDSHYSQSQLVCSDCGCVVTEGVLTTTFSDEGNLREVTYSRSTGENEQVSRSQQRGLRRVRDLCRVLQLPPTFEDTAVAYYQQAYRHSGIRAARLQKKEVLVGCCVLITCRQHNWPLTMGAICTLLYADLDVFSSTYMQIVKLLGLDVPSLCLAELVKTYCSSFKLFQASPSVPAKYVEDKEKMLSRTMQLVELANETWLVTGRHPLPVITAATFLAWQSLQPADRLSCSLARFCKLANVDLPYPASSRLQELLAVLLRMAEQLAWLRVLRLDKRSVVKHIGDLLQHRQSLVRSAFRDGTAEVETREKEPPGWGQGQGEGEVGNNSLGLPQGKRPASPALLLPPCMLKSPKRICPVPPVSTVTGDENISDSEIEQYLRTPQEVRDFQRAQAARQAATSVPNPP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDTGGNSLASGPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPFPARDRDLCRFHADDYVSFLRSITPETQQDQIRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGSVKLNHGLCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHERVLYVDIDIHHGDGVEEAFYATDRVMTVSFHKFGDYFPGTGHIQDIGYGSGKYYSLNVPLDDGIDDESYHLLFKPIMGKVMEIFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGVEVEDKMPEHEYYEYFGPDYTLHVAPSNMENKNSRQMLEEIRNDLLHNLSKLQHAPSVPFQERPPDTETPEVDEDQEDGDKRWDPDSDMDVDDDRKPIPSRVKREAVEPDTKDKDGLKGIMERGKGCEVEVDESGSTKVTGVNPVGVEEASVKMEEEGTNKGGAEQAFPPKT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAVSAVPHPSKQAQASEEGINQEKCINEEYKIWKKNSPFLYDLIITRALEWPCMSLQWYPEQQIFAEHGYTEQKMFLGVRADVGKYLLAVASIQLPYLNQTVPPTTMEGASAGDESSLRVNISNLYSHPESVCSAKLMPQDDSCVATVGNYHNDVLVFDKESFESYSSASESPLKPKYRLTKHTQPCTSVCWNFLSKGTLVSGSQDATLSCWDLNAYNESDSASVLKVHISSHEKQVSDVRFHYKHQDLLASVSYDQYLHVHDIRRPDASTKPARSVHAHSGPIHSVAFNPHNDFILATCSTDKTIALWDLRNLNQRLHTLEGHEDIVTKISFSPHEEPILASTSADRRTLVWDLSRIGEDQPAEEAQDGPPELLFMHGGHTSCTIDMDWCPNYNWTMATAAEDNILQIWTPSRSIWGNEQLEEDATAYLS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MENQVSSFLIDSPMEWKTYMKSLSDDNPSFGDVTVNLTKISVSSKNAKSYAEEMYNYVTQEMVFISERSRLLLRAKRRLYKNQSLMKKTSVSTSNTVKMVFMSLAKQIEQMLKFCMMVYSKLCEAFETTLKVAKEFQICDSSQEWFFQFQLGYHRKQMELQMLSFVAEWLVLTQHYTDALNDSAKTLYDIMESSHKAAQKV", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRKKVSSSGDEGNNEYKKGLWTVEEDKILMDYVKAHGKGHWNRIAKKTGLKRCGKSCRLRWMNYLSPNVKRGNFTEQEEDLIIRLHKLLGNRWSLIAKRVPGRTDNQVKNYWNTHLSKKLGIKDQKTKQSNGDIVYQINLPNPTETSEETKISNIVDNNNILGDEIQEDHQGSNYLSSLWVHEDEFELSTLTNMMDFIDGHCF", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPRRRRRRGSSGAGGRGRTCSRTVRAELSFSVSQVERSLREGHYAQRLSRTAPVYLAAVIEYLTAKVLELAGNEAQNSGERNITPLLLDMVVHNDRLLSTLFNTTTISQVAPGED", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRFVFDEVFNSDTDSPEFEETCSTTSSTSSQCPTPEPSPAIKLPSFTKVGTKKLVNESVVILDPALENALRDLNLQSKLIPINEPIVAASSIIVPHSTNMPLPRASHSSLLDNAKNSNATAPLLEAIEESFQRKMQNLVLANQKEIQSIRENKRRVEEQRKRKEEEERKRKEAEEKAKREQELLRQKKDEEERKRKEAEAKLAQQKQEEERKKIEEQNEKERQLKKEHEAKLLQQKDKLGKAVTNFDKISKMFWHYKDKIAQIKQDIVLPIKKADVNVRNLLSRHKRKINPKFGQLTNSNQQLFKIQNELTQLINDTKGDSLAYHWILNFIAKAVVHQAETEVRVKPESALPLGKLTLYLLVQFPELQELFMARLVKKCPFVIGFTCEIDTEKGRQNMGWKRNNENKWEDNTSYDERMGGILSLFAIITRLQLPQEFITTTSHPFPIALSWHILARICNTPLNLITNTHFVILGSWWDAAAVQFLQAYGNQASKLLILIGEELTSRMAEKKYVGAARLRILLEAWQNNNMESFPEMSP", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASGDTLYIATDGSEMPAEIVELHEIEVETIPVETIETTVVGEEEEEDDDDEDGGGGDHGGGGGHGHAGHHHHHHHHHHHPPMIALQPLVTDDPTQVHHHQEVILVQTREEVVGGDDSDGLRAEDGFEDQILIPVPAPAGGDDDYIEQTLVTVAAAGKSGGGGSSSSGGGRVKKGGGKKSGKKSYLSGGAGAAGGGGADPGNKKWEQKQVQIKTLEGEFSVTMWSSDEKKDIDHETVVEEQIIGENSPPDYSEYMTGKKLPPGGIPGIDLSDPKQLAEFARMKPRKIKEDDAPRTIACPHKGCTKMFRDNSAMRKHLHTHGPRVHVCAECGKAFVESSKLKRHQLVHTGEKPFQCTFEGCGKRFSLDFNLRTHVRIHTGDRPYVCPFDGCNKKFAQSTNLKSHILTHAKAKNNQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEVLKNIRIYPLSNFITSTKNYINLPNELRNLISEEQESKLGFLHIIESDFKPSVALQKLVNCTTGDEKILIIDIVSIWSQQKQRQHGAIYMNSLSCINITGLIVFLELLYDSPMDALRRCQVDNFNFQLRGIVIDNLSFLNFESDKNYDVINLSKFEKLFKILRKLREFLGCWIITKSFPTDFYNGIENTLVDKWSIKRKSGVTLYPTKLPDSYMKGMDLIIYREVVDGRPQYRRIAALEE", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEDSNSTASNVARAILAVVDFSSTSDTRKSAVQFLDSVKSGDVRVLAKTSFHLVKKEWSSEIRLHAFKMLQHLVRLRWDELSPPECRGLVNLSIELMSEVANASENWPLKSQSAALVAEIVRREGPDRWQEIFTLLTSLSAQGPLQAELVLMTLRWLPEDITIYNDDLEGDRRRLLLRGLTQSLPEILPLLYNLLERHFGAAMSEAGMQHFDLAKQHADVVIACLNAIVAYTEWAPVPDLARYGILSGCSFLLSSSDFRLHACEVFKLVCSRKRPSDASTAEFDSAISNLFQILTNASREFLCRSSSSSSVIDDNDYDFAVCMCESMASLGSTNLQSISSDGGVMAVYLQQMLGFFQHFKLGLHFEALLFWLSLMRDLLPKPKAATYPSGGGSSTGGDDSSSQVDSEKKKTLSLINDDISSAILDVSFQRMLKKEKVPTGIALSLGPLELWSDEFEGKGDFGPYRSKLLELIKLTASHKPLISSTKISERVITLIKHLLASPAPLQHVAVMDSQQLALDCIVATLFDGSNEFAGGSSEVHYALRGIFEGLLQQLLSLKWNEPELMKVHVHYLDAMGPFLKYFPDAVGSLINKLFELLTSLPHVVKDPATSTSRAARLQICTSFIRIAKAAEKSVLPHMKGIADTMGYLAKEGTLLRGEHNILGEAFLVMASSAGAQQQQEVLAWLLEPLSQQWIQPEWQNNYLSDPMGLVRLCSNTSFMWSIYHTVTFFEKALKRSGYRKSNLNTTSATTPASHPMAHHLSWMLPPLLKLLRVLHSLWSPSVFQTLPPEMRAAMTMTDAERYSLLGEANPKLSKGVSVYADGSFEGTKEGQAEASESDIRNWLKGIRDCGYNVLGLSTTIGETFFKCLDANYVAMALMENLQSMEFRHIRLFIHTFITYIVKSCPADMWESWLGVLLHPLFIHCQQALSSAWPGLLQEGRAKVPDLFGIQSGSDMKLEVMEEKLLRDLTREIATLFSTMASPGLNTGVPVLEHSGHVGRVDMSTLTDLHAFRSNSMVGFLLNHKSVALPALQICLETFTWTDGEATTKVCYFCGVVVLLAKLTNNVELREFVSKDMFSAVIRGLGMESNAINSPDLVNICREIFIYLSDRDPAPRQVLLSLPCLTPNDLHAFEEATAKTSSPKEQKQLMRSLLLLGTGNNLKALAAQKSQNVITNVTARTRLPASAPETIGAGVLWDEEFVQ", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MCDAAAATATTTTTAAVAAAVATTTASVALEATATQPGTTTTTVATASAGTTSPEAAIPTAATATSARNSNSERSARQNCCRLCIAPQTECISIINSYAADKEPLSTKIHNCVGIKVTPQDRLSQQICHACISYLNSWQSFKNRCFSSQAKQRQWLDTNKSKLLNYLDLNSAENGGGGFFDQHLHQQQQHHQHLENELEAEKEKATPTAASTAANILDGIHSLKKRKSLTVYPLPAMPIKDEPIDTDDDYQMKSIDESDDMVDPTMFLERSEHEGDVPLTASDYDYTAQHGVNASSVAASLPPNAVANVAAAGDSKVASCRACSLQFSTRANARRHERNLHPNLFQLSTDSPHNTPITKPTPALAAALEMQRAAAAAATAEANRAAGAAGGNISTQKYRQVVMNAFIKCEGGGYDYDNPEQYRPLLTRDKVEFIEQNDEFLEQYQTMTCRCCNKYFSTYKNFMAHVRKKYPQLPRNLCFNCLKMNDSKALFISHLKKRNCINLFRVLNALRGKTTTVVVPIADDVADDGATGSIPVADAGAGVVAMNSPTVTASGEVVTPGGGSERPEKLRAKELLVNKLYECKLCPKGFRTKHEFRTHVYDKHADVQRKDNNSIQCSFCGLDFADPVDRRRHYNNMDCIVRLRCMTCDAKLETHQRFLDHVYQDHLGGVGGGAVSDNASTTGSGMARSNSMEHSPGKRSLLGALGVGSSAEESRSSSAAPPLTSTPKLAGGNQVGGGGSTSASAAAAAQSSANRDASAPKSQYFSRMPQVCPICGQQYNNYNNVLRHMESKHPNKLPETYKCVRCGLGYPRISYLREHMINVHGVDKNRHSGGFEYIVNADAVKLADGSTPNVYTGRYDYVMKDLMSITNGGTLDDEEEEPGSVAKKMRLDDSSNNSSLVGVASQQKECPICNAVFSNNIGLSNHMRSHYTASNAVNAALAAANRMTPKSLTITATPATDSELGVGGTMSESAPATPANVPPAMANQTPQEQAVFRRSLDQAADRRFRRMRCRICQRRFSSKKSYRYHMLTDHQVQNVQFIKCKLCNAEFAYEKGLKVHLFKVHGKAIKDEMIIKQFECDVCSIVYSSESELQQHKRSVHKLTSASASTSASTSSKIDDDSLMDDGKPTSSDLADLSTLAAGGSTASAPLYWYQCKYCPSNFNTNKKLAIHINSHDEFDSNDYSCKDCGNVYSGRKSLWVHRYKKHPQVPNPAECSLCRKVFFDRQMHDNHTPTCNRKPITSTGAHQQQDGQLHSHHTAKRTIFRHKTGDDDDEEDDDEQQQLEERANSDGNGTTVGVASGSTAAAGTSLKIRIPEVACTICGARFTDQEHFSKHIQKHEQELYVDNPLAAMFDDGPADAGQFQVERQNENGEYACDLCAKTFPQVIALKVHRKWHFRGDSKQNPIDGEATQLTNNNHTTNNNNNNSMHLRELHAVGLMPNQQQQSLNNSCNSSMNHNNNSSSNRSKSMKRKRELKCEYCASTFISNNNLRRHMYELHKHEVSNLPEPPVIVVDDHLTCRRCQLKFDTKELWIEHKLADAKVVRPFCPFQWGCDLCGEYLSRKEKLMNHINNHLKEEVIVPVATKAAIERTAAMESAAADANAAATLSALGEGAETEDQFAEKVEAAGATTTDKLTNPDEEDSDDLDEDSSGDDDDSSGTGDDDDDDDSDDDEDGEGEDEDEEGDGGEGEDEEGVQPPAQLLPQQQHKTDLNLNQDDDDLVEEVISSDDDEDDDGEVESDDDDEDDDDEEDDVEEPEPVGLTVRPLMNGKSKMPPLIVASSDDEDDGVMPIEDIIEEEFDEDADPDPEDAIEEVDEDDLDEGEVEDEPNVVSTASFSESESSTTTTSNSHSHSHSTGERRKKAVDQLNDPGFTCDLCQLCFDSQELLQSHIKSHILNGPKLSTVSAAAAAAAAAATASSKATALLTAAKAKPDSKSAVLANNNNSKTSSKTVAAGATN", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSNLVKEKAPVFPISKVKKIAKCDPEYVITSNVAISATAFAAELFVQNLVEESLVLAQLNSKGKTSLRLSLNSIEECVEKRDNFRFLEDAIKQLKKNSALDKKRELNMQPGRSDQEVVIEEPELHEDDGVEEEEEEDEVSEEEEPVHNEELLDDSKDQQNDKSTRSVASLLSRFQYKSALDVGEHSDSSDIEVDHTKSTDP", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKRPRPTSQPSISSTVKPPLSPPVTPILKQKLHRTGTPKWFPLKLTHTELTLPLTFPTGQTFRWKKTGAIQYSGTIGPHLVSLRQRPGDDAVSYCVHCSTSPKSAELALLDFLNAEISLAELWSDFSKKDPRFGELARHLRGARVLRQDPLECLIQFLCSSNNNIARITKMVDFVSSLGLHLGDIDGFEFHQFPSLDRLSRVSEEEFRKAGFGYRAKYITGTVNALQAKPGGGNEWLLSLRKVELQEAVAALCTLPGVGPKVAACIALFSLDQHSAIPVDTHVWQIATNYLLPDLAGAKLTPKLHGRVAEAFVSKYGEYAGWAQTLLFIAELPAQKTLLQSFSQPINKLDESAEVNETSCDTLKP", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAGIGPITQDWEPVVIRKKPANAAAKRDEKTVNAARRSGADIETVRKFNAGTNKAASSGTSLNTKMLDDDTENLTHERVPTELKKAIMQARTDKKLTQSQLAQIINEKPQVIQEYESGKAIPNQQILSKLERALGAKLRGKK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRDSTGAGNSLVHKRSPLRRNQKTSASLNKLSLQDGHKAKKPACKFEEGQDVLARWSDGLFYLGTIKKINILKQSCFIIFEDSSKSWVLWKDIQTGATGSGEMVCTICQEEYSEAPNEMVICDKCGQGYHQLCHTPHIDSSVIDSDEKWLCRQCVFATTTKRGGALKKGPNAKALQVMKQTLPYSVADLEWDAGHKTNVQQCYCYCGGPGDWYLKMLQCCKCKQWFHEACVQCLQKPMLFGDRFYTFICSVCSSGPEYLKRLPLQWVDIAHLCLYNLSVIHKKKYFDSELELMTYINENWDRLHPGELADTPKSERYEHVLEALNDYKTMFMSGKEIKKKKHLFGLRIRVPPVPPNVAFKAEKEPEGTSHEFKIKGRKASKPTSDSREVSNGIEKKGKKKSVGRPPGPYTRKMIQKTAELPLDKESVSENPTLDLPCSIGRTEGIAHSSNTSDVDLTGASSANETTSASISRHCGLSDSRKRTRTGRSWPAAIPHLRRRRGRLPRRALQTQNSEVVKDDEGKEDYQFEELNTEILNNLADQELQLNHLKNSITSYFGAAGRIACGEKYRVLARRVTLDGKVQYLVEWEGATAS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRTGAYTVHQTLTPEAASVLKQSLTLARRRGHSQVTPLHVASTLLTSSRSNLFRRACLKSNPFTALGRQMAHPSLHCRALELCFNVSLNRLPTNPNPLFQTQPSLSNALVAALKRAQAHQRRGCVEQQQSQQNQPFLAVKVELEQLVVSILDDPSVSRVMREAGLSSVSVKSNIEDDSSVVSPVFYGSSSSVGVFSSPCSPSSSENNQGGGTLSPNPSKIWHAHLTNHHSFEQNPFFHFPKGKTFTPDQAFPVREDANPVIEVLLGKKNNKKRNTVIVGDSVSLTEGVVAKLMGRIERGEVPDDLKQTHFIKFQFSQVGLNFMKKEDIEGQVRELKRKIDSFTSWGGKGVIVCLGDLDWAVWGGGNSASSSNYSAADHLVEEIGRLVYDYSNTGAKVWLLGTASYQTYMRCQMKQPPLDVHWALQAVSIPSGGLSLTLHASSSEMASQVMEMKPFRVKEEEEGAREEEEEDKLNFCGECAFNYEKEAKAFISAQHKILPPWLQPHGDNNNINQKDELSGLRKKWNRFCQALHHKKPSMTAWRAEQSSSVLPGSLMDSSLKQNSRASSSVAKFRRQNSCTIEFSFGSNRQEGLKKTDELSLDGFKSNNDEGVKTKITLALGHSPFPSDSENSEEEEPEKAIKMSKLLEKLHENIPWQKDVLPSIVEAMEESVKRSKRKDAWMLVSGNDVTAKRRLAITLTTSLFGSHENMLKINLRTSKASEACEELKNALKKKEEVVILIERVDLADAQFMNILVDRFEAGDLDGFQGKKSQIIFLLTREDDECVENEHFVIPMVLNCNKSGSGLVNNKRKPEYDAAPTMIKKKNPRIEEDDDESNVACDISNIKKEFSRQLKFESNALDLNLRVDADEDEEEEAKPATEISSGFEERFLDSIQNRFDFTVLSDEDITKFFVTKIKDSCEEILGQREERFGFTVDAELIEKFYKGCGFFANGLFEEWVKEVFQRGLVTVKNGGKEGISVINLCLGGIDMIDQGEVYEEEEGFMGTCLPNRIHVSFVD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGSFPLAEFPLRDIPVPYSYRVSGGIASSGSVTALVTAAGTHRNSSTAKTVETEDGEEDIDEYQRKRAAGSGESTPERSDFKRVKHDNHKTLHPVNLQNTGAASVDNDGLHNLTDISNDAEKLLMSVDDGSAAPSTLSVNMGVASHNVAAPTTVNAATITGSDVSNNVNSATINNPMEEGALPLSPTASSPGTTTPLAKTTKTINNNNNIADLIESKDSIISPEYLSDEIFSAINNNLPHAYFKNLLFRLVANMDRSELSDLGTLIKDNLKRDLITSLPFEISLKIFNYLQFEDIINSLGVSQNWNKIIRKSTSLWKKLLISENFVSPKGFNSLNLKLSQKYPKLSQQDRLRLSFLENIFILKNWYNPKFVPQRTTLRGHMTSVITCLQFEDNYVITGADDKMIRVYDSINKKFLLQLSGHDGGVWALKYAHGGILVSGSTDRTVRVWDIKKGCCTHVFKGHNSTVRCLDIVEYKNIKYIVTGSRDNTLHVWKLPKESSVPDHGEEHDYPLVFHTPEENPYFVGVLRGHMASVRTVSGHGNIVVSGSYDNTLIVWDVAQMKCLYILSGHTDRIYSTIYDHERKRCISASMDTTIRIWDLENIWNNGECSYATNSASPCAKILGAMYTLQGHTALVGLLRLSDKFLVSAAADGSIRGWDANDYSRKFSYHHTNLSAITTFYVSDNILVSGSENQFNIYNLRSGKLVHANILKDADQIWSVNFKGKTLVAAVEKDGQSFLEILDFSKASKINYVSNPVNSSSSSLESISTSLGLTRTTIIP", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSKIRRKVTVENTKTISDSTSRRPSVFERLGPSTGSTAETQCRNWLKTGNCLYGNTCRFVHGPSPRGKGYSSNYRRSPERPTGDLRERMKNKRQDVDTEPQKRNTEESSSPVRKESSRGRHREKEDIKITKERTPESEEENVEWETNRDDSDNGDINYDYVHELSLEMKRQKIQRELMKLEQENMEKREEIIIKKEVSPEVVRSKLSPSPSLRKSSKSPKRKSSPKSSSASKKDRKTSAVSSPLLDQQRNSKTNQSKKKGPRTPSPPPPIPEDIALGKKYKEKYKVKDRIEEKTRDGKDRGRDFERQREKRDKPRSTSPAGQHHSPISSRHHSSSSQSGSSIQRHSPSPRRKRTPSPSYQRTLTPPLRRSASPYPSHSLSSPQRKQSPPRHRSPMREKGRHDHERTSQSHDRRHERREDTRGKRDREKDSREEREYEQDQSSSRDHRDDREPRDGRDRRDARDTRDRRELRDSRDMRDSREMRDYSRDTKESRDPRDSRSTRDAHDYRDREGRDTHRKEDTYPEESRSYGRNHLREESSRTEIRNESRNESRSEIRNDRMGRSRGRVPELPEKGSRGSRGSQIDSHSSNSNYHDSWETRSSYPERDRYPERDNRDQARDSSFERRHGERDRRDNRERDQRPSSPIRHQGRNDELERDERREERRVDRVDDRRDERARERDRERERDRERERERERERDREREKERELERERAREREREREKERDRERDRDRDHDRERERERERDREKEREREREERERERERERERERERERERERARERDKERERQRDWEDKDKGRDDRREKREEIREDRNPRDGHDERKSKKRYRNEGSPSPRQSPKRRREHSPDSDAYNSGDDKNEKHRLLSQVVRPQESRSLSPSHLTEDRQGRWKEEDRKPERKESSRRYEEQELKEKVSSVDKQREQTEILESSRMRAQDIIGHHQSEDRETSDRAHDENKKKAKIQKKPIKKKKEDDVGIERGNIETTSEDGQVFSPKKGQKKKSIEKKRKKSKGDSDISDEEAAQQSKKKRGPRTPPITTKEELVEMCNGKNGILEDSQKKEDTAFSDWSDEDVPDRTEVTEAEHTATATTPGSTPSPLSSLLPPPPPVATATATTVPATLAATTAAAATSFSTSAITISTSATPTNTTNNTFANEDSHRKCHRTRVEKVETPHVTIEDAQHRKPMDQKRSSSLGSNRSNRSHTSGRLRSPSNDSAHRSGDDQSGRKRVLHSGSRDREKTKSLEITGERKSRIDQLKRGEPSRSTSSDRQDSRSHSSRRSSPESDRQVHSRSGSFDSRDRLQERDRYEHDRERERERRDTRQREWDRDADKDWPRNRDRDRLRERERERERDKRRDLDRERERLISDSVERDRDRDRDRTFESSQIESVKRCEAKLEGEHERDLESTSRDSLALDKERMDKDLGSVQGFEETNKSERTESLEGDDESKLDDAHSLGSGAGEGYEPISDDELDEILAGDAEKREDQQDEEKMPDPLDVIDVDWSGLMPKHPKEPREPGAALLKFTPGAVMLRVGISKKLAGSELFAKVKETCQRLLEKPKDADNLFEHELGALNMAALLRKEERASLLSNLGPCCKALCFRRDSAIRKQLVKNEKGTIKQAYTSAPMVDNELLRLSLRLFKRKTTCHAPGHEKTEDNKLSQSSIQQELCVS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDTIRVSKEVPGSSKSTAQSLTESTSRTETINGSHEFKISGYSLVKGMGIGKYVASDTFMVGGYSWAIYFYPDGKSPEDNSVYVSLFIALASEGADVRALFELTLVDQSGNERHKVHSHFGRTLESGPYTLKYRGSMWGYKRFFKRSLLESSDYLKDNGLLVRCCVGVVKSRTEGPRCYNIPVPVSGLGQQFGKLLESGKGADVTFEVDGETFPAHKLVLAARSAVFRAQLFGPLRSENTNCIIIEDVQAPIFKMLLHFIYWDEMPDMQDLIGTDLKWASTLVAQHLLAAADRYALERLRTICESKLCEGISINTVATTLALAEQHHCFQLKAACLKFIALPENLKAVMETDGFDYLKESCPSLLSELLEYVARLSEHSLTSSGHRKELFADGCDLNGRRVKQRLH", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNGQHSSPGTPVQRPSAGPVNQAQFSQQRTNQLTSLLHTMTMYQQLAQNVGLNTPQGQVYLLQAQTIRRQLQGHAQSGQLPNQQLLQQLQSNGALQQGTPEPSNTRPRPQLNAQEQTMLLVRHRQLQTAQNYLTEMKEALGRIKNELSTNERLDTSAREALVKQESELTVKIAQFTAAISNGIRSIQQLQNRQASSANGNNTGTSTPVNASTDTRKSTASTPQLQQTQAQANAPQQRINPETSSVPETPVGVSAANVSNESTELATSATQQSGLANNVEKSQTPSYMSANHLPKVDSKSPIPFSVPPSRATLTGGYASGSIGLSTPGLSRAPHYELDNGNRLLSKRKLHDLLQQIDSEEKIEPEVEELLLEIADEFVESVTNFACRLAKHRKSDTLDVRDVQLHLERNWNIRLPGFASDDIVKSARKTGPTPSYQQKQNAIGTAKSLNKD", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MQRASRLKRELHMLATEPPPGITCWQDKDQMDDLRAQILGGANTPYEKGVFKLEVIIPERYPFEPPQIRFLTPIYHPNIDSAGRICLDVLKLPPKGAWRPSLNIATVLTSIQLLMSEPNPDDPLMADISSEFKYNKPAFLKNARQWTEKHARQKQKADEEEMLDNLPEAGDSRVHNSTQKRKASQLVGIEKKFHPDV", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVVKTYPLGMVGTNNGIAENEGDSGLEPYVGLEFDTAEEARDYYNSYATRTGFKVRTGQLYRSRTDGTVSSRRFVCSKEGFQLNSRTGCPAFIRVQRRDTGKWVLDQIQKEHNHDLGGHIEEAQTTPRPSVQQRAPAPTKLGISVPHRPKMKVVDEADKGRSCPSGVISFKRFKGAEDSDGQTQPKATEPYAGLEFNSANEACQFYQAYAEVVGFRVRIGQLFRSKVDGSITSRRFVCSKEGFQHPSRMGCGAYMRIKRQDSGGWIVDRLNKDHNHDLEPGKKNAGMKKITDDVTGGLDSVDLIELNDLSNHISSTRENTIGKEWYPVLLDYFQSKQAEDMGFFYAIELDSNGSCMSIFWADSRSRFACSQFGDAVVFDTSYRKGDYSVPFATFIGFNHHRQPVLLGGALVADESKEAFSWLFQTWLRAMSGRRPRSMVADQDLPIQQAVAQVFPGTHHRFSAWQIRSKERENLRSFPNEFKYEYEKCLYQSQTTVEFDTMWSSLVNKYGLRDNMWLREIYEKREKWVPAYLRASFFGGIHVDGTFDPFYGTSLNSLTSLREFISRYEQGLEQRREEERKEDFNSYNLQPFLQTKEPVEEQCRRLYTLTIFRIFQSELAQSYNYLGLKTYEEGAISRFLVRKCGNENEKHAVTFSASNLNASCSCQMFEYEGLLCRHILKVFNLLDIRELPSRYILHRWTKNAEFGFVRDVESGVTSQDLKALMIWSLREAASKYIEFGTSSLEKYKLAYEIMREGGKKLCWQR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPHGFDKLLHPEPEPQSPSPPPPPRRPSTQSRYHLHIRQQPIAARACGAGDRDRRPVDPPPIVQILLTDFDSNSQEDRDLLQDPRFTVGYRDGNRDREREREHERERERERETDGVARTDDNFSTPLLSGKAFMSPFYVDADPDPNSAPAHPSSISDPHISNPPHHVYNHAASRLHQPATFFIFADLSIRSAGLYRLQFRLMNWGSVEDTGQSMPILAQAWSDPFRVYPAKDFPGMRDSSILAEGLKELGFVELKTRGHGKGKGKKRR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSNESCLPYYTAHSYSSMSAFKTSMGDLQRQLYNRGEYNIFKYAPMFESNFIQINKKGEVIDVHNRVRMVTVGIVCTSPILPLPDVMVLAQPTKICEQHVRWGRFAKGRGRRPVKTLELTRLLPLKFVKISIHDHEKQQLRLKLATGRTFYLQLCPSSDTREDLFCYWEKLVYLLRPPVESYCSTPTLLSGDAPPEDNKSLVAAELHREGDQSETGLYKPCDVSAATSSAYAGGEGIQHASHGTASAASPSTSTPGAAEGGAARTAGGMAVAGTATGPRTDVAIAGAAMSPATGAMSIATTKSAGPGQVTTALAGAAIKNPGENESSKSMAGAANISSEGISLALVGAASTSLEGTSTSMAGAASLSQDSSLSAAFAGSITTSKCAAERTEGPAVGPLISTLQSEGYMSERDGSQKVSQPSAEVWNENKERREKKDRHPSRKSSHHRKAGESHRRRAGDKNQKASSHRSASGHKNTRDDKKEKGYSNVRGKRHGSSRKSSTHSSTKKESRTTQELGKNQSASSTGALQKKASKISSFLRSLRATPGSKTRVTSHDREVDIVAKMVEKQNIEAKVEKAQGGQELEMISGTMTSEKTEMIVFETKSI", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAEVMLPRKMEILNHSSKFGSPDPLHVLAVDDSHVDRKFIERLLRVSSCKVTVVDSATRALQYLGLDVEEKSVGFEDLKVNLIMTDYSMPGMTGYELLKKIKESSAFREVPVVIMSSENILPRIDRCLEEGAEDFLLKPVKLSDVKRLRDSLMKVEDLSFTKSIQKRELETENVYPVHSQLKRAKI", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSTEASVASKWTRPDDFIKLQRLKQKKNKLAARVSNNNNRRPRHQVDETDKSKLLEAKLAQKRKNPFAKSTADAKKLRVDPQLADLEPVVTASSCFVRETPTRPAPAKFVLQKYDPQAFAKLFQHPQINAEDEDDAELAARQKHTAHLPVDWSLKTRARFFCPTELPAIQLKTSQLASGLTSFVRCMDPQRTESTLDISDATRFNQCNYYWQHPHLPWLTLYPRTAKENVGVVVGERERKALAEEWDFSFRGLFQLLRARQCPYFYLCANTFTVLFRAAGVGGRPESHALVTPSTRGMRQALRQEGIEFSMPLKSDNSGNAHDNSFNEESTTTSLGPEAGEDAPPPAQEDEDDDEDWLESLGVDERELRRIQSSHARKQQAAEMREDFSDNSLLLVDGVECQGFFSYLLNAKSAISTVGRLAGVPPTLLSPVAFPKATMQHLVPRSKKVRLDGVDYFSIDIKGLILPTFLPSVAELLSETRQMFSSTLASSINTLAFSKATQKLLETPETPQSDAEGEDAAGQVFGEQNLSECGLLPAVVGSICRTGQHAVGLLERVCYQRDEGYAWS", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MADGHSFNNISLSGRGGKNPGLLKINSGGIQWKKQGGGKAVEVDRSDIVSVSWTKVTKSNQLGVKTKDGLYYKFVGFRDQDVPSLSSFFQSSYGKTPDEKQLSVSGRNWGEVDLHGNTLTFLVGSKQAFEVSLADVSQTQLQGKNDVTLEFHVDDTAGANEKDSLMEISFHIPNSNTQFVGDENRPPSQVFNDTIVAMADVSPGVEDAVVTFESIAILTPRGRYNVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVISLDPPIRKGQTMYPHIVMQFETDTVVESELSISDELMNTKFKDKLERSYKGLIHEVFTTVLRWLSGAKITKPGKFRSSQDGFAVKSSLKAEDGVLYPLEKGFFFLPKPPTLILHDEIDYVEFERHAAGGANMHYFDLLIRLKTDHEHLFRNIQRNEYHNLYTFISSKGLKIMNLGGAGTADGVAAVLGDNDDDDAVDPHLTRIRNQAADESDEEDEDFVMGEDDDGGSPTDDSGGDDSDASEGGVGEIKEKSIKKEPKKEASSSKGLPPKRKTVAADEGSSKRKKPKKKKDPNAPKRAMSGFMFFSQMERDNIKKEHPGIAFGEVGKVLGDKWRQMSADDKEPYEAKAQVDKQRYKDEISDYKNPQPMNVDSGNDSDSN", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPELTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKKVSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVHLALSEDHAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKMEVAFMVCAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGRPDPLTLYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCREDEEIYKEFFEVANDVIPNLLKEAASLLETGEERTGEQAQGTQGQGSALQDPECFAHLLRFYDGICKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVHIVSREAEAAEAEEPWGDEAREGRRRGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPPRKTSGTVPGTTRGGQEVGNAAQAPAPAASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVSTPSDYTLSFLKRQRKGL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MENETIVDNSLNNKSNVNNSNNDINNSKSNNNNTNTNYNNNHNNTTTTTTINKTEEKQNDSPKDSEFEFLDELKGVDDQHHVFSSEDESYTNGNKKRKQTDTPLSPNQDLKKRSITSPTTSPTTSTSTSTSTSTSTSTSTIINNNNNNLKDKTKEEIEFIKHIRSQLVKPKFLKDKPNFPLRSSGGNWIFVGKLPSLQSTTTDNTTLMSPNNATTTNGSSSNISTTTTTTTTTTPTTKILYRVNGFLSDNETIDSIEINFGDPRDRYEIERLHSSRINNPFELPCVSFKNPLFIKSNIAKDIGISNEYGGMNDSFEFSNQPPPPSPPPPPPPTLPPPPPPTLPPQHSLEQQSTKQQIFTQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQIPKINQQHYSTQPSVLIDDIYDPSNPTEPISPHQDHYPNFIFSKLQRYEHLPTRNPISQYDYRDRPRDWERDRDRDWERDRDWERDRDRERDRDRDRDWERDRDWERDRDWERDRDRDRDWERDRDRDWERDRDRDWERDRERDRDRYDRQTNFSPAPQSTTTSASTSSTTSSTDKNSNNTTSTSVSATTSTTKRKSKFSEPIEPSPFAIQIPRDNIKINGNLINNSSSSSSSGNNNNNNNNNNNNNNNNNNNNNNNNNNNNNSNNNNNNSDVKDIKDKLLKQFKIYDPVNVYMDESYWYIDFRSSESRERAIQVLNGSFIDTWKLNVDNKKTNTINEELQKQKQLENDSNNNKPNNFNLLENERSLKEICKLLVATELLSTSSKDISKNFIEAEILKTIKLLDSQRIDPLTQNSTIINNTTNTTTSNINNTSNNTTVTPIVTPKSIISAPTSRDSPRGGRSSSTTTKKPSKLDLNGSGVPPTLKKLDTIKQQQQPQPPLSPLKRPPKSHFYSDSEDDGNNNNDDDDDDDDDEDDDFDQELSPLHSSRDSKKNIKSIIKKKPIYSDDDDDHYHHHNHHHNHHHHHHHDRSEVELYNESDLQVDVLDSDNENQDESDYHKSSDNFGHVELSDDDNEFDSLDTDQDLYDTEENDNGKKSNKRPRKSKFNGKSKKPTTTTSTTTTATKSKGRSKKTTITTPTHNIPVLDEIQSNLDDEDASYVSMVMAADKDIKLLFSTKSEEGFEDSSQEILSTPTRTKPSRNRKERNLPFLDEEDDESFKQLPQPQQKQEKQEKHEHKLKNKELKQKNNEVIINKTEEHFSENLNGDNNNNNDKSENENENENENKNENENDNNNLNTSIDNINGVERRSITGCARSEGYTRSDIQKLFKRKQVAPTGKRGAASSASSGSNSSSSSTAESFETGGNLSKSARSSRFDNRGFGSDPITLASLKSRRKRIKFERSDIHDWGLFAMETISAKDMVIEYIGEVIRQKVADEREKRYVKKGIGSSYLFRVDDDTIIDATFKGNLARFINHCCDPNCIAKVLTIGNQKKIIIYAKRDINIGEEITYDYKFPIEDVKIPCLCKSPKCRQTLN", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPPHILGSASGSSSTAAASPPTSSASQTPPSPAIHHSHLSQHNATSAMSKPTTPQRHGNPSLLPTLQWPWNTSLGSTSTAVPAASANKNKRTAAGSGAALGLSGEGSCLTGGGAASAKKARSDLPGSFDASKRLKVAAMEESQTKITGFFKSQMKPSPGGGKLSPQPGQQSNPANTLTMSTPATTASLNKYFNILSQLKEQKAQQQQQQQQASKTLPTPAPVAPVPSAPPVAPVVVTPSPSLPVPALKKIERSNKQPAKIAQVAPNLRKTPSSGSSGSSSSSSSSSSNGSNTGKSPTKKHVAIAPRTPEMKQQQQQGKAAMVYRPPVTSTALKQKQISPPAPVAAHTPAPAPALNPTPAPANPTLYQLPVQLPNLVQLPPQLAAAANIMQLNNVAKAAVAAAATNNAAAQAAQAAQAAAAQYFLNGTVFKLQQVTTATTTTATTATAAAAPAGNPFGLLNLATAGNPFGLPNANGQFPIEAIPGAGSYLHHQLLLARQNNMSLNETMASNSCGNMNFVNPLNNQQVGLKDNKLYIVNSAEYLGYLMSLQIALNNQQHQQQQIISATQPPPLAATNNNSTNTATQPPPLAATNNTNSNTANNSTASNSINHNASNNLSNINNTAIQPQRAIVKPPLHSSTQPPPLVTISSMPACSPAAASSPAAKRSLPAAKPYQKRLTAKGRVGTAPIIATPTTPPPLVPTSATKELGQLRKSTGTTGTPTGTPTPTPPLVSIAPSKLTPTLSVSKQGPTMKLANSAPDLFDLVKNSKLVAKVSQPLTPLPFSSPSSSSNGSHGSHGMRSSPALSTSNSCTLSAFSKIKVETTELASQTGSLTSSSIPTISLKPQSFAGQLPKREPESETDTLKHDLLPDCTNSNSNSNSCSSSTYSHSVSSAADLSLEASTPAPSPSPSASPSGLGSPSPAASNLSASSRRAASQTDMLSELVTSSCISSGGDDCSQATDSPPMPALPLAKSEDATTPISTVSGGSSSGSSNYDEEDDKSVASLETHQTHKRLRDLPTPESGIGGSLSNSESSNSIADAISSKSASVGPPTTAASSASSSASDSNSLASNAPSPASPEDCSAAPSPACSASTTGSIPPSTVVDIAMVEATSKSLPKSAISPILSQPKTIRFPAGAGASGKGGKRHDGVCYWDKCNKKHESNSKLLDHMQTHHVNTQTGPFACLWVGCKVYNKESCSRRWLERHVLSHGGSKQFKCIVEGCGLRFGSQLALQKHVNNHFNATDNARESTSKRTSDPPVPKQLRKNGKKLRYRRQPFSARMFDFFDTGIMEGLQHRLRQISTLTNGAQAIEFQGQCMMRRRNSQGGYECFVRWSPREIISDEWLPECLNRTRQHTKVLHIKQMRPAEKTRVDSLLSTAFRLRYDSHLFADDYNVNEQQVGEASGSDCDEDGDGDQEEEDLEDQDEDEEEDGSSSSRSASCETVSSYQQVLSIAKLQMQQRRKHPRKPPKVTPPAREKLVPTDALVPI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAEQTLLSKLNALSQKVIPPASPSQASILTEEVIRNWPERSKTLCSDFTALESNDEKEDWLRTLFIELFDFINKNDENSPLKLSDVASFTNELVNHERQVSQASIVGKMFIAVSSTVPNINDLTTISLCKLIPSLHEELFKFSWISSKLLNKEQTTLLRHLLKKSKYELKKYNLLVENSVGYGQLVALLILAYYDPDNFSKVSAYLKEIYHIMGKYSLDSIRTLDVILNVSSQFITEGYKFFIALLRKSDSWPSSHVANNSNYSSLNEGGNMIAANIISFNLSQYNEEVDKENYERYMDMCCILLKNGFVNFYSIWDNVKPEMEFLQEYIQNLETELEEESTKGVENPLAMAAALSTENETDEDNALVVNDDVNMKDKISEETNADIESKGKQKTQQDILLFGKIKLLERLLIHGCVIPVIHVLKQYPKVLYVSESLSRYLGRVFEYLLNPLYTSMTSSGESKDMATALMITRIDNGILAHKPRLIHKYKTHEPFESLELNSSYVFYYSEWNSNLTPFASVNDLFENSHIYLSIIGPYLGRIPTLLSKISRIGVADIQKNHGSESLHVTIDKWIDYVRKFIFPATSLLQNNPIATSEVYELMKFFPFEKRYFIYNEMMTKLSQDILPLKVSFNKAEREAKSILKALSIDTIAKESRRFAKLISTNPLASLVPAVKQIENYDKVSELVVYTTKYFNDFAYDVLQFVLLLRLTYNRPAVQFDGVNQAMWVQRLSIFIAGLAKNCPNMDISNIITYILKTLHNGNIIAVSILKELIITVGGIRDLNEVNMKQLLMLNSGSPLKQYARHLIYDFRDDNSVISSRLTSFFTDQSAISEIILLLYTLNLKANTQNSHYKILSTRCDEMNTLLWSFIELIKHCLKGKAFEENVLPFVELNNRFHLSTPWTFHIWRDYLDNQLNSNENFSIDELIEGAEFSDVDLTKISKDLFTTFWRLSLYDIHFDKSLYDERKNALSGENTGHMSNRKKHLIQNQIKDILVTGISHQRAFKKTSEFISEKSNVWNKDCGEDQIKIFLQNCVVPRVLFSPSDALFSSFFIFMAFRTENLMSILNTCITSNILKTLLFCCTSSEAGNLGLFFTDVLKKLEKMRLNGDFNDQASRKLYEWHSVITEQVIDLLSEKNYMSIRNGIEFMKHVTSVFPVVKAHIQLVYTTLEENLINEEREDIKLPSSALIGHLKARLKDALELDEFCTLTEEEAEQKRIREMELEEIKNYETACQNEQKQVALRKQLELNKSQRLQNDPPKSVASGSAGLNSKDRYTYSRNEPVIPTKPSSSQWSYSKVTRHVDDINHYLATNHLQKAISLVENDDETRNLRKLSKQNMPIFDFRNSTLEIFERYFRTLIQNPQNPDFAEKIDSLKRYIKNISREPYPDTTSSYSEAAAPEYTKRSSRYSGNAGGKDGYGSSNYRGPSNDRSAPKNIKPISSYAHKRSELPTRPSKSKTYNDRSRALRPTGPDRGDGFDQRDNRLREEYKKNSSQRSQLRFPEKPFQEGKDSSKANPYQASSYKRDSPSENEEKPNKRFKKDETIRNKFQTQDYRNTRDSGAAHRANENQRYNGNRKSNTQALPQGPKGGNYVSRYQR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAELVEAKNMVMSFRVSDLQMLLGFVGRSKSGLKHELVTRALQLVQFDCSPELFKKIKELYETRYAKKNSEPAPQPHRPLDPLTMHSTYDRAGAVPRTPLAGPNIDYPVLYGKYLNGLGRLPAKTLKPEVRLVKLPFFNMLDELLKPTELVPQNNEKLQESPCIFALTPRQVELIRNSRELQPGVKAVQVVLRICYSDTSCPQEDQYPPNIAVKVNHSYCSVPGYYPSNKPGVEPKRPCRPINLTHLMYLSSATNRITVTWGNYGKSYSVALYLVRQLTSSELLQRLKTIGVKHPELCKALVKEKLRLDPDSEIATTGVRVSLICPLVKMRLSVPCRAETCAHLQCFDAVFYLQMNEKKPTWMCPVCDKPAPYDQLIIDGLLSKILSECEDADEIEYLVDGSWCPIRAEKERSCSPQGAILVLGPSDANGLLPAPSVNGSGALGSTGGGGPVGSMENGKPGADVVDLTLDSSSSSEDEEEEEEEEEDEDEEGPRPKRRCPFQKGLVPAC", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MELSLESLGGLHGVTHAQAGELLSPGHARSAAAQHRSLVASGRPGLVAGMASLLDGGGAGGGGAGGAGAAGAAGGGPDFRGELAGPLHPAMGMACEAPGLGGTYTTLTPLQHLPPLAAVADKFHQHAVAGAHGGHPHAHPHPATAPPPPPPQRLAASVSGSFTLMRDERAALASVGHLYGPYGKELPTMGSPLSPLPSALPPALHSAPQPPPPPPLAAYGAPGHLAGDKLLPPAAFEPHAALLGRAEDALARGLPGGGGGAGGGGAAGGAAAGLLAPLGGLAAAGAHGPHSGGGGPGGGGGAGGGSGGPGAGAAAEEINTKEVAQRITAELKRYSIPQAIFAQRILCRSQGTLSDLLRNPKPWSKLKSGRETFRRMWKWLQEPEFQRMSALRLAACKRKEQDQQKERALQPKKQRLVFTDLQRRTLIAIFKENKRPSKEMQATISQQLGLELNTVSNFFMNARRRCMNRWAEEPGATPGTGTATATFSKA", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MFPAAPSPRTPGTGSRRGPLAGLGPGSTPRTASRKGLPLGSAVSSPVLFSPVGRRSSLSSRGTPTRMFPHHSITESVNYDVKTFGSSLPVKVMEALTLAEVDDQLTINIDEGGWACLVCKEKLIIWKIALSPITKLSVCKELQLPPSDFHWSADLVALSYSSPSGEAHSTQAVAVMVATREGSIRYWPSLAGEDTYTEAFVDSGGDKTYSFLTAVQGGSFILSSSGSQLIRLIPESSGKIHQHILPQGQGMLSGIGRKVSSLFGILSPSSDLTLSSVLWDRERSSFYSLTSSNISKWELDDSSEKHAYSWDINRALKENITDAIWGSESNYEAIKEGVNIRYLDLKQNCDGLVILAAAWHSADNPCLIYYSLITIEDNGCQMSDAVTVEVTQYNPPFQSEDLILCQLTVPNFSNQTAYLYNESAVYVCSTGTGKFSLPQEKIVFNAQGDSVLGAGACGGVPIIFSRNSGLVSITSRENVSILAEDLEGSLASSVAGPNSESMIFETTTKNETIAQEDKIKLLKAAFLQYCRKDLGHAQMVVDELFSSHSDLDSDSELDRAVTQISVDLMDDYPASDPRWAESVPEEAPGFSNTSLIILHQLEDKMKAHSFLMDFIHQVGLFGRLGSFPVRGTPMATRLLLCEHAEKLSAAIVLKNHHSRLSDLVNTAILIALNKREYEIPSNLTPADVFFREVSQVDTICECLLEHEEQVLRDAPMDSIEWAEVVINVNNILKDMLQAASHYRQNRNSLYRREESLEKEPEYVPWTATSGPGGIRTVIIRQHEIVLKVAYPQADSNLRNIVTEQLVALIDCFLDGYVSQLKSVDKSSNRERYDNLEMEYLQKRSDLLSPLLSLGQYLWAASLAEKYCDFDILVQMCEQTDNQSRLQRYMTQFADQNFSDFLFRWYLEKGKRGKLLSQPISQHGQLANFLQAHEHLSWLHEINSQELEKAHATLLGLANMETRYFAKKKTLLGLSKLAALASDFSEDMLQEKIEEMAEQERFLLHQETLPEQLLAEKQLNLSAMPVLTAPQLIGLYICEENRRANEYDFKKALDLLEYIDEEEDININDLKLEILCKALQRDNWSSSDGKDDPIEVSKDSIFVKILQKLLKDGIQLSEYLPEVKDLLQADQLGSLKSNPYFEFVLKANYEYYVQGQI", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MALPTPSDSTLPAEARGRGRRRRLVWTPSQSEALRACFERNPYPGIATRERLAQAIGIPEPRVQIWFQNERSRQLRQHRRESRPWPGRRGPPEGRRKRTAVTGSQTALLLRAFEKDRFPGIAAREELARETGLPESRIQIWFQNRRARHPGQGGRAPAQAGGLCSAAPGGGHPAPSWVAFAHTGAWGTGLPAPHVPCAPGALPQGAFVSQAARAAPALQPSQAAPAEGISQPAPARGDFAYAAPAPPDGALSHPQAPRWPPHPGKSREDRDPQRDGLPGPCAVAQPGPAQAGPQGQGVLAPPTSQGSPWWGWGRGPQVAGAAWEPQAGAAPPPQPAPPDASAASTDASHPGASQPLQEPGRSSTVTSSLLYELL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDQNGASGSHPNRLSQGRGAHARERGATVSAAANRSNIIDEMAKICEADRQTFAIARRTRGHERLAVDNSDFVAVEDLILSYAEPTPEDQVEMIMSDFCSSPTYAEDEDEPSHESEPWFRFRNKRIRTYSRKRDPKSHKAVQNEKRRGSSGLSVQRDLNTSFTSMACDFDASSQKIHEVLLNLSQYFSATATASGPTPVPSQIDLPTEARQDSGDECFNAELENLRDDLLQSGFTFEASFYENEHEQEGPGTMADTIYGDSMQSVPTTRLRLESGKRNVWSDADSTLKKADVEIAENKKLLMGQTQAKNVDIEENTNFILEGIPLSEWLTPMELPEISKDVIKHIPDKKLKLEPSSQKEQKSSKDSNASKVRGASKQSCDINTKNEGTTILDQPNAAEQENLLNDGELLEEFLFNDWQPMQCSNGPSTSKNAIQGPKENINSINLDDKEQPEKQTPNKSQTISSHQLNGIRKKSFKFIEVSEEMKIKGEEFVDKVVSGLYHFSHKCNLRTEEYSDNHSQVMESTQCAEFKSAPSKPIEILDGKETYNAIAKVDVGEINGKFSPLNNDTIAEPEFCGFRTASNKAIPISEKMKIKTAEFMAEFQSKETIQQNDYLVHQPNDKPTSVGLDTALKRSIESSEEMRSKASKLVVVDTTMREPHQPTLDPVCRDLNESQFFGFRTASNKAIEITEAMEKRGAMFLAQSKATDQLNGWQPSDFPDVLPTSPNNEIHSINVENNKAVNTKTVSETEFFGFRTASNKGIVISENTKIKVAQFMSEFQAADASTDSNQPTVISEESRNIDAKFVDEAAAEDSANKPTFCNVQSLKNTSDIEHFKHDFFVEHSAKEEHPLCSQPLVRTPRRSQEIHSSLSQLAGKSPLDQATKKSVIARRNLLSLKRKRKIILSTETSTSCALPTMERFAPKPSSTSTPLADRDLNRSKDCTKNRQDAEDMSPICMQPKKSRRLGLSRSRY", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSVNYAAGLSPYADKGKCGLPEIFDPPEELERKVWELARLMWQSSSVVFHTGAGISTASGIPDFRGPHGVWTMEERGLAPKFDTTFENARPSKTHMALVQLERMGFLSFLVSQNVDGLHVRSGFPRDKLAELHGNMFVEECPKCKTQYVRDTVVGTMGLKATGRLCTVAKTRGLRACRGELRDTILDWEDSLPDRDLMLADEASRTADLSVTLGTSLQIRPSGNLPLATKRRGGRLVIVNLQPTKHDRQADLRIHGYVDEVMCRLMKHLGLEIPAWDGPCVLDKALPPLPRPVALKAEPPVHLNGAVHVSYKSKPNSPILHRPPKRVKTEAAPS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MALFNVEGWSIKTKTVAFDNKTNKSSKDKKKNNRKNGKLTREQKLKEETEAELKEQVEDIPSEGSVAKDIPKKNQEKSDQNETSKKRKHDEEAPLMQVKENIEKPTKKQLTPLQQKMMAKLTGSRFRWINEQLYTISSDEALKLIKEQPQLFDEYHDGFRSQVQAWPENPVDVFVDQIRYRCMKPVNAPGGLPGLKDSKEIVIADMGCGEAQLALEINNFFKNYNKKAKKYLKRRHKVHSFDLKKANERITVADIRNVPLPDESCTIVVFCLALMGTNFLDFIKEAYRILAPRGELWIAEIKSRFSDGKGNEFVDALKLMGFFHKKTFDENKMFTRFEFFKPPAEIIEERRQKLERRQKFIEVETEKEELEKKRRKIAEGKWLLKPCIYKRR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGANASNYPHSCSPRVGGNSQAQQTFIGTSSYSQQGYGCESKLYSLDHGHEKPQDKKKRTSGLATLKKKFIKRRKSNRSADHAKQMRELLSGWDVRDVNALVEEYEGTSALKELSLQASLARPEARTLQKDMADLYEDKYCTDVDLIFQETCFPVHRAILAARCPFFKTLLSSSPEYGAEIIMDISTAGIDMPMFSALLHYLYTGEFGMEDSRFQNVDILVQLSEEFGTPNPLDVDMRGLFDYMCYYDVVLSFSSDSELVEAFGGNQNCLDEELKAHKAIISARSPFFRNLLQRRIRTGEEITDRTLRTPTRIILDESIIPKKYAKVILHCMYTDVVDLSVLHCSPSVGSLSEVQALVAGKPNMTRAEEAMELYHIALFLEFNMLAQGCEDIIAESISLDTLIAVLKWSSHPYGSKWVHRQAVHFLCEELSQVMTSDVFYELSKDHLLTAIQSDYLQASEQDILKYLIKWGEHQLMKRIADREPNLLSGTAHSVNKRGVKRRDLDIEELREILSSLLPFVRIEHILPINSEVLSDAMKRGLISTPPSDMLPTAEGGKSNAWLRQKNAGIYVRPRLFSPYVEEAKSVLDEMMVEQTDLVRLRMVRMSNVPDTLYMVSNAMPQCCHMISHQQISSNQSSPPSVVANEIPVPRLLIMKDMVRRLQELRHTEQVQRAYALNCGEGATVSYEIQIRVLREFGLADAAAELLQNPHKFFPDERFGDESPLLTMRQPGRCRVNSTPTAETMFTDLDSFVAFHPPLPPPPPPYHPPATPIHNQLKAGWKQRPPSHHPSRSFSYPCNHSLFHCRTAPKPGPPPVYLPGVKVAPPDCTNTTGLGRQTVAAAAAAAAASAAIIPEKQVCPQPVLNDLMPDIAMGVSTLSLKDRRLPELAADTELCQTVSEAGTGPPQHLSCIPQRHTNTSRKKPTLEQKADGRENQQEYPDLYDFSNAACRPSTPAPGRHSPSPAHGRYFGPDLYSHNKASPNGLKSVYLPGQTSPKKQEDPRREYPPSPDGHPHRQKREPIRLDVVEQPPQRPDFPSAASENASHGPAHVRARTAVETDLTFGLTSNRPPSHSACSSEVLEERSSRRLTDSEPLGHGAHQRNADLERGDSISRGRRSPSKPDFLYKKSAL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVKSYQRFEQAAAFGVIASNANCVWIPASSGNSNGSGPGQLITSALEDVNIWDIKTGDLVSKLSDGLPPGASDARGAKPAECTYLEAHKDTDLLAVGYADGVIKVWDLMSKTVLLNFNGHKAAITLLQFDGTGTRLISGSKDSNIIVWDLVGEVGLYKLRSHKDSITGFWCQGEDWLISTSKDGMIKLWDLKTHQCIETHIAHTGECWGLAVKDDLLITTGTDSQVKIWKLDIENDKMGGKLTEMGIFEKQSKQRGLKIEFITNSSDKTSFFYIQNADKTIETFRIRKEEEIARGLKKREKRLKEKGLTEEEIAKSIKESYSSFILHPFQTIRSLYKIKSASWTTVSSSKLELVLTTSSNTIEYYSIPYEKRDPTSPAPLKTHTIELQGQRTDVRSIDISDDNKLLATASNGSLKIWNIKTHKCIRTFECGYALTCKFLPGGLLVILGTRNGELQLFDLASSSLLDTIEDAHDAAIWSLDLTSDGKRLVTGSADKTVKFWDFKVENSLVPGTKNKFLPVLKLHHDTTLELTDDILCVRVSPDDRYLAISLLDNTVKVFFLDSMKFYLSLYGHKLPVLSIDISFDSKMIITSSADKNIKIWGLDFGDCHKSLFAHQDSIMNVKFLPQSHNFFSCSKDAVVKYWDGEKFECIQKLYAHQSEVWALAVATDGGFVVSSSHDHSIRIWEETEDQVFLEEEKEKELEEQYEDTLLTSLEEGNGDDAFKADASGEGVEDEASGVHKQTLESLKAGERLMEALDLGIAEIEGLEAYNRDMKLWQRKKLGEAPIKPQGNAVLIAVNKTPEQYIMDTLLRIRMSQLEDALMVMPFSYVLKFLKFIDTVMQNKTLLHSHLPLICKNLFFIIKFNHKELVSQKNEELKLQINRVKTELRSALKSTEDDLGFNVQGLKFVKQQWNLRHNYEFVDEYDQQEKESNSARKRVFGTVI", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNVTSIALRAETWLLAAWHVKVPPMWLEACINWIQEENNNVNLSQAQMNKQVFEQWLLTDLRDLEHPLLPDGILEIPKGELNGFYALQINSLVDVSQPAYSQIQKLRGKNTTNDLVTAEAQVTPKPWEAKPSRMLMLQLTDGIVQIQGMEYQPIPILHSDLPPGTKILIYGNISFRLGVLLLKPENVKVLGGEVDALLEEYAQEKVLARLIGEPDLVVSVIPNNSNENIPRVTDVLDPALGPSDEELLASLDENDELTANNDTSSERCFTTGSSSNTIPTRQSSFEPEFVISPRPKEEPSNLSIHVMDGELDDFSLEEALLLEETVQKEQMETKELQPLTFNRNADRSIERFSHNPNTTNNFSLTCKNGNNNWSEKNVSEQMTNEDKSFGCPSVRDQNRSIFSVHCNVPLAHDFTNKEKNLETDNKIKQTSSSDSHSLNNKILNREVVNYVQKRNSQISNENDCNLQSCSLRSSENSINLSIAMDLYSPPFVYLSVLMASKPKEVTTVKVKAFIVTLTGNLSSSGGIWSITAKVSDGTAYLDVDFVDEILTSLIGFSVPEMKQSKKDPLQYQKFLEGLQKCQRDLIDLCCLMTISFNPSLSKAMVLALQDVNMEHLENLKKRLNK", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MCDRNGGRRLRQWLIEQIDSSMYPGLIWENEEKSMFRIPWKHAGKQDYNQEVDASIFKAWAVFKGKFKEGDKAEPATWKTRLRCALNKSPDFEEVTDRSQLDISEPYKVYRIVPEEEQKCKLGVATAGCVNEVTEMECGRSEIDELIKEPSVDDYMGMIKRSPSPPEACRSQLLPDWWAQQPSTGVPLVTGYTTYDAHHSAFSQMVISFYYGGKLVGQATTTCPEGCRLSLSQPGLPGTKLYGPEGLELVRFPPADAIPSERQRQVTRKLFGHLERGVLLHSSRQGVFVKRLCQGRVFCSGNAVVCKGRPNKLERDEVVQVFDTSQFFRELQQFYNSQGRLPDGRVVLCFGEEFPDMAPLRSKLILVQIEQLYVRQLAEEAGKSCGAGSVMQAPEEPPPDQVFRMFPDICASHQRSFFRENQQITV", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAEIIQERIEDRLPELEQLERIGLFSHAEIKAIIKKASDLEYKIQRRTLFKEDFINYVQYEINLLELIQRRRTRIGYSFKKDEIENSIVHRVQGVFQRASAKWKDDVQLWLSYVAFCKKWATKTRLSKVFSAMLAIHSNKPALWIMAAKWEMEDRLSSESARQLFLRALRFHPECPKLYKEYFRMELMHAEKLRKEKEEFEKASMDVENPDYSEEILKGELAWIIYKNSVSIIKGAEFHVSLLSIAQLFDFAKDLQKEIYDDLQALHTDDPLTWDYVARRELEIESQTEEQPTTKQAKAVEVGRKEERCCAVYEEAVKTLPTEAMWKCYITFCLERFTKKSNSGFLRGKRLERTMTVFRKAHELKLLSECQYKQLSVSLLCYNFLREALEVAVAGTELFRDSGTMWQLKLQVLIESKSPDIAMLFEEAFVHLKPQVCLPLWISWAEWSEGAKSQEDTEAVFKKALLAVIGADSVTLKNKYLDWAYRSGGYKKARAVFKSLQESRPFSVDFFRKMIQFEKEQESCNMANIREYYERALREFGSADSDLWMDYMKEELNHPLGRPENCGQIYWRAMKMLQGESAEAFVAKHAMHQTGHL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKYTQYPNSSKLKRNSDRREHDEKLSDELHNQSTIYEDEELSRAEYDSDSDSSVEDSTDNENSGKEMDEKSYEKNEDHVEDHRKRKKSKIQLLDIAEFKKENLADLDYQIGNSESKVEKGVNIEPFNIDDEIKHGVFDKDGNYIKTENATENDQQDNEEWMNDVINTEEVNRLEKEQSVKTQNSRHYMVHEALNLLKFFLVDENETVLESLGRLNKLRKIAISKKNKSLKYVIHGIELLSDLINILEKKGFSEVYEYNRLKVQDAIEEEIFDDSSRIVNHKTKLWGFKWLNKLDEYHGLYTNYEMSYWQKSYFKNSVIVKFHSEPDRDENWIHVSCLSFM", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRMDGSDFEDRKVSKPSPVLPFDVSNIGDLSQGVHSPLGISHFDSKNPTPFGRSTKNSVYEYETVTPYSRFKVDKKFGSATSVSPVHTKAEEPGLGLTPMNSADFSNKIASRYRS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDLTTPEVAEHPDVLRNMSRYTLGLLPSEPPVGDMNNEDSDTNTSITQSPTNSEKLTDILQESQDTKALQEKYLQNIYALTQNQLFKNVEDYSFYNLNREKFQRDKQTIVGVMRKRRHVLNKKIKRLQSHWKQVLGRWEENIARVDRLTEIDKTKNAKKSEPFIKRSTRKVMSNFTAGDIVRSEEEFLEILAKLEQQEKEASNVSEASRIATIPPMILSEEEVKSQYFNDQSRLVTDCPKFYHFQSMPDIWNEEQHSIFVQQFILHGKKFGKIAEAVPGKNSKECVLHYYLTKRTTDYRALVASATKTKGRRRKKLLPSQRGGKKKSKGSALMVDIEAADINKTEENINNQFQEASVTADNMNTWDNTPSVENVESANENVNNHNADEQMDEKIKSLVEGNSAYEIEKGAQEPDPMSIDMTDKSETVSGFKHDVDVYDTAENEGNNTLLQIKESVHEKTPTQDEPMDISQDTIKQEDYYEPKLEQHSSSKRNSISTRKEEDAASALANLSAVGRSISAVDESAHQGHLPGWDEKEEALIFSLAQGMNPMKMPLTPRRASTGPRPRPTFQLTEIDSPNRRRASDCITPSISKILKMVSEDAKSQRIDELSVEDQEHTTHSSHTTSDINAFPNSQSFPRASIHTLAALGEDIVERQSKNDKIV", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSGDHLHNDSQIEADFRLNDSHKHKDKHKDREHRHKEHKKEKDREKSKHSNSEHKDSEKKHKEKEKTKHKDGSSEKHKDKHKDRDKEKRKEEKVRASGDAKIKKEKENGFSSPPQIKDEPEDDGYFVPPKEDIKPLKRPRDEDDADYKPKKIKTEDTKKEKKRKLEEEEDGKLKKPKNKDKDKKVPEPDNKKKKPKKEEEQKWKWWEEERYPEGIKWKFLEHKGPVFAPPYEPLPENVKFYYDGKVMKLSPKAEEVATFFAKMLDHEYTTKEIFRKNFFKDWRKEMTNEEKNIITNLSKCDFTQMSQYFKAQTEARKQMSKEEKLKIKEENEKLLKEYGFCIMDNHKERIANFKIEPPGLFRGRGNHPKMGMLKRRIMPEDIIINCSKDAKVPSPPPGHKWKEVRHDNKVTWLVSWTENIQGSIKYIMLNPSSRIKGEKDWQKYETARRLKKCVDKIRNQYREDWKSKEMKVRQRAVALYFIDKLALRAGNEKEEGETADTVGCCSLRVEHINLHPELDGQEYVVEFDFLGKDSIRYYNKVPVEKRVFKNLQLFMENKQPEDDLFDRLNTGILNKHLQDLMEGLTAKVFRTYNASITLQQQLKELTAPDENIPAKILSYNRANRAVAILCNHQRAPPKTFEKSMMNLQTKIDAKKEQLADARRDLKSAKADAKVMKDAKTKKVVESKKKAVQRLEEQLMKLEVQATDREENKQIALGTSKLNYLDPRITVAWCKKWGVPIEKIYNKTQREKFAWAIDMADEDYEF", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSQAVQTNGTQPLSKTWELSLYELQRTPQEAITDGLEIVVSPRSLHSELMCPICLDMLKNTMTTKECLHRFCADCIITALRSGNKECPTCRKKLVSKRSLRPDPNFDALISKIYPSRDEYEAHQERVLARINKHNNQQALSHSIEEGLKIQAMNRLQRGKKQQIENGSGAEDNGDSSHCSNASTHSNQEAGPSNKRTKTSDDSGLELDNNNAAMAIDPVMDGASEIELVFRPHPTLMEKDDSAQTRYIKTSGNATVDHLSKYLAVRLALEELRSKGESNQMNLDTASEKQYTIYIATASGQFTVLNGSFSLELVSEKYWKVNKPMELYYAPTKEHK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDRSKRNSIAGFPPRVERLEEFEGGGGGEGNVSQVGRVWPSSYRALISAFSRLTRLDDFTCEKIGSGFFSEVFKVRHRASGQVMALKMNTLSSNRANMLKEVQLMNRLSHPNILRFMGVCVHQGQLHALTEYINSGNLEQLLDSNLHLPWTVRVKLAYDIAVGLSYLHFKGIFHRDLTSKNCLIKRDENGYSAVVADFGLAEKIPDVSMGSEKLAVVGSPFWMAPEVLRDEPYNEKADVFSYGIILCEIIARIQADPDYLPRTENFGLDYDAFQHMVGDCPPDFLQLTFNCCNMDPKLRPSFVEIGKTLEEILSRLQEEEQERDRKLQPTARGLLEKAPGVKRLSSLDDKIPHKSPCPRRTIWLSRSQSDIFSRKPPRTVSVLDPYYRPRDGAARTPKVNPFSARQDLMGGKIKFFDLPSKSVISLVFDLDAPGPGTMPLADWQEPLAPPIRRWRSLPGSPEFLHQEACPFVGREESLSDGPPPRLSSLKYRVKEIPPFRASALPAAQAHEAMDCSILQEENGFGSRPQGTSPCPAGASEEMEVEERPAGSTPATFSTSGIGLQTQGKQDG", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAPAMQPAEIQFAQRLASSEKGIRDRAVKKLRQYISVKTQRETGGFSQEELLKIWKGLFYCMWVQDEPLLQEELANTIAQLVHAVNNSAAQHLFIQTFWQTMNREWKGIDRLRLDKYYMLIRLVLRQSFEVLKRNGWEESRIKVFLDVLMKEVLCPESQSPNGVRFHFIDIYLDELSKVGGKELLADQNLKFIDPFCKIAAKTKDHTLVQTIARGVFEAIVDQSPFVPEETMEEQKTKVGDGDLSAEEIPENEVSLRRAVSKKKTALGKNHSRKDGLSDERGRDDCGTFEDTGPLLQFDYKAVADRLLEMTSRKNTPHFNRKRLSKLIKKFQDLSEGSSISQLSFAEDISADEDDQILSQGKHKKKGNKLLEKTNLEKEKGSRVFCVEEEDSESSLQKRRRKKKKKHHLQPENPGPGGAAPSLEQNRGREPEASGLKALKARVAEPGAEATSSTGEESGSEHPPAVPMHNKRKRPRKKSPRAHREMLESAVLPPEDMSQSGPSGSHPQGPRGSPTGGAQLLKRKRKLGVVPVNGSGLSTPAWPPLQQEGPPTGPAEGANSHTTLPQRRRLQKKKAGPGSLELCGLPSQKTASLKKRKKMRVMSNLVEHNGVLESEAGQPQALGSSGTCSSLKKQKLRAESDFVKFDTPFLPKPLFFRRAKSSTATHPPGPAVQLNKTPSSSKKVTFGLNRNMTAEFKKTDKSILVSPTGPSRVAFDPEQKPLHGVLKTPTSSPASSPLVAKKPLTTTPRRRPRAMDFF", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MFFQHSQLQNSDHLLHESMADSNHQSLSPPCANGHRSTISLRDDQGGTFCLICFSNLVSDPRIPTVHVSYALHQLSIAISEPIFLRTLLSSHIHFLVSPLVHALSSIDDAPIAIQIMDMISLLCSVEESSIGEDFVERISDQLSSGALGWSRRQLHMLHCFGVLMSCENININSHIRDKEALVCQLVEGLQLPSEEIRGEILFALYKFSALQFTEQNVDGIEVLSLLCPKLLCLSLEALAKTQRDDVRLNCVALLTILAQQGLLANSHSNSASSMSLDEVDDDPMQTAENVAARPCLNVLFAEAIKGPLLSTDSEVQIKTLDLIFHYISQESTPSKQIQVMVEENVADYIFEILRLSECKDQVVNSCLRVLDLFSLAEHSFRKRLVIGFPSVIRVLHYVGEVPCHPFQIQTLKLISSCISDFPGIASSSQVQEIALVLKKMLERYYSQEMGLFPDAFAIICSVFVSLMKTPSFGETADVLTSLQESLRHSILASLSLPEKDSTQILHAVYLLNEVYVYCTASTSINKTICIELRHCVIDVCTSHLLPWFLSDVNEVNEEATLGIMETFHSILLQNSDIQAKEFAELLVSADWFSFSFGCLGNFCTDNMKQRIYLMLSSLVDILLEQKTGSHIRDALHCLPSDPQDLLFLLGQASSNNQELASCQSAALLIFHTSSIYNDRLADDKLVLASLEQYIILNKTSLICAISDSPALLNLVNLYGLCRSLQNERYQISYSLEAERIIFHLLNEYEWDLGSINIHLESLKWLFQQESISKSLIYQIQKISRNNLIGNEVHNVYGDGRQRSLTYWFAKLISEGDNYAATLLVNLLTQLAEKEEQENDVISILNLMNTIVSIFPTASNNLSMNGIGSVIHRLVSGFSNSSLGTSFRTLLLLVFNILTSVQPAVLMIDESWYAVSIKLLNFLSLRDTAIKQNHEDMVVIGILSLVLYHSSDGALVEASRNIVSNSYLVSAINTVVDVACSKGPALTQCQDETNIGEALAFTLLLYFFSLRSLQIVLAGAVDWQTFFGTSTSLETLPVVCIHCHNLCRLMHFGAPQIKLIASYCLLELLTGLSEQVDIKKEQLQCSSSYLKSMKAVLGGLVFCDDIRVATNSALCLSMILGWEDMEGRTEMLKTSSWYRFIAEEMSVSLAMPCSASSTYVNHHKPAVYLTVAMLRLKNKPVWLRTVFDESCISSMIQNLNGINISREIVILFRELMQAELLNSQQVTKLDRAFQECRKQMHRNGTRDETVEEQVQRKIPSIHDHSEFCNYLVHLMVSNSFGHPSESETYTQKKKQILDEMEQFSELISTREGRVSPIQEETRQMQTERIV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGFLKLIEIENFKSYKGRQIIGPFQRFTAIIGPNGSGKSNLMDAISFVLGEKTSNLRVKTLRDLIHGAPVGKPAANRAFVSMVYSEEGAEDRTFARVIVGGSSEYKINNKVVQLHEYSEELEKLGILIKARNFLVFQGAVESIAMKNPKERTALFEEISRSGELAQEYDKRKKEMVKAEEDTQFNYHRKKNIAAERKEAKQEKEEADRYQRLKDEVVRAQVQLQLFKLYHNEVEIEKLNKELASKNKEIEKDKKRMDKVEDELKEKKKELGKMMREQQQIEKEIKEKDSELNQKRPQYIKAKENTSHKIKKLEAAKKSLQNAQKHYKKRKGDMDELEKEMLSVEKARQEFEERMEEESQSQGRDLTLEENQVKKYHRLKEEASKRAATLAQELEKFNRDQKADQDRLDLEERKKVETEAKIKQKLREIEENQKRIEKLEEYITTSKQSLEEQKKLEGELTEEVEMAKRRIDEINKELNQVMEQLGDARIDRQESSRQQRKAEIMESIKRLYPGSVYGRLIDLCQPTQKKYQIAVTKVLGKNMDAIIVDSEKTGRDCIQYIKEQRGEPETFLPLDYLEVKPTDEKLRELKGAKLVIDVIRYEPPHIKKALQYACGNALVCDNVEDARRIAFGGHQRHKTVALDGTLFQKSGVISGGASDLKAKARRWDEKAVDKLKEKKERLTEELKEQMKAKRKEAELRQVQSQAHGLQMRLKYSQSDLEQTKTRHLALNLQEKSKLESELANFGPRINDIKRIIQSREREMKDLKEKMNQVEDEVFEEFCREIGVRNIREFEEEKVKRQNEIAKKRLEFENQKTRLGIQLDFEKNQLKEDQDKVHMWEQTVKKDENEIEKLKKEEQRHMKIIDETMAQLQDLKNQHLAKKSEVNDKNHEMEEIRKKLGGANKEMTHLQKEVTAIETKLEQKRSDRHNLLQACKMQDIKLPLSKGTMDDISQEEGSSQGEDSVSGSQRISSIYAREALIEIDYGDLCEDLKDAQAEEEIKQEMNTLQQKLNEQQSVLQRIAAPNMKAMEKLESVRDKFQETSDEFEAARKRAKKAKQAFEQIKKERFDRFNACFESVATNIDEIYKALSRNSSAQAFLGPENPEEPYLDGINYNCVAPGKRFRPMDNLSGGEKTVAALALLFAIHSYKPAPFFVLDEIDAALDNTNIGKVANYIKEQSTCNFQAIVISLKEEFYTKAESLIGVYPEQGDCVISKVLTFDLTKYPDANPNPNEQ", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTQFLPPNLLALFAPRDPIPYLPPLEKLPHEKHHNQPYCGIAPYIREFEDPRDAPPPTRAETREERMERKRREKIERRQQEVETELKMWDPHNDPNAQGDAFKTLFVARVNYDTTESKLRREFEVYGPIKRIHMVYSKRSGKPRGYAFIEYEHERDMHSAYKHADGKKIDGRRVLVDVERGRTVKGWRPRRLGGGLGGTRRGGADVNIRHSGRDDTSRYDERPGPSPLPHRDRDRDRERERRERSRERDKERERRRSRSRDRRRRSRSRDKDERRRSRERSKDKDRDRKRRSSRSRERARRERERKEELRGGGGGGGGGSGGGGGGDMAEPSEAGDGAPDDGPPGELGPEGPDGPEEKGRDRDRERRRSHRSERERRRDRDRDRDREHKRGERGSERGRDEARGGGGSGQDNGLEGLGSDGRDMYMEAEGGDGYMAPENGYLMEAAPE", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDTSKKDTTRSPSHSNSSSPSSSSLSSSSSKEKKRPKRLSSQNVNYDLKRRKIITSEGIERSFKNEHSNLAVEDNIPEEEPKELLEKDSKGNIIKLNEPSTISEDSKVSVTGLPLNKGPSEKIKRESLWNYRKNLGGQSNNSEMTLVPSKRFTQVPKNFQDLNRNDLKTFLTENMTEESNIRSTIGWNGDIINRTRDREPESDRDNKKLSNIRTKIILSTNATYDSKSKLFGQNSIKSTSNASEKIFRDKNNSTIDFENEDFCSACNQSGSFLCCDTCPKSFHFLCLDPPIDPNNLPKGDWHCNECKFKIFINNSMATLKKIESNFIKQNNNVKIFAKLLFNIDSHNPKQFQLPNYIKETFPAVKTGSRGQYSDENDKIPLTDRQLFNTSYGQSITKLDSYNPDTHIDSNSGKFLICYKCNQTRLGSWSHPENSRLIMTCDYCQTPWHLDCVPRASFKNLGSKWKCPLHSPTKVYKKIHHCQEDNSVNYKVWKKQRLINKKNQLYYEPLQKIGYQNNGNIQIIPTTSHTDYDFNQDFKITQIDENSIKYDFFDKIYKSKMVQKRKLFQFQESLIDKLVSNGSQNGNSEDNMVKDIASLIYFQVSNNDKSSNNKSASKSNNLRKLWDLKELTNVVVPNELDSIQFNDFSSDEIKHLLYLKKIIESKPKEELLKFLNIENPENQSE", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAHRPKRTFRQRAADSSDSDGAEESPAEPGAPRELPVPGSAEEEPPSGGGRAQVAGLPHRVRGPRGRGRVWASSRRATKAAPRADEGSESRTLDVSTDEEDKIHHSSESKDDQGLSSDSSSSLGEKELSSTVKIPDAAFIQAARRKRELARAQDDYISLDVQHTSSISGMKRESEDDPESEPDDHEKRIPFTLRPQTLRQRMAEESISRNEETSEESQEDEKQDTWEQQQMRKAVKIIEERDIDLSCGNGSSKVKKFDTSISFPPVNLEIIKKQLNTRLTLLQETHRSHLREYEKYVQDVKSSKSTIQNLESSSNQALNCKFYKSMKIYVENLIDCLNEKIINIQEIESSMHALLLKQAMTFMKRRQDELKHESTYLQQLSRKDETSTSGNFSVDEKTQWILEEIESRRTKRRQARVLSGNCNHQEGTSSDDELPSAEMIDFQKSQGDILQKQKKVFEEVQDDFCNIQNILLKFQQWREKFPDSYYEAFISLCIPKLLNPLIRVQLIDWNPLKLESTGLKEMPWFKSVEEFMDSSVEDSKKESSSDKKVLSAIINKTIIPRLTDFVEFLWDPLSTSQTTSLITHCRVILEEHSTCENEVSKSRQDLLKSIVSRMKKAVEDDVFIPLYPKSAVENKTSPHSKFQERQFWSGLKLFRNILLWNGLLTDDTLQELGLGKLLNRYLIIALLNATPGPDVVKKCNQVAACLPEKWFENSAMRTSIPQLENFIQFLLQSAHKLSRSEFRDEVEEIILILVKIKALNQAESFIGEHHLDHLKSLIKED", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRPGTGAERGGLMVSEMESHPPSQGPGDGERRLSGSSLCSGSWVSADGFLRRRPSMGHPGMHYAPMGMHPMGQRANMPPVPHGMMPQMMPPMGGPPMGQMPGMMSSVMPGMMMSHMSQASMQPALPPGVNSMDVAAGTASGAKSMWTEHKSPDGRTYYYNTETKQSTWEKPDDLKTPAEQLLSKCPWKEYKSDSGKPYYYNSQTKESRWAKPKELEDLEGYQNTIVAGSLITKSNLHAMIKAEESSKQEECTTTSTAPVPTTEIPTTMSTMAAAEAAAAVVAAAAAAAAAAAAANANASTSASNTVSGTVPVVPEPEVTSIVATVVDNENTVTISTEEQAQLTSTPAIQDQSVEVSSNTGEETSKQETVADFTPKKEEEESQPAKKTYTWNTKEEAKQAFKELLKEKRVPSNASWEQAMKMIINDPRYSALAKLSEKKQAFNAYKVQTEKEEKEEARSKYKEAKESFQRFLENHEKMTSTTRYKKAEQMFGEMEVWNAISERDRLEIYEDVLFFLSKKEKEQAKQLRKRNWEALKNILDNMANVTYSTTWSEAQQYLMDNPTFAEDEELQNMDKEDALICFEEHIRALEKEEEEEKQKSLLRERRRQRKNRESFQIFLDELHEHGQLHSMSSWMELYPTISSDIRFTNMLGQPGSTALDLFKFYVEDLKARYHDEKKIIKDILKDKGFVVEVNTTFEDFVAIISSTKRSTTLDAGNIKLAFNSLLEKAEAREREREKEEARKMKRKESAFKSMLKQAAPPIELDAVWEDIRERFVKEPAFEDITLESERKRIFKDFMHVLEHECQHHHSKNKKHSKKSKKHHRKRSRSRSGSDSDDDDSHSKKKRQRSESRSASEHSSSAESERSYKKSKKHKKKSKKRRHKSDSPESDAEREKDKKEKDRESEKDRTRQRSESKHKSPKKKTGKDSGNWDTSGSELSEGELEKRRRTLLEQLDDDQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MMDRGECLMSMKLRPMVTRPSSDGTLFWPFREERAFASAEEYGGGGGCMWPPRSYSCSFCGREFKSAQALGGHMNVHRRDRARLKQQSLSPSSTDQATPPECDRQQQVLDVGSKVLVQEETRKPNGTKREISDVCNNNVLESSMKRYEHDNGEVKTDLSVGLLSTEFDPRKKQLINGSSSSWKRAKTDVSRFPMMLGLVIGISEINGHHEELDLELRLGADPPKVN", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAGTPSFQKLMALADSQSAQAAVQIEQLRKAQIREKAREITEERNRQRKLQRERELRQKYEEEQRRQQAMEAKRIAASTRQTSERPPLSAEEAKRIREVKEKDRLESKKNERQGKPRSYNELLRQASSAPAVNETSSSGLLQSKDKRSQSPHSPKKPVKNSSSRDQPVRNSGATSTASLPPAGLRAGRGSQISASLAWLKTGGASAAPSNPRQPPPTSNFSNRKARYASNGLVQLQTGPKRDKRSAGEVQDEIMKRRQNSSISQAATPRTVSNSETSYVGSPALKQSKPNSLKSNNTSRKTSASSAITKPKARPHTSRHDEFVVSDDDELNDRVPDVSSEIWKIFGKRKQDYVSRDVFSDEDDMEATGHDVWREEQAAARAARLEDELEEQRERERELAKKRRKNK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSSRSQSPETPKAASEEREEEEKESSEQPDTPKTSSEKSASRSQSPRESREVSQETETSENQEKIKEKDDGDDQPGTPNSYRSRETSPAPKRSKETRESESPEKSPVRSRSPRRSSARSPSRSPRRRRERSSERKQSEEPAPLPEKKKKEPLDILRTRTGGAYIPPAKLRLMQQQISDKQSEQYQRMNWERMKKKIHGLVNRVNAKNLVQIVRELLQENVIRSKGLLCRDIIQAQAFSPGFSNVYAALAAVINSKFPHVGELLLRRLIVQFKRSFRRNDRGVTVNVIKFIAHLINQQVAHEVLALEIMILMLEEPTDDSVEVAIAFLKECGAKLLEIAPAALNSVYDRLRAILMETERSENALDRRIQYMIETAMQIRKDKFAAYPAVIEDLDLIEEEDQIIHTLNLEDAVDPENGLNVFKLDPEFEKNEEVYEEIRKEIIGNADISDEDGGDELDDEEEGSDVEEAPKKTTEIIDNTDQNLTAFRREVYLTMQSSLDYQEAAHKLLKMKIPDSMQNELCAMLVDCCAQQRTYERFYGMLIERFCRLRLEYQQYFEKLCQDTYSTIHRIDITKLRNLARLIAHLLSTDAIDWKILADMKMTEEDTTSSGRIYIKYIFNELVEAMGMVKLHSRVTDPTLAHCFVGLFPRTNPNSARFSINFFTMIGLGGLTLELREWLAKGLKKKKGMLDQLKAESSSDSSSSSDSSDSSDSSDSDDSSDSSSDSSSSSESEPEPPKKKKKKNSEESSKKKEKENIGRRDRGDKRAERHRDQSVENKDKDRRRRQDSDENRRPERGDDRKDRSKDRRRQDSDDEDRKGRERREDSGERRRGDRDRRDRNKDQEDHREDRRDRSKDREDRRDRRRHDSDDDRKTRRDRSEERGGRRREVESDDRRRRR", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTSKQAMSSNEQERLLCYNGEVLVFQLSKGNFADKEPTKTPILHVRRMVFDRGTKVFVQKSTGFFTIKEENSHLKIMCCNCVSDFRTGINLPYIVIEKNKKNNVFEYFLLILHSTNKFEMRLSFKLGYEMKDGLRVLNGPLILWRHVKAFFFISSQTGKVVSVSGNFSSIQWAGEIENLGMVLLGLKECCLSEEECTQEPSKSDYAIWNTKFCVYSLESQEVLSDIYIIPPAYSSVVTYVHICATEIIKNQLRISLIALTRKNQLISFQNGTPKNVCQLPFGDPCAVQLMDSGGGNLFFVVSFISNNACAVWKESFQVAAKWEKLSLVLIDDFIGSGTEQVLLLFKDSLNSDCLTSFKITDLGKINYSSEPSDCNEDDLFEDKQENRYLVVPPLETGLKVCFSSFRELRQHLLLKEKIISKSYKALINLVQGKDDNTSSAEEKECLVPLCGEEENSVHILDEKLSDNFQDSEQLVEKIWYRVIDDSLVVGVKTTSSLKLSLNDVTLSLLMDQAHDSRFRLLKCQNRVIKLSTNPFPAPYLMPCEIGLEAKRVTLTPDSKKEESFVCEHPSKKECVQIITAVTSLSPLLTFSKFCCTVLLQIMERESGNCPKDRYVVCGRVFLSLEDLSTGKYLLTFPKKKPIEHMEDLFALLAAFHKSCFQITSPGYALNSMKVWLLEHMKCEIIKEFPEVYFCERPGSFYGTLFTWKQRTPFEGILIIYSRNQTVMFQCLHNLIRILPINCFLKNLKSGSENFLIDNMAFTLEKELVTLSSLSSAIAKHESNFMQRCEVSKGKSSVVAAALSDRRENIHPYRKELQREKKKMLQTNLKVSGALYREITLKVAEVQLKSDFAAQKLSNL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAENKGGGEAESGGGGSGSAPVTAGAAGPTAQEAEPPLAAVLVEEEEEEGGRAGAEGGAAGPDDGGVAAASSSSAPAASVPAASVGSAVPGGAASTPAPAAAPAPAPAPAPAPAPAPAPAPAPGSSSGPPLGPPASLLDTCAVCQQSLQSRREAEPKLLPCLHSFCLRCLPEPERQLSVPIPGGSNGDVQQVGVIRCPVCRQECRQIDLVDNYFVKDTSEAPSSSDEKSEQVCTSCEDNASAVGFCVECGEWLCKTCIEAHQRVKFTKDHLIRKKEDVSESVGTSGQRPVFCPVHKQEQLKLFCETCDRLTCRDCQLLEHKEHRYQFLEEAFQNQKGAIENLLAKLLEKKNYVHFAATQVQNRIKEVNETNKRVEQEIKVAIFTLINEINKKGKSLLQQLENVTKERQMKLLQQQNDITGLSRQVKHVMNFTNWAIASGSSTALLYSKRLITFQLRHILKARCDPVPAANGAIRFHCDPTFWAKNVVNLGNLVIESKPAPGYTPNVVVGQVPPGTNHISKTPGQINLAQLRLQHMQQQVYAQKHQQLQQMRLQQPPAPIPTTTATTQQHPRQAAPQMLQQQPPRLISVQTMQRGNMNCGAFQAHQMRLAQNAARIPGIPRHSAPQYSMMQPHLQRQHSNPGHAGPFPVVSAHNPINPTSPTTATMANANRGPTSPSVTAIELIPSVTNPENLPSLPDIPPIQLEDAGSSSLDNLLSRYISGSHLPPQPTSTMNPSPGPSALSPGSSGLSNSHTPVRPPSTSSTGSRGSCGSSGRTAEKSAHSFKSDQVKVKQEPGTEEEICSFSGAVKQEKTEDGRRSACMLSSPESSLTPPLSTNLHLESELDTLTGLENHVKTEPTDISESCKQSGLSNLVNGKSPIRNLMHRSARIGGDGNSKDDDPNEDWCAVCQNGGDLLCCEKCPKVFHLTCHVPTLLSFPSGDWICTFCRDIGKPEVEYDCDNMQHSKKGKTAQGLSPVDQRKCERLLLYLYCHELSIEFQEPVPVSIPNYYKIIKKPMDLSTVKKKLQKKHSQHYQIPDDFVADVRLIFKNCERFNEMMKVVQVYADTQEINLKGDSEVAKAGKAVALYFEDKLSEIYSDRTFTPLPEFEQDEDDGEVTEDSDEDFIQPRRKRLKSDERPVHIK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGKCSMKKKGVGKNVGVGKKVQKKRSISTAERKRTKLQVEKLNKSSETMIPTLLREASTQEPAKLKAETTLKAEELIKDQEKDSKVREQIRTEKSKTNDSMLKQIEMISGFSL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "METLSYSQIKKRKADFDEDISKRARQLPVGEQLPLSRLLQYSDKQQLFTILLQCVEKHPDLARDIRGILPAPSMDTCVETLRKLLINLNDSFPYGGDKRGDYAFNRIREKYMAVLHALNDMVPCYLPPYSTCFEKNITFLDAATNVVHELPEFHNPNHNVYKSQAYYELTGAWLVVLRQLEDRPVVPLLPLEELEEHNKTSQNRMEEALNYLKQLQKNEPLVHERSHTFQQTNPQNNFHRHTNSMNIGNDNGMGWHSMHQYI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDVHLVEENVSMGNHEIGDEGDVEPSDCSGQNNMDNSLGVQDEIGIAEPCVGMEFNSEKEAKSFYDEYSRQLGFTSKLLPRTDGSVSVREFVCSSSSKRSKRRLSESCDAMVRIELQGHEKWVVTKFVKEHTHGLASSNMLHCLRPRRHFANSEKSSYQEGVNVPSGMMYVSMDANSRGARNASMATNTKRTIGRDAHNLLEYFKRMQAENPGFFYAVQLDEDNQMSNVFWADSRSRVAYTHFGDTVTLDTRYRCNQFRVPFAPFTGVNHHGQAILFGCALILDESDTSFIWLFKTFLTAMRDQPPVSLVTDQDRAIQIAAGQVFPGARHCINKWDVLREGQEKLAHVCLAYPSFQVELYNCINFTETIEEFESSWSSVIDKYDLGRHEWLNSLYNARAQWVPVYFRDSFFAAVFPSQGYSGSFFDGYVNQQTTLPMFFRLYERAMESWFEMEIEADLDTVNTPPVLKTPSPMENQAANLFTRKIFGKFQEELVETFAHTANRIEDDGTTSTFRVANFENDNKAYIVTFCYPEMRANCSCQMFEHSGILCRHVLTVFTVTNILTLPPHYILRRWTRNAKSMVELDEHVSENGHDSSIHRYNHLCREAIKYAEEGAITAEAYNIALGQLREGGKKVSVVRKRIGRAAPPSSHGGGIGSGDKTSLSAADTTPLLWPRQDEMIRRFNLNDGGARAQSVSDLNLPRMAPVSLHRDDTAPENMVALPCLKSLTWGMESKNTMPGGRVAVINLKLHDYRKFPSADMDVKFQLSSVTLEPMLRSMAYISEQLSSPANRVAVINLKLQDTETTTGESEVKFQVSRDTLGAMLRSMAYIREQLSIVGELQTESQAKKQRK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPVPIIETCRKRKRKPKVYNLQRFGEDGFPIQRNGAFRDQIRVFLRDCAEIEDYDIRGMTVWCTLLSHETKSSLIPLYIVEENVKHSSEPYCDHCRCTGWSNHFVSKRKYHFIIPIDTEWSLPLEDDAFDSQSHVLHGLIHCNGFGHLVCVNGMESGSKYLCGREIVDFWDRLCNSLGARMITVEDLAKKRSVELRLLYGVAYGHSWFGRWGYKFCCGSFGVTKNEYENAIEALGSLEIDQIEFDFGELRQSKEINQVFRYYREMSEGHLKTFRDLLRFMLIIKSHASPQKLLPVTPPLLTDSPHQKRSSRLLLKKSDVADNDKSPKYRNYSTVAANLGSRWPVRRLIFAAEVIVESLKEMKALKQNGMTRQDVRDSARLHIGDTGLLDYVLKSMNNVVVGDVLVRRYVDPITRILHYTIQDLDDAVKAKEPKKKEAVVLEEITPLRILTPLKPGADVYGDLLLLYTNVLLNYPESELVRSATQAILDSKHFIKEWPIWDNNDTVLQFLCRINPSLVDVRSEQTTELPPGELVTVPLQATVYDLKQAIEETFRDTYCILSNFVVTEIDEVEEDMSLIGSCSALTVRGHGIDLESKLKCQGGCDTWMVKCICRARDDDGERMISCDVCEVWQHTRCCGIDDSDTLPPLFVCSNCCEEFAEQQRKVLQPKYEFPSSENVFLLESADDFFGDQRCLGMIFPEENYLL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASGDGGDDAGVKRVADRYLKREVLGEGTYGVVFKAVDTKTGNTVAIKKIRLGKYKEGVNFTALREIKLLKELKDSNIIELIDAFPYKGNLHLVFEFMETDLEAVIRDRNIVLSPADTKSYIQMMLKGLAFCHKKWVLHRDMKPNNLLIGADGQLKLADFGLARIFGSPERNFTHQVFARWYRAPELLFGTKQYGSAVDIWAAGCIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKSSQWPDMVYLPDYVEYQFVSAPPLRSLFPMASDDALDLLSRMFTYDPKARITAQQALEHRYFLSVPAPTKPSQLPRPPPKGDSGNNKIPDLNLQDGPVVLSPPRKLRRVTAHEGMEVHMHRADRTEEHPSGARHMDDMSSQSSRIPMSVDVGAIFGTRPAPRPTLNSADKSRLKRKLDMDPEFGYTE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MIDENNDVSEEALSSDIKKLKEKHDMLDKEISQLIAEGYRVIELEKHISLLHEYNDIKDVSQMLLGKLAVTRGVTTKELYPDFDLNLND", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MREKRTISNKDTNYLKFPNKLQRYSRFLSRKISNTSPEKQPKKNIKEHCLSSYHKEHSVKPKQNSGNVAAKEDKDTQHLQNNVANEEATECLTRSNLKKLQEKIFDRELNDIACDHCLCSTENRRDIKYSRLWFLFELEMSENWNENLRLSCYNKYVYSAIDESWKMENILLKEQEKHYEYFPIGQLLIPNNIDYTNKQKRKENIEDLTIEIDSIIETNHQKKRFLPQSVLIKREDEIAFDDFHLDARKVLNDLSATSENPFSSSPNTKKIKSKGKTLEVVPKKKNKKIIGALERKLHIDEN", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGDMGDPPKKKRLISLCVGCGNQIHDQYILRVSPDLEWHAACLKCAECNQYLDESCTCFVRDGKTYCKRDYIRLYGIKCAKCSIGFSKNDFVMRARSKVYHIECFRCVACSRQLIPGDEFALREDGLFCRADHDVVERASLGAGDPLSPLHPARPLQMAAEPISARQPALRPHVHKQPEKTTRVRTVLNEKQLHTLRTCYAANPRPDALMKEQLVEMTGLSPRVIRVWFQNKRCKDKKRSIMMKQLQQQQPNDKTNIQGMTGTPMVAASPERHDGGLQANPVEVQSYQPPWKVLSDFALQSDIDQPAFQQLVNFSEGGPGSNSTGSEVASMSSQLPDTPNSMVASPIEA", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAATTGSGVKVPRNFRLLEELEEGQKGVGDGTVSWGLEDDEDMTLTRWTGMIIGPPRTIYENRIYSLKIECGPKYPEAPPFVRFVTKINMNGVNSSNGVVDPRAISVLAKWQNSYSIKVVLQELRRLMMSKENMKLPQPPEGQCYSN", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAKRRQKKRTHAQITPEQERDIPKSMVIRVGQTSLANHSLNQLVKDFRQIMQPHTAVKLKERKSNKLKDFVVMCGPLGVTHLFMFTQSEKTGNVSLKIARTPQGPTVTFQVLDYSLGRDIKKFLKRPKSLNNDDVLNPPLLVLNGFSTSKRSDEDDQDVNVEKVIVSMFQNIFPPLNPARTSLNSIKRIFMINKDRETGEISMRHYFIDIREVEISRNLKRLYKAKNNLSKTVPNLHRKEDISSLILDHDLGAYTSESEIEDDAIVRVVDNQDVKAKHSQTSLSQKTPVKMTDNEEREKGIEEEDVEMEEPKPSENSQPTPRKKAIKLTELGPRLTLKLVKIEDGICSGKVLHHEFVQKSSEEIKALEKRHAAKMRLKEQRRKEQEENIAKKKAVKDAKKQRKLERRKARAEEQGEGQGKDGAMSDDGSSSSEDEHYSDVPEDLDSDLFSEVE", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTENSTSAPAAKPKRAKASKKSTDHPKYSDMIVAAIQAEKNRAGSSRQSIQKYIKSHYKVGENADSQIKLSIKRLVTTGVLKQTKGVGASGSFRLAKSDEPKKSVAFKKTKKEIKKVATPKKASKPKKAASKAPTKKPKATPVKKAKKKLAATPKKAKKPKTVKAKPVKASKPKKAKPVKPKAKSSAKRAGKKK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MADPIMDLFDDPNLFGLDSLTDDSFNQVTQDPIEEALGLPSSLDSLDQMNQDGGGGDVGNSSASELVPPPEETAPTELSKESTAPAPESITLHDYTTQPASQEQPAQPVLQTSTPTSGLLQVSKSQEILSQGNPFMGVSATAVSSSSAGGQPPQSAPKIVILKAPPSSSVTGAHVAQIQAQGITSTAQPLVAGTANGGKVTFTKVLTGTPLRPGVSIVSGNTVLAAKVPGNQAAVQRIVQPSRPVKQLVLQPVKGSAPAGNPGATGPPLKPAVTLTSTPTQGESKRITLVLQQPQSGGPQGHRHVVLGSLPGKIVLQGNQLAALTQAKNAQGQPAKVVTIQLQVQQPQQKIQIVPQPPSSQPQPQQPPSTQPVTLSSVQQAQIMGPGQSPGQRLSVPVKVVLQPQAGSSQGASSGLSVVKVLSASEVAALSSPASSAPHSGGKTGMEENRRLEHQKKQEKANRIVAEAIARARARGEQNIPRVLNEDELPSVRPEEEGEKKRRKKSAGERLKEEKPKKSKTSGASKTKGKSKLNTITPVVGKKRKRNTSSDNSDVEVMPAQSPREDEESSIQKRRSNRQVKRKKYTEDLDIKITDDEEEEEVDVTGPIKPEPILPEPVQEPDGETLPSMQFFVENPSEEDAAIVDKVLSMRIVKKELPSGQYTEAEEFFVKYKNYSYLHCEWATISQLEKDKRIHQKLKRFKTKMAQMRHFFHEDEEPFNPDYVEVDRILDESHSIDKDNGEPVIYYLVKWCSLPYEDSTWELKEDVDEGKIREFKRIQSRHPELKRVNRPQASAWKKLELSHEYKNRNQLREYQLEGVNWLLFNWYNRQNCILADEMGLGKTIQSIAFLQEVYNVGIHGPFLVIAPLSTITNWEREFNTWTEMNTIVYHGSLASRQMIQQYEMYCKDSRGRLIPGAYKFDALITTFEMILSDCPELREIEWRCVIIDEAHRLKNRNCKLLDSLKHMDLEHKVLLTGTPLQNTVEELFSLLHFLEPSQFPSESEFLKDFGDLKTEEQVQKLQAILKPMMLRRLKEDVEKNLAPKQETIIEVELTNIQKKYYRAILEKNFSFLSKGAGHTNMPNLLNTMMELRKCCNHPYLINGAEEKILTEFREACHIIPHDFHLQAMVRSAGKLVLIDKLLPKLKAGGHKVLIFSQMVRCLDILEDYLIQRRYLYERIDGRVRGNLRQAAIDRFSKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIGQSKAVKVYRLITRNSYEREMFDKASLKLGLDKAVLQSMSGRDGNITGIQQFSKKEIEDLLRKGAYAAIMEEDDEGSKFCEEDIDQILLRRTTTITIESEGKGSTFAKASFVASENRTDISLDDPNFWQKWAKKADLDMDLLNSKNNLVIDTPRVRKQTRHFSTLKDDDLVEFSDLESEDDERPRSRRHDRHHAYGRTDCFRVEKHLLVYGWGRWRDILSHGRFKRRMTERDVETICRAILVYCLLHYRGDENIKGFIWDLISPAENGKTKELQNHSGLSIPVPRGRKGKKVKSQSTFDIHKADWIRKYNPDTLFQDESYKKHLKHQCNKVLLRVRMLYYLRQEVIGDQAEKVLGGAIASEIDIWFPVVDQLEVPTTWWDSEADKSLLIGVFKHGYEKYNTMRADPALCFLEKAGRPDDKAIAAEHRVLDNFSDIVEGVDFDKDCEDPEYKPLQGPPKDQDDEGDPLMMMDEEISVIDGDEAQVTQQPGHLFWPPGSALTARLRRLVTAYQRSYKREQMKIEAAERGDRRRRRCEAAFKLKEIARREKQQRWTRREQTDFYRVVSTFGVEYDPDTMQFHWDRFRTFARLDKKTDESLTKYFHGFVAMCRQVCRLPPAAGDEPPDPNLFIEPITEERASRTLYRIELLRRLREQVLCHPLLEDRLALCQPPGPELPKWWEPVRHDGELLRGAARHGVSQTDCNIMQDPDFSFLAARMNYMQNHQAGAPAPSLSRCSTPLLHQQYTSRTASPLPLRPDAPVEKSPEETATQVPSLESLTLKLEHEVVARSRPTPQDYEMRVSPSDTTPLVSRSVPPVKLEDEDDSDSELDLSKLSPSSSSSSSSSSSSSSTDESEDEKEEKLTDQSRSKLYDEESLLSLTMSQDGFPNEDGEQMTPELLLLQERQRASEWPKDRVLINRIDLVCQAVLSGKWPSSRRSQEMVTGGILGPGNHLLDSPSLTPGEYGDSPVPTPRSSSAASMAEEEASAVSTAAAQFTKLRRGMDEKEFTVQIKDEEGLKLTFQKHKLMANGVMGDGHPLFHKKKGNRKKLVELEVECMEEPNHLDVDLETRIPVINKVDGTLLVGEDAPRRAELEMWLQGHPEFAVDPRFLAYMEDRRKQKWQRCKKNNKAELNCLGMEPVQTANSRNGKKGHHTETVFNRVLPGPIAPESSKKRARRMRPDLSKMMALMQGGSTGSLSLHNTFQHSSSGLQSVSSLGHSSATSASLPFMPFVMGGAPSSPHVDSSTMLHHHHHHPHPHHHHHHHPGLRAPGYPSSPVTTASGTTLRLPPLQPEEDDDEDEEDDDDLSQGYDSSERDFSLIDDPMMPANSDSSEDADD", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MENTTNRNTAGVLTSSNGNFATNSVAASTPKRSKSARRKTFKCTGYDGCTMSFTRAEHLARHIRKHTGEKPFQCPACLKFFSRVDNLKQHRESVHAHKNHHSTSSHQRKPSSSSLSSSSSASSSSSASSSTSYSDPYRKTNINSGNMPMMAENEKAPQIIHSSPEFITSTRSIPPISPRSIYNTQRQQQHQQQQHQQAPYYFPSHPITDSYYQYPLPSNNNTINYLPSVDVQYPLNVSPSSTSHPASEVIISSFPPRSMPSTSFKYKDSADFQARTTMNKYNIRPSNINVNTSNINNHLDSFSPPFSPSTTVAEAKPIILPQYQQAFSQPPNGNKNNNMSSSKNGGKGGENFKNTDDRNDNNNKKRSETLSESDISVNTNKKRLSVDYILT", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVRKGSNRTKTSEVGDEINPYGLNEVDDFASKREKVLLGQSTFGDSNKDDDHSLLEDEDEEEVLAMDEDDESIDEREDEEEEEEEELDGAAAYKKIFGRNLETDQLPEEDEENGMLDNENAWGSTKGEYYGADDLDDDEAAKEIEKEALRQQKKHLEELNMNDYLDEEEEEEWVKSAKEFDMGEFKNSTKQADTKTSITDILNMDDEARDNYLRTMFPEFAPLSKEFTELAPKFDELKKSEENEFNKLKLIALGSYLGTISCYYSILLHELHNNEDFTSMKGHPVMEKILTTKEIWRQASELPSSFDVNEGDGSESEETANIEAFNEKKLNELQNSEDSDAEDGGKQKQEIDEEERESDEEEEEEDVDIDDFEEYVAQSRLHSKPKTSSMPEADDFIESEIADVDAQDKKARRRTLRFYTSKIDQQENKKTDRFKGDDDIPYKERLFERQQRLLDEARKRGMHDNNGADLDDKDYGSEDEAVSRSINTQGENDYYQQVQRGKQDKKISRKEAHKNAVIAAREGKLAELAENVSGDGKRAINYQILKNKGLTPKRNKDNRNSRVKKRKKYQKAQKKLKSVRAVYSGGQSGVYEGEKTGIKKGLTRSVKFKN", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDTPLRRSRRLEGLKPLSPENLPVPEVSRAKRALVDFKSNSEETGELKSTRVPPLSLPSPGPQPETSPGSPCPPLSLPSPGPQPETSPGSPCPPLSLPSPGPQPETSPGSPCPPLSLPSPGPQPETSPGSPCPPLSLPSPGPQPEASPGSPGPRQDADDGSPQRQPEPHPGSLQPHQDLGLESPAGQTESSPESPQREQPSKLPPPQGELDSEAAHAKEEVIPGSPEPCPGQQAPGPEPSQPAQELTVQAPSSPERQLEPGKLPPAGETVTESLNLKKRVIASPQAPASKKLKEKEELPVIPKGKPKSGRVWKDRSKKRFSQMVQDKPLRTSWQRKMKERQERKLAKDFARHLEEEKQRRRQEKKERRAENLRRRLENERKAEIVQVIRNPAKLKKAKKKQLRSIEKRDTLALLQKQPPQRPVAKV", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAGGTALTPTSVGSKSVPMRNHEATERGNTNNNLRALPKAVQPVSSIEGEMAKRPRGRPAGSKNKPKPPIIVTHDSPNSLRANAVEISSGCDICETLSDFARRKQRGLCILSANGCVTNVTLRQPASSGAIVTLHGRYEILSLLGSILPPPAPLGITGLTIYLAGPQGQVVGGGVVGGLIASGPVVLMAASFMNAVFDRLPMDDDEAASMQNQQYYQNGRSRPLDDIHGLPQNLLTNGNSASDIYSWGPAQRVMSKP", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MYNPPPPSGSQGNNNYYRQPSSTPGVSNPNPQANQFLPPPPSNTQAPRPGFPPSAPPPSAPAGQYSMPPPPQQQQQAGQYGMPPPPSGSGIGTGVSLVKDQQISLSKEDPYLRKLTVGLGWDVNTTPSAPFDLDAVVFMLGANGMVRQPADFIFYNNKQSRDGSIFHHGDNLTGAGDGDDEVVSVNLQAVSPDVTRLVFAVTIHQPELRRQNFGMVPRAFIRIANQETTRNICRYDLTNEGGTNTAMIVGEVYRDPQNPQNWSFIAVGKSFPGGLQFLCQIFGVNAS", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVVCKYFLQNRCRYGTNCKNQHTVPSNGQNAFSKVNVFRPENGRPPIWVQRRLKRSDLDNLLPNRRMKDINDDLKNAKPQWPFTGYSVVENLPSIYEGDVSPEELRWWAYQAKATNNMQAYEQRQKQLMDDVEAKAAAVKRSPAAAFDEMRNKLVGKTNYKSIFDKSTSNSTVTSNQFNKPTQNSPFNSFSNNNNSFNNNQQANDIFGAPTTSAFTSQLNASPFSQNTSSNSFTGSNPVQNNPSSFGSSSFGSATSGPSAFGGISQPNSSFVNSGQGIPNSSFSSFSQVASGFSQSQNVNDPSSIFGPTVASGFGIQNQPQQSAFQNLNTQFSLPNNSQPVFGHTSLTQPVNPNGFTVQPPATFMQQPQGPFVPPNTTFESPFANVTSKISASGFSNDNPANKNIIQTPMFGSSNTIDGPINPIGASSIQTLDDQVEMSNSNQNLAFPPEMIQQFEAQDFIPGKVPTTAPPPQFC", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MWKLLPAAGPAGGEPYRLLTGVEYVVGRKNCAILIENDQSISRNHAVLTANFSVTNLSQTDEIPVLTLKDNSKYGTFVNEEKMQNGFSRTLKSGDGITFGVFGSKFRIEYEPLVACSSCLDVSGKTALNQAILQLGGFTVNNWTEECTHLVMVSVKVTIKTICALICGRPIVKPEYFTEFLKAVESKKQPPQIESFYPPLDEPSIGSKNVDLSGRQERKQIFKGKTFIFLNAKQHKKLSSAVVFGGGEARLITEENEEEHNFFLAPGTCVVDTGITNSQTLIPDCQKKWIQSIMDMLQRQGLRPIPEAEIGLAVIFMTTKNYCDPQGHPSTGLKTTTPGPSLSQGVSVDEKLMPSAPVNTTTYVADTESEQADTWDLSERPKEIKVSKMEQKFRMLSQDAPTVKESCKTSSNNNSMVSNTLAKMRIPNYQLSPTKLPSINKSKDRASQQQQTNSIRNYFQPSTKKRERDEENQEMSSCKSARIETSCSLLEQTQPATPSLWKNKEQHLSENEPVDTNSDNNLFTDTDLKSIVKNSASKSHAAEKLRSNKKREMDDVAIEDEVLEQLFKDTKPELEIDVKVQKQEEDVNVRKRPRMDIETNDTFSDEAVPESSKISQENEIGKKRELKEDSLWSAKEISNNDKLQDDSEMLPKKLLLTEFRSLVIKNSTSRNPSGINDDYGQLKNFKKFKKVTYPGAGKLPHIIGGSDLIAHHARKNTELEEWLRQEMEVQNQHAKEESLADDLFRYNPYLKRRR", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAAVSLRLGDLVWGKLGRYPPWPGKIVNPPKDLKKPRGKKCFFVKFFGTEDHAWIKVEQLKPYHAHKEEMIKINKGKRFQQAVDAVEEFLRRAKGKDQTSSHNSSDDKNRRNSSEERSRPNSGDEKRKLSLSEGKVKKNMGEGKKRVSSGSSERGSKSPLKRAQEQSPRKRGRPPKDEKDLTIPESSTVKGMMAGPMAAFKWQPTASEPVKDADPHFHHFLLSQTEKPAVCYQAITKKLKICEEETGSTSIQAADSTAVNGSITPTDKKIGFLGLGLMGSGIVSNLLKMGHTVTVWNRTAEKCDLFIQEGARLGRTPAEVVSTCDITFACVSDPKAAKDLVLGPSGVLQGIRPGKCYVDMSTVDADTVTELAQVIVSRGGRFLEAPVSGNQQLSNDGMLVILAAGDRGLYEDCSSCFQAMGKTSFFLGEVGNAAKMMLIVNMVQGSFMATIAEGLTLAHVTGQSQQTLLDILNQGQLASIFLDQKCQNILQGNFKPDFYLKYIQKDLRLAIALGDAVNHPTPMAAAANEVYKRAKALDQSDNDMSAVYRAYIH", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDRMTEDALRLNLLKRSLDPADERDDVLAKRLKMEGHEAMERLKMLALLKRKDLANLEVPHELPTKQDGSGVKGYEEKLNGNLRPHGDNRTAGRPGKENINDEPVDMSARRSEPERGRLTPSPDIIVLSDNEASSPRSSSRMEERLKAANLEMFKGKGIEERQQLIKQLRDELRLEEARLVLLKKLRQSQLQKENVVQKTPVVQNAASIVQPSPAHVGQQGLSKLPSRPGAQGVEPQNLRTLQGHSVIRSATNTTLPHMLMSQRVIAPNPAQLQGQRGPPKPGLVRTTTPNMNPAINYQPQSSSSVPCQRTTSSAIYMNLASHIQPGTVNRVSSPLPSPSAMTDAANSQAAAKLALRKQLEKTLLEIPPPKPPAPLLHFLPSAANSEFIYMVGLEEVVQSVIDSQGKSCASLLRVEPFVCAQCRTDFTPHWKQEKNGKILCEQCMTSNQKKALKAEHTNRLKNAFVKALQQEQEIEQRLQQQAALSPTTAPAVSSVSKQETIMRHHTLRQAPQPQSSLQRGIPTSARSMLSNFAQAPQLSVPGGLLGMPGVNIAYLNTGIGGHKGPSLADRQREYLLDMIPPRSISQSISGQK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAGHLASDFAFSPPPGGGGDGPWGAEPGWVDPLTWLSFQGPPGGPGIGPGVGPGSEVWGIPPCPPPYELCGGMAYCGPQVGVGLVPQGGLETSQPESEAGVGVESNSNGASPEPCTVPPGAVKLEKEKLEQNPEKSQDIKALQKELEQFAKLLKQKRITLGYTQADVGLILGVLFGKVFSQKTICRFEALQLSFKNMCKLRPLLQKWVEEADNNENLQEICKAETLMQARKRKRTSIENRVRGNLENLFLQCPKPTLQISHIAQQLGLEKDVVRVWFCNRRQKGKRSSSDYAQREDFEAAGSPFSGGPVSFPPAPGPHFGTPGYGSPHFTALYSSVPFPEGEVFPPVSVITLGSPMHSN", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNSVCFATPHTKHMDSKMQLTSSPASLWRPWLVTRKDAQTECRRTKLACPYSRPEVPGNTTTDGKMQSFQHPVRLYWPRSKSFDYLFSDGEALLRNFPVQATINFYDESDSEDEEESCDEDDESDVEDCLKHNSHFTAFN", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDPLSPPLCTLPPGPEPPRFVCYCEGEESGEGDRGGFNLYVTDAAELWSTCFTPDSLAALKARFGLSAAEDITPRFRAACEQQAVALTLQEDRASLTLSGGPSALAFDLSKVPGPEAAPRLRALTLGLAKRVWSLERRLAAAEETAVSPRKSPRPAGPQLFLPDPDPQRGGPGPGVRRRCPGESLINPGFKSKKPAGGVDFDET", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAPNLHIKKAFMAMRAMGIEDARVKPVLKNLLALYEKNWELIAEDNYRVLADAIFDSHEDQAIQESEEKKADEVKEDEGCAAEVDRGKKKLHESIEDDEDVMAESDRPLKRLRRRGEGGSALASPSLGSPTLEGPSINDEENAPILLPYHPVPIENDHDAGELILTKVEPITNMPLSSIPDSVDRGDSSMLEIDKSNGHVEEKAGETVSTADGTTNDISPTTVARFSDHKLAATIEEPPALELASSASGEVKINLSFAPATGGSNPHLPSMEELRRAMEEKCLRSYKILDPNFSVLGFMNDICSCYLDLATNGRDSANQLPKNLPFVTTNIDALKKSAARMAYTSQASNDVVEICSNEHMRDAENGAVGDSMALVVVPECQLSADEWRLISSVGDISLGKETVEIPWVNEVNDKVPPVFHYIAQSLVYQDAAVKFSLGNIRDDQCCSSCCGDCLAPSMACRCATAFNGFAYTVDGLLQEDFLEQCISEARDPRKQMLLYCKECPLEKAKKEVILEPCKGHLKRKAIKECWSKCGCMKNCGNRVVQQGIHNKLQVFFTPNGRGWGLRTLEKLPKGAFVCELAGEILTIPELFQRISDRPTSPVILDAYWGSEDISGDDKALSLEGTHYGNISRFINHRCLDANLIEIPVHAETTDSHYYHLAFFTTREIDAMEELTWDYGVPFNQDVFPTSPFHCQCGSDFCRVRKQISKGKNVKKRA", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDPLSVLKEFTIRGDIDKIERVGANYRFGSEYSFPCATETAYRSKSGSLYTLEALVHYVKNQQLKHGEYMQSTVKNSVPAVTLPDRKPLLDYLTGRVASSDSIDFLLLQQQNAQSQKQNEEYRPDQDNSAFVSRENAIADMEVEDFGKSGEDVDYIMLIRSNERPLKSRDAILQCKNRDFYSVLVNSTKREEERQRIESHQRKDGLVAKSRLMGAEERGIVGFSSGGGDDNGYDANPKSKLHFKAGKIGEGVPIILVPSAFQTLITIYNVKEFLEDGVYIPNDVKAKEMKGLKPDCITVQKKFSRDRERVVTAYEVRDKPSALKPDDWDRVVAVFVLGKDWQFKDWPFKDHVEIFNKIIGFFLRFEDDSIESAKTVKQWNVKIISISKNKRHQDRAAALEVWEKLEEFVRSRSHS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEMEANDHFNFTGLPPAPAASGLKPSPSSGEGLYTNGSPMNFPQQGKSLNGDVNVNGLSTVSHTTTSGILNSAPHSSSTSHLHHPNVAYDCLWNYSQYPSANPGNNLKDPPLLSQFPGGQYPLNGILGGNRQPSSPSHNTNLRAGSQEFWANGTQSPMGLNFDSQELYDSFPDQNFEVMPNGPPSFFTSPQTSPMLGSSIQTFAPSQDVSSDIHPDEAAEKELTSVVAENGTGLVGSLELEEEQPELKMCGYNGSVSSVESLHQEVSVLVPDPTVSCLDDPSHLPDQLEDTPILSEDSLEPFDSLAAEPVSGSLYGIDDAELMGAEDKLPLEGNPVISALDCPALSNANAFSLLADDSQTSASIFVSPTSPPVLGESVLQDNSFGLNSCSDSEQEEIETQSSNFQRPLTEPAPDQPPSTQLHPAVSPTASPAASLTASAEISPAVSPVASSPVPPEVFVAVSPASSPALPAISLEASMTTPVTSPQGSPEPSPAAAFQTVSPARKNVSSAPKARADREETTGGAVAVSGSGDVLKRRIATPEEVRLPLQHGWRREVRIKKGSHRWQGETWYYGPCGKRMKQFPEVIKYLSRNVVHSVRREHFSFSPRMPVGDFFEERDTPEGLQWVQLSAEEIPSRIQAITGKRGRPRNNEKAKNKEVPKVKRGRGRPPKIKMPELLNKTDNRLPKKLETQEILSEDDKAKMTKNKKKMRQKVQRGESQTPVQGQARNKRKQDTKSLKQKDTKKKLKAEKEKMKTKQEKLKEKVKREKKEKVKAKGKEGPRARPSCRADKTLATQKRLEEQQRQQAILEEMKKPTEGMCLSDHQPLPDFTRIPGLTLSSRAFSDCLTIVEFLHSFGKVLGFDLTKDVPSLGVLQEGLLCQGDSLDKVQDLLVRLLKAALHDPGLPPYCQSLKILGEKMSEIPLTRDNVSEILRCFLMAYRVEPPFCDSLRTQPFQAQPPQQKAAILAFLVHELNSSTIIINEIDKTLESVSSCRKNKWIVEGRLRRLKTALAKRTGRPEVMMEGAEDGLGRRRSSRIMEETSGIEEEEEEENTTAVHGRRGRKEGEIDVAASSIPELERHIEKLSKRQLFFRKKLLHSSQMLRAVSLGQDRYRRHYWVLPYLAGIFVEGSEGSTVTEDEIKQETESLMEVVTSTPSSARASVKRELTGSNASTSPARSRGRPRKPKPGSLQPQHLQSTIRECDSEQAQTQVHPEPQPQLQAPTQPHLQPSSGFLEPEGSPFSLGQSQHDLSQSAFLSWLSQTQSHNSLLSSSVLTPDSSPGKLDSAPSQSLEEPEPDEAQSCPGPQGPWFNFSAQIPCDAAPTPPPAVSEDQPTPSLQLLASSKPMNTPGAANPCSPVQLSSTHLPGGTPKRLSGDSEEMSQSPTGLGQPKRRGRPPSKFFKQVEQHYLTQLTAQPIPPEMCSGWWWIRDPETLDVLLKALHPRGIREKALHKHLSKHKDFLQEVCLQPLTDPIFEPNELPALEEGVMSWSPKEKTYETDLAVLQWVEELEQRVVLSDLQIRGWTCPTPDSTREDLTYCEHLPDSPEDIPWRGRGREGTVPQRQNNNPLDLAVMRLAVLEQNVERRYLREPLWAAHEVVVEKALLSTPNGAPDGTSTEISYEITPRVRVWRQTLERCRSAAQVCLCMGQLERSIAWEKSVNKVTCLVCRKGDNDEFLLLCDGCDRGCHIYCHRPKMEAVPEGDWFCAVCLSQQVEEEYTQRPGFPKRGQKRKSSFPLTFPEGDSRRRMLSRSRDSPAVPRYPEDGLSPPKRRRHSMRSHHSDLTFCEIILMEMESHDAAWPFLEPVNPRLVSGYRRVIKNPMDFSTMRERLLRGGYTSSEEFAADALLVFDNCQTFNEDDSEVGKAGHVMRRFFESRWEEFYQGKQANL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSLLDGLASSPRAPLQSSKARMKKLPKKSQNEKYRLKYLRLRKAAKATVFENAAICDEIARLEEKFLKAKEERRYLLKKLLQLQALTEGEVQAAAPSHSSSLPLTYGVASSVGTIQGAGPISGPSTGAEEPFGKKTKKEKKEKGKENNKLEVLKKTCKKKKMAGGARKLVQPIALDPSGRPVFPIGLGGLTVYSLGEIITDRPGFHDESAIYPVGYCSTRIYASMKCPDQKCLYTCQIKDGGVQPQFEIVPEDDPQNAIVSSSADACHAELLRTISTTMGKLMPNLLPAGADFFGFSHPAIHNLIQSCPGARKCINYQWVKFDVCKPGDGQLPEGLPENDAAMSFEAFQRQIFDEDQNDPLLPGSLDLPELQPAAFVSSYQPMYLTHEPLVDTHLQHLKSPSQGSPIQSSD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDSPCQPQALNQALPQLPGSVSESLESSRARMGVESYLPCPLLPAYHRPGASGEASAGNGTPRTTATATTTTASPLREGFGGQDGGELWPLQSEGAAALVTKECQRLAAQGARPEAPKRKWAKDGGDAPSPSKRPWARQENQEAKGESGMGCDSGASNSSSSSSNTTSSSGEASARLREEVQPSAPERLALDYIVPCMRYYGICVKDNFLGAVLGGRVLAEVEALKWGGRLRDGQLVSQRAIPPRSIRGDQIAWVEGHEPGCRSIGALMAHVDAVIRHCAGRLGNYVINGRTKAMVACYPGNGLGYVRHVDNPHGDGRCITCIYYLNQNWDVKVHGGLLQIFPEGRPVVANIEPLFDRLLIFWSDRRNPHEVKPAYATRYAITVWYFDAKERAAARDKYQLASGQKGVQVPVSQPTTPT", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSDDRHLGSSCGSFIKTEPSSPSSGIDALSHHSPSGSSDASGGFGLALGTHANGLDSPPMFAGAGLGGTPCRKSYEDCASGIMEDSAIKCEYMLNAIPKRLCLVCGDIASGYHYGVASCEACKAFFKRTIQGNIEYSCPATNECEITKRRRKSCQACRFMKCLKVGMLKEGVRLDRVRGGRQKYKRRLDSESSPYLSLQISPPAKKPLTKIVSYLLVAEPDKLYAMPPPGMPEGDIKALTTLCDLADRELVVIIGWAKHIPGFSSLSLGDQMSLLQSAWMEILILGIVYRSLPYDDKLVYAEDYIMDEEHSRLAGLLELYRAILQLVRRYKKLKVEKEEFVTLKALALANSDSMYIEDLEAVQKLQDLLHEALQDYELSQRHEEPWRTGKLLLTLPLLRQTAAKAVQHFYSVKLQGKVPMHKLFLEMLEAKVGQEQLRGSPKDERMSSHDGKCPFQSAAFTSRDQSNSPGIPNPRPSSPTPLNERGRQISPSTRTPGGQGKHLWLTM", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVQQAESSEAESNLPRDALDTEEGEFMACSPVALDESDPDWCKTASGHIKRPMNAFMVWSKIERRKIMEQSPDMHNAEISKRLGKRWKMLKDSEKIPFIREAERLRLKHMADYPDYKYRPRKKPKTDPAAKPSAGQSPDKSAAGAKAAKGPGKKCAKLKAPAGKAGAGKAAQPGDCAAGKAAKCVFLDDDDEDDDEDDELQLRPKPDADDDDDEPAHSHLLPPPTQQQPPQLLRRYSVAKVPASPTLSSAAESPEGASLYDEVRAGGRLYYSFKNITKQQPPPAPPALSPASSRCVSTSSSSGSSSGSGAEDADDLMFDLSLNFSQGAHSACEQPLGAGAAGNLSLSLVDKDLDSFSEGSLGSHFEFPDYCTPELSEMIAGDWLEANFSDLVFTY", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "METIDSKQNINRESLLEERRKKLAKWKQKKAQFDAQKEHQTSRNDIVTNSLEGKQTTEKFTERQERVKEELRKRKNEFRKSDEPVSVKPSKKKSKRSKVKKKISFDFSDDDDSEIGVSFRSKEHIQKAPEHDNEKDPLDEFMTSLKEEKMSNSKGMYDRGDILDVEDQLFELGGTDDEDVEDNTDNSNIAKIAKLKAKKRVKQIYYSPEELEPFQKNFYIESETVSSMSEMEVEELRLSLDNIKIKGTGCPKPVTKWSQLGLSTDTMVLITEKLHFGSLTPIQSQALPAIMSGRDVIGISKTGSGKTISYLLPLLRQVKAQRPLSKHETGPMGLILAPTRELALQIHEEVTKFTEADTSIRSVCCTGGSEMKKQITDLKRGTEIVVATPGRFIDILTLNDGKLLSTKRITFVVMDEADRLFDLGFEPQITQIMKTVRPDKQCVLFSATFPNKLRSFAVRVLHSPISITINSKGMVNENVKQKFRICHSEDEKFDNLVQLIHERSEFFDEVQSENDGQSSDVEEVDAKAIIFVSSQNICDFISKKLLNAGIVTCAIHAGKPYQERLMNLEKFKREKNSILLCTEVLSRGLNVPEVSLVIIYNAVKTFAQYVHTTGRTARGSRSGTAITLLLHDELSGAYILSKAMRDEEIKALDPLQAKELQEMSAKFESGMKKGKFRLSKGFGGKGLENIKSKREEAQNKDLELKKNDKRSDDLEKKISNPREGHDSVSESSALIPRLNYELFKESTDGSIIFYAKVYINDLPQIVRWEATKNTTLLFIKHETGCSITNKGKFYPEGKEPKNENDEPKLYLLIEGQDEKDIQLSIELLEQKVKEGVVKAASLSLKSTKY", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSAIPTSSVNPVKGMRKNGKNWHDSKKPFRPTSGLTSYEKRLEARKRQEAVKEHERELREEKEAERKAQIQKIKDRRAAKEEKERYEKMAEKMHRKRVERLKRREKRNKLLHS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAQTQGTRRKVCYYYDGDVGNYYYGQGHPMKPHRIRMTHNLLLNYGLYRKMEIYRPHKANAEEMTKYHSDDYIKFLRSIRPDNMSEYSKQMQRFNVGEDCPVFDGLFEFCQLSTGGSVASAVKLNKQQTDIAVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKYHQRVLYIDIDIHHGDGVEEAFYTTDRVMTVSFHKYGEYFPGTGDLRDIGAGKGKYYAVNYPLRDGIDDESYEAIFKPVMSKVMEMFQPSAVVLQCGSDSLSGDRLGCFNLTIKGHAKCVEFVKSFNLPMLMLGGGGYTIRNVARCWTYETAVALDTEIPNELPYNDYFEYFGPDFKLHISPSNMTNQNTNEYLEKIKQRLFENLRMLPHAPGVQMQAIPEDAIPEESGDEDEDDPDKRISICSSDKRIACEEEFSDSEEEGEGGRKNSSNFKKAKRVKTEDEKEKDPEEKKEVTEEEKTKEEKPEAKGVKEEVKLA", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MALKELLGSDAPLEKVCSALLEYESKRKSSENIDSESKKTNLLEDEQDDIEPVWLQLATLKFIGNNRKLIPYKIAIKNPVIPSSSEACLIVKDPQRVYKDLVNEAGLSKVVTRVIGLSKLKAKWNSYEQKRQLRDQFDIFLADDRVIPMLPRILGKTFYQKSKVPVPVKISKGTAEQLKREVVSAYGATYFNSAPCSSFMIKCGHVSNTSTELAENVESILQFVSKHIVPDGAKGIASIHLKTSQSIAIPLWNNPNLKELIASSRKVVTKETASSKRKSDEESLPSQKKQKKVEVAKESKDSKQQNVSDKKQVTVKEVPKKLSVKNAAKTTNRDEDSKGKKAKASPKVSQSSLKANGTTAIKKVKAGKNKVKH", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEDSEALGFEHMGLDPRLLQAVTDLGWSRPTLIQEKAIPLALEGKDLLARARTGSGKTAAYAIPMLQLLLHRKATGPVVEQAVRGLVLVPTKELARQAQSMIQQLATYCARDVRVANVSAAEDSVSQRAVLMEKPDVVVGTPSRILSHLQQDSLKLRDSLELLVVDEADLLFSFGFEEELKSLLCHLPRIYQAFLMSATFNEDVQALKELILHNPVTLKLQESQLPGPDQLQQFQVVCETEEDKFLLLYALLKLSLIRGKSLLFVNTLERSYRLRLFLEQFSIPTCVLNGELPLRSRCHIISQFNQGFYDCVIATDAEVLGAPVKGKRRGRGPKGDKASDPEAGVARGIDFHHVSAVLNFDLPPTPEAYIHRAGRTARANNPGIVLTFVLPTEQFHLGKIEELLSGENRGPILLPYQFRMEEIEGFRYRCRDAMRSVTKQAIREARLKEIKEELLHSEKLKTYFEDNPRDLQLLRHDLPLHPAVVKPHLGHVPDYLVPPALRGLVRPHKKRKKLSSSCRKAKRAKSQNPLRSFKHKGKKFRPTAKPS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAAESSNPRTVEEIFKDFSGRRSGFLRALSVDVDKFYSLCDPEMENLCLYGHPNGTWEVNLPAEEVPPELPEPALGINFARDGMQRKDWLSLVAVHSDCWLLSVSSYFGARLNRNERKRLFSLINDLPTLFEVVTGRKPIKDGKPSMDLGSKSRNGVKRSIEGQTKSTPKLMEESYEDEDDEHGDTLCGSCGGNYTNDEFWICCDVCERWYHGKCVKITPAKAESIKQYKCPSCCTKKGRQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSKRHRLDLGEDYPSGKKRAGTDGKDRERDRDREDRSKDRDRERDRGDREREREKEKEKELRASTNAMLISAGLPPLKASHSAHSTHSAHSTHSTHSAHSTHTGHTGHTSLPQCINPFTNLPHTPRYYDILKKRLQLPVWEYKDRFTDILVRHQSFVLVGETGSGKTTQIPQWCVEYMRSLPGPKRGVACTQPRRVAAMSVAQRVADEMDVMLGQEVGYSIRFEDCSSAKTILKYMTDGMLLREAMNDPLLERYGVIILDEAHERTLATDILMGVLKEVVRQRSDLKVIVMSATLDAGKFQIYFDNCPLLTIPGRTHPVEIFYTPEPERDYLEAAIRTVIQIHMCEEEEGDLLLFLTGQEEIDEACKRIKREVDDLGPEVGDIKIIPLYSTLPPQQQQRIFEPPPPKKQNGAIGRKVVVSTNIAETSLTIDGVVFVIDPGFAKQKVYNPRIRVESLLVTAISKASAQQRAGRAGRTRPGKCFRLYTEKAYKTEMQDNTYPEILRSNLGSVVLQLKKLGIDDLVHFDFMDPPAPETLMRALELLNYLAALNDDGDLTELGSMMAEFPLDPQLAKMVIASCDYNCSNEVLSITAMLSVPQCFVRPTEAKKAADEAKMRFAHIDGDHLTLLNVYHAFKQNHESVQWCYDNFINYRSLMSADNVRQQLSRIMDRFNLPRRSTDFTSRDYYINIRKALVTGYFMQVAHLERTGHYLTVKDNQVVQLHPSTVLDHKPEWVLYNEFVLTTKNYIRTCTDIKPEWLVKIAPQYYDMSNFPQCEAKRQLDRIIAKLQSKEYSQY", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAKLVHDVQKKQHRERSQLTSRSRYGFLEKHKDYVKRAQDFHRKQSTLKVLREKAKERNPDEYYHAMHSRKTDAKGLLISSRHGDEEDESLSMDQVKLLKTQDSNYVRTLRQIELKKLEKGAKQLMFKSSGNHTIFVDSREKMNEFTPEKFFNTTSEMVNRSENRLTKDQLAQDISNNRNASSIMPKESLDKKKLKKFKQVKQHLQRETQLKQVQQRMDAQRELLKKGSKKKIVDSSGKISFKWKKQRKR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRRQLRSRRAPAFPYGYGYRLDDQDEVNQNYLADEEEEAEEARVMVVPDLEEEEKEEEEEKEEDEKEEEESHHQDTRSAWWQKLQIVNEYLWDPEKRMSLARTGQSLSLLLVIYFFFYASLAAVITLCMYTLFLTISPYVPTFTERVKPPGVMIRPFAHSLNFNFNVSEPDTWQHYVISLNGFLQGYNDSLQEEMNVDCPPGQYFIQDGDEDEDKKACQFKRSFLKNCSGLEDPTFGYSTGQPCILLKMNRIVGFRPELGDPVKVSCKVQRGDENDIRSISYYPESASFDLRYYPYYGKLTHVNYTSPLVAMHFTDVVKNQAVPVQCQLKGKGIINDVINDRFVGRVIFTLNIET", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLDMGDRKEVKMIPKSSFSINSLVPEAVQNDNHHASHGHHNSHHPQHHHHHHHHHHHPPPPAPQPPPPPQQQQPPPPPPPAPQPPQTRGAPAADDDKGPQQLLLPPPPPPPPAAALDGAKADGLGGKGEPGGGPGELAPVGPDEKEKGAGAGGEEKKGAGEGGKDGEGGKEGEKKNGKYEKPPFSYNALIMMAIRQSPEKRLTLNGIYEFIMKNFPYYRENKQGWQNSIRHNLSLNKCFVKVPRHYDDPGKGNYWMLDPSSDDVFIGGTTGKLRRRSTTSRAKLAFKRGARLTSTGLTFMDRAGSLYWPMSPFLSLHHPRASSTLSYNGTTSAYPSHPMPYSSVLTQNSLGNNHSFSTANGLSVDRLVNGEIPYATHHLTAAALAASVPCGLSVPCSGTYSLNPCSVNLLAGQTSYFFPHVPHPSMTSQSSTSMSARAASSSTSPQAPSTLPCESLRPSLPSFTTGLSGGLSDYFTHQNQGSSSNPLIH", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLGTVKMEGHETSDWNSYYADTQEAYSSVPVSNMNSGLGSMNSMNTYMTMNTMTTSGNMTPASFNMSYANPGLGAGLSPGAVAGMPGGSAGAMNSMTAAGVTAMGTALSPSGMGAMGAQQAASMNGLGPYAAAMNPCMSPMAYAPSNLGRSRAGGGGDAKTFKRSYPHAKPPYSYISLITMAIQQAPSKMLTLSEIYQWIMDLFPYYRQNQQRWQNSIRHSLSFNDCFVKVARSPDKPGKGSYWTLHPDSGNMFENGCYLRRQKRFKCEKQPGAGGGGGSGSGGSGAKGGPESRKDPSGASNPSADSPLHRGVHGKTGQLEGAPAPGPAASPQTLDHSGATATGGASELKTPASSTAPPISSGPGALASVPASHPAHGLAPHESQLHLKGDPHYSFNHPFSINNLMSSSEQQHKLDFKAYEQALQYSPYGSTLPASLPLGSASVTTRSPIEPSALEPAYYQGVYSRPVLNTS", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSSQVIPALPKTFSSSLDLWREKNDQLVRQAKQLTRDSRPSLRRQQSAQDTLEGLRELLLTLQGLPAAVPALPLELTVLCNCIILRASLVQAFTEDLTQDLQRGLERVLEAQHHLEPKSQQGLKELWHSVLSASSLPPELLPALHCLASLQAVFWMSTDHLEDLTLLLQTLNGSQTQSSEDLLLLLKSWSPPAEESPAPLILQDAESLRDVLLTAFACRQGFQELITGSLPHAQSNLHEAASGLCPPSVLVQVYTALGACLRKMGNPQRALLYLTEALKVGTTCALPLLEASRVYRQLGDRAAELESLELLVEALSATHSSETFKSLIEVELLLPQPDPASPLHCGTQSQAKHLLASRCLQTGRAEDAAEHYLDLLAMLLGGSETRFSPPTSSLGPCIPELCLEAAAALIQAGRALDALTVCEELLNRTSSLLPKMSSLWENARKRAKELPCCPVWVSATHLLQGQAWSQLKAQKEALSEFSQCLELLFRTLPEDKEQGSDCEQKCRSDVALKQLRVAALISRGLEWVASGQDTKALSDFLLSVQICPGNRDGSFYLLQTLKRLDRKNEASAFWREAHSQLPLEDAAGSLPLYLETCLSWIHPPNREAFLEEFGTSVLESCVL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSPPLVIKNGTVVNEDGMFKADVLVRNGIIVEVSPNITALPDTEVIDATDRLVIPGGIDPHTHMQMPYMGEVTKDDFLKGTEAAVAGGTTMIIDFCCPDHRNGESLIAGYNRWRSWADPKVCCDYGLSVAITMWRPETAEQMAIITSPEFGVNSFKFYMAYENTLMVRDDELFRGMQECAKLRALARVHCENGSVIKEKEIDLLAKGVTGPEGHTQSRPEEIEAEATNRACVLAAQANCPVYIVHVMTKGAASAISHHRAQGSIVFGEPIAAGLALDGSHYYNEDWLHAARYVMSPPLSRDPTTPELLMKLLAAGELHLTGTDNCTYDCRQKSLGKGNFTKIPNGINGVEDRMSVVWEKGVHSGIIDPMRYVSITSSTAAKIFNIYPRKGRIAVGSDADIVIFNPNATRTISKDTHHHNLDFNIFEGINCHGVAEVTISRGRIVWAHGKLQTVPGSGKFIPLLANSPFVFSTHEKREQKIQPRIVERLE", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKGDTRHLNGEEDAGGREDSILVNGACSDQSSDSPPILEAIRTPEIRGRRSSSRLSKREVSSLLSYTQDLTGDGDGEDGDGSDTPVMPKLFRETRTRSESPAVRTRNNNSVSSRERHRPSPRSTRGRQGRNHVDESPVEFPATRSLRRRATASAGTPWPSPPSSYLTIDLTDDTEDTHGTPQSSSTPYARLAQDSQQGGMESPQVEADSGDGDSSEYQDGKEFGIGDLVWGKIKGFSWWPAMVVSWKATSKRQAMSGMRWVQWFGDGKFSEVSADKLVALGLFSQHFNLATFNKLVSYRKAMYHALEKARVRAGKTFPSSPGDSLEDQLKPMLEWAHGGFKPTGIEGLKPNNTQPVVNKSKVRRAGSRKLESRKYENKTRRRTADDSATSDYCPAPKRLKTNCYNNGKDRGDEDQSREQMASDVANNKSSLEDGCLSCGRKNPVSFHPLFEGGLCQTCRDRFLELFYMYDDDGYQSYCTVCCEGRELLLCSNTSCCRCFCVECLEVLVGTGTAAEAKLQEPWSCYMCLPQRCHGVLRRRKDWNVRLQAFFTSDTGLEYEAPKLYPAIPAARRRPIRVLSLFDGIATGYLVLKELGIKVGKYVASEVCEESIAVGTVKHEGNIKYVNDVRNITKKNIEEWGPFDLVIGGSPCNDLSNVNPARKGLYEGTGRLFFEFYHLLNYSRPKEGDDRPFFWMFENVVAMKVGDKRDISRFLECNPVMIDAIKVSAAHRARYFWGNLPGMNRPVIASKNDKLELQDCLEYNRIAKLKKVQTITTKSNSIKQGKNQLFPVVMNGKEDVLWCTELERIFGFPVHYTDVSNMGRGARQKLLGRSWSVPVIRHLFAPLKDYFACE", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLGSVKMEAHDLAEWSYYPEAGEVYSPVTPVPTMAPLNSYMTLNPLSSPYPPGGLPASPLPSGPLAPPAPAAPLGPTFPGLGVSGGSSSSGYGAPGPGLVHGKEMPKGYRRPLAHAKPPYSYISLITMAIQQAPGKMLTLSEIYQWIMDLFPYYRENQQRWQNSIRHSLSFNDCFVKVARSPDKPGKGSYWALHPSSGNMFENGCYLRRQKRFKLEEKVKKGGSGAATTTRNGTGSAASTTTPAATVTSPPQPPPPAPEPEAQGGEDVGALDCGSPASSTPYFTGLELPGELKLDAPYNFNHPFSINNLMSEQTPAPPKLDVGFGGYGAEGGEPGVYYQGLYSRSLLNAS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSIDLKKRKVEEDVRSRGKNSKIFSPFRIIGNVSNGVPFATGTLGSTFYIVTCVGKTFQIYDANTLHLLFVSEKETPSSIVALSAHFHYVYAAYENKVGIYKRGIEEHLLELETDANVEHLCIFGDYLCASTDDNSIFIYKKSDPQDKYPSEFYTKLTVTEIQGGEIVSLQHLATYLNKLTVVTKSNVLLFNVRTGKLVFTSNEFPDQITTAEPAPVLDIIALGTVTGEVIMFNMRKGKRIRTIKIPQSRISSLSFRTDGSSHLSVGTSSGDLIFYDLDRRSRIHVLKNIHRESYGGVTQATFLNGQPIIVTSGGDNSLKEYVFDPSLSQGSGDVVVQPPRYLRSRGGHSQPPSYIAFADSQSHFMLSASKDRSLWSFSLRKDAQSQEMSQRLHKKQDGGRVGGSTIKSKFPEIVALAIENARIGEWENIITAHKDEKFARTWDMRNKRVGRWTFDTTDDGFVKSVAMSQCGNFGFIGSSNGSITIYNMQSGILRKKYKLHKRAVTGISLDGMNRKMVSCGLDGIVGFYDFNKSTLLGKLKLDAPITAMVYHRSSDLFALALDDLSIVVIDAVTQRVVRQLWGHSNRITAFDFSPEGRWIVSASLDSTIRTWDLPTGGCIDGIIVDNVATNVKFSPNGDLLATTHVTGNGICIWTNRAQFKTVSTRTIDESEFARMALPSTSVRGNDSMLSGALESNGGEDLNDIDFNTYTSLEQIDKELLTLSIGPRSKMNTLLHLDVIRKRSKPKEAPKKSEKLPFFLQLSGEKVGDEASVREGIAHETPEEIHRRDQEAQKKLDAEEQMNKFKVTGRLGFESHFTKQLREGSQSKDYSSLLATLINFSPAAVDLEIRSLNSFEPFDEIVWFIDALTQGLKSNKNFELYETFMSLLFKAHGDVIHANNKNQDIASALQNWEDVHKKEDRLDDLVKFCMGVAAFVTTA", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEELQEPLRGQLRLCFTQAARTSLLLLRLNDAALRALQECQRQQVRPVIAFQGHRGYLRLPGPGWSCLFSFIVSQCCQEGAGGSLDLVCQRFLRSGPNSLHCLGSLRERLIIWAAMDSIPAPSSVQGHNLTEDARHPESWQNTGGYSEGDAVSQPQMALEEVSVSDPLASNQGQSLPGSSREHMAQWEVRSQTHVPNREPVQALPSSASRKRLDKKRSVPVATVELEEKRFRTLPLVPSPLQGLTNQDLQEGEDWEQEDEDMDPRLEHSSSVQEDSESPSPEDIPDYLLQYRAIHSAEQQHAYEQDFETDYAEYRILHARVGTASQRFIELGAEIKRVRRGTPEYKVLEDKIIQEYKKFRKQYPSYREEKRRCEYLHQKLSHIKGLILEFEEKNRGS", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEEDLLGICGFDSSKKYRLEELAKYQSGSCIEFEDDDEMAVDYPCPFCSDDYDLVELCHHIDEEHQLDANNGICPVCSRRVKMHMVDHITTQHRDVFKRLYKDESYSAFSPGTRKYLQSLIDEPLSTNHTSKSVLDPLLSFIYNPPSPKKSKLVQPDSSSEASMEDNSLIRDSTEKDWESPSPLSDTELLEKAKKREFVQGLISSAIFDHIYNF", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVVTRSARAKASIQAASAESSGQKSFAANGIQAHPESSTGSDARTTAESQTTGKQSLIPRTPKARKRKSRTTGSLPKGTEPSTDGETSEAESNYSVSEHHDTILRVTRRRQILIACSPVSSVRKKPKVTPTKESYTEEIVSEAESHVSGISRIVLPTEKTTGARRSKAKSLTDPSQESHTEAISDAETSSSDISFSGIATRRTRSMQRKLKAQTEKKDSKIVPGNEKQIVGTPVNSEDSDTRQTSHLQARSLSEINKPNFYNNDFDDDFSHRSSENILTVHEQANVESLKETKQNCKDLDEDANGITDEGKEINEKSSQLKNLSELQDTSLQQLVSQRHSTPQNKNAVSVHSNLNSEAVMKSLTQTFATVEVGRWNNNKKSPIKASDLTKFGDCGGSDDEEESTVISVSEDMNSEGNVDFECDTKLYTSAPNTSQGKDNSVLLVLSSDESQQSENSENEEDTLCFVENSGQRESLSGDTGSLSCDNALFVIDTTPGMSADKNFYLEEEDKASEVAIEEEKEEEEDEKSEEDSSDHDENEDEFSDEEDFLNSTKAKLLKLTSSSIDPGLSIKQLGGLYINFNADKLQSNKRTLTQIKEKKKNELLQKAVITPDFEKNHCVPPYSESKYQLQKKRRKERQKTAGDGWFGMKAPEMTNELKNDLKALKMRASMDPKRFYKKNDRDGFPKYFQIGTIVDNPADFYHSRIPKKQRKRTIVEELLADSEFRRYNRRKYSEIMAEKAANAAGKKFRKKKKFRN", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTKKKNPNVFLDVSIGGDPVQRIVIELFADVVPKTAENFRALCTGEAGVGKSTGKPLHFKGSSFHRVIKGFMAQGGDFSNGNGTGGESIYGGKFSDENFRLDHDGAGVLSMANCGPNTNGSQFFILFKRQPHLDGKHVVFGKVVEGMAVIKKMELVGTSDGKPTSPVKIIDCGETSQIRAHDAAEREKGKSKKSNKNFSPGDVSDREAKETRKKESNEKRIKRKRRYSSSDSYSSSSDSDSDSESEAYSSSSYESSSSSDGKHRKRKSTTRHKGRRGERKSKGRSGKKKARPDRKPSTNSSSDTESSSSSDDEKVGHKAIKSVKVDNADQHANLDDSVKSRSRSPIRRRNQNSRSKSPSRSPVRVLGNGNRSPSRSPVRDLGNGSRSPREKPTEETVGKSFRSPSPSGVPKRIRKGRGFTERYSFARKYHTPSPERSPPRHWPDRRNFQDRNRDRYPSNRSYSERSPRGRFRSPPRRRSPPRYNRRRRSTSRSPDGYRRRLRDGSRSQSPRHRSRSQSPRKRQPISQDLKSRLGPQRSPIRGGRTSPAESLSPSHSPSPPGKRGLVSYAD", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNSSYTQRYALPKCIAISDYLFHRLNQLNIHTIFGLSGEFSMPLLDKLYNIPNLRWAGNSNELNAAYAADGYSRLKGLGCLITTFGVGELSAINGVAGSYAEHVGILHIVGMPPTSAQTKQLLLHHTLGNGDFTVFHRIASDVACYTTLIIDSELCADEVDKCIKKAWIEQRPVYMGMPVNQVNLPIESARLNTPLDLQLHKNDPDVEKEVISRILSFIYKSQNPAIIVDACTSRQNLIEETKELCNRLKFPVFVTPMGKGTVNETDPQFGGVFTGSISAPEVREVVDFADFIIVIGCMLSEFSTSTFHFQYKTKNCALLYSTSVKLKNATYPDLSIKLLLQKILANLDESKLSYQPSEQPSMMVPRPYPAGNVLLRQEWVWNEISHWFQPGDIIITETGASAFGVNQTRFPVNTLGISQALWGSVGYTMGACLGAEFAVQEINKDKFPATKHRVILFMGDGAFQLTVQELSTIVKWGLTPYIFVMNNQGYSVDRFLHHRSDASYYDIQPWNYLGLLRVFGCTNYETKKIITVGEFRSMISDPNFATNDKIRMIEIMLPPRDVPQALLDRWVVEKEQSKQVQEENENSSAVNTPTPEFQPLLKKNQVGY", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVSLKKKSKRRTTRLRSRIEKKAAESKRKQKRADKKNPQWKSRIPKDPGIPNSFPYKDKILAEIEEQKRIREEEKLARRASGQVDAAMEEEDAVDENGSLMISKIAEAAQASNPDDEEEFVMEEDNLGEAPLLVDSESYEASVKADTSRKAYDKEFKKVVEASDVILYVLDARDPEGTRSKDVERQVLASSAEEKRLIFVINKIDLVPSEVLNKWVTYLRNFFPTIPMRSASGSGNSNLKHQSASASSTISNLLKSLKSYSAKKKLKSSLTVGVIGYPNVGKSSVINALVNRSANGRSAPCPAGNVAGMTTSLREVKLDNKLRLVDSPGIVFPSSDSKDDLYRLVMLNAVSSTKVDDPVAVASYILQFLSRVPGQLERMFQRYELPPLLNTSDIDTATDFLVNIARKRGRLGRGGIPNLNAAANIVINDWHAGRIEWWAEPEVINEKNSSEVQDTQIVTEWAKEFDLNDF", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEEEDITLEHSDDLNKEESGESNRVNIEEPEHHDNSNKESTNLDDLNMLEEPKYHDNSNKESTNLDDLNMLEEPEHHDNSKKESTNLDDSNMLEEPKHHDNSNKESTNLDDLNMSEEPKHHDSSNKESTNLDNSNMDESENQKNFKIEEPKPSGDFRNEGPKQCDDSKIEKPELHVNSKIEEPIHRIDSEHNEPEYHTESKNEESEHNTKSIREEPIHHVDSKNEEPVYSKIPEKMGDEFSENSLSKSDSAVKQEGNLLIHPNNSLKDTAPSKCKEPPVDEALSKKEISDDIAQITSVTPITEKIEDKDKYISEVIDTYGKLADGFEYRAKTFCLEGRGKVLYMLGTECSRLLGFKDSYFMFHKTPSLRKVLTTQSERDQMVEMGLLASNFRFRQLSIVPARQMFLAFGARILMKGTIDPESHKALIEKNISWADDEYYHMDVMANGSTRSSSVKLELKSMDNQNSPSPFQGKDILTLAQGASFYNSKVMRTRNLRKEARLSYYTKLRGVNRSVS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSASNKGGYKTPRKENLMSIENLTNSEEESEDLNTAMVGNAVESQPKVTSRRSTRRPSPTKKYQAYQKESNGKGQEERIVVNYVEMSDERSSDAEDQEEEESIEESENAARPAAKDLHLIQSEYNVAGTSMFGFNTPKKRDAMALAALNATPCTPKTPKTPRLGVKTPDTKRKKSMDQPKTPAHVRTRVKKQIAKIVADSDEDFSGDESDFRPSDEESSSSSSSSDAGNSSDNDAADDEPKTPSRARRAIVVPVLPKTPSAARLRQSARAKKSNEFVPESDGYFHSHASSKILTSDHTLDRLKNPRLAADRVFSLLSEIKTSAEHEGSINAIMEEYRSYFPKWMCILNEGFNILLYGLGSKHQLLQSFHREVLHKQTVLVVNGFFPSLTIKDMLDSITSDILDAGISPANPHEAVDMIEEEFALIPETHLFLIVHNLDGAMLRNVKAQAILSRLARIPNIHLLASIDHINTPLLWDQGKLCSFNFSWWDCTTMLPYTNETAFENSLLVQNSGELALSSMRSVFSSLTTNSRGIYMLIVKYQLKNKGNATYQGMPFRDLYSSCREAFLVSSDLALRAQLTEFLDHKLVKSKRSVDGSEQLTIPIDGALLQQFLEEQEKK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPKKALDSRIPTLIKNGCQEKQRSFFVVVGDRARDQVVNLHWLLSQSKVAARPNVLWMYKKDLLGFTSHRKKRENKIKKEIKRGIRDPNSEDPFELFCSITNIRYCYYKESEKILGQTYGMLVLQDFEALTPNLLARTIETVEGGGIVVLLLHKLNSLKQLYTMSMDIHSRYRTEAHSDVTARFNERFILSLGNCENCLVIDDELNVLPISGGKNVKALPPTLEEDNSTQNSIKELQESLGEDHPAGALVGVTKTLDQARAVLTFVESIVEKSLKGTVSLTAGRGRGKSAALGLAIAAAIAHGYSNIFITSPSPENLKTLFEFIFKGFDALNYEEHVDYDIIQSTNPAYHNAIVRVNIFRDHRQTIQYISPEDSNVLGQAELVVIDEAAAIPLPLVRKLIGPYLVFMASTINGYEGTGRSLSLKLLQQLREQSRIYSGSGNNKSDSQSHISGRTLKEISLDEPIRYAMGDRIELWLNKLLCLDAASYVSRMATQGFPHPSECSLYRVSRDTLFSYHPISEAFLQRMMSLYVASHYKNSPNDLQLMSDAPAHQLFVLLPPVDLKNPKLPDPICVIQLALEGSISRESIMNSLSRGQRAGGDLIPWLISQQFQDENFAALGGARIVRIAVSPEHVKMGYGTRAMQLLHEYFEGKFISASEEFKAVKHSLKRIGDEEIENTALQTEKIHVRDAKTMPPLLLKLSELQPEPLHYVGVSYGLTPSLQKFWKREGYCPLYLRQTANDLTGEHTCVMLRVLEGRDSEWLGAFAQNFYRRFLSLLGYQFREFAAITALSVLDACNNGTKYVVNSTSKLTNEEINNVFESYDLKRLESYSNNLLDYHVIVDLLPKLAHLYFSGKFPDSVKLSPVQQSVLLALGLQYKTIDTLEKEFNLPSNQLLAMLVKLSKKIMKCIDEIETKDIEEELGSNKKTESSNSKLPEFTPLQQSLEEELQEGADEAMLALREKQRELINAIDLEKYAIRGNEEDWKAAENQIQKTNGKGARVVSIKGEKRKNNSLDASDKKTKEKPSSKKKFRK", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKWLGDSKNMVVNGRRNGGKLSNDHQQNQSKLQQHSGKDTLKTGRNAVERRSSRCHGNSGFEGQSRYVPSSGMSAKELCENDDLATSLVLDPYLGFQTHKMNTSAFPSRSSRHISKADSFSHNNPVRFRPIKGRQEELKEVIERFKKDEHLEKAFKCLTSGEWARHYFLNKNKMQEKLFKEHVFIYLRMFATDSGFEILPCNRYSSEQNGAKIVATKEWKRNDKIELLVGCIAELSEIEENMLLRHGENDFSVMYSTRKNCAQLWLGPAAFINHDCRPNCKFVSTGRDTACVKALRDIEPGEEISCYYGDGFFGENNEFCECYTCERRGTGAFKSRVGLPAPAPVINSKYGLRETDKRLNRLKKLGDSSKNSDSQSVSSNTDADTTQEKDNATSNRKSSVGVKKSSKSRALTRPSMPRVPAASNSTSPKLVHTNNPRVPKKLRKPAKPLLSKIRLRNHCKRLDQKSASRKLEMGSLVLKEPKVVLYKNLPIKKEREPEGPAHAAVGSGCLTRHAAREHRQNHGRGAHSQGDSLPCTYTTRRSLRTRTGLKETTDIKLEPSPLDGYKNGILEPCPDSGQQPTPEVLEELAPETAHREEASQECPKNDSCLSRKKFRQVKPVKHLAKTEDCSPEHSFPGKDGLPDLPGSHPDQGEPSGTVRVPVSHTDSAPSPVGCSVVAPDSFTKDSFRTAQSKKKRRVTRYDAQLILENSSGIPKLTLRRRHDSSSKTNDHESDGVNSSKISIKLSKDHDSDSNLYVAKLSNGVSAGPGSSSTKLKIQLKRDEESRGPCAEGLHENGVCCSDPLSLLESQMEVDDYSQYEEDSTDESSSSEGEEEEEDCEDDFDDDFIPLPPAKRLRLIVGKDSIDIDISSRRREDQSLRLNA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKRKDNKELICIPSSSPPSTPIREENYYLLTSSPIECSPIQQLDLSGSFKNYSTTSSRANGKKFGQLAMQSRIFFDTTDHKKYVESSYAAYDPHDQPPERDVSLKESSNKINPSNFFDSEQITKINAVKKRFPALDSEMIIATLEKFNWRENITIHKLTFQKLLGRGNSTINKSAQKLNNQPIEKSSVDKENAKRKRYVEEGTKQGQKKKPLRVIELSDEETNEDDLLGQSPTACTTDANIDNSIPENSDKIEEVSIESSGPSEVEDEMSEYDVRVLNFLNESTLQEIVEVSGCESKVVEYFISKRPFPSLEKAEALCQRNAHAATGKRKKSDGRNVGRKLVNSTYEVLQGFDAVDSLIAKCERYGAMISNTMRSWHNLFDDKKMEQFLNTSTGSISYEYNSQQPSSIASGITLKSYQIVGLNWLCLMYKAKLSGILADEMGLGKTCQVISFLASLKEKGIQNRHLVVVPSSTLGNWLREFEKFCPSLRVESYSGTQSERINKRYYLMDTDFDVLVTTYQLASGSRDDRSFLRKQRFDISIFDEGHYLKNRMSERYKHLMNIPANFRLLITGTPLQNNLKELISLLAFMLPKVFDNNMQGLDIIYKIKTTSDGDIERAYLSQERISRAKTIMNPFILRRRKENVLSDLPPKIQHVEYCHMEETQLSLYLSVLELKNLVNANRENILMQLRKAALHQLLFRSQYNLETLSLMSKRILREDAYLDANPQYIFEDMEVMSDFELHKLADQYRHLHPFALKGKPWMDSAKVKKLCSLLKKSRPNERILIFSQFTQVLDILEYVLNTLDLEFLRLDGSTPVETRQQLIDDFHTNENYKVFLLSTKSGGFGINLTCANIVILFDCSFNPFDDMQAEDRAHRVGQTRPVHVYRLITKNTIEENIRRLANTKLTLESSLTTDSEKIQKEISGELMKSLQMDGRVDTMDGSVV", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MENCSAASTFLTDSLELELGTEWCKPPYFSCAVDNRGGGKHFSGESYLCSGALKRLILNLDPLPTNFEEDTLEIFGIQWVTETALVNSSRELFHLFRQQLYNLETLLQSSCDFGKVSTLHCKADNIRQQCVLFLHYVKVFIFRYLKVQNAESHVPVHPYEALEAQLPSVLIDELHGLLLYIGHLSELPSVNIGAFVNQNQIKLFPPSWHLLHLHLDIHWLVLEILYMLGEKLKQVVYGHQFMNLASDNLTNISLFEEHCETLLCDLISLSLNRYDKVRSSESLMSDQCPCLCIKELWVLLIHLLDHRSKWFVSESFWNWLNKLLKTLLEKSSDRRRSSMPVIQSRDPLGFSWWIITHVASFYKFDRHGVPDEMRKVESNWNFVEELLKKSISVQGVILEEQLRMYLHCCLTLCDFWEPNIAIVTILWEYYSKNLNSSFSISWLPFKGLANTMKSPLSMLEMVKTCCCDKQDQELYKSSSSYTIFLCILAKVVKKAMKSNGPHPWKQVKGRIYSKFHQKRMEELTEVGLQNFFSLFLLLAAVAEVEDVASHVLDLLNFLKPAFVTSQRALIWKGHMAFLLMYAQKNLDIGVLAEKFSCAFREKAKEFLVSKNEEMVQRQTIWTLLSIYIDGVQEVFETSYCLYPSHEKLLNDGFSMLLRACRESELRTVLSFLQAVLARIRSMHQQLCQELQRDNVDLFVQSSLSAKERHLAAVASALWRHFFSFLKSQRMSQVVPFSQLADAAADFTLLAMDMPSTAPSDFQPQPVISIIQLFGWDDIICPQVVARYLSHVLQNSTLCEALSHSGYVSFQALTVRSWIRCVLQMYIKNLSGPDDLLIDKNLEEAVEKEYMKQLVKLTRLLFNLSEVKSIFSKAQVEYLSISEDPKKALVRFFEAVGVTYGNVQTLSDKSAMVTKSLEYLGEVLKYIKPYLGKKVFSAGLQLTYGMMGILVKSWAQIFATSKAQKLLFRIIDCLLLPHAVLQQEKELPAPMLSAIQKSLPLYLQGMCIVCCQSQNPNAYLNQLLGNVIEQYIGRFLPASPYVSDLGQHPVLLALRNTATIPPISSLKKCIVQVIRKSYLEYKGSSPPPRLASILAFILQLFKETNTDIYEVELLLPGILKCLVLVSEPQVKRLATENLQYMVKACQVGSEEEPSSQLTSVFRQFIQDYGMRYYYQVYSILETVATLDQQVVIHLISTLTQSLKDSEQKWGLGRNIAQREAYSKLLSHLGQMGQDEMQRLENDNT", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLLLLCVNMSGTQMSAFLRKYLADEDKKIRAQFKESDPNNKLILWMHEKTRITEEDLARPYTEDEVKELCLRTKVKVDMTAWNCLWEAKKRFEAKGRFVNKSERFINRMYMKAVRRKMVQPYPEEFVAQRREIVAAETKKQNISRLDRWQKKKSQNLSAPESSPDAHASSNDAVQSHEDQANTNLSSLSQMNFQVEAMAPPGVSSSDLSGIGDDEDEQQQSGFQDENINRPETEINENSVRCDPINLGRMRTGCINSQANNSFRNTESDPDYYMFGTQLSTLVRPTSTQEPDDQVNCPETEMNESWVRCDQINSESLSIGPSIDSEGTITFQNTESEPIDVTSIA", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVPSEPPNPVGGGENVPPSILGGQGGAPLPSQPAFPSLVSPRTQFGNNMSMSMLGNAPNISSLLNNQSFVNGIPGSMISMDTSGAESDPMSNVGFSGLSSFNASSMVSPRSSGQVQGQQFSNVSANQLLAEQQRNKKMETQSFQHGQQQSMQQQFSTVRGGGLAGVGPVKMEPGQVSNDQQHGQVQQQQQKMLRNLGSVKLEPQQIQAMRNLAQVKMEPQHSEQSLFLQQQQRQQQQQQQQQFLQMPGQSPQAQMNIFQQQRLMQLQQQQLLKSMPQQRPQLPQQFQQQNLPLRPPLKPVYEPGMGAQRLTQYMYRQQHRPEDNNIEFWRKFVAEYFAPNAKKRWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATAEVLPRLFKIKYESGTLEELLYVDMPRESQNSSGQIVLEYAKATQESVFEHLRVVRDGQLRIVFSPDLKIFSWEFCARRHEELIPRRLLIPQVSQLGSAAQKYQQAAQNATTDSALPELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETRTGPIESLAKFPRRTGPSSALPGPSPQQASDQLRQQQQQQQQQQQQQQQQQQQQQQQQTVSQNTNSDQSSRQVALMQGNPSNGVNYAFNAASASTSTSSIAGLIHQNSMKGRHQNAAYNPPNSPYGGNSVQMQSPSSSGTMVPSSSQQQHNLPTFQSPTSSSNNNNPSQNGIPSVNHMGSTNSPAMQQAGEVDGNESSSVQKILNEILMNNQAHNNSSGGSMVGHGSFGNDGKGQANVNSSGVLLMNGQVNNNNNTNIGGAGGFGGGIGQSMAANGINNINGNNSLMNGRVGMMVRDPNGQQDLGNQLLGAVNGFNNFDWNA", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSNAALQVYGGDEVSAVVIDPGSYTTNIGYSGSDFPQSILPSVYGKYTADEGNKKIFSEQSIGIPRKDYELKPIIENGLVIDWDTAQEQWQWALQNELYLNSNSGIPALLTEPVWNSTENRKKSLEVLLEGMQFEACYLAPTSTCVSFAAGRPNCLVVDIGHDTCSVSPIVDGMTLSKSTRRNFIAGKFINHLIKKALEPKEIIPLFAIKQRKPEFIKKTFDYEVDKSLYDYANNRGFFQECKETLCHICPTKTLEETKTELSSTAKRSIESPWNEEIVFDNETRYGFAEELFLPKEDDIPANWPRSNSGVVKTWRNDYVPLKRTKPSGVNKSDKKVTPTEEKEQEAVSKSTSPAANSADTPNETGKRPLEEEKPPKENNELIGLADLVYSSIMSSDVDLRATLAHNVVLTGGTSSIPGLSDRLMTELNKILPSLKFRILTTGHTIERQYQSWLGGSILTSLGTFHQLWVGKKEYEEVGVERLLNDRFR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLNFGASLQQASEGKMELISEKPREGMHPWDKAEQSDFEAVEALMSMSCDWKSHFKKYLENRPVTPVSDTSEDDSLLPGTPDLQTVPAFCLTPPYSPSDFEPSQGSNLTASAPSTGHFKSFSDAAKPPGATPFKEEEKNPLAAPPLPKAQATSVIRHTADAQLCNHQSCPVKAASILNYQDNSFRRRTHGNVEATRKNIPCAAVSPNRSKPEPSTVSDGDEKAGAALYDFAVPSSETVICRSQPAPSSPVQKSVLVSSPTVSTGGVPPLPVICQMVPLPANNSLVSTVVPSTPPSQPPAVCSPVLFMGTQVPEGTVVFVVPQPVVQSPRPPVVSPSGTRLSPIAPAPGFSPSAARVTPQIDSSRVRSHICSHPGCGKTYFKSSHLKAHVRTHTGEKPFSCSWKGCERRFARSDELSRHRRTHTGEKKFACPMCDRRFMRSDHLTKHARRHLSAKKLPNWQMEVSKLNDIALPPTPASAQ", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAFRPFGKDLGPMSSKPAPFTPFGASSTTRLYLSFLFLHTANVGFACSDSSIQPPASQNHSAFAGQSFGPGGIRSGPSIQRAPPLSASQNPQLSIGKPYRPGGVQSVPPINRIPSPSAFQNPSPSSGQPYQPGGIQRIPEPFNGIAWGPEASRTSPSVRPYQFPGVQRPNLNPQYGHDGSRNFLKDHGEHSRATSPPATSHILSRMGTDAVEIGRSQDSKRKSRSDILPDQNMGFSRRNQSPVSGFENGNLVDGFQPLSSRTWMRSPSSAENNPVRSRSNPNQLIHQEQTGNSSFPYAHEVAEIQEATRRKSSAVAPSDKPLGDDPILSQHDSQRFSTSPPTSGTKSYTLSRSSDSQFPGQPSSVNSFNNARKTNSSPATKRTRSPPVYPIEEDIPRNSFPSQDCTEGEEQARAKRLARFKGELEPIADRPVDIQLTKSPVNKTMKPLDNKQTFNSLESSRDALKGDALPDYENSEQPSLIIGVCPDMCPESERGERERKGDLDHYERVDGDRNQTSKSLAVKKYTRTAEREAILIRPMPILQNTMEYLLSLLDRPYNENFLGMYNFLWDRMRAIRMDLRMQHIFNQEAITLLEQMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGITVPTEKEFRGYYALLKLDKHPGYKVEPSELSLDLANMTPEIRQTSEVLFARNVARACRTGNFIAFFRLARKASYLQACLMHAHFSKLRTQALASLHSGLQINQGLPVSDMSNWIGMEEEDIEALLEYHGFSIKVFEEPYMVKNDLFLHADKDYKTKCSKLVHMKKSRTIVEDVSAPTVVEDVSTPFPLPSLITEATIGNQQCITAHKHEMPPARSLKKQTSMRLFDKEVADSKTSLLAEEDKPMGTFVMNPPGPFVINPVVHQEKQNDLTSAGGFHSPVKLYSPFGSPKFPQTKSSNLEKQPNDDRIGMSPGEIKFSIIGDVYTNHVPGPALQQSPKSMPMEIMPVTTIAECPTSVENKYALEESVPEAAMICTLEKEFNDIDEEDEDEDGVILNQYDEEVAKAKLKLIIRLWKRWSSRQSELRERRQLAAAAALNSLSLGTPIRFSKTDQSRACGEFNIDQAMRRRFEEREKSWSRLNISDVIADILVGRNPESKCISWKVVLCTQTKSVNSSSSASQVTHSAASRWLSSKLMPHAEHSSLNDDNLLFSAPGVSVWNKWVANGSDIDFTCCLSVARDVEAENDMCETTCGASAVLFLASGGLPLNLQREQLNLILESVPNGSVLPLLVVISSCNGEHMEPDTDIVSGLGLHDIDKSKIASFSIVSIANKSQKGQEVHFFNDSRLRDGFKWLASNSPLQPNLHHVKLRELFLTHFSFSLELLKQMPDQEVGPNICISAFNDALETSRRNITSAAEANPIGWPCPETMLLEDNRKECLMVKRYLPNLDWSSAENVELLSSVLENCKLPDFEDDLTWLTVGCASGAEIENHTQRLEGCLIEYLTQRSNLMGVSLATKETGVMLERNTRLELHNSSRYHITPRWIGIFQRIFNWRIMGLFDASSSSAYVLKSDLNMSTSSYADKFLAEDASYPSCPPNLPLLHEMIEISCSPLKSPPPYDDKAQRVVETGMLIDDHRDIEESMLEKNREACRGIDLMITEDDELGERSWRSKGREAAEKKTIEKRESERLDELLEKCNMVQNSIAEKLCIYF", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKRRWSNNGGFMRLPEESSSEVTSSSNGLVLPSGVNMSPSSLDSHDYCDQDLWLCGNESGSFGGSNGHGLSQQQQSVITLAMHGCSSTLPAQTTIIPINGNANGNGGSTNGQYVPGATNLGALANGMLNGGFNGMQQQIQNGHGLINSTTPSTPTTPLHLQQNLGGAGGGGIGGMGILHHANGTPNGLIGVVGGGGGVGLGVGGGGVGGLGMQHTPRSDSVNSISSGRDDLSPSSSLNGYSANESCDAKKSKKGPAPRVQEELCLVCGDRASGYHYNALTCEGCKGFFRRSVTKSAVYCCKFGRACEMDMYMRRKCQECRLKKCLAVGMRPECVVPENQCAMKRREKKAQKEKDKMTTSPSSQHGGNGSLASGGGQDFVKKEILDLMTCEPPQHATIPLLPDEILAKCQARNIPSLTYNQLAVIYKLIWYQDGYEQPSEEDLRRIMSQPDENESQTDVSFRHITEITILTVQLIVEFAKGLPAFTKIPQEDQITLLKACSSEVMMLRMARRYDHSSDSIFFANNRSYTRDSYKMAGMADNIEDLLHFCRQMFSMKVDNVEYALLTAIVIFSDRPGLEKAQLVEAIQSYYIDTLRIYILNRHCGDSMSLVFYAKLLSILTELRTLGNQNAEMCFSLKLKNRKLPKFLEEIWDVHAIPPSVQSHLQITQEENERLERAERMRASVGGAITAGIDCDSASTSAAAAAAQHQPQPQPQPQPSSLTQNDSQHQTQPQLQPQLPPQLQGQLQPQLQPQLQTQLQPQIQPQPQLLPVSAPVPASVTAPGSLSAVSTSSEYMGGSAAIGPITPATTSSITAAVTASSTTSAVPMGNGVGVGVGVGGNVSMYANAQTAMALMGVALHSHQEQLIGGVAVKSEHSTTA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNTSSHLKAQASCPLVEHFLRRKLSKENFDRFIPNRSAMDFDFANYALTQGRKRNVDEVTSASRKAYMTQLAEAMNQNRTRILAFRNKPKALLSSNHSDPPHQQPISVKPRRYIPQNSERVLDAPGIADDFYLNLLDWGSSNVLAIALGDTVYLWDASSGSTYKLVTIDEEEGPVTSINWTQDGLDLAIGLDNSEVQLWDCVSNRQVRTLRGGHESRVGSLAWNNHILTTGGMDGKIVNNDVRIRSSIVETYLGHTEEVCGLKWSESGKKLASGGNDNVVHIWDHRSVASSNPTRQWLHRFEEHTAAVRALAWCPFQASLLATGGGVGDGKIKFWNTHTGACLNSVETGSQVCSLLWSKSERELLSSHGFTQNQLTLWKYPSMVKMAELNGHTSRVLFMAQSPDGCTVASAAGDETLRLWNVFGEPPKTTKKAASKKYTDPFAHVNHIR", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGKKTFREWQYFKLSITSFDQDVDDAHAIDQMTWRQWLNNALKRSYGIFGEGVEYSFLHVDDKLAYIRVNHADKDTFSSSISTYISTDELVGSPLTVSILQESSSLRLLEVTDDDRLWLKKVMEEEEQDCKCI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGSYPDGFPGSMDELDFNKDFDLPPSSNQTLGLANGFYLDDLDFSSLDPPEAYPSQNNNNNNINNKAVAGDLLSSSSDDADFSDSVLKYISQVLMEEDMEEKPCMFHDALALQAAEKSLYEALGEKYPSSSSASSVDHPERLASDSPDGSCSGGAFSDYASTTTTTSSDSHWSVDGLENRPSWLHTPMPSNFVFQSTSRSNSVTGGGGGGNSAVYGSGFGDDLVSNMFKDDELAMQFKKGVEEASKFLPKSSQLFIDVDSYIPMNSGSKENGSEVFVKTEKKDETEHHHHHSYAPPPNRLTGKKSHWRDEDEDFVEERSNKQSAVYVEESELSEMFDKILVCGPGKPVCILNQNFPTESAKVVTAQSNGAKIRGKKSTSTSHSNDSKKETADLRTLLVLCAQAVSVDDRRTANEMLRQIREHSSPLGNGSERLAHYFANSLEARLAGTGTQIYTALSSKKTSAADMLKAYQTYMSVCPFKKAAIIFANHSMMRFTANANTIHIIDFGISYGFQWPALIHRLSLSRPGGSPKLRITGIELPQRGFRPAEGVQETGHRLARYCQRHNVPFEYNAIAQKWETIQVEDLKLRQGEYVVVNSLFRFRNLLDETVLVNSPRDAVLKLIRKINPNVFIPAILSGNYNAPFFVTRFREALFHYSAVFDMCDSKLAREDEMRLMYEKEFYGREIVNVVACEGTERVERPETYKQWQARLIRAGFRQLPLEKELMQNLKLKIENGYDKNFDVDQNGNWLLQGWKGRIVYASSLWVPSSS", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MARRKNFKKGNKKTFGARDDSRAQKNWSELVKENEKWEKYYKTLALFPEDQWEEFKKTCQAPLPLTFRITGSRKHAGEVLNLFKERHLPNLTNVEFEGEKIKAPVELPWYPDHLAWQLDVPKTVIRKNEQFAKTQRFLVVENAVGNISRQEAVSMIPPIVLEVKPHHTVLDMCAAPGSKTAQLIEALHKDTDEPSGFVVANDADARRSHMLVHQLKRLNSANLMVVNHDAQFFPRIRLHGNSNNKNDVLKFDRILCDVPCSGDGTMRKNVNVWKDWNTQAGLGLHAVQLNILNRGLHLLKNNGRLVYSTCSLNPIENEAVVAEALRKWGDKIRLVNCDDKLPGLIRSKGVSKWPVYDRNLTEKTKGDEGTLDSFFSPSEEEASKFNLQNCMRVYPHQQNTGGFFITVFEKVEDSTEAATEKLSSETPALESEGPQTKKIKVEEVQKKERLPRDANEEPFVFVDPQHEALKVCWDFYGIDNIFDRNTCLVRNATGEPTRVVYTVCPALKDVIQANDDRLKIIYSGVKLFVSQRSDIECSWRIQSESLPIMKHHMKSNRIVEANLEMLKHLLIESFPNFDDIRSKNIDNDFVEKMTKLSSGCAFIDVSRNDPAKENLFLPVWKGNKCINLMVCKEDTHELLYRIFGIDANAKATPSAEEKEKEKETTESPAETTTGTSTEAPSAAN", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "RRRRRRGKGRGKKRKGKGKKRGKGRRRGSKGRKKKKGKGKKRKRRRRRRRKGSKGKGK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "RRRRRRGKGKGGKKKKGKKRRRRGRKGKGKGKKKGKRKGKRGGKRRRRRRKGKK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "ALRKVDRNRFVLDNVTPQPREAKRYKEEEEFPGHGRRRRRRSKGKGKAKGKGKGKGKRRRRRKGKGKGKGKKKGKGRRRRCRRGRGCKKRKGKKGKGRRRRRGKKGK", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGRPPCCDKSNVKKGLWTEEEDAKILAYVAIHGVGNWSLIPKKAGLNRCGKSCRLRWTNYLRPDLKHDSFSTQEEELIIECHRAIGSRWSSIARKLPGRTDNDVKNHWNTKLKKKLMKMGIDPVTHKPVSQLLAEFRNISGHGNASFKTEPSNNSILTQSNSAWEMMRNTTTNHESYYTNSPMMFTNSSEYQTTPFHFYSHPNHLLNGTTSSCSSSSSSTSITQPNQVPQTPVTNFYWSDFLLSDPVPQVVGSSATSDLTFTQNEHHFNIEAEYISQNIDSKASGTCHSASSFVDEILDKDQEMLSQFPQLLNDFDY", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVDLEQEFALGGRCLAFHGPLMYEAKILKIWDPSSKMYTSIPNDKPGGSSQATKEIKPQKLGEDESIPEEIINGKCFFIHYQGWKSSWDEWVGYDRIRAYNEENIAMKKRLANEAKEAKKSLLEQQKKKKLSTSLGGPSNGGKRKGDSRSNASISKSTSQSFLTSSVSGRKSGRSSANSLHPGSSLRSSSDQNGNDDRRRSSSLSPNMLHHIAGYPTPKISLQIPIKLKSVLVDDWEYVTKDKKICRLPADVTVEMVLNKYEHEVSQELESPGSQSQLSEYCAGLKLYFDKCLGNMLLYRLERLQYDELLKKSSKDQKPLVPIRIYGAIHLLRLISVLPELISSTTMDLQSCQLLIKQTEDFLVWLLMHVDEYFNDKDPNRSDDALYVNTSSQYEGVALGM", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKFGKSLSSQIVETLPEWRDKFLSYKDLKKRLKLIGGGGGGEERQAKRARVAADGGEEEAAAAAMTPEEAGFMRLLEAELDKFNSFFVEKEEEYIIRQKELQDRVARAAGRESKEELMRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKQCEAMLDQLLPSNELSVSSEDGRGDSTNEDKPSNPSSSLVNGGTIPELDEIEYMESMYMKGTVAALRSLKEIRSGSSTVSAFSLPPLQGDSSPEEQQELWNKIPVIEQAAK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDLFDFFRDWDLEQQCHYEQDRSALKKREWERRNQEVQQEEDLFSSGFDLFGEPYKVAEYTNKGDALANRVQNTLGSYDEMKDLLSNHSSQNHLVGIPKNSAPQTPISKSEASFYPEQKNRMIPSHQETTHSSTPMPPPSVVILNSTLIHSNRKSKSEWPRDSHNTSPAQASQTSSQPNKMQTSTQDPPQTRLEDFFVYPAEQPQIGTVEKSNPSSKEENNPNSGGEDTFKEIFQSNSPEESEFTVQAPGSPLVASSLLAPSSGLSVPTFPPGLYCKTSMGQQKPTAYVRPMDGQDQATDISPTLKPSIEFENSFGNLSFGSLLDGKPSAVSSKTKLPKFTILQTSEVSLTSDPSCVEEILRESQHLTPGFTLQKWSDPSSRASTKMLEDDLKLSSDEDDLEPVKTLTTQCTANELYQAVEKAKPKNNPVNPLLATPQSTPATQTNVGSGSSSESESSSESDSDTESSTTDSESNEAPRVATPEPEPPSTNKWQLDKWLNKVTSQNKSFICGQNETPTETISLPPPIIQPVEVQVKVKPNPSQAVAVPKERPLLSLIREKARPRPTQKTPETKALKHKLSTSVDTVSQRTIGKKQPKKVEKNTSFEEFTWPKPNITNSTPKEKGSVELPDPPRSRNKATAHKPVPRKEPRPHVPLATEKKKYRGPGKIVPKSREFIETDSSTSDSNTDQEETLQIKVLPPCITSKSKETSNASLTLSTLTNGNSNNLSTSNEETAFSPPPAMQTELLSPLRDHENPKNLWVKIDLDLLSRVPGQNSVPVTPAKTDYKETASKPKRQTAATAVEKPAPKGKRKHKPAETAEKIPEKKQRLEDNTTICLLPPCISPAPPHKPPSTRENSSRRANRKKEEKLFPPALSPLAEDPPRRRNVSGNNGHFGQDKNISMAGQITSSKPKRSEGKFCATFKGISINEGDAPKKAASATVTVANMALATATATATVPAIVTATVTATATTTATATTTTTTTTISSITPTITSGLMDSSHLEMTSWAALPLLSSSSANVRRPKLTFDDSVHNADFYMQEAKKLKHKADALFEKFGKAVNYADAALSFTECGNAMERDPLEAKSPYTMYSETVELLRYAMRLKNFASPLASDGDKKLAVLCYRCLSLLYLRMFKLKKDHAMKYSRSLMEYFKQNASKVTQIPSPWVGNGKNTPSPVSLNNVSPINSVGNCNNGPVTIPQRIHHMAASHVNITSNVLRGYEHWDMADKLTRDNKEFFGDLDTLMGPLTQHSSMTNLVRYVRQGLCWLRIDAHLL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MMSEQDLADVVQIAVEDLSPDHPVVLENHVVTDDDEPALKRQRLEINCQDPSIKSFLYSINQTICLRLDSIEAKLQALEATCKSLEEKLDLVTNKQHSPIQVPMVAGSPLGATQTCNKVRCVVPQTTVILNNDRQNAIVAKMEDPLSNRAPDSLENIISNAVPGRRQNTIVVKVPGQDDSHNEDGESGSEASDSVSNCGQPGSQNIGSNVTLITLNSEEDYPNGTWLGDENNPEMRVRCAIIPSDMLHISTNCRTAEKMALTLLDYLFHREVQAVSNLSGQGKHGKKQLDPLTIYGIRCHLFYKFGITESDWYRIKQSIDSKCRTAWRRKQRGQSLAVKSFSRRTPSSSSYSASETMMGTPPPTSELQQSQPQALHYALANAQQVQIHQIGEDGQVQVIPQGHLHIAQVPQGEQVQITQDSEGNLQIHHVGQDGQSWGLCQNPIPVSGDSVAQANPSQLWPLGGDTLDLPAGNEMIQVLQGAQLIAVASSDPAATGVDGSPLQGSDIQVQYVQLAPVSDHTAAAQTAEALQPTLQPDMQLEHGAIQIQ", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSNDVDDESKIETKSYEAKDIVYKSKVFAFKDGEYRKAEILMIQKRTRGVVYYVHYNDYNKRLDEWITIDNIDLSKGIEYPPPEKPKKAHGKGKSSKRPKAVDRRRSITAPSKTEPSTPSTEKPEPSTPSGESDHGSNAGNESLPLLEEDHKPESLSKEQEVERLRFSGSMVQNPHEIARIRNINKICIGDHEIEPWYFSPYPKEFSEVDIVYICSFCFCYYGSERQFQRHREKCTLQHPPGNEIYRDDYISFFEIDGRKQRTWCRNICLLSKLFLDHKMLYYDVDPFLFYCMCRRDEYGCHLVGYFSKEKESSENYNLACILTLPQYQRHGYGKLLIQFSYELTKREHKHGSPEKPLSDLGLISYRAYWAEQIINLVLGMRTETTIDELANKTSMTTNDVLHTLQALNMLKYYKGQFIICISDGIEQQYERLKNKKRRRINGDLLADWQPPVFHPSQLRFGW", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAEGEKNQDFTFKMESPSDSAVVLPSTPQASANPSSPYTNSSRKQPMSATLRERLRKTRFSFNSSYNVVKRLKVESEENDQTFSEKPASSTEENCLEFQESFKHIDSEFEENTNLKNTLKNLNVCESQSLDSGSCSALQNEFVSEKLPKQRLNAEKAKLVKQVQEKEDLLRRLKLVKMYRSKNDLSQLQLLIKKWRSCSQLLLYELQSAVSEENKKLSLTQLIDHYGLDDKLLHYNRSEEEFIDV", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVLEATMILIDNSEWMINGDYIPTRFEAQKDTVHMIFNQKINDNPENMCGLMTIGDNSPQVLSTLTRDYGKFLSAMHDLPVRGNAKFGDGIQIAQLALKHRENKIQRQRIVAFVGSPIVEDEKNLIRLAKRMKKNNVAIDIIHIGELQNESALQHFIDAANSSDSCHLVSIPPSPQLLSDLVNQSPIGQGVVASQNQFEYGVDPNLDVELALALELSMAEERARQEVAAQKSSEETEDKKMQE", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSRSSLVDPSFELSDPCPDIHALFIQFDARFFGGSLACCEVKWSPRMYACAGICSYEIRGGRGGLCSIRLSKPLLTLRPRSDLVETLLHEMIHAYLFVKERNRDRDGHGPQFQAHMHRINQAGGTNITIYHSFHDEVRLYKQHWWRCSGPCRDRRPFFGYVKRSCNRAPGPNDRWWSQHQQSCGGNFLKVKEPEGYGQGKGSKRTNDKNKSGGPALKKTITPPRVTLDDFFKKDGKNSSDNSTSKSPTKPSTSLFTGSGQKLGGSSSTSSLLNSYPKATQNSGGNRLGGTSGGVSRLLPPVNFTSPSSAPVAEQVIDLGDSDDDDFQDMDDDALEISFVASDNSVICPSCNTEVMENLIHGHLDYCLG", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSKMGSSSMGELQDGITQEDSIEKKSKNVASHGEKRKVKRKKEDLSMDGSNDGVKDSPDSNDDSQSKKKKKKKLKKSQQPLNEENYPRLQTTENNLQKPLKISDLQELVFWCLADGQAPSWVLVRNKQMIHRAVILLVPGLEPSQFGFQPVRGNKHSFLLPNLLNENGPIQLPDFCEVFDRAWPTRSPGDRFRVFSPVNAFLQSPLSNEQKKKRDKETRAMASFSKPSDYLMSYESFIEDEYPLHPTVMKGEEVTQPSGWVASAGDFHSPPINPKILAIDCEMVRTENGLEIARVTIVDMKSEVIYDEFVKPESPVTDYVTQYSGITEEKLRNVTTVLSDVQSYLKKTVDNNTVLLGHSLNSDLNCLKFTHPHIIDTANIYNHTRGPPSKPSLKWLATKWLRREIQKAGALGHDSAEDALACVDLLKLKVKNGPAFGLFNQDFESIFHRLSRQQPTPLIGAIADYGNPESCIGKAAHKSVSCANDDEVVSAVVSLSDMHNFVWGRFRELEHAAMWNANRNTKQENNSDTDTENDSVEEDQVTSYSSALERFNRRIRLLYDSLPKGSLLLLYTGTGNPIEMSKLNAIRQQFRKEYQTKKWDELSVKWTDEENMKYISAVENTRNGLSFMTIK", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTLFSDSARLHPGEINSLVAHTKPVWWSLHTDAHEIWCRDSDRGTSLGRSIPCPPALCSVRKIHLRPQVLRPTSPRNISPISNPVSGLFLLCSPTSLTIPQPLSPFNLGATLQSLPSLNFNSFHSLVETKETCFIREPKTPAPVTDWEGSLPLVFNHCRDASLISRFRPRRDACLGPSPLAASPAFLGQGQVPLNPFSFTLSGKSRFSGAGASTPQPLLLHP", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRQKRAKNYRKLMHTYQLLFGFREPYQVLVDADFLKDLSQQKIDIQAALARTVQGAIKPMITQCCIRQLYSKSDELKQEIRIAKSFERRRCGHIDEALSPSECIQSVVNINGRNKHRYVVATQDPELRQALRSVPGVPLIYMKRSVVILEPASRATLLEKHNKESVQMGMSKEEKLLLSGKKRSANELAIDDQDTKESTDLAGTEDSAPKANKKRKGPKGPNPLSIKKRSSKNHTTDEPTLPVNIIGDVGERKKHRRKRK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSLPSRQTAIVNPPPPEYINTKKSGRLTNQLQFLQRVVLKALWKHGFSWPFQQPVDAVKLKLPDYYTIIKTPMDLNTIKKRLENKYYEKASECIEDFNTMFSNCYLYNKTGDDIVVMAQALEKLFMQKLSQMPQEEQVVGGKERIKKDIQQKIAVSSAKEQIPSKAAENVFKRQEIPSGLPDISLSPLNMAQEAPPICDSQSLVQITKGVKRRADTTTPTTSIAKASSESPPTLRETKPVNMPVKENTVKNVLPDSQQQHKVLKTVKVTEQLKHCSEILKEMLAKKHLPYAWPFYNPVDADALGLHNYYDVVKNPMDLGTIKGKMDNQEYKDAYEFAADVRLMFMNCYKYNPPDHEVVAMARTLQDVFELHFAKIPDEPIESMHACHLTTNSAQALSRESSSEASSGDASSEDSEDERVQHLAKLQEQLNAVHQQLQVLSQVPLRKLKKKNEKSKRAPKRKKVNNRDENPRKKPKQMKQKEKAKINQPKKKKPLLKSEEEDNAKPMNYDEKRQLSLDINKLPGDKLGRIVHIIQSREPSLRNSNPDEIEIDFETLKASTLRELEKYVLACLRKRSLKPQAKKVVRSKEELHSEKKLELERRLLDVNNQLNCRKRQTKRPAKVEKPPPPPPPPPPPPPPPELASGSRLTDSSSSSGSGSGSSSSSSGSSSSSSSSGSASSSSDSSSSDSSDSEPEIFPKFTGVKQNDLPPKENIKQIQSSVQDITSAEAPLAQQSTAPCGAPGKHSQQMLGCQVTQHLQATENTASVQTQPLSGDCKRVLLGPPVVHTSAESLTVLEPECHAPAQKDIKIKNADSWKSLGKPVKASSVLKSSDELFNQFRKAAIEKEVKARTQEQMRKHLEHNAKDPKVSQENQREPGSGLTLESLSSKVQDKSLEEDQSEQQPPSEAQDVSKLWLLKDRNLAREKEQERRRREAMAGTIDMTLQSDIMTMFENNFD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNSGRPETMENLPALYTIFQGEVAMVTDYGAFIKIPGCRKQGLVHRTHMSSCRVDKPSEIVDVGDKVWVKLIGREMKNDRIKVSLSMKVVNQGTGKDLDPNNVIIEQEERRRRSFQDYTGQKITLEAVLNTTCKKCGCKGHFAKDCFMQPGGTKYSLIPDEEEEKEEAKSAEFEKPDPTRNPSRKRKKEKKKKKHRDRKSSDSDSSDSESDTGKRARHTSKDSKAAKKKKKKKKHKKKHKE", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGKKRAPQKGKTVTKPQEIIVDESKLNWKPVDIPDTLDDFGGFYGLEEIDGVDVKVVDGKVTFVTKKDSKVLKDSNKEKVGDDQESVENESGSDSESELLEFKNLDDIKEGELSAASYSSSDEDEQGNIESSKLTDPSEDVDEDVDEDVLKENVFNKDINIDDISPVNLPEWTNLAPLSMTILQSLQNLNFLRPTEIQKKSIPVIMQGVDVMGKASTGSGKTLAYGIPIVEKLISNFSQKNKKPISLIFTPTRELAHQVTDHLKKICEPVLAKSQYSILSLTGGLSIQKQQRLLKYDNSGQIVIATPGRFLELLEKDNTLIKRFSKVNTLILDEADRLLQDGHFDEFEKIIKHLLVERRKNRENSEGSSKIWQTLIFSATFSIDLFDKLSSSRQVKDRRFKNNEDELNAVIQHLMSKIHFNSKPVIIDTNPESKVSSQIKESLIECPPLERDLYCYYFLTMFPGTTLIFCNAIDSVKKLTVYLNNLGIPAFQIHSSMTQKNRLKSLERFKQQSAKQKTINHSNPDSVQLSTVLIASDVAARGLDIPGVQHVIHYHLPRSTDIYIHRSGRTARAGSEGVSAMICSPQESMGPLRKLRKTLATKNSVSTDLNSRSTNRKPIKWQNTVPLLPIETDILSQLRERSRLAGELADHEIASNSLRKDDNWLKKAADELGIDVDSDEDDISKSNSDTFLLKNKNKKMQKTINKDKVKAMRATLNELLSVPIRKDRRQKYLTGGLVNLADNLVKKRGHNSIIGHEKTNALETLKKKKKRNN", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVLFTRCEKARKEKLAAGYKPLVDYLIDCDTPTFLERIEAIQEWDRSRDDLYVWIPILDRMDGLLLKVAEKYKYKQDPKKECEVKLVEMEAHDVDYCLKMLKFTRRLLLNTENRFVYSSGDVLMYLLNCPNFTIKLAVMRILAILGERFVIAREKIVAHNIFGDHNLRKKTLKLALSLSSSVMDEDGEHFSLVDLYFDKKKVPQKWRKLRFTHYTSNDFKKSSQQKNNINETQTSIKKVTMTTQELCEHSLQQIFDKGMALLPAESWFDFSIKASVAKAFSDDSGENIDLRNIIIETKLNAIAFVNTIFSPPQVSSKLFELDPYAFNSLTDLISLSETKIPKELRTDALFTLECISLKHVWCSDIIRNLGGNISHGLLFQILRYIAKTLREATDEIDEEYNVRFFYLISNLADVKPLHESLFAAGLIPTLLEIVSIRNCPYKRTLASATHLLETFIDNSETTTEFIENDGFTMLITSVANEIDFTLAHPETWQPPKYSVVYYSISFRELAYIRSLLKLVLKLLSTDSGDRIRNLIDSPILVSLKKILENKLVFGLTLITYTLDVVQKVINSEPTIYPVLVEAGLIPYVIDNFPKLIGPSAELLSLLPDVVSAICLNPEGLKQVKEKGLINNLFDFLLDADHARILTGGDRSTEYGTDIDELARHYPDLKANIVEALCNVIRKMPSTFRNEREFLFTSPKDQKYFFHRKNEEILTDKEEHEPAYWELLDKGTMLDTFTSVLFGMSLGNGSFSQVPQHLEARDFLAIIFMENPPYEYFTSVAISNVTEVLQYLDEKYEDYAFMDVMKVLNDQLENLNDFLNSPNDRSFFLERDGENSVRSCHSKLCRLAAILNIVTNVYIDLTTLSCKRIMQIYSYFDKRGFSLIKNLKLLFQKCALEEMYIRQHMPDSVITETMPLPIVDVSGDGPPLQIYIDDPKKGDQKGKITSVKTRNTLQMRTILYTLQSNTAILFRCFLRLSHSRNMDLEHKDLTTEVHIFENVVENVIEMLKATELEGHLPYILVLLNFNTFVFTIPKASPNSTEILQTIPAYIFYQKGGYLLYLHIIRDLFTRMTKIKDLSSLDNINYIDESNGILTLSCLINALTFYNKSMQTETMENVQSIGKYYVSIDDDYNIMKALTVPIKVMALAMILDLDKSDSLFKTQSRNVPYSVFKQLLSMLKNIFTNVNIYTKELYELHWDLIFPPIKKISLFEQVGIPGDVAANYLTDTGDDLPADNSIGLFSPEQWEKYKKLIGEDKSIYYPQPMQAQYYKGCSSKELDELRDTFFNDGLPSRIFTVLPFYPKLVNAFAKTLLQIFTKYDEPTEVFAGRILDRILETDLDDPATLSSLIHLFGIFLNEKYIYQKASHLMQRFIEYLEKSLKPEHVNTPWFSKALYVYEIILAKSELPHLEELSKDVLLRYPLLSMAKVFRIPDPMKQKLFDILIRVSDISNFYSALATSRILIFYSRDELYANNIARSGILSRLLKVIGSFQKLDKINFLESSFLLLTRRCFETTENVDALIRAEINRSFTARPLGGGDDAVRELTTILEEKAHVVMRSPSQFIDVLCETARFHEFDDQGALVDYSLKRFLGEKDKNTQASSTEKSDIYERTGIMHLLLSQLMAASEKDWLSEPANSSDLPENKKAQLDPSRNPVCAYMIFLLKLLVELVSSYNQCKFEFLTFSRRNTYAERPRPRTTAINFFLYRLLDKPVGTDHDKHEAKRREVIGMLARSVIIGFLATVQDDRTTKTDVKLADPHMNFIRKFAIEAIIKAIRNATSSSKLLESNHLKLDMWFRIITSMVYVQAPYLRQLLDSNKVEADQYQLCKLVIDLGLPSVITEAMASIDLNYPFSKKIFNVAVEALNTISSTRNNFSEHFKIEDHDEVEDEVDESDKEEIPDMFKNSALGMYDVEDIEEDDDDDTSLIGDDDAMAFVDSDNGFEVVFSDEDDDMGEEDADDARSDSEENELSSEMQSSTADGTDVDYEVDDADGLIINIDQPSGDDEEMADYDANISHSSHSENEDDASMDVIEVYDDELSSGYDVDLSDYDVDESDWDSGLSSLSISDEDSESSEDEPINSTRMGDSRRRWLIAEGVELTDDSQGESEEDDRGVFRGIEHIFSNENEPLFRVHDEMRHRNHHRSINRTHFHSAMSAPSLSLLNRGRRNQSNLINPLGPTGLEQVENDISDQVTVAGSGSRPRSHHLHFSEVLVSGSFFDEPVLDGIILKSTVSRWKDIFDMFYDSKTYANCIIPTVINRLYKVSLALQKDLENKREQEKLKNKNLLFNEAKVESHNSSDAISVEQDDIQESNVTHDDHEPVYVTIQGSEVDIGGTDIDPEFMNALPDDIRADVFAQHVRERRAEARLNSDHNVHSREIDSDFLEAIPEDIREGILDTEAEEQRMFGRIGSSADVIRADDDVSNNDEEVENGLDHGNSNDRNNADPEKKKPARIYFAPLIDRAGIASLMKSVFISKPYIQREIYHELFYRLCSSKQNRNDLMNTFLFILSEGIIDQHSLEKVYNIISSRAMGHAKTTTVRQLPSDCTPLTVANQTIEILQSLIDADSRLKYFLIAEHDNLIVNKANNKSRKEALPDKKLRWPLWHLFSLLDRKLITDESVLMDLLTRILQVCTKTLAVLSTSSNGKENLSKKFHLPSFDEDDLMKILSIIMLDSCTTRVFQQTLNIIYNLSKLQGCMSIFTKHLVSLAISIMSKLKSALDGLSREVGTITTGMEINSELLQKFTLPSSDQAKLLKILTTVDFLYTHKRKEEERNVKDLQSLYDKMNGGPVWSSLSECLSQFEKSQAINTSATILLPLIESLMVVCRRSDLSQNRNTAVKYEDAKLLDFSKTRVENLFFPFTDAHKKLLNQMIRSNPKLMSGPFALLVKNPKVLDFDNKRYFFNAKLKSDNQERPKLPITVRREQVFLDSYRALFFKTNDEIKNSKLEITFKGESGVDAGGVTREWYQVLSRQMFNPDYALFLPVPSDKTTFHPNRTSGINPEHLSFFKFIGMIIGKAIRDQCFLDCHFSREVYKNILGRPVSLKDMESLDPDYYKSLVWILENDITDIIEETFSVETDDYGEHKVINLIEGGKDIIVTEANKQDYVKKVVEYKLQTSVKEQMDNFLVGFYALISKDLITIFDEQELELLISGLPDIDVDDWKNNTTYVNYTATCKEVSYFWRAVRSFDAEERAKLLQFVTGTSKVPLNGFKELSGVNGVCKFSIHRDFGSSERLPSSHTCFNQLNLPPYESYETLRGSLLLAINEGHEGFGLA", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDHRNLDPKTLKVSQLRRVLVENDVAFPANARKPVLVKLFEEKVRQRLQSSPEASKVRTSIQKVVKSGAKNADRKKTLKSKKLESSSSESKTVKDENVETNKRKREQISTDNEAKMQIQEEKSPKKKRKKRSSKANKPPESPPQSKSDGKATSADLTSELETVEELHKKDSSDDKPRVKELPKPELPNLKVSNEFLAQLNKELASAATENYDHSIKSTDLSSIRIETEEPVGPSTGAETRNESEVMENINLEVQPEVKEAKEELTKISETFDNQDEEDTSRLSSKKNIRSPKGRTRHFIANKTKRGIDIMKPFIAHLFIWLWNGAIFLSIICPILFGLWYREQRIQVGYCGHEKPLKSLAISAFPQTERVDSVLQAYRPNCLECPEHGICSSFMNVECEPGYEPKSSILETYGIIPFPKYCAKDESKEKEVDELVWKVNEYLKKKNAQHECGEGENLFESGETETKLYDIFSHSRPSWESQREFNDHWKNVLEILKKKDDIIWLPLDFETNGKREKSKSNNTNYIYRSTSKKWVTLQCHLEGDIQEYITKYGGSLFITLGVLFLIKKIQSTLDNYVQGEQIIEKLVKEAIDKLKDVKKNKGEEPFLTTVQLRATLLSDIPNIKEQNNLWAQTKEKIMKEQSENIELYLLEENGEIMTCWEWKE", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MADPPHFSLFQQKFRTGDKPFLTLDADFLMNHTKVLLKSDASDKVWKVKLDGGRLSEGWEEFACDQKFRDGDVLVFKHHGDEVFHVAVVSPSVSGDIRNASSSQVITEDTYIDVDDVDDDDYGQDDEDDDDDDDEGEDNIENISEKTDKRQEADSSSDHSGFITARVTRYSLLHDRLDLSRNFTLLFGGHQKTCEIDVVDEQGRRWTMKLAKNISSGVFYIRLGWGNFCCANGLTQGDLCKFKLFQNEERPVLWLCPQESGNGRKEKRTFDEVSKGKEKKTPSPFLIVKYTPSRETTGQLSLPVSFTRNNSINKTGEVILLNQDGRKWSSYLQITGLGRGAGSEWFYLRRGWREMCEANGVGVNDSFKLELVWEGANPMFKFCSKIENHEYKGKGNQRTRKKRACETAPQPRNVKKTPRLGVEGPVYQVDEERGHTQVSNRTNTISGNLQRLLPPSCSVSDQVANVKQGIVDSLNTVRQCRTELETSEQNLQASLLAIDALGERIWGISKILSSNLV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSMTILPLELIDKCIGSNLWVIMKSEREFAGTLVGFDDYVNIVLKDVTEYDTVTGVTEKHSEMLLNGNGMCMLIPGGKPE", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSLVIRNLQRVIPIRRAPLRSKIEIVRRILGVQKFDLGIICVDNKNIQHINRIYRDRNVPTDVLSFPFHEHLKAGEFPQPDFPDDYNLGDIFLGVEYIFHQCKENEDYNDVLTVTATHGLCHLLGFTHGTEAEWQQMFQKEKAVLDELGRRTGTRLQPLTRGLFGGS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRRREGHGTDSEMGQGPVRESQSSDPPALQFRISEYKPLNMAGVEQPPSPELRQEGVTEYEDGGAPAGDGEAGPQQAEDHPQNPPEDPNQDPPEDDSTCQCQACGPHQAAGPDLGSSNDGCPQLFQERSVIVENSSGSTSASELLKPMKKRKRREYQSPSEEESEPEAMEKQEEGKDPEGQPTASTPESEEWSSSQPATGEKKECWSWESYLEEQKAITAPVSLFQDSQAVTHNKNGFKLGMKLEGIDPQHPSMYFILTVAEVCGYRLRLHFDGYSECHDFWVNANSPDIHPAGWFEKTGHKLQPPKGYKEEEFSWSQYLRSTRAQAAPKHLFVSQSHSPPPLGFQVGMKLEAVDRMNPSLVCVASVTDVVDSRFLVHFDNWDDTYDYWCDPSSPYIHPVGWCQKQGKPLTPPQDYPDPDNFCWEKYLEETGASAVPTWAFKVRPPHSFLVNMKLEAVDRRNPALIRVASVEDVEDHRIKIHFDGWSHGYDFWIDADHPDIHPAGWCSKTGHPLQPPLGPREPSSASPGGCPPLSYRSLPHTRTSKYSFHHRKCPTPGCDGSGHVTGKFTAHHCLSGCPLAERNQSRLKAELSDSEASARKKNLSGFSPRKKPRHHGRIGRPPKYRKIPQEDFQTLTPDVVHQSLFMSALSAHPDRSLSVCWEQHCKLLPGVAGISASTVAKWTIDEVFGFVQTLTGCEDQARLFKDEMIDGEAFLLLTQADIVKIMSVKLGPALKIYNAILMFKNADDTLK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTDKRKYKKTVSFTRCTAIIFLTTKEVSKQKEEIRREIAREFDLPERKSKIATILKQEDQAYCICRSSDCSRFMIGCDGCEEWYHGDCIGITEKEAKHIKQYYCRRCKKENPELQTIFRLVATERAAASNAASTSLNAPGVGPSGAAPAAAPVASATTSQQAPPPTTAAAKRKNSSAREPKMGKRCGTCEGCRRPNCNQCDACRVRVGHKPRCIFRTCVVQAATVLKESQATQAGPSRKREKAAPKSRNVQVGPRAASPEIFLNPELQGIRQCYGPNCCSHARPQSKYCSDKCGFNLATKRIFQVLPQRLQEWNLTPSRAAEETRKHLDNIRHKQSLVRFALAELEKRSEELNMVVERAKRSSIDTLGSQDTADMEDEQSMYCITCGHEIHSRTAIKHMEKCFNKYESQASFGSIFKTRMEGNNMFCDFYNPASKTYCKRLRVLCPEHSKDPKVNDTDVCGSPLVNNVFNPTGEFCRAPKKNCFKHYAWEKIRRAEIDLERVRQWLKMDDLMEQERVMRQQLTSRANLLGLMLHSTYNHEVMDELVRKQQEHLVEFEKQRRRLAHQQQIQTQQKQYQEKQKLMLQQQQQQQQQQQQQQQQQQQQQLQQPQQQQQQQQQQQQEQQQLQLKPHHLQQLQLQLLQQQQKKAQIPQKTQIIYLQKKT", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDKYDVVKDLGAGNFGVARLLRHKDTKELVAMKYIERGRKIDENVAREIINHRSLKHPNIIRFKEVILTPTHLAIVMEYASGGELFDRICTAGRFSEAEARYFFQQLICGVDYCHSLQICHRDLKLENTLLDGSPAPLLKICDFGYSKSSILHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGAYPFEDPNDPKNFRKTIQRIMAVQYKIPDYVHISQECKHLLSRIFVTNSAKRITLKEIKNHPWYLKNLPKELLESAQAAYYKRDTSFSLQSVEDIMKIVGEARNPAPSTSAVKSSGSGADEEEEEDVEAEVEEEEDDEDEYEKHVKEAQSCQESDKA", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNDEINEPPPNICEQCLGDEANIRMTKIPQGSECKICTLPFTLYHFKTSKRSNNIIKTLICVRCATQRNICQCCMLDSRWHIPIQLRDHLISLVNEENVMTEEAKNDMMKRFLSLKNVKLGGAQITSDPSEADNIVDKLKNILLRATSDGPSTPLIKNTTALYKNEKGANEVKNLEKYASVDISHILKKLPLNESFLKNPSTKSFFLYNIDASIPEWKITDTVSQLLGIKKWKDGNSLSLIVNHKAKCGGLRFQSSELGERFVSKISETLVTPKGLKRGVLLIDRFRIFIIPWSSGFSAASFGTNTAENIKLSLSLNKLIQLELGLSFPTKSTDNAKNDKKKTSKKVHKDRSKKSKPRANKLTI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGDSDNAIPFSRKRTALKELSRDNPGLDDDDEDTSALESGTFNTASKEVLASRRIIRVRRTDRSATAPPASNPFTGIRLVPFTAPAPSTAAAETTKPLSAGKQETLADGRSDATKETDGDSKEKSDAIDAVGKQETQGDEISAKTKDIIDGGEKEMSEAVNSVEGGGAVNKNEDEIKTTMVTEVAAGEETVKDDNNNSNTVEGSDCVVKDTGGNQTEKEGKEGDGNEDTEKNGDSGALSSFHQHSSSKNAFTGLASTGFSASSFSFGLVPQEGSTGSGSEQSSFSFGQANNGNSSLFGASVATSITTKSTETTTAFPSKQDVSVETGEENEKAAFTADSVMFEYLEGGWKERGKGELKVNISTTENRKARLVMRSKGNYRLTLNASLYPEMKLAKMDKKGITFACVNSVSDAKDGLSTLALKFKDPTVVEEFRAVIEEHKDSKPSVAEAAAPLKTPENSPSAEDA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPIVDKLKEALKPGRKDSADDGELGKLLASSAKKVLLQKIEFEPASKSFSYQLEALKSKYVLLNPKTEGASRHKSGDDPPARRQGSEHTYESCGDGVPAPQKVLFPTERLSLRWERVFRVGAGLHNLGNTCFLNATIQCLTYTPPLANYLLSKEHARSCHQGSFCMLCVMQNHIVQAFANSGNAIKPVSFIRDLKKIARHFRFGNQEDAHEFLRYTIDAMQKACLNGCAKLDRQTQATTLVHQIFGGYLRSRVKCSVCKSVSDTYDPYLDVALEIRQAANIVRALELFVKADVLSGENAYMCAKCKKKVPASKRFTIHRTSNVLTLSLKRFANFSGGKITKDVGYPEFLNIRPYMSQNNGDPVMYGLYAVLVHSGYSCHAGHYYCYVKASNGQWYQMNDSLVHSSNVKVVLNQQAYVLFYLRIPGSKKSPEGLISRTGSSSLPGRPSVIPDHSKKNIGNGIISSPLTGKRQDSGTMKKPHTTEEIGVPISRNGSTLGLKSQNGCIPPKLPSGSPSPKLSQTPTHMPTILDDPGKKVKKPAPPQHFSPRTAQGLPGTSNSNSSRSGSQRQGSWDSRDVVLSTSPKLLATATANGHGLKGNDESAGLDRRGSSSSSPEHSASSDSTKAPQTPRSGAAHLCDSQETNCSTAGHSKTPPSGADSKTVKLKSPVLSNTTTEPASTMSPPPAKKLALSAKKASTLWRATGNDLRPPPPSPSSDLTHPMKTSHPVVASTWPVHRARAVSPAPQSSSRLQPPFSPHPTLLSSTPKPPGTSEPRSCSSISTALPQVNEDLVSLPHQLPEASEPPQSPSEKRKKTFVGEPQRLGSETRLPQHIREATAAPHGKRKRKKKKRPEDTAASALQEGQTQRQPGSPMYRREGQAQLPAVRRQEDGTQPQVNGQQVGCVTDGHHASSRKRRRKGAEGLGEEGGLHQDPLRHSCSPMGDGDPEAMEESPRKKKKRKQETQRAVEEDGHLKCPRSAKPQDAVVPESSSCAPSANGWCPGDRMGLSQAPPVSWNGERESDVVQELLKYSSDKAYGRKVLTWDGKMSAVSQDAIEDSRQARTETVVDDWDEEFDRGKEKKIKKFKREKRRNFNAFQKLQTRRNFWSVTHPAKAASLSYRR", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MESVDTELTSFNNMVAKSSYPVRILHHNNGISEDEEGGSGVEPYVGLEFDTAEEAREFYNAYAARTGFKVRTGQLYRSRTDGTVSSRRFVCSKEGFQLNSRTGCTAFIRVQRRDTGKWVLDQIQKEHNHELGGEGSVEETTPRPSRAPAPTKLGVTVNPHRPKMKVVDESDRETRSCPGGFKRFKGGGGEGEVSDDHHQTQQAKAVTGTEPYAGLEFGSANEACQFYQAYAEVVGFRVRIGQLFRSKVDGSITSRRFVCSREGFQHPSRMGCGAYMRIKRQDSGGWIVDRLNKDHNHDLEPGKKNDAGMKKIPDDGTGGLDSVDLIELNDFGNNHIKKTRENRIGKEWYPLLLDYFQSRQTEDMGFFYAVELDVNNGSCMSIFWADSRARFACSQFGDSVVFDTSYRKGSYSVPFATIIGFNHHRQPVLLGCAMVADESKEAFLWLFQTWLRAMSGRRPRSIVADQDLPIQQALVQVFPGAHHRYSAWQIREKERENLIPFPSEFKYEYEKCIYQTQTIVEFDSVWSALINKYGLRDDVWLREIYEQRENWVPAYLRASFFAGIPINGTIEPFFGASLDALTPLREFISRYEQALEQRREEERKEDFNSYNLQPFLQTKEPVEEQCRRLYTLTVFRIFQNELVQSYNYLCLKTYEEGAISRFLVRKCGNESEKHAVTFSASNLNSSCSCQMFEHEGLLCRHILKVFNLLDIRELPSRYILHRWTKNAEFGFVRDMESGVSAQDLKALMVWSLREAASKYIEFGTSSLEKYKLAYEIMREGGKKLCWQR", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDLFDFFRDWDLEQQCHYEQDRSALKKREWERRNQEVQQEDDLFSSGFDLFGEPYKVAEYTNKGDALANRVQNTLGNYDEMKNLLTNHSNQNHLVGIPKNSVPQNPNNKNEPSFFPEQKNRIIPPHQDNTHPSAPMPPPSVVILNSTLIHSNRKSKPEWSRDSHNPSTVLASQASGQPNKMQTLTQDQSQAKLEDFFVYPAEQPQIGEVEESNPSAKEDSNPNSSGEDAFKEIFQSNSPEESEFAVQAPGSPLVASSLLAPSSGLSVQNFPPGLYCKTSMGQQKPTAYVRPMDGQDQAPDISPTLKPSIEFENSFGNLSFGTLLDGKPSAASSKTKLPKFTILQTSEVSLPSDPSCVEEILREMTHSWPTPLTSMHTAGHSEQSTFSIPGQESQHLTPGFTLQKWNDPTTRASTKSVSFKSMLEDDLKLSSDEDDLEPVKTLTTQCTATELYQAVEKAKPRNNPVNPPLATPQPPPAVQASGGSGSSSESESSSESDSDTESSTTDSESNEAPRVATPEPEPPSTNKWQLDKWLNKVTSQNKSFICGQNETPMETISLPPPIIQPMEVQMKVKTNASQVPAEPKERPLLSLIREKARPRPTQKIPETKALKHKLSTTSETVSQRTIGKKQPKKVEKNTSTDEFTWPKPNITSSTPKEKESVELHDPPRGRNKATAHKPAPRKEPRPNIPLAPEKKKYRGPGKIVPKSREFIETDSSTSDSNTDQEETLQIKVLPPCIISGGNTAKSKEICGASLTLSTLMSSSGSNNNLSISNEEPTFSPIPVMQTEILSPLRDHENLKNLWVKIDLDLLSRVPGHSSLHAAPAKPDHKETATKPKRQTAVTAVEKPAPKGKRKHKPIEVAEKIPEKKQRLEEATTICLLPPCISPAPPHKPPNTRENNSSRRANRRKEEKLFPPPLSPLPEDPPRRRNVSGNNGPFGQDKNIAMTGQITSTKPKRTEGKFCATFKGISVNEGDTPKKASSATITVTNTAIATATVTATAIVTTTVTATATATATTTTTTTTISTITSTITTGLMDSSHLEMTSWAALPLLSSSSTNVRRPKLTFDDSVHNADYYMQEAKKLKHKADALFEKFGKAVNYADAALSFTECGNAMERDPLEAKSPYTMYSETVELLRYAMRLKNFASPLASDGDKKLAVLCYRCLSLLYLRMFKLKKDHAMKYSRSLMEYFKQNASKVAQIPSPWVSNGKNTPSPVSLNNVSPINAMGNCNNGPVTIPQRIHHMAASHVNITSNVLRGYEHWDMADKLTRENKEFFGDLDTLMGPLTQHSSMTNLVRYVRQGLCWLRIDAHLL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPGANYRAGAGAGAGARRPRGARDREEDGGGLEPAAVARDLLRGTSNMSFEELLELQSQVGTKTYKQLVAGNSPKKQASRPPIQNACVADKHRPLEMSAKIRVPFLRQVVPISKKVARDPRFDDLSGEYNPEVFDKTYQFLNDIRAKEKELVKKQLKKHLSGEEHEKLQQLLQRMEQQEMAQQERKQQQELHLALKQERRAQAQQGHRPYFLKKSEQRQLALAEKFKELKRSKKLENFLSRKRRRNAGKDRRHLPLSKE", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDGQEHAEVPNSMVEDDQSVVAAEAIAELANSTGEPNPEEGEEQSVEDELIAKAQKLMEDITSVANNPNPNILHALSQLLESQESLFLEENGHFSNARGSHNSGKLCILIRENDEFFELISSTFLSENSYSTAVKAASARLLMNCSLTWMYPHVFDDAVTENFKNWVMEEAVKFPGEDSAKKEASDFEMLKTYSTGLLALSLASRGQIVEDVLTSGLSAKLMHYLRVRVLKEPSTSRIHTTETKHVSLKTKEEGRSRVRKIVDTVEGDHVLETDSGREMGQTDVQPDGEFEIDGRDVFNVSGVVDCKIKPGDDNSVRDDPSRHRLNRSKSRGRGRVHEGAPDTEVLLASPRLGRLLVRDRDLSKISDGRNAEDVTVCLGKMKSGIMEIEREDNDECFQGCIIGTKNITDLVKRAVGAAETEARAAHAPDDAAKAAGDAAAELVKTAALEEFKSSGSEEAAVSAATRAAITVIDAAEVSRNPTCVTSDQTTDVSEVSLPDIESLAQLQEKYCIQCLEILGEYVEVLGPVLHEKGVDVCIVLLERTSQLDDRSTVSPLLPDVMKLICALAAHRKFAAMFVERRGILKLLAVPRVSETFYGLSSCLYTIGSLQGIMERVCALPLVVIHQVVKLAIELLDCSQDQARKNSALFFAAAFVFRAILDAFDAQDSLQKLLAILKDAASVRTGANTDRSAPEVMTSSEKQMAFHTCFALRQYFRAHLLLLVDSIRPSRISRGGVPSSMKPNIRAAYKPLDISNEAVDAIFLQLQKDRRLGPTFVKAQWPAVNNFLASSGHVTMLELCQTPPVDRYLHDLLQYAFGVLHIVTSIPDGRKAIAHATLSNNRAGIAVILDAANISNSIVDPEIIQPALNVLINLVCPPPSLSNKPPLAQNHQPVPGQATTRPSTDVAVGTQSTGNAPQTPVAPASSGLVGDRRIFLGAGTGSAGLAAKLEQVYRQAREAVRGNDGIKILLKLLQPRIYVNPPATPDCLRALACRVLLGLARDDTIAQILTKLEVGKSLSELIRDSGGQSSGTDQGRWQAELAQVALELIGIVTNSGHATTLTASDAATPTLRRIERAAIAAATPITYDSKELLLLIHEHLQASGLGDTASALLKEAQLTPLPSSASPSSIAYSTTQEMSTPLAQEQWPSGRANSGFFTSKPKVCAHDEDPNSRSNAALSAKKKHLASSTLEMPTPVAQQQWPSGRANCGFCPSIPKINARDEDPSSRGNAAPSAKKKQLTFSPSFSSQSRKQSFSHDALPQSTQRINCCSNSDPALADTSETAAELVLKNDLDADAQFKTPISFPRKRKLSELRDSSVPGKRIDLGERRNSTFADGSGLQTPASALDANQSGSSRLGQMTPASQLRLPSDPQPSNPERLSLDSLVVQYLKHQHRQCLAPITTLPPVSLLHPHVCPEPKRLLEAPLNMTGRLGTRELQSFYSGVHGNRRDRQFVFSRFKSWRSFRDETALFTCIALLGGTNHIAVGSHAGEIKIFEASSGSMLESVSGHQAPVTLVQPYVSRDTQLLLSSSSSDVQLWDASSITGGPRHSFDGCKAAKFSNSGLQFAALSCEASRKDVLLYDVQTCSPCQKLTDTVTSSRSNPYSLVHFSPCDTLILWNGVLWDRRIPEKVRRFDQFTDYGGGGFHPSRNEVIINSEIWDMRTFKLLRSVPSLDQTAITFNSRGDVIYAMLRRNIEDVMSAVHTRRVKHPLFAAFRTLDAINYSDIATIPVDRCLLDFATEPTDSFLGLITMEDQEDMFSSARMYEIGRRRPTDDDSDPDDDDETEDEDEDDEEEDDLDRILGLAGDNSDSGDDDLSSEDNEDSVSDFDEEADILIDGDFMEELIEGENEDDGNGEDEDDDDDGEMQDFMSSGEEDDYRDNIRSS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPPPQHPPNYYAPRRSISTITGPNRRDVDAFYQNNFPEKNGGSSGEHVPEYQASGQQHRPSIMSGQSHQNNHLPTKNYSYEPLRFSPPNVTPPPLQFSTNTDGNRKNQRVRFNELPNYSTPNHYSVPPRKCSLAPNFFSSQNSHHMYPDQYTPRTWQNNEFMPNHQVHPYHANHQQQHPQQHWRNQAASNGNHNPMYMRKHSAGHGIEIKLDHVDNPFGNPSHDMMDVTSGQPVKSEMLSPIKMETTDPSQQIASPSFLMTSTSLLKQHLHKKSHHNVPSRKASIMALKSQLRTPRGTPLNISTVPGTELPYTPPPILAPMRNGSGLFCQIVKSANSSLPVAEQSPDAPSCSTNGVDGDMKHLMNGKKRSEDGDGPSRKNGFFYMAQQMNQTNFANELEALRKESWASTSSADEKMQTERKESLESIRKASCMSDSYYEIEEGPKISDPNPHINLGKNYQARVKKWCDRQVSTSERDAIEDRDEIVFSSEILQDIDPEQITAFELLACSQACPRAGRNKELALHLLMENKGNIEAAVEDLLRSDTLDWEHYSSVFGYMYNDSVLWTPDEIYQFQDAIYQSEKDFDKVAVELPGKSVKECVQFYYTWKKDCPDDYRKLRNLRRKRQLLDINLQKNQSEEPVVPAKKISIIESGDSDNESNATDSSFIGNGHMEFRDRAFTSPMMSSPREEPIIGLSPSSKDLFGIQKNYQPTAPRAHHTPSASASKKGAQPSADGFFHCRLCDKCFEKVKSLNAHMKSHAMKARAEQEAKAHDAQVAAAAAAQLTSAVGNVVGNPVATSPLNSFANGHLGISIPSTIGNLTPQQLTPQQLNLNQQLQTQLNSLSNQMSLNSPLTPQQQLQQFTQQHLMARAMQQNLFQPVTSTPLVQPTHPLIQAGLHSIN", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MANENHGSPREEASLLSHSPGTSNQSQPCSPKPIRLVQDLPEELVHAGWEKCWSRRENRPYYFNRFTNQSLWEMPVLGQHDVISDPLGLNATPLPQDSSLVETPPAENKPRKRQLSEEQPSGNGVKKPKIEIPVTPTGQSVPSSPSIPGTPTLKMWGTSPEDKQQAALLRPTEVYWDLDIQTNAVIKHRGPSEVLPPHPEVELLRSQLILKLRQHYRELCQQREGIEPPRESFNRWMLERKVVDKGSDPLLPSNCEPVVSPSMFREIMNDIPIRLSRIKFREEAKRLLFKYAEAARRLIESRSASPDSRKVVKWNVEDTFSWLRKDHSASKEDYMDRLEHLRRQCGPHVSAAAKDSVEGICSKIYHISLEYVKRIREKHLAILKENNISEEVEAPEVEPRLVYCYPVRLAVSAPPMPSVEMHMENNVVCIRYKGEMVKVSRNYFSKLWLLYRYSCIDDSAFERFLPRVWCLLRRYQMMFGVGLYEGTGLQGSLPVHVFEALHRLFGVSFECFASPLNCYFRQYCSAFPDTDGYFGSRGPCLDFAPLSGSFEANPPFCEELMDAMVSHFERLLESSPEPLSFIVFIPEWREPPTPALTRMEQSRFKRHQLILPAFEHEYRSGSQHICKKEEMHYKAVHNTAVLFLQNDPGFAKWAPTPERLQELSAAYRQSGRSHSSGSSSSSSSEAKDRDSGREQGPSREPHPT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGSSIKINSISIDLAGAANEIDMVKCDHFSMRGFVAETRERDLRKCWPFSEESVSLVDQQSYTLPTLSVPKFRWWHCMSCIKDIDAHGPKDCGLHSNSKAIGNSSVIESKSKFNSLTIIDHEKEKKTDIADNAIEEKVGVNCENDDQTATTFLKKARGRPMGASNVRSKSRKLVSPEQVGNNRSKEKLNKPSMDISSWKEKQNVDQAVTTFGSSEIAGVVEDTPPKATKNHKGIRGLMECDNGSSESINLAMSGLQRRKSRKVRLLSELLGNTKTSGGSNIRKEESALKKESVRGRKRKLLPENNYVSRILSTMGATSENASKSCDSDQGNSESTDSGFDRTPFKGKQRNRRFQVVDEFVPSLPCETSQEGIKEHDADPSKRSTPAHSLFTGNDSVPCPPGTQRTERKLSLPKKKTKKPVIDNGKSTVISFSNGIDGSQVNSHTGPSMNTVSQTRDLLNGKRVGGLFDNRLASDGYFRKYLSQVNDKPITSLHLQDNDYVRSRDAEPNCLRDFSSSSKSSSGGWLRTGVDIVDFRNNNHNTNRSSFSNLKLRYPPSSTEVADLSRVLQKDASGADRKGKTVMVQEHHGAPRSQSHDRKETTTEEQNNDDIPMEIVELMAKNQYERCLPDKEEDVSNKQPSQETAHKSKNALLIDLNETYDNGISLEDNNTSRPPKPCSSNARREEHFPMGRQQNSHDFFPISQPYVPSPFGIFPPTQENRASSIRFSGHNCQWLGNLPTVGNQNPSPSSFRVLRACDTCQSVPNQYREASHPIWPSSMIPPQSQYKPVSLNINQSTNPGTLSQASNNENTWNLNFVAANGKQKCGPNPEFSFGCKHAAGVSSSSSRPIDNFSSESSIPALHLLSLLDPRLRSTTPADQHGNTKFTKRHFPPANQSKEFIELQTGDSSKSAYSTKQIPFDLYSKRFTQEPSRKSFPITPPIGTSSLSFQNASWSPHHQEKKTKRKDTFAPVYNTHEKPVFASSNDQAKFQLLGASNSMMLPLKFHMTDKEKKQKRKAESCNNNASAGPVKNSSGPIVCSVNRNPADFTIPEPGNVYMLTGEHLKVRKRTTFKKKPAVCKQDAMKQTKKPVCPPTQNA", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAALFLRGFVQIGNCKTGISKSKEAFIEAVERKKKDRLVLYFKSGKYSTFRLSDNIQNVVLKSYRGNQNHLHLTLQNNNGLFIEGLSSTDAEQLKIFLDRVHQNEVQPPVRPGKGGSVFSSTTQKEINKTSFHKVDEKSSSKSFEIAKGSGTGVLQRMPLLTSKLTLTCGELSENQHKKRKRMLSSSSEMNEEFLKENNSVEYKKSKADCSRCVSYNREKQLKLKELEENKKLECESSCIMNATGNPYLDDIGLLQALTEKMVLVFLLQQGYSDGYTKWDKLKLFFELFPEKICHGLPNLGNTCYMNAVLQSLLSIPSFADDLLNQSFPWGKIPLNALTMCLARLLFFKDTYNIEIKEMLLLNLKKAISAAAEIFHGNAQNDAHEFLAHCLDQLKDNMEKLNTIWKPKSEFGEDNFPKQVFADDPDTSGFSCPVITNFELELLHSIACKACGQVILKTELNNYLSINLPQRIKAHPSSIQSTFDLFFGAEELEYKCAKCEHKTSVGVHSFSRLPRILIVHLKRYSLNEFCALKKNDQEVIISKYLKVSSHCNEGTRPPLPLSEDGEITDFQLLKVIRKMTSGNISVSWPATKESKDILAPHIGSDKESEQKKGQTVFKGASRRQQQKYLGKNSKPNELESVYSGDRAFIEKEPLAHLMTYLEDTSLCQFHKAGGKPASSPGTPLSKVDFQTVPENPKRKKYVKTSKFVAFDRIINPTKDLYEDKNIRIPERFQKVSEQTQQCDGMRICEQAPQQALPQSFPKPGTQGHTKNLLRPTKLNLQKSNRNSLLALGSNKNPRNKDILDKIKSKAKETKRNDDKGDHTYRLISVVSHLGKTLKSGHYICDAYDFEKQIWFTYDDMRVLGIQEAQMQEDRRCTGYIFFYMHNEIFEEMLKREENAQLNSKEVEETLQKE", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MATPETRRRPNILVTGSPGTGKSTLGQQVAEKLGFVFIEVSKEVRENNLQGDFDEQYNCHVLDEDKLLDHISDRLDSDEGGIVVDYHGCDLFPERWFDVVVVLRCPTEKLYDRLQSRGYSEFKIKENVECEIFGTLLEEARESYSEDIVHELQSETTEQMEENLERICELAGEFKNEHTMEQ", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSGFDDLGVYYSDSFGGEQQVGDDGQAKKSQLKKRFREFLRQYRIGTDRTGFTFKYRDELKRHYNLGEYWIEVEMEDLASFDEDLADYLYKQPTEHLQLLEEAAQEVADEVTRPRPAGEETIQEIQVMLRSDANPANIRSLKSEQMSHLVKIPGIIIAATAVRAKATKISIQCRSCRNTIGNIAVRPGLEGYAMPRKCNTEQAGRPNCPLDPYFIIPDKCKCVDFQTLKLQESPDAVPHGELPRHMQLYCDRYLCDKVVPGNRVTIMGIYSIRKSGKTSTKGRDRVGVGIRSSYIRVVGIQVDTEGTGRSAAGAITPQEEEEFRRLAAKPDIYETVAKSIAPSIYGSSDIKKAIACLLFGGSRKRLPDGLTRRGDVNLLMLGDPGTAKSQLLKFVERCSPIGVYTSGKGSSAAGLTASVMRDPVSRNFIMEGGAMVLADGGVVCIDEFDKMREDDRVAIHEAMEQQTISIAKAGITTTLNSRCSVLAAANSVYGRWDDTKGEENIDFMPTILSRFDMIFIVKDEHNEQRDMTLAKHVMNVHLSARTQSSSVEGEVDLNTLKKYIAYCRAKCGPRLSAEAAEKLKNRYILMRSGAREHERETEKRSSIPITVRQLEAIVRISESLGKMKLQPFATETDVEEALRLFQVSTLDAAMSGSLSGVEGFTTQEDQEMLSRIEKQMKKRFAIGSQVSEHSIIQDFLKQKYPEHAIHKVLSLMMRRGEIQHRLQRKVLYRIK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAYVLTETSAGYALLKASDKKIYKSSSLIQDLDSSDKVLKEFKIAAFSKFNSAANALEEANSIIEGKVSSQLEKLLEEIKKDKKSTLIVSETKLANAINKLGLNFNVVSDAVTLDIYRAIKEYLPELLPGMSDNDLSKMSLGLAHSIGRHKLKFSADKVDVMIIQAIALLDDLDKELNTYAMRCKEWYGWHFPELAKIVTDSVAYARIILTMGIRSKASETDLSEILPEEIEERVKTAAEVSMGTEITQTDLDNINALAEQIVEFAAYREQLSNYLSARMKAIAPNLTQLVGELVGARLIAHSGSLISLAKSPASTIQILGAEKALFRALKTKHDTPKYGLLYHASLVGQATGKNKGKIARVLAAKAAVSLRYDALAEDRDDSGDIGLESRAKVENRLSQLEGRDLRTTPKVVREAKKVEMTEARAYNADADTAKAASDSESDSDDEEEEKKEKKEKKRKRDDDEDSKDSKKAKKEKKDKKEKKEKKEKKEKKEKKEKKEKKSKKEKKEKK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MARDQFYGHNNHHHQEQQHQMINQIQGFDETNQNPTDHHHYNHQIFGSNSNMGMMIDFSKQQQIRMTSGSDHHHHHHQTSGGTDQNQLLEDSSSAMRLCNVNNDFPSEVNDERPPQRPSQGLSLSLSSSNPTSISLQSFELRPQQQQQQGYSGNKSTQHQNLQHTQMMMMMMNSHHQNNNNNNHQHHNHHQFQIGSSKYLSPAQELLSEFCSLGVKESDEEVMMMKHKKKQKGKQQEEWDTSHHSNNDQHDQSATTSSKKHVPPLHSLEFMELQKRKAKLLSMLEELKRRYGHYREQMRVAAAAFEAAVGLGGAEIYTALASRAMSRHFRCLKDGLVGQIQATSQALGEREEDNRAVSIAARGETPRLRLLDQALRQQKSYRQMTLVDAHPWRPQRGLPERAVTTLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMIEEMYCEETRSEQMEITNPMMIDTKPDPDQLIRVEPESLSSIVTNPTSKSGHNSTHGTMSLGSTFDFSLYGNQAVTYAGEGGPRGDVSLTLGLQRNDGNGGVSLALSPVTAQGGQLFYGRDHIEEGPVQYSASMLDDDQVQNLPYRNLMGAQLLHDIV", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEFWGIEVKSGKPVTVTPEEGILIHVSQASLGECKNKKGEFVPLHVKVGNQNLVLGTLSTENIPQLFCDLVFDKEFELSHTWGKGSVYFVGYKTPNIEPQGYSEEEEEEEEEVPAGNAAKAVAKPKAKPAEVKPAVDDEEDESDSDGMDEDDSDGEDSEEEEPTPKKPASSKKRANETTPKAPVSAKKAKVAVTPQKTDEKKKGGKAANQSPKSASQVSCGSCKKTFNSGNALESHNKAKHAAAK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSSSEDEDDKFLYGSDSELALPSSKRSRDDEADAGASSNPDIVKRQKFDSPVEETPATARDDRSDEDIYSDSSDDDSDSDLEVIISLGPDPTRLDAKLLDSYSTAATSSSKDVISVATDVSNTITKTSDERLITEGEANQGVTATTVKATESDGNVPKAMTGSIDLDKEGIFDSVGITTIDPEVLKEKPWRQPGANLSDYFNYGFNEFTWMEYLHRQEKLQQDYNPRRILMGLLSLQQQGKLNSANDTDSNLGNIIDNNNNVNNANMSNLNSNMGNSMSGTPNPPAPPMHPSFPPLPMFGSFPPFPMPGMMPPMNQQPNQNQNQNSK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDVSQLTDAELRDSLKSHGVSVGPIVATTRKLYEKKLIKLSDGSINNQSNLNDSQFNEDSLIISSSPKKSPPQRVFQNVSAATAAATTSPESDSDDCEESMRYLTEEEMAADRASARQAQSNKGGFLGSTITFTILFVFIAVFAYFLIENAEQLKLVAETNPEDTI", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGKKTKRTADSSSSEDEEEYVVEKVLDRRVVKGQVEYLLKWKGFSEEHNTWEPEKNLDCPELISEFMKKYKKMKEGENNKPREKSESNKRKSNFSNSADDIKSKKKREQSNDIARGFERGLEPEKIIGATDSCGDLMFLMKWKDTDEADLVLAKEANVKCPQIVIAFYEERLTWHAYPEDAENKEKETAKS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSFTFTKSDGSSILFAVSKNFEHIRGFKNAIDCFKGKIEFLSFDKVDPTKHDYYLVAEDERVSDLDIPKGFFERNPEFRHKMLKIAWITQCIEQGKLLPTESFEVELNQDDVNRTHDGFRKRELFTLEDEKILIDHVHKNDINRFGTKVYEELARKYPQHSLESWRQHYKYMKKRLPPVSDSDESNYCQRIIVKPYSSQKDYTQSTHEQTLSSPISKSASVSKSENKALVNNKRYSDSYFYFSKMRRISIDVDYVDEDLNLINAYLSQFGKKRSLNELCALLSRRFSNRHTFSEWRALFMHFFPFINSEGVDPAILSDRETSAMLDETSDNEVADIDDQMIERKYLFSASEPNTVKSTNRLIFSERKAYAADDSIDNTPSKVPIVNSLSDPRTNRPFFYSNPDSMYRSISNPLHLVDSQHLSPLNRKTHFNNPIGQPQFTCLDDHEKTLRETSFRSLDDMSLRKSNSDNIFVKPGEDLEIPLLSDYSDSENISEKSSDDEEAFEKQVTSSYSSPIKVKSQGKSSKGSSGLDVREHEGSDDDAEVFVDRSPESFGATKVAHTSLEGNAASHKKVEENMQQPVTKKQKKYRMVNEEAHTGPTIIIPSDNNEKVTTLPAGHVPSEEKGKFINLAMHELQNEVSILRSSVNHREVDEAIDNILRYTNSTEQQFLEAMESTGGRVRIAIAKLLSKQTS", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MERVNDASCGPSGCYTYQVSRHSTEMLHNLNQQRKNGGRFCDVLLRVGDESFPAHRAVLAACSEYFESVFSAQLGDGGAADGGPADVGGATAAPGGGAGGSRELEMHTISSKVFGDILDFAYTSRIVVRLESFPELMTAAKFLLMRSVIEICQEVIKQSNVQILVPPARADIMLFRPPGTSDLGFPLDMTNGAALAANSNGIAGSMQPEEEAARAAGAAIAGQASLPVLPGVDRLPMVAGPLSPQLLTSPFPSVASSAPPLTGKRGRGRPRKANLLDSMFGSPGGLREAGILPCGLCGKVFTDANRLRQHEAQHGVTSLQLGYIDLPPPRLGENGLPISEDPDGPRKRSRTRKQVACEICGKIFRDVYHLNRHKLSHSGEKPYSCPVCGLRFKRKDRMSYHVRSHDGSVGKPYICQSCGKGFSRPDHLNGHIKQVHTSERPHKCQTCNASFATRDRLRSHLACHEDKVPCQVCGKYLRAAYMADHLKKHSEGPSNFCSICNRGFSSASYLKVHVKTHHGVPLPQVSRHQEPILNGGAAFHCARTYGNKEGQKCSHQDPIESSDSYGDLSDASDLKTPEKQSANGSFSCDMAVPKNKMESDGEKKYPCPECGSFFRSKSYLNKHIQKVHVRALGGPLGDLGPALGSPFSPQQNMSLLESFGFQIVQSAFASSLVDPEVDQQPMGPEGK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MYYGISQFSEAYNKILRNSSSHSSCQLVIFVSCLNIDALCATKMLSLLFKKQLVQSQIVPIFGYSELRRHYSQLDDNINSLLLVGFGGVIDLEAFLEIDPQEYVIDTDEKSGEQSFRRDIYVLDAHRPWNLDNIFGSQIIQCFDDGTVDDTLGEQKEAYYKLLELDEESGDDELSGDENDNNGGDDEATDADEVTDEDEEDEDETISNKRGNSSIGPNDLSKRKQRKKQIHEYEGVLEEYYSQGTTVVNSISAQIYSLLSAIGETNLSNLWLNILGTTSLDIAYAQVYNRLYPLLQDEVKRLTPSSRNSVKTPDTLTLNIQPDYYLFLLRHSSLYDSFYYSNYVNAKLSLWNENGKKRLHKMFARMGIPLSTAQETWLYMDHSIKRELGIIFDKNLDRYGLQDIIRDGFVRTLGYRGSISASEFVEALTALLEVGNSTDKDSVKINNDNNDDTDGEEEEDNSAQKLTNLRKRWVSNFWLSWDALDDRKVELLNRGIQLAQDLQRAIFNTGVAILEKKLIKHLRIYRLCVLQDGPDLDLYRNPLTLLRLGNWLIECCAESEDKQLLPMVLASIDENTDTYLVAGLTPRYPRGLDTIHTKKPILNNFSMAFQQITAETDAKVRIDNFESSIIEIRREDLSPFLEKLTLSGLL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAAQVAPAAASSLGNPPPPPPSELKKAEQQQREEAGGEAAAAAAAERGEMKAAAGQESEGPAVGPPQPLGKELQDGAESNGGGGGGGAGSGGGPGAEPDLKNSNGNAGPRPALNNNLTEPPGGGGGGSSDGVGAPPHSAAAALPPPAYGFGQPYGRSPSAVAAAAAAVFHQQHGGQQSPGLAALQSGGGGGLEPYAGPQQNSHDHGFPNHQYNSYYPNRSAYPPPAPAYALSSPRGGTPGSGAAAAAGSKPPPSSSASASSSSSSFAQQRFGAMGGGGPSAAGGGTPQPTATPTLNQLLTSPSSARGYQGYPGGDYSGGPQDGGAGKGPADMASQCWGAAAAAAAAAAASGGAQQRSHHAPMSPGSSGGGGQPLARTPQPSSPMDQMGKMRPQPYGGTNPYSQQQGPPSGPQQGHGYPGQPYGSQTPQRYPMTMQGRAQSAMGGLSYTQQIPPYGQQGPSGYGQQGQTPYYNQQSPHPQQQQPPYSQQPPSQTPHAQPSYQQQPQSQPPQLQSSQPPYSQQPSQPPHQQSPAPYPSQQSTTQQHPQSQPPYSQPQAQSPYQQQQPQQPAPSTLSQQAAYPQPQSQQSQQTAYSQQRFPPPQELSQDSFGSQASSAPSMTSSKGGQEDMNLSLQSRPSSLPDLSGSIDDLPMGTEGALSPGVSTSGISSSQGEQSNPAQSPFSPHTSPHLPGIRGPSPSPVGSPASVAQSRSGPLSPAAVPGNQMPPRPPSGQSDSIMHPSMNQSSIAQDRGYMQRNPQMPQYSSPQPGSALSPRQPSGGQIHTGMGSYQQNSMGSYGPQGGQYGPQGGYPRQPNYNALPNANYPSAGMAGGINPMGAGGQMHGQPGIPPYGTLPPGRMSHASMGNRPYGPNMANMPPQVGSGMCPPPGGMNRKTQETAVAMHVAANSIQNRPPGYPNMNQGGMMGTGPPYGQGINSMAGMINPQGPPYSMGGTMANNSAGMAASPEMMGLGDVKLTPATKMNNKADGTPKTESKSKKSSSSTTTNEKITKLYELGGEPERKMWVDRYLAFTEEKAMGMTNLPAVGRKPLDLYRLYVSVKEIGGLTQVNKNKKWRELATNLNVGTSSSAASSLKKQYIQCLYAFECKIERGEDPPPDIFAAADSKKSQPKIQPPSPAGSGSMQGPQTPQSTSSSMAEGGDLKPPTPASTPHSQIPPLPGMSRSNSVGIQDAFNDGSDSTFQKRNSMTPNPGYQPSMNTSDMMGRMSYEPNKDPYGSMRKAPGSDPFMSSGQGPNGGMGDPYSRAAGPGLGNVAMGPRQHYPYGGPYDRVRTEPGIGPEGNMSTGAPQPNLMPSNPDSGMYSPSRYPPQQQQQQQQRHDSYGNQFSTQGTPSGSPFPSQQTTMYQQQQQNYKRPMDGTYGPPAKRHEGEMYSVPYSTGQGQPQQQQLPPAQPQPASQQQAAQPSPQQDVYNQYGNAYPATATAATERRPAGGPQNQFPFQFGRDRVSAPPGTNAQQNMPPQMMGGPIQASAEVAQQGTMWQGRNDMTYNYANRQSTGSAPQGPAYHGVNRTDEMLHTDQRANHEGSWPSHGTRQPPYGPSAPVPPMTRPPPSNYQPPPSMQNHIPQVSSPAPLPRPMENRTSPSKSPFLHSGMKMQKAGPPVPASHIAPAPVQPPMIRRDITFPPGSVEATQPVLKQRRRLTMKDIGTPEAWRVMMSLKSGLLAESTWALDTINILLYDDNSIMTFNLSQLPGLLELLVEYFRRCLIEIFGILKEYEVGDPGQRTLLDPGRFSKVSSPAPMEGGEEEEELLGPKLEEEEEEEVVENDEEIAFSGKDKPASENSEEKLISKFDKLPVKIVQKNDPFVVDCSDKLGRVQEFDSGLLHWRIGGGDTTEHIQTHFESKTELLPSRPHAPCPPAPRKHVTTAEGTPGTTDQEGPPPDGPPEKRITATMDDMLSTRSSTLTEDGAKSSEAIKESSKFPFGISPAQSHRNIKILEDEPHSKDETPLCTLLDWQDSLAKRCVCVSNTIRSLSFVPGNDFEMSKHPGLLLILGKLILLHHKHPERKQAPLTYEKEEEQDQGVSCNKVEWWWDCLEMLRENTLVTLANISGQLDLSPYPESICLPVLDGLLHWAVCPSAEAQDPFSTLGPNAVLSPQRLVLETLSKLSIQDNNVDLILATPPFSRLEKLYSTMVRFLSDRKNPVCREMAVVLLANLAQGDSLAARAIAVQKGSIGNLLGFLEDSLAATQFQQSQASLLHMQNPPFEPTSVDMMRRAARALLALAKVDENHSEFTLYESRLLDISVSPLMNSLVSQVICDVLFLIGQS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MFRKRLVNKSSSDEKNQKKRQKINFSEEKLVASDEEKGSSDLMSLAKSGNSRTLQLSHENEGKLQKKGEDLDKYTLTVNDDSTKEDLLNFERKELAEKAKKRRPSDDNELVLNMSGKNKRLTKQINQPTNIRTTVLMDFQPDVCKDYKQTGYCGYGDSCKFLHSRDDFKTGWKLNQEWNADKEDSKAVTLDLEKIPFKCTLCKEDYKSPVVTNCGHYFCGSCFAKDMKKGTKCFICHKETHGSAKVASDLQKMLNKRKS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSGTMPLQPTFYGFIGDTTDALIIFEACLSGKLFHVPRRPHDRERQDLIKSGNIFVYEEHASGIKRWTDSISWSPSRILGNYLLYRELEKPFPPGEKKRARGRNGKSTTQSGGITKSRPRNSVPFQTGLEHGNEYTTVPSDDERHLVGSLVDSYDFKEQGLVKKTISITYQGVPHHLVSYYHVEDVKAGLLPSPVDDPRLRGVVPRTELLTGQNFRAPIEEAQHGTYLSGAYMPNMDHQYAPIGFPTHTQQPALQQQPQQQPQPQHQPQLQYQPQPHQHQPQLQYQPQQQHQPQQQYRPQPQHQPQLQYQPQALHPTAHGYPQSYGQTWW", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MREESRSRKRKHIPVDIEEVEVRSKYFKKNERTVELVKENKINKDLQNYGGVNIDWIKALKPIEYFEWIESRTCDDPRTWGRPITKEEMINDSGAKVPESFLPIYNRVRLMRSKVKTPVDAMGCSMIPVLVSNKCGIPSEKVDPKNFRLQFLIGTMLSAQTRDERMAQAALNITEYCLNTLKIAEGITLDGLLKIDEPVLANLIRCVSFYTRKANFIKRTAQLLVDNFDSDIPYDIEGILSLPGVGPKMGYLTLQKGWGLIAGICVDVHVHRLCKMWNWVDPIKCKTAEHTRKELQVWLPHSLWYEINTVLVGFGQLICMARGKRCDLCLANDVCNARNEKLIESSKFHQLEDKEDIEKVYSHWLDTVTNGITTERHKKK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNGTTSSINFLTSDDDASAAAMEAFIGTNHHSSLFPPPPQQPPQPQFNEDTLQQRLQALIESAGENWTYAIFWQISHDFDSSTGDNTVILGWGDGYYKGEEDKEKKKNNTNTAEQEHRKRVIRELNSLISGGIGVSDESNDEEVTDTEWFFLVSMTQSFVNGVGLPGESFLNSRVIWLSGSGALTGSGCERAGQGQIYGLKTMVCIATQNGVVELGSSEVISQSSDLMHKVNNLFNFNNGGGNNGVEASSWGFNLNPDQGENDPALWISEPTNTGIESPARVNNGNNSNSNSKSDSHQISKLEKNDISSVENQNRQSSCLVEKDLTFQGGLLKSNETLSFCGNESSKKRTSVSKGSNNDEGMLSFSTVVRSAANDSDHSDLEASVVKEAIVVEPPEKKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYSLRAVVPNVSKMDKASLLGDAISYINELKSKLQQAESDKEEIQKKLDGMSKEGNNGKGCGSRAKERKSSNQDSTASSIEMEIDVKIIGWDVMIRVQCGKKDHPGARFMEALKELDLEVNHASLSVVNDLMIQQATVKMGSQFFNHDQLKVALMTKVGENY", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSNQALYEKLEQTRTILSVKLAELINMTTIADRNDDDEGSFAQENSELAVATTSVMMVNNQTMQLIKNVQDLLILTRSIKEKWLLNQIPVTEHSKVTRFDEKQIEELLDNCIETFVAEKTT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEEKYLPELMAEKDSLDPSFTHALRLVNQEIEKFQKGEGKDEEKYIDVVINKNMKLGQKVLIPVKQFPKFNFVGKLLGPRGNSLKRLQEETLTKMSILGKGSMRDKAKEEELRKSGEAKYFHLNDDLHVLIEVFAPPAEAYARMGHALEEIKKFLIPDYNDEIRQAQLQELTYLNGGSENADVPVVRGKPTLRTRGVPAPAITRGRGGVTARPVGVVVPRGTPTPRGVLSTRGPVSRGRGLLTPRARGVPPTGYRPPPPPPTQETYGEYDYDDGYGTAYDEQSYDSYDNSYSTPAQSGADYYDYGHGLSEETYDSYGQEEWTNSRHKAPSARTAKGVYRDQPYGRY", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVEADRPGKLFIGGLNLETDEKALEAEFGKYGRIVEVLLMKDRETNKSRGFAFVTFESPADAKAAARDMNGKSLDGKAIKVAQATKPAFESSRRGPPPPRSRGRPRFLRGTRGGGGGPRRSPSRGGPDDDGGYTADFDLRPSRAPMPMKRGPPPRRVGPPPKRAAPSGPARSSGGGMRGRALAVRGRDGYSGPPRREPLPPRRDPYLGPRDEGYSSRDGYSSRDYREPRGFAPSPGEYTHRDYGHSSVRDDCPLRGYSDRDGYGGRDRDYGDHLSRGSHREPFESYGELRGAAPGRGTPPSYGGGGRYEEYRGYSPDAYSGGRDSYSSSYGRSDRYSRGRHRVGRPDRGLSLSMERGCPPQRDSYSRSGCRVPRGGGRLGGRLERGGGRSRY", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDSSQYMLKATLTQMCLCSRGVRNVHSEHPQQQDSSLTLWRPWLLGAGDRELDGQQRRSGEADGVPTNTGPKGALGFQHPVRLYMPKSKTSEYLQHMGRKVLASFPVQATIHFYNDDSDSEEEDEEEEMEFYNYYQNCAANGVDSSRGSSDNYSVQGGPKRNIGSHAGSA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASRRKSTTPCMVLASEQDPDLELISDLDEGPPVLTPVENTRAESISSDEEVHESVDSDNQQNKKVEGGYECKYCTFQTPDLNMFTFHVDSEHPNVVLNSSYVCVECNFLTKRYDALSEHNLKYHPGEENFKLTMVKRNNQTIFEQTINDLTFDGSFVKEENAEQAESTEVSSSGISISKTPIMKMMKNKVENKRIAVHHNSVEDVPEEKENEIKPDREEIVENPSSSASESNTSTSIVNRIHPSTASTVVTPAAVLPGLAQVITAVSAQQNSNLIPKVLIPVNSIPTYNAALDNNPLLLNTYNKFPYPTMSEITVLSAQAKYTEEQIKIWFSAQRLKHGVSWTPEEVEEARRKQFNGTVHTVPQTITVIPTHISTGSNGLPSILQTCQIVGQPGLVLTQVAGTNTLPVTAPIALTVAGVPSQNNIQKSQVPAAQPTAETKPATAAVPTSQSVKHETALVNPDSFGIRAKKTKEQLAELKVSYLKNQFPHDSEIIRLMKITGLTKGEIKKWFSDTRYNQRNSKSNQCLHLNNDSSTTIIIDSSDETTESPTVGTAQPKQSWNPFPDFTPQKFKEKTAEQLRVLQASFLNSSVLTDEELNRLRAQTKLTRREIDAWFTEKKKSKALKEEKMEIDESNAGSSKEEAGETSPADESGAPKSGSTGKICKKTPEQLHMLKSAFVRTQWPSPEEYDKLAKESGLARTDIVSWFGDTRYAWKNGNLKWYYYYQSANSSSMNGLSSLRKRGRGRPKGRGRGRPRGRPRGSKRINNWDRGPSLIKFKTGTAILKDYYLKHKFLNEQDLDELVNKSHMGYEQVREWFAERQRRSELGIELFEENEEEDEVIDDQEEDEEETDDSDTWEPPRHVKRKLSKSDD", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLRRQARERREYLYRKAQELQDSQLQQKRQIIKQALAQGKPLPKELAEDESLQKDFRYDQSLKESEEADDLQVDDEYAATSGIMDPRIIVTTSRDPSTRLSQFAKEIKLLFPNAVRLNRGNYVMPNLVDACKKSGTTDLVVLHEHRGVPTSLTISHFPHGPTAQFSLHNVVMRHDIINAGNQSEVNPHLIFDNFTTALGKRVVCILKHLFNAGPKKDSERVITFANRGDFISVRQHVYVRTREGVEIAEVGPRFEMRLFELRLGTLENKDADVEWQLRRFIRTANKKDYL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSRRRKANPTKLSENAKKLAKEVENSQEENDCDMLAKPQTPTIIIPGHMDDTPNPAGSPHDASIKSSSSTISDHTSTSATTGISDFPDILAQTEHGCSVLIDGNHLREIINSVDTQDGKQDLLSDVIRQLTSIKERLTNDESPVKDDLKEDPDDMSPMLHAGNFDSEMLLRQHELMQHQQQQMIIANMLKATQSLPLLFNGGLNYEAILNNPVLNATIAGHLPNPLASNISLLQKSISAKLAAAGNMQTVEKVETPLNLSKDTPSPTAIPQSPLSGFRLPYSLGTNYGSDGQLFNNCSPNSSGKSTPGNTSVTSEVATPRPQAKSPNHIKRPMNAFMVWARDERRKILKAYPDMHNSNISKILGSRWKGMSNSEKQPYYEEQSRLSKLHMEQHPDYRYRPRPKRTCVIDGKKVRVNEYKTIMKTKKDLMWGDEPGFSQPSDLQMDLASHVNLLNDLTQHHHQSHLLQTAE", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAGDTHCPAEPLAREGTLWEALRALLPHSKEDLKLDLGEKVERSVVTLLQRATELFYEGRRDECLQSSEVILDYSWEKLNTGTWQDVDKDWRRVYAIGCLLKALCLCQAPEDANTVAAALRVCDMGLLMGAAILGDILLKVAAILQTHLPGKRPARGSLPEQPCTKKARADHGLIPDVKLEKTVPRLHRPSLQHFREQFLVPGRPVILKGVADHWPCMQKWSLEYIQEIAGCRTVPVEVGSRYTDEEWSQTLMTVNEFISKYIVNEPRDVGYLAQHQLFDQIPELKQDISIPDYCSLGDGEEEEITINAWFGPQGTISPLHQDPQQNFLVQVMGRKYIRLYSPQESGALYPHDTHLLHNTSQVDVENPDLEKFPKFAKAPFLSCILSPGEILFIPVKYWHYVRALDLSFSVSFWWS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSTGQEARRDEGDSRKEQEASLRDRAHLSQQRQLKQATQFLHKDSADLLPLDSLKRLGTSKDLQPHSVIQRRLVEGNQRRLQGESPLLQALIRGHDSSRTSATQVPALLVNCKCQDQMLRVAVDTGTQHNQISAGCLRRLGLGKRVPKAPGGDVAPEPPTQVEQLELELGQETVACSAQVVDVDSPEFCLGLQTLLSLKLATSLSASSLLPSALGIPTESICDSEALVPHSLLSLPKCTTKSLTEKDLQQMGSRLHPGCRGQSYLLPPLA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVWEVKTNQMPNAVQKLLLVMDKRASGMNDSLELLQCNENLPSSPGYNSCDEHMELDDLPELQAVQSDPTQSGMYQLSSDVSHQEYPRSSWNQNTSDIPETTYRENEVDWLTELANIATSPQSPLMQCSFYNRSSPVHIIATSKSLHSYARPPPVSSSSKSEPAFPHHHWKEETPVRHERANSESESGIFCMSSLSDDDDLGWCNSWPSTVWHCFLKGTRLCFHKGSNKEWQDVEDFARAEGCDNEEDLQMGIHKGYGSDGLKLLSHEESVSFGESVLKLTFDPGTVEDGLLTVECKLDHPFYVKNKGWSSFYPSLTVVQHGIPCCEVHIGDVCLPPGHPDAINFDDSGVFDTFKSYDFTPMDSSAVYVLSSMARQRRASLSCGGPGGQDFARSGFSKNCGSPGSSQLSSNSLYAKAVKNHSSGTVSATSPNKCKRPMNAFMLFAKKYRVEYTQMYPGKDNRAISVILGDRWKKMKNEERRMYTLEAKALAEEQKRLNPDCWKRKRTNSGSQQH", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MALRGGHAAAAAGVSSGSEDDDEEAGFSRSYFLAKEKEPSSGKKRARAAGKLSDLNLVDEQVLRASLAEIPPKHEREVEALTRSYKEQYRNWLFELRCGFGLLMYGFGSKKMLLEDFASTTLSDFTVIVVNGYLPSINLKQVIVTIAEIFWEQTKLKRKRQTATRSQLQPFASQSIDDIISFLNNQTSDNGDDNVCLLIHNIDGPALRDAESQQYLAQVSCCPQVHVVASVDHVNAPLLWDKKMVHTQFKWSWYHVPTFAPYKVEGVFYPLILASGGHAQTMKTALVVLQSLTPNAQSVFRVLAEYQLAHEKEEGMHFSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKIRKHSDGQDCLHIPLVSDALEKLLQELT", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDFHNILVMASEQQGLNAVPKRYSLAVGPPKKVPKVKGVESAAVQAFLRRKEEEKRKKELEEKRKKERLLAKRIELKHDRKARAMASRTKDNFYGYNGIPVEEKPKKRRRTCENVSQAPEAEYATENEAEQLEFAQTESEYEQEEYDEKPSKAAVKPKAPPKSAPAPLNFADLLRLAEKKQYEPVEIKVVKKIEERPRTAEELREREYLERKNKRVETQKKKSEKEVKSAGISSSSKKATSLKECADAKLSRSAADKHAPPKSSLSSLSGTDKKPKAPALTEKHSRSFSSSKLSQMEKGKTSQNSSLKSPAAGSHSKLPANGMGKTGSSFPVPSSKPMANGAQRLPSAKESSLKKPVHTKPGNAAALQHETNSSAKRPSSSLGKGGSGHPAGGSSAGPGRSSSNSGTGPGRPGSVSSPGPGRQGSSSAAGPGRPSSSSSLGPGRLGSGSGVGPGRPGGSSSTGLGRPGGSSGTGPGRPGNSTNTAPGRLGSGMGTGPGRPGVGPSAGPGRPGSSSGTGPGRPGVSPSAGPGRPGLTAVKPRCTVVSETISSKNLVTRPSNGQINGMRSPPGHRPVFRPQGIGRPPVGYKRQIDDDDDDDEYDSEMDDFIEDEGEPQEEISKHIREIFGYDRKRYKDESDYALRYMESSWREQQKEEARSLRLGVQEDLEELRREEEELKRKRQSKKLRTR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTKLPNMTTTLNHLFDLPGQICHVQCGFCTTILLVSVPFTSLSMVVTVRCGHCTSLLSVNLMKASFIPLHLLASLSHLDETGKEEVAATDGVEEEAWKVNQEKENSPTTLVSSSDNEDEDVSRVYQVVNKPPEKRQRAPSAYNCFIKEEIRRLKAQNPSMAHKEAFSLAAKNWAHFPPAHNKRAASDQCFCEEDNNAILPCNVFEDHEESNNGFRERKAQRHSIWGKSPFE", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MARPQNHRRSNWTERDDNDDYLFQRFLEESETRHSREPSPVTEQSQQELQQDVQQAIDGIFNSLRRNMSSTSNINRAANMDATTNGNGGINADTIRATNANTADSPFTARQQSPLRTFLRNLFILDYFIGLILFPFSVYNILRSGFNSMTFSENDFIIEIVGYWKFAKIFGSGGTTLIAYKDTGKLGLLGKFHNIIVFYSSPVIKHIMKSRDGNEPNLNWIRLMFAKAFELFVKVSTILIYLAYGVSGTVYMVTAGFFFVLCLLFTVIRRYKGVHRMLVSQRITGPGVF", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGKGNEDSDLHCSSIQCSTDQPPFQQISFTEKGSDEKKPFKEKGKTAFSHSSEKHIQRQGSEPNPNKENSEETKLKAGNSTAGSEPESSSYRENCRKRKMSSKDSCQDTAGNCPEKECSLSLNKKSRSSTAVHNSEIQETCDAHHRGHSRACTGHSKRHRSRALGVQTPSIRKSLVTSVRAMSEAVYQDLAQVWAQQIHSPLTCEQLTLLTRLRGPLCAQVQTLYSMATQAAYVFPAESWLVPATLPGPGESALDREAHPFPGQEITETVSGSDEAKL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTQIHQINDIDVHRITSGQVITDLTTAVKELVDNSIDANANQIEIIFKDYGLESIECSDNGDGIDPSNYEFLALKHYTSKIAKFQDVAKVQTLGFRGEALSSLCGIAKLSVITTTSPPKADKLEYDMVGHITSKTTTSRNKGTTVLVSQLFHNLPVRQKEFSKTFKRQFTKCLTVIQGYAIINAAIKFSVWNITPKGKKNLILSTMRNSSMRKNISSVFGAGGMRGLEEVDLVLDLNPFKNRMLGKYTDDPDFLDLDYKIRVKGYISQNSFGCGRNSKDRQFIYVNKRPVEYSTLLKCCNEVYKTFNNVQFPAVFLNLELPMSLIDVNVTPDKRVILLHNERAVIDIFKTTLSDYYNRQELALPKRMCSQSEQQAQKRLKTEVFDDRSTTHESDNENYHTARSESNQSNHAHFNSTTGVIDKSNGTELTSVMDGNYTNVTDVIGSECEVSVDSSVVLDEGNSSTPTKKLPSIKTDSQNLSDLNLNNFSNPEFQNITSPDKARSLEKVVEEPVYFDIDGEKFQEKAVLSQADGLVFVDNECHEHTNDCCHQERRGSTDTEQDDEADSIYAEIEPVEINVRTPLKNSRKSISKDNYRSLSDGLTHRKFEDEILEYNLSTKNFKEISKNGKQMSSIISKRKSEAQENIIKNKDELEDFEQGEKYLTLTVSKNDFKKMEVVGQFNLGFIIVTRKVDNKYDLFIVDQHASDEKYNFETLQAVTVFKSQKLIIPQPVELSVIDELVVLDNLPVFEKNGFKLKIDEEEEFGSRVKLLSLPTSKQTLFDLGDFNELIHLIKEDGGLRRDNIRCSKIRSMFAMRACRSSIMIGKPLNKKTMTRVVHNLSELDKPWNCPHGRPTMRHLMELRDWSSFSKDYEI", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKVNRETKRLYVGGLSQDISEADLQNQFSRFGEVSDVEIITRKDDQGNPQKVFAYINISVAEADLKKCMSVLNKTKWKGGTLQIQLAKESFLHRLAQEREAAKAKKEESTTGNANLLEKTGGVDFHMKAVPGTEVPGHKNWVVSKFGRVLPVLHLKNQHKRKIIKYDPSKYCHNLKKIGEDFSNTIPISSLTWELEGGNDPMSKKRRGEFSDFHGPPKKIIKVQKDESSTGSLAMSTRPRRVIERPPLTQQQAAQKRTCDSITPSKSSPVPVSDTQKLKNLPFKTSGLETAKKRNSISDDDTDSEDELRMMIAKEENLQRTTQPSINESESDPFEVVRDDFKSGVHKLHSLIGLGIKNRVSCHDSDDDIMRNDREYDSGDTDEIIAMKKNVAKVKNSTEFSQMEKSTKKTSFKNRENCELSDHCIKLQKRKSNVESALSHGLKSLNRKSPSHSSSSEDADSASELADSEGGEEYNAMMKNCLRVNLTLADLEQLAGSDLKVPNEDTKSDGPETTTQCKFDRGSKSPKTPTGLRRGRQCIRPAEIVASLLEGEENTCGKQKPKENNLKPKFQAFKGVGCLYEKESMKKSLKDSVASNNKDQNSMKHEDPSIISMEDGSPYVNGSLGEVTPCQHAKKANGPNYIQPQKRQTTFESQDRKAVSPSSSEKRSKNPISRPLEGKKSLSLSAKTHNIGFDKDSCHSTTKTEASQEERSDSSGLTSLKKSPKVSSKDTREIKTDFSLSISNSSDVSAKDKHAEDNEKRLAALEARQKAKEVQKKLVHNALANLDGHPEDKPTHIIFGSDSECETEETSTQEQSHPGEEWVKESMGKTSGKLFDSSDDDESDSEDDSNRFKIKPQFEGRAGQKLMDLQSHFGTDDRFRMDSRFLETDSEEEQEEVNEKKTAEEEELAEEKKKALNVVQSVLQINLSNSTNRGSVAAKKFKDIIHYDPTKQDHATYERKRDDKPKESKAKRKKKREEAEKLPEVSKEMYYNIAMDLKEIFQTTKYTSEKEEGTPWNEDCGKEKPEEIQDPAALTSDAEQPSGFTFSFFDSDTKDIKEETYRVETVKPGKIVWQEDPRLQDSSSEEEDVTEETDHRNSSPGEASLLEKETTRFFFFSKNDERLQGSDLFWRGVGSNMSRNSWEARTTNLRMDCRKKHKDAKRKMKPK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMQGNTCHRMSFHPGRGCPRGRGGHGARPSAPSFRPQNLRLLHPQQPPVQYQYEPPSAPSTTFSNSPAPNFLPPRPDFVPFPPPMPPSAQGPLPPCPIRPPFPNHQMRHPFPVPPCFPPMPPPMPCPNNPPVPGAPPGQGTFPFMMPPPSMPHPPPPPVMPQQVNYQYPPGYSHHNFPPPSFNSFQNNPSSFLPSANNSSSPHFRHLPPYPLPKAPSERRSPERLKHYDDHRHRDHSHGRGERHRSLDRRERGRSPDRRRQDSRYRSDYDRGRTPSRHRSYERSRERERERHRHRDNRRSPSLERSYKKEYKRSGRSYGLSVVPEPAGCTPELPGEIIKNTDSWAPPLEIVNHRSPSREKKRARWEEEKDRWSDNQSSGKDKNYTSIKEKEPEETMPDKNEEEEEELLKPVWIRCTHSENYYSSDPMDQVGDSTVVGTSRLRDLYDKFEEELGSRQEKAKAARPPWEPPKTKLDEDLESSSESECESDEDSTCSSSSDSEVFDVIAEIKRKKAHPDRLHDELWYNDPGQMNDGPLCKCSAKARRTGIRHSIYPGEEAIKPCRPMTNNAGRLFHYRITVSPPTNFLTDRPTVIEYDDHEYIFEGFSMFAHAPLTNIPLCKVIRFNIDYTIHFIEEMMPENFCVKGLELFSLFLFRDILELYDWNLKGPLFEDSPPCCPRFHFMPRFVRFLPDGGKEVLSMHQILLYLLRCSKALVPEEEIANMLQWEELEWQKYAEECKGMIVTNPGTKPSSVRIDQLDREQFNPDVITFPIIVHFGIRPAQLSYAGDPQYQKLWKSYVKLRHLLANSPKVKQTDKQKLAQREEALQKIRQKNTMRREVTVELSSQGFWKTGIRSDVCQHAMMLPVLTHHIRYHQCLMHLDKLIGYTFQDRCLLQLAMTHPSHHLNFGMNPDHARNSLSNCGIRQPKYGDRKVHHMHMRKKGINTLINIMSRLGQDDPTPSRINHNERLEFLGDAVVEFLTSVHLYYLFPSLEEGGLATYRTAIVQNQHLAMLAKKLELDRFMLYAHGPDLCRESDLRHAMANCFEALIGAVYLEGSLEEAKQLFGRLLFNDPDLREVWLNYPLHPLQLQEPNTDRQLIETSPVLQKLTEFEEAIGVIFTHVRLLARAFTLRTVGFNHLTLGHNQRMEFLGDSIMQLVATEYLFIHFPDHHEGHLTLLRSSLVNNRTQAKVAEELGMQEYAITNDKTKRPVALRTKTLADLLESFIAALYIDKDLEYVHTFMNVCFFPRLKEFILNQDWNDPKSQLQQCCLTLRTEGKEPDIPLYKTLQTVGPSHARTYTVAVYFKGERIGCGKGPSIQQAEMGAAMDALEKYNFPQMAHQKRFIERKYRQELKEMRWEREHQEREPDETEDIKK", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MENYEALVGFDLCNTPLSSVAQKIMSAMHSGDLVDSKTWGKSTETMEVINKSSVKYSVQLEDRKTQSPEKKDLKSLRSQTSRGSAKLSPQSFSVRLTDQLSADQKQKSISSLTLSSCLIPQYNQEASVLQKKGHKRKHFLMENINNENKGSINLKRKHITYNNLSEKTSKQMALEEDTDDAEGYLNSGNSGALKKHFCDIRHLDDWAKSQLIEMLKQAAALVITVMYTDGSTQLGADQTPVSSVRGIVVLVKRQAEGGHGCPDAPACGPVLEGFVSDDPCIYIQIEHSAIWDQEQEAHQQFARNVLFQTMKCKCPVICFNAKDFVRIVLQFFGNDGSWKHVADFIGLDPRIAAWLIDPSDATPSFEDLVEKYCEKSITVKVNSTYGNSSRNIVNQNVRENLKTLYRLTMDLCSKLKDYGLWQLFRTLELPLIPILAVMESHAIQVNKEEMEKTSALLGARLKELEQEAHFVAGERFLITSNNQLREILFGKLKLHLLSQRNSLPRTGLQKYPSTSEAVLNALRDLHPLPKIILEYRQVHKIKSTFVDGLLACMKKGSISSTWNQTGTVTGRLSAKHPNIQGISKHPIQITTPKNFKGKEDKILTISPRAMFVSSKGHTFLAADFSQIELRILTHLSGDPELLKLFQESERDDVFSTLTSQWKDVPVEQVTHADREQTKKVVYAVVYGAGKERLAACLGVPIQEAAQFLESFLQKYKKIKDFARAAIAQCHQTGCVVSIMGRRRPLPRIHAHDQQLRAQAERQAVNFVVQGSAADLCKLAMIHVFTAVAASHTLTARLVAQIHDELLFEVEDPQIPECAALVRRTMESLEQVQALELQLQVPLKVSLSAGRSWGHLVPLQEAWGPPPGPCRTESPSNSLAAPGSPASTQPPPLHFSPSFCL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MHTPPALPRRFQGGGRVRTPGSHRQGKDNLERDPSGGCVPDFLPQAQDSNHFIMESLFCESSGDSSLEKEFLGAPVGPSVSTPNSQHSSPSRSLSANSIKVEMYSDEESSRLLGPDERLLEKDDSVIVEDSLSEPLGYCDGSGPEPHSPGGIRLPNGKLKCDVCGMVCIGPNVLMVHKRSHTGERPFHCNQCGASFTQKGNLLRHIKLHSGEKPFKCPFCNYACRRRDALTGHLRTHSVSSPTVGKPYKCNYCGRSYKQQSTLEEHKERCHNYLQSLSTEAQALAGQPGDEIRDLEMVPDSMLHSSSERPTFIDRLANSLTKRKRSTPQKFVGEKQMRFSLSDLPYDVNSGGYEKDVELVAHHSLEPGFGSSLAFVGAEHLRPLRLPPTNCISELTPVISSVYTQMQPLPGRLELPGSREAGEGPEDLADGGPLLYRPRGPLTDPGASPSNGCQDSTDTESNHEDRVAGVVSLPQGPPPQPPPTIVVGRHSPAYAKEDPKPQEGLLRGTPGPSKEVLRVVGESGEPVKAFKCEHCRILFLDHVMFTIHMGCHGFRDPFECNICGYHSQDRYEFSSHIVRGEHKVG", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDLKTSYKGISLNPIYAGSSAVATVSENGKILATPVLDEINIIDLTPGSRKILHKISNEDEQEITALKLTPDGQYLTYVSQAQLLKIFHLKTGKVVRSMKISSPSYILDADSTSTLLAVGGTDGSIIVVDIENGYITHSFKGHGGTISSLKFYGQLNSKIWLLASGDTNGMVKVWDLVKRKCLHTLQEHTSAVRGLDIIEVPDNDEPSLNLLSGGRDDIINLWDFNMKKKCKLLKTLPVNQQVESCGFLKDGDGKRIIYTAGGDAIFQLIDSESGSVLKRTNKPIEELFIIGVLPILSNSQMFLVLSDQTLQLINVEEDLKNDEDTIQVTSSIAGNHGIIADMRYVGPELNKLALATNSPSLRIIPVPDLSGPEASLPLDVEIYEGHEDLLNSLDATEDGLWIATASKDNTAIVWRYNENSCKFDIYAKYIGHSAAVTAVGLPNIVSKGYPEFLLTASNDLTIKKWIIPKPTASMDVQIIKVSEYTRHAHEKDINALSVSPNDSIFATASYDKTCKIWNLENGELEATLANHKRGLWDVSFCQYDKLLATSSGDKTVKIWSLDTFSVMKTLEGHTNAVQRCSFINKQKQLISCGADGLIKIWDCSSGECLKTLDGHNNRLWALSTMNDGDMIVSADADGVFQFWKDCTEQEIEEEQEKAKLQVEQEQSLQNYMSKGDWTNAFLLAMTLDHPMRLFNVLKRALGESRSRQDTEEGKIEVIFNEELDQAISILNDEQLILLMKRCRDWNTNAKTHTIAQRTIRCILMHHNIAKLSEIPGMVKIVDAIIPYTQRHFTRVDNLVEQSYILDYALVEMDKLF", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEFFISMSETIKYNDDDHKTLFLKTLNEQRLEGEFCDIAIVVEDVKFRAHRCVLAACSTYFKKLFKKLEVDSSSVIEIDFLRSDIFEEVLNYMYTAKISVKKEDVNLMMSSGQILGIRFLDKLCSQKRDVSSPDENNGQSKSKYCLKINRPIGDAADTQDDDVEEIGDQDDSPSDDTVEGTPPSQEDGKSPTTTLRVQEAILKELGSEEVRKVNCYGQEVESMETPESKDLGSQTPQALTFNDGMSEVKDEQTPGWTTAASDMKFEYLLYGHHREQIACQACGKTFSDEGRLRKHEKLHTADRPFVCEMCTKGFTTQAHLKEHLKIHTGYKPYSCEVCGKSFIRAPDLKKHERVHSNERPFACHMCDKAFKHKSHLKDHERRHRGEKPFVCGSCTKAFAKASDLKRHENNMHSERKQVTPSAIQSETEQLQAAAMAAEAEQQLETIACS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPRSFLVKTHSSHRVPNYGKLETLREANGSCSACKELAGSRHLPDEEAPCNPSDPLQPWDSTSAVACISLPLLPNHRETLGVSGPEPQETSWVGPRAAQAPSVTLKDSFTLPPLLVLPTRWPPILGPDGALNEHLRAEGTSRVPGSFECIHCHRPYHTLAGLARHQQLHCHLPTGRAFTCRYCDKEYASLGALKMHIRTHTLPCICKVCGKAFSRPWLLQGHIRTHTGEKPYTCSHCSRAFADRSNLRAHLQTHVGTKKYRCAVCPKAFSRMSLLARHEEAGCCPGP", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTSSSPAGLEGSDLSSINTMMSAVMSVGKVTENGGSPQGIKSPSKPPGPNRIGRRNQETKEEKSSYNCPLCEKICTTQHQLTMHIRQHNTDTGGADHSCSICGKSLSSASSLDRHMLVHSGERPYKCTVCGQSFTTNGNMHRHMKIHEKDPNSATATAPPSPLKRRRLSSKRKLSHDAESEREDPAPAKKMVEDGQSGDLEKKADEVFHCPVCFKEFVCKYGLETHMETHSDNPLRCDICCVTFRTHRGLLRHNALVHKQLPRDAMGRPFIQNNPSIPAGFHDLGFTDFSCRKFPRISQAWCETNLRRCISEQHRFVCDTCDKAFPMLCSLALHKQTHVAADQGQEKPQATPLPGDALDQKGFLALLGLQHTKDVRPAPAEEPLPDDNQAIQLQTLKCQLPQDPGCTNLLSLSPFEAASLGGSLTVLPATKDSIKHLSLQPFQKGFIIQPDSSIVVKPISGESAIELADIQQILKMAASAPPQISLPPFSKAPAAPLQAIFKHMPPLKPKPLVTPRTVVATSTPPPLINAQQASPGCISPSLPPPPLKLLKGSVEAASNAHLLQSKSGTQPHAATRLSLQQPRAELPGQPEMKTQLEQDSIIEALLPLSMEAKIKQEITEGELKAFMTAPGGKKTPAMRKVLYPCRFCNQVFAFSGVLRAHVRSHLGISPYQCNICDYIAADKAALIRHLRTHSGERPYICKICHYPFTVKANCERHLRKKHLKATRKDIEKNIEYVSSSAAELVDAFCAPDTVCRLCGEDLKHYRALRIHMRTHCGRGLGGGHKGRKPFECKECSAAFAAKRNCIHHILKQHLHVPEQDIESYVLAADGLGPAEAPAAEASGRGEDSGCAALGDCKPLTAFLEPQNGFLHRGPTQPPPPHVSIKLEPASSFAVDFNEPLDFSQKGLALVQVKQENISFLSPSSLVPYDCSMEPIDLSIPKNFRKGDKDLATPSEAKKPEEEAGSSEQPSPCPAPGPSLPVTLGPSGILESPMAPAPAATPEPPAQPLQGPVQLAVPIYSSALVSSPPLVGSSALLSGTALLRPLRPKPPLLLPKPPVTEELPPLASIAQIISSVSSAPTLLKTKVADPGPASTGSNTTASDSLGGSVPKAATTATPAATTSPKESSEPPAPASSPEAASPTEQGPAGTSKKRGRKRGMRSRPRANSGGVDLDSSGEFASIEKMLATTDTNKFSPFLQTAEDNTQDEVAGAPADHHGPSDEEQGSPPEDKLLRAKRNSYTNCLQKITCPHCPRVFPWASSLQRHMLTHTDSQSDAETAAAAGEVLDLTSRDREQPSEGATELRQVAGDAPVEQATAETASPVHREEHGRGESHEPEEEHGTEESTGDADGAEEDASSNQSLDLDFATKLMDFKLAEGDGEAGAGGAASQEQKLACDTCGKSFKFLGTLSRHRKAHGRQEPKDEKGDGASTAEEGPQPAPEQEEKPPETPAEVVESAPGAGEAPAEKLAEETEGPSDGESAAEKRSSEKSDDDKKPKTDSPKSVASKADKRKKVCSVCNKRFWSLQDLTRHMRSHTGERPYKCQTCERTFTLKHSLVRHQRIHQKARHAKHHGKDSDKEERGEEDSENESTHSGNNAVSENEAELAPNASNHMAVTRSRKEGLASATKDCSHREEKVTAGWPSEPGQGDLNPESPAALGQDLLEPRSKRPAHPILATADGASQLVGME", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSSPDCGYSSDDQIQGSCSVPMMMGQYQWTEPLTVFQDLKPKRDEGSADSRSKAEGRIRRPMNAFMVWAKDERKRLAQQNPDLHNAELSKMLGKSWKSLTLATKRPFVEEAERLRVQHIQDYPDYKYRPRRKKQVKRMKREEDGFLPSANFPGSQIMDNNVMVGENYRMQYSAQNHQQNQLPPAGYFEGHNSMGYYYRDYSVPNYHISQNSSGYDSPPAQDEYQALSYSFNSSYMPYQQNATTPVMAKQMAVTQNIPQESPEHGMMASPQMYNRQMYVSECAKTHPMAQTEQHFPSYQSQKTVRQNYLQSQQDGHLESDIDKTEFDQYLMYEPKADMEIIYTIDQDSGAYSTNLLPSLITEANNVCYYDYCGV", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEPSDDACTVAAPAAETAASSSGAGGGGGGGRTKKKAAGKGGPENGKFRYRGVRQRSWGKWVAEIREPRKRSRKWLGTFATAEDAARAYDRAALLLYGPRAHLNLTAPPPLPPPPPSSAAAAAASSSSAASSTSAPPLRPLLPRPPHLHPAFHHQPFHHHLLQPQPPPPPPPLYYAATASTSTVTTTTTAPPPQLAAAAPAAVLVAAAVSSTAETQAVVATAPEDAASAAAAAAAEEEAAWGFHGGDEEDYAAALLWSEPDPWFDLFLK", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAFSQSFNFGNSTLMALEKGMQADDKENAQPGNGNIQVQSAGNEVNSEIQEINSEFFRDEFSYEVNQAHKPAEQSVVNVSQVQQHMAVVSNQDSEDQSRSSALNDQICTQSSFEGEDAGADAVLDQPNLDENSFLCPAQDEEASEQLKEDILHSHSVLAKQEFYQEISQVTQNLSSMSPNQLRVSPNSSRIREAMPERPAMPLDLNTLRSISAWNLPMSIQAEYKKKGVVDMFDWQVECLSKPRLLFEHCNLVYSAPTSAGKTLVSEILMLKTVLERGKKVLLILPFISVVREKMFYMQDLLTPAGYRVEGFYGGYTPPGGFESLHVAICTIEKANSIVNKLMEQGKLETIGMVVVDEVHLISDKGRGYILELLLAKILYMSRRNGLQIQVITMSATLENVQLLQSWLDAELYITNYRPVALKEMIKVGTVIYDHRLKLVRDVAKQKVLLKGLENDSDDVALLCIETLLEGCSVIVFCPSKDWCENLAVQLATAIHVQIKSETVLGQRLRTNLNPRAIAEVKQQLRDIPTGLDGVMSKAITYACAFHHAGLTTEERDIIEASFKAGALKVLVATSTLSSGVNLPARRVLIRSPLFGGKQMSSLTYRQMIGRAGRMGKDTLGESILICNEINARMGRDLVVSELQPITSCLDMDGSTHLKRALLEVISSGVANTKEDIDFFVNCTLLSAQKAFHAKEKPPDEESDANYINDALDFLVEYEFVRLQRNEERETAVYVATRLGAACLASSMPPTDGLILFAELQKSRRSFVLESELHAVYLVTPYSVCYQLQDIDWLLYVHMWEKLSSPMKKVGELVGVRDAFLYKALRGQTKLDYKQMQIHKRFYIALALEELVNETPINVVVHKYKCHRGMLQSLQQMASTFAGIVTAFCNSLQWSTLALIVSQFKDRLFFGIHRDLIDLMRIPDLSQKRARALFDAGITSLVELAGADPVELEKVLYNSISFDSAKQHDHENADEAAKRNVVRNFYITGKAGMTVSEAAKLLIGEARQFVQHEIGLGTIKWTQTQAGVEIASRAIHDGGEVDLHMSLEEEQPPVKRKLSIEENGTANSQKNPRLETVVDTQRGYKVDKNIANQSKMNPNLKEIDAQNKARRNSTAHMDNLNPISNDPCQNNVNVKTAQPIISNLNDIQKQGSQIEKMKINPATVVCSPQLANEEKPSTSQSARRKLVNEGMAERRRVALMKIQQRTQKENQSKDQPIQASRSNQLSSPVNRTPANRWTQSENPNNEMNNSQLPRRNPRNQSPVPNANRTASRKVSNAEEDLFMADDSFMLNTGLAAALTAAESKIASCTEADVIPSSQPKEPEVIGALTPHASRLKRSDQLRSQRIQSPSPTPQREIEIDLESKNESNGVSSMEISDMSMENPLMKNPLHLNASHIMSCSKVDETASSFSSIDIIDVCGHRNAFQAAIIEINNATRLGFSVGLQAQAGKQKPLIGSNLLINQVAAAENREAAARERVLFQVDDTNFISGVSFCLADNVAYYWNMQIDERAAYQGVPTPLKVQELCNLMARKDLTLVMHDGKEQLKMLRKAIPQLKRISAKLEDAKVANWLLQPDKTVNFLNMCQTFAPECTGLANLCGSGRGYSSYGLDTSSAILPRIRTAIESCVTLHILQGQTENLSRIGNGDLLKFFHDIEMPIQLTLCQMELVGFPAQKQRLQQLYQRMVAVMKKVETKIYEQHGSRFNLGSSQAVAKVLGLHRKAKGRVTTSRQVLEKLNSPISHLILGYRKLSGLLAKSIQPLMECCQADRIHGQSITYTATGRISMTEPNLQNVAKEFSIQVGSDVVHISCRSPFMPTDESRCLLSADFCQLEMRILAHMSQDKALLEVMKSSQDLFIAIAAHWNKIEESEVTQDLRNSTKQVCYGIVYGMGMRSLAESLNCSEQEARMISDQFHQAYKGIRDYTTRVVNFARSKGFVETITGRRRYLENINSDVEHLKNQAERQAVNSTIQGSAADIAKNAILKMEKNIERYREKLALGDNSVDLVMHLHDELIFEVPTGKAKKIAKVLSLTMENCVKLSVPLKVKLRIGRSWGEFKEVSV", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAERYIPEHRRTQFKAKNQFKPDELRRRREEQQVEIRKAKRDENLAKRRGIQTQDGRIGVGGMAAATESDDEASAIESELNVELPQMVKGVFSDQVEEQIQATTKFRKLLSKERNPPIERVIETGVVARFVEFLRSPHTLVQFESAWALTNIASGSAQQTQVVIEAGAVPIFVELLSSPEPDVREQAVWALGNIAGDSPHCRDFVLGAGALRPLLNLINDGRKLSMLRNATWTLSNFCRGKTPQPDWNTIAPALPVLAKLIYMLDDEVLIDACWAISYLSDGANDKIQAVIEAGIPRRLVELLMHASTSVQTPALRSVGNIVTGDDVQTQVIINCGSLPALLSLLSSTKDGIRKEACWTISNVTAGNSSQIQAVIDAGIIAPLINLLANGDFKTRKEACWAISNATSGGLQKPDQIRYLVSQGCIKPLCDLLACPDNKIIQVALDGLENILKVGDMDKEAGQPGEARVNRYALFIEEAGGMEKIHDCQNNANEEIYMKAYNIIEKYFSDEDEAGGDIEELAPQQTQTGFTLGTGQQQPGGFNFGNGGDSMDM", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAGGVDGPIGIPFPDHSSDILSGLNEQRTQGLLCDVVILVEGREFPTHRSVLAACSQYFKKLFTSGAVVDQQNVYEIDFVSAEALTALMDFAYTATLTVSTANVGDILSAARLLEIPAVSRVCTDLLERQILAADDVGDAGQPDGAGPTDQRNLLRAKEYLEFFRSNPMNSLPPTAFQWPGFSAPDDDLDATKEAVAAAVAAVAAGDCNGLDFYGPGPPADRPPTGDGEEGDSTPGLWPERDEDAPPGGLFPPPTAPPATTQNGHYGRAGASTGEEEAVALSEAAPEPGDSPGFLSGAAEGEDGDAADVDGLAASTLLQQMMSSVGRAGDSDEESRPDDKGVMDYYLKYFSGAHEGDVYPAWSQKGEKKIRAKAFQKCPICEKVIQGAGKLPRHIRTHTGEKPYECNICKVRFTRQDKLKVHMRKHTGEKPYLCQQCGAAFAHNYDLKNHMRVHTGLRPYQCDSCCKTFVRSDHLHRHLKKDGCNGVPSRRGRKPRVRGVPPDVPSGAGAPPGLPDAPRNGQEKHFKDEEDDEEEASLDGLGRLNVAGSGGDDGAGGPTVAATEGNFAT", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTHGEELGSDVHQDSIVLTYLEGLLMHQAAGGSGTAINKKSAGHKEEDQNFNLSGSAFPSCQSNGPTVSTQTYQGSGMLHLKKARLLQSSEDWNAAKRKRLSDSIVNLNVKKEALLAGMVDSVPKGKQDSTLLASLLQSFSSRLQTVALSQQIRQSLKEQGYALSHESLKVEKDLRCYGVASSHLKTLLKKSKTKDQKSGPTLPDVTPNLIRDSFVESSHPAVGQSGTKVMSEPLSCAARLQAVASMVEKRASPAASPKPSVACSQLALLLSSEAHLQQYSREHALKTQNAHQVASERLAAMARLQENGQKDVGSSQLSKGVSGHLNGQARALPASKLVANKNNAATFQSPMGVVPSSPKNTSYKNSLERNNLKQAANNSLLLHLLKSQTIPTPMNGHSQNERASSFESSTPTTIDEYSDNNPSFTDDSSGDESSYSNCVPIDLSCKHRIEKPEAERPVSLENLTQSLLNTWDPKIPGVDIKEDQDTSTNSKLNSHQKVTLLQLLLGHKSEETVERNASPQDIHSDGTKFSPQNYTRTSVIESPSTNRTTPVSTPPLYTASQAESPINLSQHSLVIKWNSPPYACSTPASKLTNTAPSHLMDLTKGKESQAEKPAPSEGAQNSATFSASKLLQNLAQCGLQSSGPGEEQRPCKQLLSGNPDKPLGLIDRLNSPLLSNKTNAAEESKAFSSQPAGPEPGLPGCEIENLLERRTVLQLLLGNSSKGKNEKKEKTPARDEAPQEHSERAANEQILMVKIKSEPCDDFQTHNTNLPLNHDAKSAPFLGVTPAIHRSTAALPVSEDFKSEPASPQDFSFSKNGLLSRLLRQNQESYPADEQDKSHRNSELPTLESKNICMVPKKRKLYTEPLENPFKKMKNTAVDTANHHSGPEVLYGSLLHQEELKFSRNELDYKYPAGHSSASDGDHRSWARESKSFNVLKQLLLSENCVRDLSPHRSDSVPDTKKKGHKNNAPGSKPEFGISSLNGLMYSSPQPGSCVTDHRTFSYPGMVKTPLSPPFPEHLGCVGSRPEPGLLNGCSVPGEKGPIKWVIADMDKNEYEKDSPRLTKTNPILYYMLQKGGGNSVTTQETQDKDIWREPASAESLSQVTVKEELLPAAETKASFFNLRSPYNSHMGNNASRPHSTNGEVYGLLGNALTIKKESE", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAATAIEPSSSISFTSSHLSNPSPVVTTYHSAANLEELSSNLEQLLTNPDCDYTDAEIIIEEEANPVSVHRCVLAARSKFFLDLFKKDKDSSEKKPKYQMKDLLPYGNVGREAFLHFLSYIYTGRLKPFPIEVSTCVDSVCAHDSCKPAIDFAVELMYASFVFQIPDLVSSFQRKLRNYVEKSLVENVLPILLVAFHCDLTQLLDQCIERVARSDLDRFCIEKELPLEVLEKIKQLRVKSVNIPEVEDKSIERTGKVLKALDSDDVELVKLLLTESDITLDQANGLHYAVAYSDPKVVTQVLDLDMADVNFRNSRGYTVLHIAAMRREPTIIIPLIQKGANASDFTFDGRSAVNICRRLTRPKDYHTKTSRKEPSKYRLCIDILEREIRRNPLVSGDTPTCSHSMPEDLQMRLLYLEKRVGLAQLFFPAEANVAMDVANVEGTSECTGLLTPPPSNDTTENLGKVDLNETPYVQTKRMLTRMKALMKTVETGRRYFPSCYEVLDKYMDQYMDEEIPDMSYPEKGTVKERRQKRMRYNELKNDVKKAYSKDKVARSCLSSSSPASSLREALENPT", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSTGTYPYKMFKMDVTNLNKVEDVEIERLRAERELLRRQKEAAKNSSTNGSVNIEGTQDSNDLQYNAHLFKSSNPKEEYDSAIDVRNDISQDEDDYKRTNDVNDSYRLVRQYEAPKELLNEYADESYDPMQERQSKKQIQDRESDYQKQRYDRQLTPTRVDAFQPDGTQSNGRSYAEVMRQVELEKEERRVHMELNQRRREGTLKEVEEEESISDKKRELELNNTEISQKPKRSRWDQAPPSVTQVSTTKRRSRWDKAPENFTISEHVIENGISEDLINKEVNVVEEKLRPPVRLLTEEELNELLPSEGYAILEPPPGYLESIHPELLQKGTTLDTYHVPQEQELPLEKELPAALPTEIPGVGDLAFFKQEDVKYFGKLLKVEDEAKLTIAELRERKILRLLLKVKNGTPPMRKSALRQLTDQARDFGAAALFNQILPLLMERTLEDQERHLLVKVIDRILYKLDDLVRPFTHKILVVIEPLLIDEDYYARAEGREIISNLAKASGLAHMIATMRPDIDHVDEYVRNTTARAFSVVASALGVPALLPFLKAVCRSKKSWQARHTGVRIIQQIALLLGCSILPHLKNLVDCIGHGLEDEQQKVRIMTALSLSALAEAATPYGIEAFDSVLKPLWSGVQRHRGKSLAAFLKATGFIIPLMEPEYASHFTRRIMKILLREFNSPDEEMKKIVLKVVSQCASTDGVTPEYLRTDVLPEFFHCFWSRRMASDRRSYKQVVETTVVLAQQVGSRQIVERVVNNFKDESEPYRKMTAETVDKVIGSLGVSEIDERLEELLLDGVLFAFQEQSVEEKVILTCFSTVVNALGTRCKPYLPQIVSTILYRLNNKSANVREQAADLVSSITIVLKACGEEALMRKLGVVLYEYLGEEYPEVLGSILGAIKAIVSVVGMSSMQPPIRDLLPRLTPILRNRHEKVQENTIDLVGKIADRGSEYVSAREWMRICFELIDMLKAHKKSIRRAAVNTFGYISKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCMPFTVVPALMADYRTPEMNVQNGVLKSLAFMFEYIGEQARDYVYAITPLLADALMDRDAVHRQTAASVIKHLSLGCVGLGVEDAMIHLLNILWPNILEESPHVINAVREGIDGIRNCIGVGPIMAYLVQGLFHPSRKVRNTYWTSYNSAYVQSADAMVPYYPHVDDDQFNNYDMKTLHICI", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGRKLDPTKEKRGPGRKARKQKGAETELVRFLPAVSDENSKRLSSRARKRAAKRRLGSVEAPKTNKSPEAKPLPGKLPKGISAGAVQTAGKKGPQSLFNAPRGKKRPAPGSDEEEEEEDSEEDGMVNHGDLWGSEDDADTVDDYGADSNSEDEEEGEALLPIERAARKQKAREAAAGIQWSEEETEDEEEEKEVTPESGPPKVEEADGGLQINVDEEPFVLPPAGEMEQDAQAPDLQRVHKRIQDIVGILRDFGAQREEGRSRSEYLNRLKKDLAIYYSYGDFLLGKLMDLFPLSELVEFLEANEVPRPVTLRTNTLKTRRRDLAQALINRGVNLDPLGKWSKTGLVVYDSSVPIGATPEYLAGHYMLQGASSMLPVMALAPQEHERILDMCCAPGGKTSYMAQLMKNTGVILANDANAERLKSVVGNLHRLGVTNTIISHYDGRQFPKVVGGFDRVLLDAPCSGTGVISKDPAVKTNKDEKDILRCAHLQKELLLSAIDSVNATSKTGGYLVYCTCSITVEENEWVVDYALKKRNVRLVPTGLDFGQEGFTRFRERRFHPSLRSTRRFYPHTHNMDGFFIAKFKKFSNSIPQSQTGNSETATPTNVDLPQVIPKSENSSQPAKKAKGAAKTKQQLQKQQHPKKASFQKLNGISKGADSELSTVPSVTKTQASSSFQDSSQPAGKAEGIREPKVTGKLKQRSPKLQSSKKVAFLRQNAPPKGTDTQTPAVLSPSKTQATLKPKDHHQPLGRAKGVEKQQLPEQPFEKAAFQKQNDTPKGPQPPTVSPIRSSRPPPAKRKKSQSRGNSQLLLS", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNTSFGSVVPSTNFNFFKGHGNNDNTSANSTVNNSNFFLNSNETKPSKNVFMVHSTSQKKSQQPLQNLSHSPSYTENKPDKKKKYMINDAKTIQLVGPLISSPDNLGFQKRSHKARELPRFLINQEPQLEKRAFVQDPWDKANQEKMISLEESIDDLNELYETLKKMRNTERSIMEEKGLVDKADSAKDLYDAIVFQGTCLDMCPTFERSRRNVEYTVYSYEKNQPNDKKASRTKALKVFARPAAAAAPPLPSDVRPPHILVKTLDYIVDNLLTTLPESEGFLWDRMRSIRQDFTYQNYSGPEAVDCNERIVRIHLLILHIMVKSNVEFSLQQELEQLHKSLITLSEIYDDVRSSGGTCPNEAEFRAYALLSKIRDPQYDENIQRLPKHIFQDKLVQMALCFRRVISNSAYTERGFVKTENCLNFYARFFQLMQSPSLPLLMGFFLQMHLTDIRFYALRALSHTLNKKHKPIPFIYLENMLLFNNRQEIIEFCNYYSIEIINGDAADLKTLQHYSHKLSETQPLKKTYLTCLERRLQKTTYKGLINGGEDNLASSVYVKDPKKDRIPSIADQSFLMENFQNNYNEKLNQNSSVKPQINTSPKRVATRPNHFPFSQESKQLPQISQSHTLSTNPLLTPQVHGDLSEQKQQQIKTVTDGGSPFVFDQSAQNSTVEASKAHMISTTSNGAYDEKLSSEQEEMRKKEEQRIEEEKTQLKKKQENADKQVITEQIANDLVKEVVNSSVISIVKREFSEANYRKDFIDTMTRELYDAFLHERLYLIYMDSRAELKRNSTLKKKFFEKWQASYSQAKKNRILEEKKREEIKLVSHQLGVPGFKKSTCLFRTPYKGNVNSSFMLSSSDKNLIFSPVNDEFNKFATHLTKISKLWRPLEMQSIYYDNLTKKFPSNSLTPANLFIYAKDWTSLSNRWILSKFNLQTAQDSKKFSNNIISSRIICIDDEYEPSDFSDLQLLIFNTGVTNPDIFDLEMKLKDDGEELIKLITGISLNTNICFSLLIIYWESAENTLSESTIKHLLKLNRISKNYSSVIERIDLMNLTEESPHKCLEDKLSEISHSYVYKLTERGKYDKTLRQKRSLAGIHSRSTQLQTTKDIDQKMKKMLEKEKNKYQQQIGERNTYAHLESHIDASPRSKKRKLPILLSTSHSSQFKTPLASRLNTSGSSTSPPLPSHLAMKFRKNSRVTSLHTVLPVSTPSHSNNIPAASFSGNNTTDIQSQQLIENQKSTSVYLNNVSERILGNQEICQTPINPVTPVLDGADQGKEDIPDSILELKILIDSVKKKVNND", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEENEVESSSDAAPGPGRPEEPSESGLGVGTSEAVSADSSDAAAAPGQAEADDSGVGQSSDRGSRSQEEVSESSSSADPLPNSYLPDSSSVSHGPVAGVTGGPPALVHSSALPDPNMLVSDCTASSSDLGSAIDKIIESTIGPDLIQNCITVTSAEDGGAETTRYLILQGPDDGAPMTSPMSSSTLAHSLAAIEALADGPTSTSTCLEAQGGPSSPVQLPPASGAEEPDLQSLEAMMEVVVVQQFKCKMCQYRSSTKATLLRHMRERHFRPVAAAAAAAGKKGRLRKWSTSTKSQEEEGPEEEDDDDIVDAGAIDDLEEDSDYNPAEDEPRGRQLRLQRPTPSTPRPRRRPGRPRKLPRLEISDLPDGVEGEPLVSSQSGQSPPEPQDPEAPSSSGPGHLVAMGKVSRTPVEAGVSQSDAENAAPSCPDEHDTLPRRRGRPSRRFLGKKYRKYYYKSPKPLLRPFLCRICGSRFLSHEDLRFHVNSHEAGDPQLFKCLQCSYRSRRWSSLKEHMFNHVGSKPYKCDECSYTSVYRKDVIRHAAVHSRDRKKRPDPTPKLSSFPCPVCGRVYPMQKRLTQHMKTHSTEKPHMCDKCGKSFKKRYTFKMHLLTHIQAVANRRFKCEFCEFVCEDKKALLNHQLSHVSDKPFKCSFCPYRTFREDFLLSHVAVKHTGAKPFACEYCHFSTRHKKNLRLHVRCRHASSFEEWGRRHPEEPPSRRRPFFSLQQIEELKQQHSAAPGPPPSSPGPPEIPPEATTFQSSEAPSLLCSDTLGGATIIYQQGAEESTAMATQTALDLLLNMSAQRELGGTALQVAVVKSEDVEAGLASPGGQPSPEGATPQVVTLHVAEPGGGAAAESQLGPPDLPQITLAPGPFGGTGYSVITAPPMEEGTSAPGTPYSEEPAGEAAQAVVVSDTLKEAGTHYIMATDGTQLHHIELTADGSISFPSPDALASGAKWPLLQCGGLPRDGPEPPSPAKTHCVGDSQSSASSPPATSKALGLAVPPSPPSAATAASKKFSCKICAEAFPGRAEMESHKRAHAGPGAFKCPDCPFSARQWPEVRAHMAQHSSLRPHQCSQCSFASKNKKDLRRHMLTHTKEKPFACHLCGQRFNRNGHLKFHIQRLHSPDGRKSGTPTARAPTQTPTQTIILNSDDETLATLHTALQSSHGVLGPERLQQALSQEHIIVAQEQTVTNQEEAAYIQEITTADGQTVQHLVTSDNQVQYIISQDGVQHLLPQEYVVVPEGHHIQVQEGQITHIQYEQGAPFLQESQIQYVPVSPGQQLVTQAQLEAAAHSAVTAVADAAMAQAQGLFGTDETVPEHIQQLQHQGIEYDVITLADD", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAERGELDLTGAKQNTGVWLVKVPKYLSQQWAKASGRGEVGKLRIAKTQGRTEVSFTLNEDLANIHDIGGKPASVSAPREHPFVLQSVGGQTLTVFTESSSDKLSLEGIVVQRAECRPAASENYMRLKRLQIEESSKPVRLSQQLDKVVTTNYKPVANHQYNIEYERKKKEDGKRARADKQHVLDMLFSAFEKHQYYNLKDLVDITKQPVVYLKEILKEIGVQNVKGIHKNTWELKPEYRHYQGEEKSD", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPKAKGKTRRQKFGYSVNRKRLNRNARRKAAPRIECSHIRHAWDHAKSVRQNLAEMGLAVDPNRAVPLRKRKVKAMEVDIEERPKELVRKPYVLNDLEAEASLPEKKGNTLSRDLIDYVRYMVENHGEDYKAMARDEKNYYQDTPKQIRSKINVYKRFYPAEWQDFLDSLQKRKMEVE", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGRAGAAANGTPQNVQGITSYQQRITAQHPLPNQSECRKIYRYDGIYCESTYQNLQALRKEKSRDAARSRRGKENFEFYELAKLLPLPAAITSQLDKASIIRLTISYLKMRDFANQGDPPWNLRMEGPPPNTSVKGAQRRRSPSALAIEVFEAHLGSHILQSLDGFVFALNQEGKFLYISETVSIYLGLSQVELTGSSVFDYVHPGDHVEMAEQLGMKLPPGRGLLSQGTTEDAASSASSSSQSETPEPVETTSPSLLTTDNTLERSFFIRMKSTLTKRGVHIKSSGYKVIHITGRLRLRVPLSHGRTVPSQIMGLVVVAHALPPPTINEVRIDCHMFVTRVNMDLNIIYCENRISDYMDLTPVDIVGKRCYHFIHAEDVEGIRHSHLDLLNKGQCVTKYYRWMQKNGGYIWIQSSATIAINAKNANEKNIIWVNYLLSNPEYKDTPMDIAQLPHLPEKASESSETSDSESDSKDTSGITEDNENSKSDEKGNQSENSEDPEPDRKKSGSACDNDMNCNDDGHSSSNPDSRDSDDSFEHSDFEHPKAAEDGFGALGPMQIKVERYVESEADLRLQPCESLTSDSAKDSDSANEAGAQASSKHQKRKRRRKRQKGGSASRRRLSSASSPGLDAGLVEPPRLLSSPHSASVLKIKTEIAEPINFDNESSIWNYPPNREISRNESPYSMTKPPTSEHFPSPQGQGGSIGGGGALHVAIPDSVLTPPGADGTAGRKTQFSGTAPVPSDPLSPPLSASPRDKHPGGGAGSGGGGPGASNSLLYTGDLEALQRLQAGNVVLPLVHRVTGTLAATSTAAQRVYTTGTIRYAPAEVTLAMQGNLLPNAHAVNFVDVNSPGFGLDPKTPMEMLYHHVHRLNMSGPFGGAVSAASLTQMPGGNVFTTAEGLFSTLPFPVYSNGIHAAQTLERKED", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAETEKIEVRDVTRIERIGAHSHIRGLGLDDVLEARLVSQGMVGQKDARRAAGVVVQMVREGKIAGRCILLAGEPSTGKTAIAVGMAQALGTETPFTSMSGSEIYSLEMSKTEALSQALRKSIGVRIKEETEIIEGEVVEIQIERPASGTGQKVGKVTLKTTEMETNYDLGNKIIECFMKEKIQAGDVITIDKASGKVNKLGRSFTRARDYDATGAQTRFVQCPEGELQKRKEVVHTVTLHEIDVINSRTHGFLALFSGDTGEIKQEVRDQINNKVLEWREEGKAEINPGVLFIDEVHMLDIECFSFLNRALESDMAPVVVMATNRGITRIRGTNYRSPHGIPIDLLDRMIIIRTVPYSEKEVKEILKIRCEEEDCIMHPDALTILTRIATDTSLRYAIQLITTANLVCRRRKATEVNTEDVKKVYSLFLDENRSSKILKEYQDDYMFSEITEEVERDPAAGGGAKRRVEGGGGDAQPMEH", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVPGSEGPARAGSVVADVVFVIEGTANLGPYFEGLRKHYLLPAIEYFNGGPPAETDFGGDYGGTQYSLVVFNTVDCAPESYVQCHAPTSSAYEFVTWLDGIKFMGGGGESCSLIAEGLSTALQLFDDFKKMREQIGQTHRVCLLICNSPPYLLPAVESTTYSGCTTENLVQQIGERGIHFSIVSPRKLPALRLLFEKAAPPALLEPLQPPTDVSQDPRHMVLVRGLVLPVGGGSAPGPLQSKQPVPLPPAAPSGATLSAAPQQPLPPVPPQYQVPGNLSAAQVAAQNAVEAAKNQKAGLGPRFSPITPLQQAAPGVGPPFSQAPAPQLPPGPPGAPKPPPASQPSLVSTVAPGSGLAPTAQPGAPSMAGTVAPGGVSGPSPAQLGAPALGGQQSVSNKLLAWSGVLEWQEKPKPASVDANTKLTRSLPCQVYVNHGENLKTEQWPQKLIMQLIPQQLLTTLGPLFRNSRMVQFHFTNKDLESLKGLYRIMGNGFAGCVHFPHTAPCEVRVLMLLYSSKKKIFMGLIPYDQSGFVNGIRQVITNHKQVQQQKLEQQQRGMGGQQAPPGLGPILEDQARPSQNLLQLRPPQPQPQGTVGASGATGQPQPQGTAQPPPGAPQGPPGAASGPPPPGPILRPQNPGANPQLRSLLLNPPPPQTGVPPPQASLHHLQPPGAPALLPPPHQGLGQPQLGPPLLHPPPAQSWPAQLPPRAPLPGQMLLSGGPRGPVPQPGLQPSVMEDDILMDLI", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATFTPLNAASEDLDKEKRTLEIRIEEAVQIYQNALSAQKQGDDNAATKYYDELLNVRILRELPFTVNSNYKKNNALLLLHYLTRKNHGLFLLSKLQSLLSLDPSTDPIPVYRDALLDFAIALACDYNDIELWSFVAELAEKLEMPRIQRFALESSFYTGYEPFDAERVFTNIDDLNPGKLISLQNLYNLLKKLGGIAEPLPQLDLSISSLYTLPSFFPQLPTPSFHRRSINLCPKIKKLQLSHDTLHGFLDLVLYALQINEKKTPTRGFPSTLIIHVHSLNLSTAESHDLESTDSELWSEISDAGPDTNTINTAAKLSEPVYAKDIVPPPSDNLPKPQLLKRPIDDSDVRISKRSRGRDLRTPSESNLFSLIASITSDINEQIQKRFPDATSPFSGESMFREYSSIFEDYHQLLVNFPSEGSIPDLDVSTDSAANGAFSKMILIDLAMHSANRLEHMQVPDGYLLQLLSEVNSLNMVPAELATFFVESMLRPRKLEPPFYLQQCWGKIFKKKFTTICERIESTLHELVKASLQTPEVFNISQSLFELFLDDYFLALKFSSNDQKDDNVSEIPTESLEYKKLRCLRWKSLTEQVVELQPSCKSSSQRHLIIRNSWARNLLLRLTGCSSEAIVENFKQLRCLLQENSDSLELLNSQCMADLSVQVVDFELSKLQTVEFFNTLFMNTKNLDFNAVIKNLEPVLSPENKFAEDPQAKFISQFLEKTSTEFQIHLWYLLYQAYSSAHRPYNSLLCAFQSLKIILIRLCSSSFSIQDAGRRQAELLGMLNFSSNLFRIIWQKLHEQPDILSPCNEMTVIDCIRIILIYLRTFAIYVGIDEDISDQRIPKPSNPEFDSYAQTVKDSLMSGWCIFYTLFAHLLHYDFIKADAQKLLPQILTAIHSQYSFRGYCSSSNQSFLELSQTECQRLDAWENENEILQCVCCRFNLIIGSEYYVPQSHQSDSVNLTSNDAIKIIPFILGFAVKRSHGWVMPRSDQKNALEIICKVIRFPGENNADVYFNKCAIKEFLERDISPQLTKMLLKSNDILGLREIGSKVVDDRVRGLYYAQSQVLFGYYRSRLKGSRCINDLLVIIKYFLLDLYLNPRRQDSWYTCSSVFSSLADEELGWSAEQICLADDVINEYRRKAILCNLMALSLPFTQDKLFKANVYFDFAMNLYASARPPLEMAAFLPSETRVFSGASGLYNLSMKPIEVSKVIALAADYFGMSAELSNDWRALYMLGKACRKCGDMENALVHFEAAAALAPTKSGSGSQQALLIEPHYALLSNLSKAAIEGSVEIVQILSYLRRIRHPPKDSGSLLEVKNEDVNIYKRNALLFILKALAEMRILDKQSWHHRPTYRIAKIMEHLGNVQQAKEEMETLFSYKTSGKSLLNIWRTPNERPGRHFYYGATYSRYLLSLFYKTNDKVNFLQFLKRFRRSSSTIYEHRQIWLDIMIKYLEDLRLQHSVKETQINDLPLVEFKYVYKEISLLDEQKLSLLHQVYEIRKLNNGLYPTIKVDDFLIDCFMSLYNEVKSSISPLDANIPNSPSTITAKPLNDEKAINNENSVKQKTVITRKDVVSKVLALFRPHRETYYRETQNKILQKLASTSSSLVRSFTEDSSQAGESPGIHEEIQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSTVISRKRRNSTVTEPDSSGETRKQKKSRSDEKSSSSKDGDPQLEFKVLQGYRDLESEMHKGRAQVTRTGDIGVAMDNLNAVDSLFNKVIGIKNNGLFAHDARAMVSISELAQISVRNLKFDDSRSMVNLENIVNSLKRYMLKEHFKLNNIAENRNDLTLAADEQSAADQQEESDGDIDRTPDDNHTDKATSSFKATSMRHSYLQQFSHYNEFSQFNWFRIGALYNTISKNAPITDHLMGPLSIEKKPRVLTQRRRNNDQVGEKITAEKITQHSLNSTQQETTPEQVKKCFKKLSKKLGPEGSINLFKFIIDPNSFSRSIENLFYTSFLIKEGKLLMEHDEEGLPTIKIKQSISHTDSRSKEIERQRRRAAHQNHIIFQMDMPTWRKLIKKYNITSPFLD", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLRVPEPRPGEAKAEGAAPPTPSKPLTSFLIQDILRDGAQRQGGRTSSQRQRDPEPEPEPEPEGGRSRAGAQNDQLSTGPRAAPEEAETLAETEPERHLGSYLLDSENTSGALPRLPQTPKQPQKRSRAAFSHTQVIELERKFSHQKYLSAPERAHLAKNLKLTETQVKIWFQNRRYKTKRKQLSSELGDLEKHSSLPALKEEAFSRASLVSVYNSYPYYPYLYCVGSWSPAFW", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSILGKRKNEEVVSFSPLKRISVEKSLLDSTAYNNSLDWLRSKNFKVSCLLKHFNSKIINDHPLSGSCYTDIGYALINSRKACFILSYRQSLGTAEPPTITFPLPEEDSNGFSGQNALTAFVPSDASDKEPGLLIVMPISGRIAYWTSIGNALAQSYICPQGMESLIKLLPKEKCEHLCCSNPMKFIISTNFGRLFSVQLRDPAGQPDVSVQLFASDISTFSTILQKMKIFNYPSIHIIALKSPPLFSPYQHLLYVAEASGLLEIYDLKLENKLVSGMNLSPIFKQVLREGCPDASGLEVLDLTICPTNGNLVSFLVCWKNSINYRYMIISLDFSDISSPSVMNIHPLYSFSSKSLESSKLHYSSSGNSLFVVLTDAVIIVHVQEDDKDIVSRTSWEEVIRMNTNVSGGIFMSTCYKYVLGKYSIPTESCFIATPYSGIAEIEVHSLEHPANNESLVKSKLEEAVFYSFLPGNPIDFSCNYLRSIKKPELERIIVDLGMDILNSRSTHLPPLFASLMQHLSCRLNSLNNLVRYIRSMSLDVDRQVLYKLRVMGEKCNSVRYLWNTIDTEFSTVSHSLIFQRIIYRLTQSASSDNALREWFLHNIESIDQLIAQAHEFCIDSGSRVQELPLEVLDVIMEANEVILAIQSSALAYRRESQKIYKLSIDTFGEEVPWTSTPETLVLLCRQFELTRSALVQSHQGTSDVENTFKIKDKGVLRNVVSNLEVQLVALTEVCFDAYSERIRWIEQRCGKDASEIQDVKEAFAVNRRFWVQTLSDIGKGSSAIRIAEKYSDYRSLVELCYQLYEDNELTDALNNYLDLFGIKFAFILYDYFVENGMALELLNSDRFNKSYLKQFFKSRDYNQISWMHDMRLGDYDAASHRLLQLATKQEKLVDKKESELSLSKLFLYAVPSNSGNIRDLVLVEQKLEQLHIQKMVSKSVMPVVERLRSQGKKYQLVEAVVDDLIGAKVAPVIARQVMQRVVKKFIAGQVVEATELLEYLSFSLYRREDLVEGEVTDYYLALRLLLTTRLTDDAKRFYENTIWRRAVLHDNWIQVLDTQGKNDAIIETQFRMSALYRTLEAVTINGLFHEGLIRPGSLSSCKFEGYDPQNLISIYPPARFGDVTEVTKVLNRESVKLDHYLTKTNLNTCYISMCLSCDTI", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDFYNHAANILSDLSKKKGSIKQLAFNSKKHDPKRTYALVCETLKYKPVLDEIIARSELLVLEKKLKENLARVLVHDLLMSKRGLSISNGPIKECILRHKTRLNAEFVKLKVKKGVKSHEELALKNPVSLPRWLRINTIKSTKDEVLQGLGLDKVSSIEELGPDKFYIDDCVENLIAIDPSFPIVENSLYKEGKVIIQDKASCFPAAVLAGLTGHVGDIIDGCAAPGNKTTHLAACFPKSHIFAFERDAKRVQTLRKMVGISGANNVTIEHQDFTLTDPKSDLYRNVTHILLDPSCSGSGIVSRQDYLLGNEQDVTEDTERLENLCSFQSTILKHALQFPNCRHVTYSTCSVHRLENEQVVCEVLSQEPDWKCNSLTKTLPNWKTRGIPEYCAQPSMAEGMIRCKPGAGGTIGFFVANLYHPQREQETFKMYKNDDDTKKRKRKKKKKEVKKKARIQGEE", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKRRLDDQESPVYAAQQRRIPGSTEAFSHQHRVLAPAPPVYEAVSETMQSATGIQYSVAPNYQVSAVPQSSGSHGPAIAAVHSSHHHPTAVQPHGGQVVQSHAHPAPPVAPVQGQQQFQRLKVEDALSYLDQVKLQFGSQPQVYNDFLDIMKEFKSQSIDTPGVISRVSQLFKGHPDLIMGFNTFLPPGYKIEVQTNDMVNVTTPGQVHQIPTHGIQPQPQPPPQHPSQPSSQSAPTPAQPAPQPTAAKVSKPSQLQAHTPASQQTPPLPPYASPRSPPVQPHTPVTISLGTAPSLQNNQPVEFNHAINYVNKIKNRFQGQPDIYKAFLEILHTYQKEQRNAKEAGGNYTPALTEQEVYAQVARLFKNQEDLLSEFGQFLPDANSSVLLSKTTAEKVDSVRNDHGGTVKKPQLNNKPQRPSQNGCQIRRHSGTGATPPVKKKPKLMSLKESSMADASKHGVGTESLFFDKVRKALRSAEAYENFLRCLVIFNQEVISRAELVQLVSPFLGKFPELFNWFKNFLGYKESVHLESFPKERATEGIAMEIDYASCKRLGSSYRALPKSYQQPKCTGRTPLCKEVLNDTWVSFPSWSEDSTFVSSKKTQYEEHIYRCEDERFELDVVLETNLATIRVLEAIQKKLSRLSAEEQAKFRLDNTLGGTSEVIHRKALQRIYADKAADIIDGLRKNPSIAVPIVLKRLKMKEEEWREAQRGFNKVWREQNEKYYLKSLDHQGINFKQNDTKVLRSKSLLNEIESIYDERQEQATEENAGVPVGPHLSLAYEDKQILEDAAALIIHHVKRQTGIQKEDKYKIKQIMHHFIPDLLFAQRGDLSDVEEEEEEEMDVDEATGAPKKHNGVGGSPPKSKLLFSNTAAQKLRGMDEVYNLFYVNNNWYIFMRLHQILCLRLLRICSQAERQIEEENREREWEREVLGIKRDKSDSPAIQLRLKEPMDVDVEDYYPAFLDMVRSLLDGNIDSSQYEDSLREMFTIHAYIAFTMDKLIQSIVRQLQHIVSDEVCVQVTDLYLAENNNGATGGQLNSQTSRSLLESAYQRKAEQLMSDENCFKLMFIQSQGQVQLTVELLDTEEENSDDPVEAERWSDYVERYMSSDTTSPELREHLAQKPVFLPRNLRRIRKCQRGREQQEKEGKEGNSKKTMENVESLDKLECRFKLNSYKMVYVIKSEDYMYRRTALLRAHQSHERVSKRLHQRFQAWVDKWTKEHVPREMAAETSKWLMGEGLEGLVPCTTTCDTETLHFVSINKYRVKYGTVFKAP", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAELETGAVPIREEFLVKQDGNKKRKRKERGQNKRREKIHVKENNALCPAISIGNECPYKENCKFPHDVEAYLATKAPDIGDKCPIFERYGVCPAGFKCRWLAGHVVINADGKYELIKKPDGQFTLFTVNTVGKEVQRKLRTKQLDLSKAESIISAVLGEEKPDPSSKVSNIPEENRDATSAISEGKETESVSLEETGVLKNQTVSVNVDLKEISSQARSNIALPTLRPQEKNLIDWRDRKILAPLTTVGNPPFRRLCGSLGADTFYSEMAMCYPLMQGHQPEWALVRGLNYEREMMRGGRRGILGVQLATGKLWQATKTAQVIAEQCDGVDFLDLNCGCPIDLVFRQGAGSSLLENPGRLLRNLQGMDAVSGQIPVTVKLRMGNKDDHPVVKNLIGRIFNETNTSAATLHGRSRQQRYSKNANWDYIGEIASKVKSMNERIDELPEDSLRTQPLSLIGNGDCYSWQDWYDGVNKGVDTVMIARGALVKPWIFEEIEARQFIDKSSTQRLEMLEQYCNNGLEYWGSDSQGVNTTRRFFLEFMSFFHRYTPIALYEVQRPRLNDRPPLYTARDEMETLLASNKVTDWVKLSEFFLGPTPERFTFTPKHKSNSVEEAEG", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGDKKSPTRPKRQPKPASDEGYWDCSVCTFRNSAEAFKCMMCDVRKGTSTRKPRPVSQLVAQQVTQQFVPPTQSKKEKKDRVEKDKSEKEAASKKNCHKKTRPRLKNVDRSSAQHLEVTVGDLTVIITDFKEKAKSAPASSAAGDQHSQGSCSSDSTERGVSRSSSPRGEASSLNGESH", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSTSLLFEQLNFLILVAAEAELPIAHSTRKLLMDNSCNNCQIYELYNENLKDVKTDKDWFMNKFGPQTVHFVISNTINFPFYKIVYFDLLIPVVSHTWVQDSVKTKRHLRTNMYSPNPFHLLRDCQVYISKSSFNKCEYILYSDLLHLLGGTLVNYISNRTTHVIVQSPQDPIIATVSKLTFGSFSSSSTNKHTEKPLREWKFVYPIWILYHFKMAKPLKGELATLCELDMQDTSEEQLFAKWEEVIGDKQTSSSQLTLHPNKTLFKNHHFAISPDLNFFTPLYWFLKGFIEDLDGKVTPLSFSDDLKSVYQAFPDIDCYIGHSANSPILEKTKSIKPEIHVGNVSWLFYMFALQKFTPVSQCKLIHQPFHAKLFTSKELTVAYTNYFGSQRFYIQRLVEILGGLSTPELTRKNTHLITKSTIGKKFKVAKKWSLDPQNAIIVTNHMWLEQCYMNNSKLNPKDSRFQNFKLDDNMGWNIGQIGMDHSSLPTPKNLSMVTYDTQSISEKPPPTNDQMDQINDNTNVLSKKDGTPISSFENSIDEKIDKLQKISGEVAVTHSGDLERSFVSRPSRASFPVVDSKKSNLQKKDSNSDISMETEVFCEGHEKREEKEFTKPITEYDAPKKQEIREQSRKKNDIDYKKEEEETELQVQLGQRTKREIKTSKKNEKEKETNECHIEVDQMTNEKQGEESTGKLISTEDVTSKKDTDKFSHLFEGLSDNDDHINDEKPAVNSKYTTPKTSQNITSGVDTPTTAQTQVFMPSSGNSRLAKTQAAKRLHTDIESLNEFQKNFKRKRIDSEEISLSQDVERSNNNKELATKAEKILARFNELPNYDLKAVCTGCFHDGFNEVDIEILNQLGIKIFDNIKETDKLNCIFAPKILRTEKFLKSLSFEPLKFALKPEFIIDLLKQIHSKKDKLSQININLFDYEINGINESIISKTKLPTKVFERANIRCINLVNDIPGGVDTIGSVLKAHGIEKINVLRSKKCTFEDIIPNDVSKQENGGIFKYVLIVTKASQVKKFTKLINDRDKNETILIVEWNWCVESIFHLNVDFTSKKNVLYQKKNN", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGRIPCCEKENVKRGQWTPEEDNKLASYIAQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGQFSEAEEHIIVKFHSVLGNRWSLIAAQLPGRTDNDVKNYWNTKLKKKLSGMGIDPVTHKPFSHLMAEITTTLNPPQVSHLAEAALGCFKDEMLHLLTKKRVDLNQINFSNHNPNPNNFHEIADNEAGKIKMDGLDHGNGIMKLWDMGNGFSYGSSSSSFGNEERNDGSASPAVAAWRGHGGIRTAVAETAAAEEEERRKLKGEVVDQEEIGSEGGRGDGMTMMRNHHHHQHVFNVDNVLWDLQADDLINHMV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASSRASSTQATKTKAPDDLVAPVVKKPHIYYGSLEEKERERLAKGESGILGKDGLKAGIEAGNINITSGEVFEIEEHISERQAEVLAEFERRKRARQINVSTDDSEVKACLRALGEPITLFGEGPAERRERLRNILSVVGTDALKKTKKDDEKSKKSKEEYQQTWYHEGPNSLKVARLWIANYSLPRAMKRLEEARLHKEIPETTRTSQMQELHKSLRSLNNFCSQIGDDRPISYCHFSPNSKMLATACWSGLCKLWSVPDCNLLHTLRGHNTNVGAIVFHPKSTVSLDPKDVNLASCAADGSVKLWSLDSDEPVADIEGHTVRVARVMWHPSGRFLGTTCYDRSWRLWDLEAQEEILHQEGHSMGVYDIAFHQDGSLAGTGGLDAFGRVWDLRTGRCIMFLEGHLKEIYGINFSPNGYHIATGSGDNTCKVWDLRQRRCVYTIPAHQNLVTGVKFEPIHGNFLLTGAYDNTAKIWTHPGWSPLKTLAGHEGKVMGLDISSDGQLIATCSYDRTFKLWMAE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDTLEPTAVDTHVSAEQILRDVYKKGQKARGSTNIDILDLEELREYQRRKRTEYEGYLKRNRLDMGQWIRYAQFEIEQHDMRRARSIFERALLVDSSFIPLWIRYIDAELKVKCINHARNLMNRAISTLPRVDKLWYKYLIVEESLNNVEIVRSLYTKWCSLEPGVNAWNSFVDFEIRQKNWNGVREIYSKYVMAHPQMQTWLKWVRFENRHGNTEFTRSVYSLAIDTVANLQNLQIWSDMEVAKLVNSFAHWEAAQQEYERSSALYQIAIEKWPSNQLLKAGLLDFEKQFGDINSIEETISYKRKMEYETILSNNAYDYDTWWLYLDLISESFPKQIMQTFEKAIVDSRPKELSKNVQWKRYIYLWMRYICYVELELENSLLEEELFQRLIDDIIPHKHFTFSKIWLMYAKFLIRHDDVPKARKILGKAIGLCPKAKTFKGYIELEVKLKEFDRVRKIYEKFIEFQPSDLQIWSQYGELEENLGDWDRVRGIYTIALDENSDFLTKEAKIVLLQKYITFETESQEFEKARKLYRRYLELNQYSPQSWIEFAMYQTSTPTEQQLLDLAKLQSENVDEDIEFEITDENKLEARKVFEEAIVFFKEKDDKQGRLSILEALKDYEETYGTELDQETVKKRFPKVIKKVRLQNGVEEEFVDYIFPDDIDDDKPKPSKFLELAKKWKQEQAL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKLLKDLLVDRKEFEDWKNNLTWARDGTLYLTTFPDISIGQPKYAKDINCNSKNLFHVKEFPLEFENKLDFELAQQNGLLNSQPVCYPRVCKPSPIDDWMAVLSNNGNVSVFKDNKMLTNLDSKGNLSSRTYHCFEWNPIESSIVVGNEDGELQFFSIRKNSENTPEFYFESSIRLSDAGSKDWVTHIVWYEDVLVAALSNNSVFSMTVSASSHQPVSRMIQNASRRKITDLKIVDYKVVLTCPGYVHKIDLKNYSISSLKTGSLENFHIIPLNHEKESTILLMSNKTSYKVLLEDELHVTADNIIAPYLEKKFKKWSTIWNEFNNYETTLVIHGISLSPDGYSIAIVYDMERVAFKYKIASEQSFNIMFAPLYHTWTISERAVGLAWYQTYQIYNQSLPKLPENFSMNKKLLNGNYPISLDFQSYLNALMKSEEMRIIMFLNMTIDKPSILSFLEALYEYAINKKSELTNSFDLACVLSIAAILKREAPIYNGTLLMKNSFLEETFNLESFTADPETVTSTTNNTWKRCGVTLLPILTTHVKICPVSKQRVIDIKRDDLNDYGWFTRGLLERFNEISVYCGTTLEVM", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDVCLSSAQQPGRRGEGLSSPGGWLEAEKKGAPQKDSTGGVLEESNKIEPSLHSLQKFVPTDYASYTQEHYRFAGKEIVIQESIESYGAVVWPGAMALCQYLEEHAEELNFQDAKILEIGAGPGLVSIVASILGAQVTATDLPDVLGNLQYNLLKNTLQCTAHLPEVKELVWGEDLDKNFPKSAFYYDYVLASDVVYHHYFLDKLLTTMVYLSQPGTVLLWANKFRFSTDYEFLDKFKQVFDTTLLAEYPESSVKLFKGILKWD", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEPTDSTNEQLGDTKTAAVKEESRSFLGIDLNEIPTGATLGGGCTAGQDDDGEYEPVEVVRSIHDNPDPAPGAPAEVPEPDRDASCGACGRPESIELVVVCDACERGFHMSCVNDGVEAAPSADWMCSDCRTGGERSKLWPLGVKSKLILDMNASPPSDAEGYGAEETSDSRKHMLASSSCIGNSFDYAMMHSSFSSLGRGHASLEASGLMSRNTKMSMDALGSHNLGFGFPLNLNNSSLPMRFPSLDPSELFLQNLRHFISERHGVLEDGWRVEFRQPLNGYQLCAVYCAPNGKTFSSIQEVACYLGLAINGNYSCMDAEIRNENSLLQERLHTPKRRKTSRWPNNGFPEQKGSSVSAQLRRFPFNGQTMSPFAVKSGTHFQAGGSLSSGNNGCGCEEAKNGCPMQFEDFFVLSLGRIDIRQSYHNVNVIYPIGYKSCWHDKITGSLFTCEVSDGNSGPIFKVTRSPCSKSFIPAGSTVFSCPKIDEMVEQNSDKLSNRRDSTQERDDDASVEILLSEHCPPLGDDILSCLREKSFSKTVNSLRSEVDSSRVDFDKNLSYDQDHGVEIGDIVVEEDSLSDAWKKVSQKLVDACSIVLKQKGTLNFLCKHVDRETSEINWDTMNEKDNVILSLSKFCCSLAPCSVTCGEKDKSEFAAVVDALSRWLDQNRFGLDADFVQEMIEHMPGAESCTNYRTLKSRSSSSVPITVAEGALVVKPKGGENVKDEVFGEISRKAKKPKLNGGHGVRNLHPPPGRPMCLRLPPGLVGDFLQVSEVFWRFHEILGFEEAFSPENLEQELINPVFDGLFLDKPGKDDKRSEINFTDKDSTATKLFSLFDESRQPFPAKNTSASELKEKKAGDSSDFKISDSSRGSCVGALLTRAHISLLQVLICELQSKVAAFVDPNFDSGESRSRRGRKKDDSTLSAKRNKLHMLPVNEFTWPELARRYILSLLSMDGNLESAEIAARESGKVFRCLQGDGGLLCGSLTGVAGMEADSMLLAEAIKKISGSLTSENDVLSVEDDDSDGLDATETNTCSGDIPEWAQVLEPVKKLPTNVGTRIRKCVYEALERNPPEWAKKILEHSISKEIYKGNASGPTKKAVLSLLADIRGGDLVQRSIKGTKKRTYISVSDVIMKKCRAVLRGVAAADEDKVLCTLLGRKLLNSSDNDDDGLLGSPAMVSRPLDFRTIDLRLAAGAYDGSTEAFLEDVLELWSSIRVMYADQPDCVDLVATLSEKFKSLYEAEVVPLVQKLKDYRKLECLSAEMKKEIKDIVVSVNKLPKAPWDEGVCKVCGVDKDDDSVLLCDTCDAEYHTYCLNPPLIRIPDGNWYCPSCVIAKRMAQEALESYKLVRRRKGRKYQGELTRASMELTAHLADVMEEKDYWEFSAEERILLLKLLCDELLSSSLVHQHLEQCAEAIIEMQQKLRSLSSEWKNAKMRQEFLTAKLAKVEPSILKEVGEPHNSSYFADQMGCDPQPQEGVGDGVTRDDETSSTAYLNKNQGKSPLETDTQPGESHVNFGESKISSPETISSPGRHELPIADTSPLVTDNLPEKDTSETLLKSVGRNHETHSPNSNAVELPTAHDASSQASQELQACQQDLSATSNEIQNLQQSIRSIESQLLKQSIRRDFLGTDASGRLYWGCCFPDENPRILVDGSISLQKPVQADLIGSKVPSPFLHTVDHGRLRLSPWTYYETETEISELVQWLHDDDLKERDLRESILWWKRLRYGDVQKEKKQAQNLSAPVFATGLETKAAMSMEKRYGPCIKLEMETLKKRGKKTKVAEREKLCRCECLESILPSMIHCLICHKTFASDDEFEDHTESKCIPYSLATEEGKDISDSSKAKESLKSDYLNVKSSAGKDVAEISNVSELDSGLIRYQEEESISPYHFEEICSKFVTKDCNRDLVKEIGLISSNGIPTFLPSSSTHLNDSVLISAKSNKPDGGDSGDQVIFAGPETNVEGLNSESNMSFDRSVTDSHGGPLDKPSGLGFGFSEQKNKKSSGSGLKSCCVVPQAALKRVTGKALPGFRFLKTNLLDMDVALPEEALRPSKSHPNRRRAWRVFVKSSQSIYELVQATIVVEDMIKTEYLKNEWWYWSSLSAAAKISTLSALSVRIFSLDAAIIYDKPITPSNPIDETKPIISLPDQKSQPVSDSQERSSRVRRSGKKRKEPEGS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MALETLTSPRLSSPMPTLFQDSALGFHGSKGKRSKRSRSEFDRQSLTEDEYIALCLMLLARDGDRNRDLDLPSSSSSPPLLPPLPTPIYKCSVCDKAFSSYQALGGHKASHRKSFSLTQSAGGDELSTSSAITTSGISGGGGGSVKSHVCSICHKSFATGQALGGHKRCHYEGKNGGGVSSSVSNSEDVGSTSHVSSGHRGFDLNIPPIPEFSMVNGDEEVMSPMPAKKLRFDFPEKP", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNLHGLELPGRDQRLSPEVIDLTEDIEDDGADVSEVTLLDLTRIPEFQPRRRIRTSRNHLDANLSNVPTINSIPSPVTRPPVAVGGGIFYGARRTRNRSQTQRRTLLENGFRNSRKKAQDSSNSIAERVSPPPGFCYDVHPHNNIACAKCGNELVSDEKKSIFAAKCGHLFCSTCAKELRKKTVPCPVQHCRKRITKKFIFPLYL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTDSLEYNDINAEVRGVLCSGRLKMTEQNIIFKNTKTGKVEQISAEDIDLINSQKFVGTWGLRVFTKGGVLHRFTGFRDSEHEKLGKFIKAAYSQEMVEKEMCVKGWNWGTARFMGSVLSFDKESKTIFEVPLSHVSQCVTGKNEVTLEFHQNDDAPVGLLEMRFHIPAVESAEEDPVDKFHQNVMSKASVISASGESIAIFREIQILTPRGRYDIKIFSTFFQLHGKTFDYKIPMDSVLRLFMLPHKDSRQMFFVLSLDPPIKQGQTRYHYLVLLFAPDEETTIELPFSEAELRDKYEGKLEKEISGPVYEVMGKVMKVLIGRKITGPGNFIGHSGTAAVGCSFKAAAGYLYPLERGFIYIHKPPLHIRFEEISSVNFARSGGSTRSFDFEVTLKNGTVHIFSSIEKEEYAKLFDYITQKKLHVSNMGKDKSGYKDVDFGDSDNENEPDAYLARLKAEAREKEEDDDDGDSDEESTDEDFKPNENESDVAEEYDSNVESDSDDDSDASGGGGDSDGAKKKKEKKSEKKEKKEKKHKEKERTKKPSKKKKDSGKPKRATTAFMLWLNDTRESIKRENPGIKVTEIAKKGGEMWKELKDKSKWEDAAAKDKQRYHDEMRNYKPEAGGDSDNEKGGKSSKKRKTEPSPSKKANTSGSGFKSKEYISDDDSTSSDDEKDNEPAKKKSKPPSDGDAKKKKAKSESEPEESEEDSNASDEDEEDEASD", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVRPTRNRKPINYSQFEDSGNDSDDDFISSSTPVNKSKTVPKVLKQDKPKPNLKNLQKEEVLPTEPPKKRVALDDKVFQRGLEVALALSVKELPTLTNQVKKSKEKSTDKQGKEKTENTGKPPRVSNCSVASDDVEDLDKITEEGDASSVEGERKSPSQAKAPRRRAPSEGSDGSSANDTESESATGEGSESDPDFDESKESDEDFGVRRSKESKKKTVQKKPAGEKKERKSKPKCEASVTSVDPAPAAIKSGSPSLPQAVGLPSEATRKPAIMCSPSAESKRPKWVPPAASGSRNSSSNALAGTPAKSPSQSLRLGLSRLAPVKRLHPSATSSQVR", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEYKLFKNKKILNEKVNELTKKNRQRNTLDHINCIEIDDDDDDDNDNNEEPKEMNINKTNNNNNDILMKSCNDIRRNTTFYRHNVINEEQKNFEYLLSRKKKNVDSIDNVNFYDFMKNDFFNIFNNNIISEHKKTNQIVNQINNNVDTSKNVVYNINYDEHKGEVVNLSFDKKGKETYPQVDIELYNKKMNPRYQNINEQNTCQTSDDNTTYRNVYSDNCALNSSYTNFLRNKSLKYKKCYKQNRQDESTGAEFDYNLDESVYYDDCNNKLYKRSFLKNNKNIIEKGREEHKQDIYNNISDICKSYIKNFDYLNKRIFHNKSKIWKLSNKKVTIHGDPKKRIERNKQEIEDHRREQDGENDQEEDNYDDYDDEDDDDDNCHIYDNYDDYLENDDYDNHNYFYHKNHDVNKIRKIQNKNCSTDFINYTSINNRNMECPNKNADNLKNMDSFLLYMKERKIKKKKNINDHMENQLSDTLNNSNNYNKDVYDSYYDDMNFCYDKDYNMILKKGVNSNRTKSLDRYPNNISHNYVNNISSEIIKYCKDNNITLKSDIKNIINHFNKKYANSSLTNSKISMYENGYPQRSVDRIYDSSTNDPKSDMNGSNNNNSSSNNNNNITHITNDCDNTQTNKNKHYVNSIVNSIVNIFQKRKNVNEKKENINEQNCISFNTNLRNNKLNNNDDLCSNSYVNNINRNKQITNNENKKDNMLMLKGTYHGDSLNESINIEHNLKNNNSMSDVNFVLNNNDNDKKQNSYDISEVSINCYYDDVIKCYMDYTMHGMEDETNFYLCEFCEQNIFDMNNMIKKDKAKECMYRCNISCGRTFHKACVCYIKNNDNYICFFCLYDINFCTLCKEVLTNDSLLPCYYPLCSVSMHTKCVEKLLLFNSHCLKQYESIILPRDINIEQQKNTQKSASDGIIEQPLNIKKKIKRRHIYRKRRRRGPRKSQITTSNKKINKSELAGGSIINGVDMEQENDQGGNNNNNDDNNDDNNDNNDDNNDNNDDNNDNNDNNDDNNNDNNNNNNNDNNDNNNNNNNNNNNNDNDNNNNNNCDENFKNHLLKKDLLRDEPHHNNYDKILECNTEIKMENNVNMSEEPIYNKLFNGKEETLNNENDEKIIVLKKFICPLHICYVCKEFDINNTESSKKELKNNLFRCIKCYKSVHRKCMNQLKNNDNNDNDNNNNNINIYIISHKHRIICCANHMDDYKKEHMEYLKYIKEVKDICKLDEPIHNNNNNNNILSEKGFYGDENNLSISKFHNNSSYEPIKNKETCIKGKDSNYLSHDNKGITDMNNTNKMNVLNLKSCMVNDMNTSERKKKKSCESRGSNITNKKVVFDLTDELNEKEKSPPLDNVQNKIIYGDNEIEKNVNICQKEDGGLNLGSMNILSIGKNHMRTNNNNNNDSSCSSNNNIISVENEYILKNKNLNKSNNSLLDHNNKIKKNSTLNIKECTDSCINVDEFINKNQNEKDISLENIDALCIKRKRNVSHPYNDTLDDTNILKDISNNKSYYVNISKKKRNVSFNYKEEFMKGDEQFLLKGNNLESNEKNTKNKLCNNDNNNNNNNKGKNTKYNTLDRKNNKNKQINDTINKEPENINHNMQNQQLTDNFVEDNMKYKQEIYHIKLSHILSIEKGLLSLQEINIDQMNDECKKHISILCTFRQDFINYVIFLFSKRLHKENQIEPVNGVDHKKEGNIYHAERKQEKINHNAKHNEQGSINNAKHNEQGSINNAKHNEQDSINNAKQNEQDSINNAKHNEQDSINNAKHNEQDSINNAKHNEDDSLNNAKHNEDDSLNNAKQNEEDSLNNAKQNEEDILNNDDHRNQEELHERWKENMVYNFLANYDKKEKKYISKKEEDAIIKILSNDIVGIMKKELKISLYDFIMLKKKTISTNENHKDNDESVVYVEDGKHHCNDINKMKDNVNNDIIPNIKYNNNNYDDVINTKEKESIPDASYMKVTRNQSIMNNDIYNNNIQIIEKERIMNNNKKNYYDEEKKREEYNGLFSKGKKKSFKNNKMDLKTFFSLTNNGYKVDISILKKYSSFLKFEYISKNIYLNDKNKNLLACKSDDYKCLCQGECNLYTCYNSLSNIQCSKSRCNLPEKIQDRKCFNRPFRKSFVKDLEIKKTEKTGYGVFCKRDIKNGELICEYVGEVLGKREFEKRLEVYQEESKKTDMYNWYIIQINKDVYIDSGKKGSISRFINHSCSPNSVSQKWIVRGFYRIGIFALRDIPSGEEITYNYSYNFLFNNFECLCKSPNCMNYHLLKKGESSGASNIIKETELLNNTIFNPVENFHNLHGKMQDWNIFIEEAHTRLLYEYNKMNAFNLRLMECYSTWIFYDMNFQKNQFFSLKSKPYNVSAEFWKVLVSAFSDGEKNIINTFNLFLPSLIKIGQLRRIQQYSYILHNIIGLEHDMWNLIDKGFADDEVCRKCKSCGNLTMCDKCFQSYHQLCGNMHSKMYKNNELVLCRFCQKYDYKIQWIKENHGSKMKTCIEIRSKAFYKLNRDIMTLLEESVKYTQNQSLDSIHAHNTKAFKSKKLKLRKFQYKYVKI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAYMLAIANFHFFKFYTRMRKKHENNSCNEKDKDENLFKIILAIFLQEKKKYDCISSGSIMTASEEYLENLKPFQVGLPPHDPESNKKRYLLKDANGKKFDLEGTTKRFEHLLSLSGLFKHFIESKAAKDPKFRQVLDVLEENKANGKGKGKHQDVRRRKTEHEEDAELLKEEDSDDDESIEFQFRESPAYVNGQLRPYQIQGVNWLVSLHKNKIAGILADEMGLGKTLQTISFLGYLRYIEKIPGPFLVIAPKSTLNNWLREINRWTPDVNAFILQGDKEERAELIQKKLLGCDFDVVIASYEIIIREKSPLKKINWEYIIIDEAHRIKNEESMLSQVLREFTSRNRLLITGTPLQNNLHELWALLNFLLPDIFSDAQDFDDWFSSESTEEDQDKIVKQLHTVLQPFLLRRIKSDVETSLLPKKELNLYVGMSSMQKKWYKKILEKDLDAVNGSNGSKESKTRLLNIMMQLRKCCNHPYLFDGAEPGPPYTTDEHLVYNAAKLQVLDKLLKKLKEEGSRVLIFSQMSRLLDILEDYCYFRNYEYCRIDGSTAHEDRIQAIDDYNAPDSKKFVFLLTTRAGGLGINLTSADVVVLYDSDWNPQADLQAMDRAHRIGQKKQVKVFRLVTDNSVEEKILERATQKLRLDQLVIQQNRTSLKKKENKADSKDALLSMIQHGAADVFKSGTSTGSAGTPEPGSGEKGDDIDLDELLLKSENKTKSLNAKYETLGLDDLQKFNQDSAYEWNGQDFKKKIQRDIISPLLLNPTKRERKENYSIDNYYKDVLNTGRSSTPSHPRMPKPHVFHSHQLQPPQLKVLYEKERMWTAKKTGYVPTMDDVKAAYGDISDEEEKKQKLELLKLSVNNSQPLTEEEEKMKADWESEGFTNWNKLEFRKFITVSGKYGRNSIQAIARELAPGKTLEEVRAYAKAFWSNIERIEDYEKYLKIIENEEEKIKRVKMQQEALRRKLSEYKNPFFDLKLKHPPSSNNKRTYSEEEDRFILLMLFKYGLDRDDVYELVRDEIRDCPLFELDFYFRSRTPVELARRGNTLLQCLEKEFNAGIVLDDATKDRMKKEDENGKRIREEFADQTANEKENVDGVESKKAKIEDTSNVGTEQLVAEKIPENETTH", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEETLATPDATRRSLSPSCSATVKSRAAGFERRTKRRLSETNASVREDREEAEEEEDEVKEKIEALQRIIPGGAALGVDALFEETAGYILSLQCQIKTIKVLTSFLQRIDQEDMKFGG", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAEYKKIVLLKGLESMEDYQFRTVKSLLRKELKLTKKLQEDYDRIQLADWMEDKFPKYAGLDKLIKVCEHIKDLKDLAKKLKTEKAKVQKKKQGKCKTAVKKKGQDELSSSESLFINKESYKSVPSSKKKGKAIAKTEGEKKNKLTQDQDHLPETSGTDIKTEEDCLQNSPKPPPTSPSSSSNKKKRKEITKTEGGKKKKLTQEQAQLPEPLGTDIKKDEDCLQTPPKPPPTPPSSSLNKKRKSRREEETGVKKSKAAKEPDQPPCCEEPTARCQSPILHSSSSASSNIPSATNQKPQPQNQNIPRGAVLHSEPLTVMVLTATDPFEYESPEHEVKNMFHATVATVSQYFHVKVFNINLKEKFTKKNFIIISNYFESKGILEINETSSVLKADPDQMIEVPNNIIRNANASPKICDIQKGTSGAVFYGVFTLHKKKVKTQNTSYEIKDGSGSIEVEGSGQWHNINCKEGDKLHLFCFHLKRERGQPKLVCGDHSFVKIKVTKAGKKKEASTVLSSTKNEEENNYPKDGIKVEMPDYHV", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDGESSLERYLDQCDAEDVLASSHMFTPMTPYDDLAIQPLPGTSYSSTLEHSKELSTDFSSVDLSFLPDDLNQENEDQQEQTPSQSLEHDSGICLDASNLSQPFTPSECRDATQDSSNLCTMPITPMTPMTPMTPVAESSGIVPQLQNIVSTVNLACKLDLKKIALHARNAEYNPKRFAAVIMRIREPRTTALIFSSGKMVCTGAKSEEQSRLAARKYARVVQKLGFPAKFLDFKIQNMVGSCDVRFPIRLEGLVLTHQQFSSYEPELFPGLIYRMVKPRIVLLIFVSGKVVLTGAKERSEIYEAFENIYPILKGFKKTT", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPARTAPARVPTLAVPAISLPDDVRRRLKDLERDSLTEKECVKEKLNLLHEFLQTEIKNQLCDLETKLRKEELSEEGYLAKVKSLLNKDLSLENGAHAYNREVNGRLENGNQARSEARRVGMADANSPPKPLSKPRTPRRSKSDGEAKPEPSPSPRITRKSTRQTTITSHFAKGPAKRKPQEESERAKSDESIKEEDKDQDEKRRRVTSRERVARPLPAEEPERAKSGTRTEKEEERDEKEEKRLRSQTKEPTPKQKLKEEPDREARAGVQADEDEDGDEKDEKKHRSQPKDLAAKRRPEEKEPEKVNPQISDEKDEDEKEEKRRKTTPKEPTEKKMARAKTVMNSKTHPPKCIQCGQYLDDPDLKYGQHPPDAVDEPQMLTNEKLSIFDANESGFESYEALPQHKLTCFSVYCKHGHLCPIDTGLIEKNIELFFSGSAKPIYDDDPSLEGGVNGKNLGPINEWWITGFDGGEKALIGFSTSFAEYILMDPSPEYAPIFGLMQEKIYISKIVVEFLQSNSDSTYEDLINKIETTVPPSGLNLNRFTEDSLLRHAQFVVEQVESYDEAGDSDEQPIFLTPCMRDLIKLAGVTLGQRRAQARRQTIRHSTREKDRGPTKATTTKLVYQIFDTFFAEQIEKDDREDKENAFKRRRCGVCEVCQQPECGKCKACKDMVKFGGSGRSKQACQERRCPNMAMKEADDDEEVDDNIPEMPSPKKMHQGKKKKQNKNRISWVGEAVKTDGKKSYYKKVCIDAETLEVGDCVSVIPDDSSKPLYLARVTALWEDSSNGQMFHAHWFCAGTDTVLGATSDPLELFLVDECEDMQLSYIHSKVKVIYKAPSENWAMEGGMDPESLLEGDDGKTYFYQLWYDQDYARFESPPKTQPTEDNKFKFCVSCARLAEMRQKEIPRVLEQLEDLDSRVLYYSATKNGILYRVGDGVYLPPEAFTFNIKLSSPVKRPRKEPVDEDLYPEHYRKYSDYIKGSNLDAPEPYRIGRIKEIFCPKKSNGRPNETDIKIRVNKFYRPENTHKSTPASYHADINLLYWSDEEAVVDFKAVQGRCTVEYGEDLPECVQVYSMGGPNRFYFLEAYNAKSKSFEDPPNHARSPGNKGKGKGKGKGKPKSQACEPSEPEIEIKLPKLRTLDVFSGCGGLSEGFHQAGISDTLWAIEMWDPAAQAFRLNNPGSTVFTEDCNILLKLVMAGETTNSRGQRLPQKGDVEMLCGGPPCQGFSGMNRFNSRTYSKFKNSLVVSFLSYCDYYRPRFFLLENVRNFVSFKRSMVLKLTLRCLVRMGYQCTFGVLQAGQYGVAQTRRRAIILAAAPGEKLPLFPEPLHVFAPRACQLSVVVDDKKFVSNITRLSSGPFRTITVRDTMSDLPEVRNGASALEISYNGEPQSWFQRQLRGAQYQPILRDHICKDMSALVAARMRHIPLAPGSDWRDLPNIEVRLSDGTMARKLRYTHHDRKNGRSSSGALRGVCSCVEAGKACDPAARQFNTLIPWCLPHTGNRHNHWAGLYGRLEWDGFFSTTVTNPEPMGKQGRVLHPEQHRVVSVRECARSQGFPDTYRLFGNILDKHRQVGNAVPPPLAKAIGLEIKLCMLAKARESASAKIKEEEAAKD", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLQSKVFNKKTRGGRIQKQVREVYLRDDIYCGAFSCKSCDSSAARLSSSKIIVVDTNVVLHQIDLLENKAIDTVVVLSVVLDEVKNRNRSVYNRIRLLCSNPARQFYVFSNHVHKDTYVQAMEKESANDHNDRAIRVATLWYQKHLGDTSQVLLVTNDRENKRKATEEGISAETIEAYVKSLGQPELLDLLAQPTNEDITMEDADDSRPSKRKLIYQEHKPMSEITAGLHRGIYHQGKLRVNRFNPYEAYVGSESIGEEIIIYGRSNMNRAFDGDIVAVELLPRDQWQDEKALSIAEEDDEEDDTVHLAPDNVDDAPRTSNLSHETSGDKNAAPVRPSGRVVGVIRRNWHSYCGSLEPMSLPAGSGGTAHALFVSKDRRIPKIRINTRQLQNLLDMRIVVAVDSWDRQSRYPSGHYVRPIGKIGDKETETEVVLIENDVDYSPFSSQVLACLPPLPWSVSSEDVSNPVRQDLRHLLVFSVDPPGCKDIDDALHCTSLPNGNFELGVHIADVTNFVHPGTPLDDEASKRGTSVYLVERRIDMLPKPLTEDICSLRADVERLAFSVIWEMSPDAEIISTRFTKSIIKSSAALSYIEAQARMDDSRLTDSLTTDLRNMNTLAKIMRQRRIDRGALTLASAEVKFDIDPENHDPLNIGMYQILEANQMVEEFMLAANVSVAGQILKLFPSCSLLRRHPTPTREMLEPLLRTAAAIGLTLDVSSSKALADSLDRAVGEDPYFNKLIRILATRCMTQAVYFCSGDLSPPEYHHYGLAAPLYTHFTSPIRRYADVFVHRLLAASLGIYKLPTVFQDRPQLTSVADNLNYRHRNAQMAGRASVELYVLIYFRTRPTDEEARVVKIRSNGFIVFVPKYGIEGPVYLTGKGEKGAGDWYVDEEKQKIVKMDGSLSYSVLQTVKIHMEVVEPQPNRPKLQLTLL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MQVSSLNEVKIYSLSCGKSLPEWLSDRKKRALQKKDVDVRRRIELIQDFEMPTVCTTIKVSKDGQYILATGTYKPRVRCYDTYQLSLKFERCLDSEVVTFEILSDDYSKIVFLHNDRYIEFHSQSGFYYKTRIPKFGRDFSYHYPSCDLYFVGASSEVYRLNLEQGRYLNPLQTDAAENNVCDINSVHGLFATGTIEGRVECWDPRTRNRVGLLDCALNSVTADSEINSLPTISALKFNGALTMAVGTTTGQVLLYDLRSDKPLLVKDHQYGLPIKSVHFQDSLDLILSADSRIVKMWNKNSGKIFTSLEPEHDLNDVCLYPNSGMLLTANETPKMGIYYIPVLGPAPRWCSFLDNLTEELEENPESTVYDDYKFVTKKDLENLGLTHLIGSPFLRAYMHGFFMDIRLYHKVKLMVNPFAYEEYRKDKIRQKIEETRAQRVQLKKLPKVNKELALKLIEEEEEKQKSTWKKKVKSLPNILTDDRFKVMFENPDFQVDEESEEFRLLNPLVSKISEKRKKKLRLLEQQELREKEEEEEPEGKPSDAESSESSDDEKAWVEEVRKQRRLLQQEEKVKRQERLKEDQQTVLKPQFYEIKAGEEFRSFKDSATKQKLMNKTLEDRLKIEAKNGTLSVSDTTVGSKQLTFTLKRSEQQKKQQEAEKLHRQERKRLRRSAGHLKSRHKRGRSFH", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAQIPIVATTSTPGIVRNSKKRPASPSHNGSSGGGYGASKKKKASASSFAQGISMEAMSENKMVPSEFSTGPVEKAAKPLPFKDPNFVHSGHGGAVAGKKNRTWKNLKQILASERALPWQLNDPNYFSIDAPPSFKPAKKYSDVSGLLANYTDPQSKLRFSTIEEFSYIRRLPSDVVTGYLALRKATSIVP", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDTDLYDEFGNYIGPELDSDEDDDELGRETKDLDEMDDDDDDDDVGDHDDDHPGMEVVLHEDKKYYPTAEEVYGPEVETIVQEEDTQPLTEPIIKPVKTKKFTLMEQTLPVTVYEMDFLADLMDNSELIRNVTLCGHLHHGKTCFVDCLIEQTHPEIRKRYDQDLCYTDILFTEQERGVGIKSTPVTVVLPDTKGKSYLFNIMDTPGHVNFSDEVTAGLRISDGVVLFIDAAEGVMLNTERLIKHAVQERLAVTVCINKIDRLILELKLPPTDAYYKLRHIVDEVNGLISMYSTDENLILSPLLGNVCFSSSQYSICFTLGSFAKIYADTFGDINYQEFAKRLWGDIYFNPKTRKFTKKAPTSSSQRSFVEFILEPLYKILAQVVGDVDTSLPRTLDELGIHLTKEELKLNIRPLLRLVCKKFFGEFTGFVDMCVQHIPSPKVGAKPKIEHTYTGGVDSDLGEAMSDCDPDGPLMCHTTKMYSTDDGVQFHAFGRVLSGTIHAGQPVKVLGENYTLEDEEDSQICTVGRLWISVARYHIEVNRVPAGNWVLIEGVDQPIVKTATITEPRGNEEAQIFRPLKFNTTSVIKIAVEPVNPSELPKMLDGLRKVNKSYPSLTTKVEESGEHVILGTGELYLDCVMHDLRKMYSEIDIKVADPVVTFCETVVETSSLKCFAETPNKKNKITMIAEPLEKGLAEDIENEVVQITWNRKKLGEFFQTKYDWDLLAARSIWAFGPDATGPNILVDDTLPSEVDKALLGSVKDSIVQGFQWGTREGPLCDELIRNVKFKILDAVVAQEPLHRGGGQIIPTARRVVYSAFLMATPRLMEPYYFVEVQAPADCVSAVYTVLARRRGHVTQDAPIPGSPLYTIKAFIPAIDSFGFETDLRTHTQGQAFSLSVFHHWQIVPGDPLDKSIVIRPLEPQPAPHLAREFMIKTRRRKGLSEDVSISKFFDDPMLLELAKQDVVLNYPM", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQQVEGRNCLPAEVRIGLETLKRRRLERMRLTAQNNAGDGPPVPARSGGDALRTPANCGVRLHANNGTALPSRTTQNKDPFAKRRVDKFDMSSLEWIDKIEECPVYYPTKEEFEDPIGYIQKIAPVASKYGICKIVSPVSASVPAGVVLMKEQPGFKFMTRVQPLRLAKWAEDDTVTFFMSERKYTFRDYEKMANKVFAKKYSSASCLPAKYVEEEFWREIAFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLSNSVLRLLQTPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFEKVASQFVYNKDILVGEGEDAAFDVLLGKTTMFPPNVLLDHNVPVYKAVQKPGEFVITFPRSYHAGFSHGFNCGEAVNFAISDWFPLGSVASRRYALLNRTPLLAHEELLCRSAVLLSHKLLNSDPKSLNKSEHPHSQRCLKSCFVQLMRFQRNTRGLLAKMGSQIHYKPKTYPNLSCSMCRRDCYITHVLCGCNFDPVCLHHEQELRSCPCKSNQVVYVREDIQELEALSRKFEKDICLDKEISGFDSYKQAEKNEPFFEITRNLRNTEVNLIEDAFSGATAADAAKSSPATSTLTSFAQHDVPVLAEAIVCANQADQLYSTTEQTISSPLVKGTDAVGANSSSMADANNGTGSCNASAVEYSGNSDSESEIFRVKRRSGVSVKPASDAKTSNLSDQQVLRRLKKVRPEIQQHNKRPEDYGHCSVPSGRMSMKNLNSSSSCGEEHWRMKRRQLETQQDESSYSAKQKSYSYPSTSYSFRGEFVEMSRDAAAEVRPKRLKIRLPSSSTNRVVEQGSSGQRFTRDDKSLGCWPAI", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSHDRSVNVSHDAVIAKPERGTMLQSFSPRSHGSKGYSLPQDSEENRGSVGQSAGQSSTSVVDQVRSPADDAGVTSSSTICPAPVCRQFWKAGSYNDELSSKSQQPNGKNYLHVHPMFLHSNATSHKWAFGAVAELLDNAVDEIQNGATFVIVDKTTNPRDGATALLIQDDGGGMDPQAMRHCMGFGFSDKKSDSAIGRYGNGFKTSTMRLGADVIVFSRHSKNQTLTQSIGLLSYTYLTRTGHDRIVVPILDYEFNASAGEFKTLQDREHFISSLSILLEWSPFSTEAELLQQFDDVGPHGTKVIIYNMWLNSDAKLELDFDSVAEDILIEGSIKKTGSKIVNDHIASRFSYSLRVYLSILYLRIPETFKIILRGKVVEHHNVADDLMHPQYILYKPQAAGSEEALVVTTIGFLKEAPKVNLHGFCVYHKNRLIMPFWQVINYSSSRGRGVVGVLEANFVEPTHNKQDFEKTVLLQKLENRLKEMTVEYWSCHCVLIGYQVNKKPRLQIPQKVQPAGRQALSPPPGFQAVFPQGNTTSLPRVSTQPVLLEKRKEHPDSVASAALKRKVGNDDFTVPGHIRVEQFIHGSASQSQDIETVKLMEENKKLRAKCLDRKVRSQNLEVKAMNLRSELENYKSEYERLMVELQALDLVKDEHRRNVNT", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDNTDRRRRRKQHKIALHDSEEVSSIEWEFINMTEQEEDLIFRMYRLVGDRWDLIAGRVPGRQPEEIERYWIMRNSEGFADKRRQLHSSSHKHTKPHRPRFSIYPS", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAEICCVKEIQEEDVEKIRLPTRPELDIPDSDHEDPTVNEEEGCKTPTSSDHKIPEVKYTLCPPAPRKPKPNRSSGTKRKLTPVNVVNRIPIDLSREIEMFFEDLDRRIKKSRKQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSMSSMSSPSSAVCSPDHFSPSDHLCYVQCNFCQTILAVNVPYTSLFKTVTVRCGCCTNLLSVNMRSYVLPASNQLQLQLGPHSYFNPQDILEELRDAPSNMNMMMMNQHPTMNDIPSFMDLHQQHEIPKAPPVNRPPEKRQRVPSAYNRFIKEEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLVPDNQPVKKTNMPQQEGEDNMVMKEGFYAPAAANVGVTPY", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAQASPPRPERVLGASSPEARPAQEALLLPTGVFQVAEKMEKRTCALCPKDVEYNVLYFAQSENIAAHENCLLYSSGLVECEDQDPLNPDRSFDVESVKKEIQRGRKLKCKFCHKRGATVGCDLKNCNKNYHFFCAKKDDAVPQSDGVRGIYKLLCQQHAQFPIIAQSAKFSGVKRKRGRKKPLSGNHVQPPETMKCNTFIRQVKEEHGRHTDATVKVPFLKKCKEAGLLNYLLEEILDKVHSIPEKLMDETTSESDYEEIGSALFDCRLFEDTFVNFQAAIEKKIHASQQRWQQLKEEIELLQDLKQTLCSFQENRDLMSSSTSISSLSY", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDSKRAALESGDGPDAKRLDTTDDQDKEASGGDGSQVMLAKHVAPYTGHGCTPPMESYLFEPTPAGSQLLPWKTSVDLDNDAELEKPTSDKKPDTAKLSRRELAKMRREHTLRALALERELTNKPGQTPASEVLLVRFPDPEITAPMLAGLSKDIRDVVLPISVAPRYCLVHLKAGADVEATICDINRVRFGTGHLRAELKPFSDEEQAEFIDPCSLYVGNIPFNMTTSAIKAYFANAMRVDIGVLKREKRARYAFVRYASPDQTMEAFKELVDSPLNSRTLTVRYRRLRKRAGMPMVQCATSFQALQSPNGDDDNTDCKVISPPPLESIIISDSDNCSDSSGNGKEDGKRKKKINEQEREIEKLKRQMAEYGAIIKSLQFRQNSLEDTFIPDLTPKVEPSVNPTGCLLGSNAVHLMRDIKKECDYLGIPDPVPATKPTTQAQDDSQKKAKRSCFGRLFTGPFRRGTSAMKTADEYEKDDRLEELYAQLERDPDP", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEHQQLRKYVELYNKEVEEFYNGAASGRPAEFHPSKVHVKSIHEKAGTANAGVEISSVGVDWDSEEKNTFFWCLSRYSIHRVDEWRSLLPRKSAMEILGYYRLLRRASASARSRKAGDDGAPIAYEMSAEWVALETKLSETVMAITEGAAEVADEEGHCEGLIDYESWKRRWVAIYSHSRIAEIRPLPRHALPLSRSATQTLERCVSRYTRTLLWCTALAGMASRSVSARAAESRGHKSLPTVVTRRQVERALCTEARSRDLHVLPRRIVLTLRKWELDYPREGKLFRTKEMAHLFLQSQLSRRDAPPVHQDENQENQENQENQEQDNTASEGESEAERDEIDEADLFRSALHENQLLKWLSK", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSGIAAGRLAEERKHWRKDHPFGFIAKPVKNADGTLNLFNWECAIPGRKDTIWEGGLYRIRMLFKDDFPSTPPKCKFEPPLFHPNVYPSGTVCLSLLDENKDWKPSISIKQLLIGIQDLLNHPNIEDPAQAEAYQIYCQNRAEYEKRVKKEAVKYAAELVQKQMLE", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGRSRRTGAHRAHSLARQMKAKRRRPDLDEIHRELRPQGSARPQPDPNAEFDPDLPGGGLHRCLACARYFIDSTNLKTHFRSKDHKKRLKQLSVEPYSQEEAERAAGMGSYVPPRRLAVPTEVSTEVPEMDTST", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNGGNESSGADRAGGPVATSVPIGWQRCVREGAVLYISPSGTELSSLEQTRSYLLSDGTCKCGLECPLNVPKVFNFDPLAPVTPGGAGVGPASEEDMTKLCNHRRKAVAMATLYRSMETTCSHSSPGEGASPQMFHTVSPGPPSARPPCRVPPTTPLNGGPGSLPPEPPSVSQAFPTLAGPGGLFPPRLADPVPSGGSSSPRFLPRGNAPSPAPPPPPAISLNAPSYNWGAALRSSLVPSDLGSPPAPHASSSPPSDPPLFHCSDALTPPPLPPSNNLPAHPGPASQPPVSSATMHLPLVLGPLGGAPTVEGPGAPPFLASSLLSAAAKAQHPPLPPPSTLQGRRPRAQAPSASHSSSLRPSQRRPRRPPTVFRLLEGRGPQTPRRSRPRAPAPVPQPFSLPEPSQPILPSVLSLLGLPTPGPSHSDGSFNLLGSDAHLPPPPTLSSGSPPQPRHPIQPSLPGTTSGSLSSVPGAPAPPAASKAPVVPSPVLQSPSEGLGMGAGPACPLPPLAGGEAFPFPSPEQGLALSGAGFPGMLGALPLPLSLGQPPPSPLLNHSLFGVLTGGGGQPPPEPLLPPPGGPGPPLAPGEPEGPSLLVASLLPPPPSDLLPPPSAPPSNLLASFLPLLALGPTAGDGEGSAEGAGGPSGEPFSGLGDLSPLLFPPLSAPPTLIALNSALLAATLDPPSGTPPQPCVLSAPQPGPPTSSVTTATTDPGASSLGKAPSNSGRPPQLLSPLLGASLLGDLSSLTSSPGALPSLLQPPGPLLSGQLGLQLLPGGGAPPPLSEASSPLACLLQSLQIPPEQPEAPCLPPESPASALEPEPARPPLSALAPPHGSPDPPVPELLTGRGSGKRGRRGGGGLRGINGEARPARGRKPGSRREPGRLALKWGTRGGFNGQMERSPRRTHHWQHNGELAEGGAEPKDPPPPGPHSEDLKVPPGVVRKSRRGRRRKYNPTRNSNSSRQDITLEPSPTARAAVPLPPRARPGRPAKNKRRKLAP", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEVEYDDSGWQGRAKGQTNPEETLEDNPQKTIQECLEKFLTPDYIMEPGIFTQLKRYFQSGGSPEEVISMLSENYKAVAQMANLLAEWLILAGVKVTEVQAMVENHLKEMILKSFDPKKADTIFTEEGETPDWLTEMIDHYTWRSLIYRLAEEYPDCLMLNFTIKLISDAGFQSEITSISTAAQQIEVFSRVLKTSIVKFLNNPDDVHGAIQECARMVCHGQHTYVYSQVLIQVLSQEQKGGFNMKRLSQEIIKYALQNNQNVTPITMALNGSAVYPQACQALTSMLTRNTLNPADITVLFRNYSGSDPPPIDLIRNPQFLELLVDALFRSGVKINPEHKPKYMFLLAYASAVIDQPAKKRPMTERMLNKEELKSTIQAIEKAHTICNVDQGSTELIAELQTLYNCIKYPVVGVGVIRWIENVVMEPSYFKLSTDSCPTHLAVLDEVAAVHPTLQQQILFLLIRLFESKQDELEILVQLEMKKMILDRMVNLLTRGCVVPVLRYIKQCCAIEDTDISLIRYFVTEVLETITHPYSPEFVQLFLPMVENEEITGTMRGEGDNDPVSEFIVHCKAHYTTV", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRPPLTGSGGGFSGGRGRGGYSGGRGDGGFSGGRGGGGRGGGRGFSDRGGRGRGRGPPRGGARGGRGPAGRGGMKGGSKVIVEPHRHAGVFIAKGKEDALVTKNLVPGEAVYNEKRISVQNEDGTKTEYRVWNPFRSKLAAAILGGVDNIWIKPGAKVLYLGAASGTTVSHVSDLVGPEGCVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKSGGHFVISIKANCIDSTVPAEAVFQTEVKKLQQEQFKPAEQVTLEPFERDHACVVGGYRMPKKPKAATAA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MWKIRCVCPFEDDDGFTIQCESCEVWQHAVCVNIDANNVPEKYFCEQCQPRPIDADKAHKIQLARLQREEEQSRILSRSRSSNNKRRTSFGKNGASPTHSASPRQGNNTGANGALFSQSTNSSNSGSYRNSVTGATLPNAHAPHSQNRRRRSNHLNNPPEAPITEASNEYVYSFHLEYVPLESNTFSASALEYSKNLDLKNLDESEVLMDGCQVVPISSSKFCCSRFGLVSTCEIPPNTPIMEVKGRVCTQNEYKSDPKNQYNILGAPKPHVFFDSNSQLVVDSRVAGSKARFARKGCQSNSVVSSVYMNGSNSVPRFILYSTTHIAPETEIIGDWTLDISHPFRQFAPGMSRPSFNMEELELLSEVLSTFLSFNECASQDKKNCVFSRVTKYIKAARRASTANRVSVAKDRLSLTPSSTPSTPSPAESLPQPSNPTSVYAKSLKEFWLDKYRLSILQKWPAVKSLPTESVGIDVVMEPKLQPSVKEKKPTKDLQSPLPSVEEDSSNRDKKTDIADLHTDSKVGIADVLSPISPDAALQSDGPLKKAKEPEESSITPTTPPSFNVGESLSRRSASPLQHPRTSPDMLDKTSPCKRGLGTITTVHKKHGSVDHLPSVKRRRSIANDFHGKPDYNKRSLSIERKPEAFKTKGDRPHKVHPSFHRNSDSKLKLEPSSKEKSGSMFFNTLRTVKDKSHVHDTQRSSDVNFSRQNGTRSHSPSVSPVGFSFDKSPVTTPPLPTAPAPVITSRHALVNNQFPTNNPNILDHKANNGDDISNALNTSRSENKPNSNLVQGSVVKPSNTSASALPTSAPKKLSLSEYRQRRQQNILHQQSKDNQAHGDTARPHTVPAATVSNPSFTR", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKRVRTEQIQMAVSCYLKRRQYVDSDGPLKQGLRLSQTAEEMAANLTVQSESGCANIVSAAPCQAEPQQYEVQFGRLRNFLTDSDSQHSHEVMPLLYPLFVYLHLNLVQNSPKSTVESFYSRFHGMFLQNASQKDVIEQLQTTQTIQDILSNFKLRAFLDNKYVVRLQEDSYNYLIRYLQSDNNTALCKVLTLHIHLDVQPAKRTDYQLYASGSSSRSENNGLEPPDMPSPILQNEAALEVLQESIKRVKDGPPSLTTICFYAFYNTEQLLNTAEISPDSKLLAAGFDNSCIKLWSLRSKKLKSEPHQVDVSRIHLACDILEEEDDEDDNAGTEMKILRGHCGPVYSTRFLADSSGLLSCSEDMSIRYWDLGSFTNTVLYQGHAYPVWDLDISPYSLYFASGSHDRTARLWSFDRTYPLRIYAGHLADVDCVKFHPNSNYLATGSTDKTVRLWSAQQGNSVRLFTGHRGPVLSLAFSPNGKYLASAGEDQRLKLWDLASGTLYKELRGHTDNITSLTFSPDSGLIASASMDNSVRVWDIRNTYCSAPADGSSSELVGVYTGQMSNVLSVQFMACNLLLVTGITQENQEH", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEFLSEKFALKSPPSKNSDFYMGTGGALEHVMETLDNESFYGKATAGKCVQAFGPLPRAEHHVRLDRTSPCQDSSVNYGITKVEGQPLHTELNRAMDGCNNLRMSPVKGMPEKSELDELGDKCDSNVSSSKKRRHRTTFTSLQLEELEKVFQKTHYPDVYVREQLALRTELTEARVQVWFQNRRAKWRKRERYGQIQQAKSHFAATYDISVLPRTDSYPQIQNNLWAGNTSGGSVVTSCMLPRDASSCMTPYSHSPRTDSSYTGFSNHQNQFGHVPLNNFFTDSLLTGTTNGHAFETKPEFERRSSSIAVLRMKAKEHTANISWAM", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDDNTATLTAIHQQQQSHNRFSNPLVCVGQLDHHSLLPEHSISSSLAPLTHNPYAFNYSIPLPPTDITTKLPKLELLSLDVKQEQDDNHLDTSSPTDSTGNGSTNGGKIQKPRRQRTHFTSHQLTELENWFSRNRYPDMACREEIAVWISLTEPRVRVWFKNRRAKWRKRERNYVIDNGQGTTKVTAQSLDPLGSLQNTFPQTLLQSSSSQLDDSAVTSSSFYGYGGAWQQNPYYSRNNQTTFNWQIKPQDQFQFQTIPMSPTTATSRFSTAANLAPLPTAQAAFSTSATSSNDKLKLMDGLSNSLSSSLGQPYQPCQYSGPL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAAQPLRHRSRCATPPRGDFCGGTERAIDQASFTTSMEWDTQVVKGSSPLGPAGLGAEEPAAGPQLPSWLQPERCAVFQCAQCHAVLADSVHLAWDLSRSLGAVVFSRVTNNVVLEAPFLVGIEGSLKGSTYNLLFCGSCGIPVGFHLYSTHAALAALRGHFCLSSDKMVCYLLKTKAIVNASEMDIQNVPLSEKIAELKEKIVLTHNRLKSLMKILSEVTPDQSKPEN", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSRRVRRKLEEEKGKDKVVVLPSYPETSISNEEDLVAPELLHGFVDWISLPYDTVLQLFTCLNYRDRASLASTCKTWRCLGASSCLWTSLDLRPHKFDASMAASLASRCVNLHYLRFRGVESADSLIHLKARNLIEVSGDYCKKITDATLSMIVARHEALESLQLGPDFCERITSDAIKAVAFCCPKLKKLRLSGIRDVTSEAIEALAKHCPQLNDLGFLDCLNIDEEALGKVVSVRYLSVAGTSNIKWSIASNNWDKLPKLTGLDVSRTDIGPTAVSRFLTSSQSLKVLCALNCHVLEEDESLISYNRFKGKVLLALFTNVFDGLASIFADNTKKPKDIFAYWRELMKTTKDKTINDFIHWIEWIISHTLLRTAECNPEGLDDFWLNEGAALLLNLMQSSQEDVQERSATGLATFVVVDDENASIDCGRAEAVMKDGGIRLLLELAKSWREGLQSEAAKAIANLSVNANIAKSVAEEGGIKILAGLAKSMNRLVAEEAAGGLWNLSVGEEHKNAIAQAGGVKALVDLIFRWPNGCDGVLERAAGALANLAADDKCSMEVAKAGGVHALVMLARNCKYEGVQEQAARALANLAAHGDSNNNNAAVGQEAGALEALVQLTKSPHEGVRQEAAGALWNLSFDDKNRESISVAGGVEALVALAQSCSNASTGLQERAAGALWGLSVSEANSVAIGREGGVPPLIALARSEAEDVHETAAGALWNLAFNPGNALRIVEEGGVPALVHLCSSSVSKMARFMAALALAYMFDGRMDEYALMIGTSSSESTSKNISLDGARNMALKHIEAFVLSFIDPHIFESPVVSSTPTMLAQVTERARIQEAGHLRCSGAEIGRFVTMLRNPDSTLKACAAFALLQFTIPGGRHAMHHVSLMQNGGESRFLRSAAASAKTPREAKIFTKILLRNLEHHQAESSI", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MARLADYFVLVAFGPHPRGSGEGQGQILQRFPEKDWEDNPFPQGIELFCQPSGWQLCPERNPPTFFVAVLTDINSERHYCACLTFWEPAEPSQETTRVEDATEREEEGDEGGQTHLSPTAPAPSAQLFAPKTLVLVSRLDHTEVFRNSLGLIYAIHVEGLNVCLENVIGNLLTCTVPLAGGSQRTISLGAGDRQVIQTPLADSLPVSRCSVALLFRQLGITNVLSLFCAALTEHKVLFLSRSYQRLADACRGLLALLFPLRYSFTYVPILPAQLLEVLSTPTPFIIGVNAAFQAETQELLDVIVADLDGGTVTIPECVHIPPLPEPLQSQTHSVLSMVLDPELELADLAFPPPTTSTSSLKMQDKELRAVFLRLFAQLLQGYRWCLHVVRIHPEPVIRFHKAAFLGQRGLVEDDFLMKVLEGMAFAGFVSERGVPYRPTDLFDELVAHEVARMRADENHPQRVLRHVQELAEQLYKNENPYPAVAMHKVQRPGESSHLRRVPRPFPRLDEGTVQWIVDQAAAKMQGAPPAVKAERRTTVPSGPPMTAILERCSGLHVNSARRLEVVRNCISYVFEGKMLEAKKLLPAVLRALKGRAARRCLAQELHLHVQQNRAVLDHQQFDFVVRMMNCCLQDCTSLDEHGIAAALLPLVTAFCRKLSPGVTQFAYSCVQEHVVWSTPQFWEAMFYGDVQTHIRALYLEPTEDLAPAQEVGEAPSQEDERSALDVASEQRRLWPTLSREKQQELVQKEESTVFSQAIHYANRMSYLLLPLDSSKSRLLRERAGLGDLESASNSLVTNSMAGSVAESYDTESGFEDAETCDVAGAVVRFINRFVDKVCTESGVTSDHLKGLHVMVPDIVQMHIETLEAVQRESRRLPPIQKPKLLRPRLLPGEECVLDGLRVYLLPDGREEGAGGSAGGPALLPAEGAVFLTTYRVIFTGMPTDPLVGEQVVVRSFPVAALTKEKRISVQTPVDQLLQDGLQLRSCTFQLLKMAFDEEVGSDSAELFRKQLHKLRYPPDIRATFAFTLGSAHTPGRPPRVTKDKGPSLRTLSRNLVKNAKKTIGRQHVTRKKYNPPSWEHRGQPPPEDQEDEISVSEELEPSTLTPSSALKPSDRMTMSSLVERACCRDYQRLGLGTLSSSLSRAKSEPFRISPVNRMYAICRSYPGLLIVPQSVQDNALQRVSRCYRQNRFPVVCWRSGRSKAVLLRSGGLHGKGVVGLFKAQNAPSPGQSQADSSSLEQEKYLQAVVSSMPRYADASGRNTLSGFSSAHMGSHGKWGSVRTSGRSSGLGTDVGSRLAGRDALAPPQANGGPPDPGFLRPQRAALYILGDKAQLKGVRSDPLQQWELVPIEVFEARQVKASFKKLLKACVPGCPAAEPSPASFLRSLEDSEWLIQIHKLLQVSVLVVELLDSGSSVLVGLEDGWDITTQVVSLVQLLSDPFYRTLEGFRLLVEKEWLSFGHRFSHRGAHTLAGQSSGFTPVFLQFLDCVHQVHLQFPMEFEFSQFYLKFLGYHHVSRRFRTFLLDSDYERIELGLLYEEKGERRGQVPCRSVWEYVDRLSKRTPVFHNYMYAPEDAEVLRPYSNVSNLKVWDFYTEETLAEGPPYDWELAQGPPEPPEEERSDGGAPQSRRRVVWPCYDSCPRAQPDAISRLLEELQRLETELGQPAERWKDTWDRVKAAQRLEGRPDGRGTPSSLLVSTAPHHRRSLGVYLQEGPVGSTLSLSLDSDQSSGSTTSGSRQAARRSTSTLYSQFQTAESENRSYEGTLYKKGAFMKPWKARWFVLDKTKHQLRYYDHRVDTECKGVIDLAEVEAVAPGTPTMGAPKTVDEKAFFDVKTTRRVYNFCAQDVPSAQQWVDRIQSCLSDA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MYSNNRVEVFHGDGRLGELEIYPSRELNQQQDDVMKQRKKKQREVMELAKMGIRISHFSQSGERCPPLAILTTISSCGLCFKLEASPSPAQESLSLFYSSCLRDNKTAVMLLGGEELHLVAMYSENIKNDRPCFWAFSVAPGIYDSCLVMLNLRCLGIVFDLDETLVVANTMRSFEDKIDGFQRRINNEMDPQRLAVIVAEMKRYQDDKNLLKQYIESDQVVENGEVIKVQSEIVPALSDNHQPLVRPLIRLQEKNIILTRINPMIRDTSVLVRMRPSWEELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEGNLINTNDLLARIVCVKSGFKKSLFNVFLDGTCHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYSPQAEAAATPVLCVARNVACGVRGGFFRDFDDSLLPRIAEISYENDAEDIPSPPDVSHYLVSEDDTSGLNGNKDPLSFDGMADTEVERRLKEAISASSAVLPAANIDPRIAAPVQFPMASASSVSVPVPVQVVQQAIQPSAMAFPSIPFQQPQQPTSIAKHLVPSEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPAPSEPSFPQRPPVQAPPSHVQSRNGWFPVEEEMDPAQIRRAVSKEYPLDSEMIHMEKHRPRHPSFFSKIDNSTQSDRMLHENRRPPKESLRRDEQLRSNNNLPDSHPFYGEDASWNQSSSRNSDLDFLPERSVSATETSADVLHGIAIKCGAKVEYKPSLVSSTDLRFSVEAWLSNQKIGEGIGKSRREALHKAAEASIQNLADGYMRANGDPGPSHRDATPFTNENISMGNANALNNQPFARDETALPVSSRPTDPRLEGSMRHTGSITALRELCASEGLEMAFQSQRQLPSDMVHRDELHAQVEIDGRVVGEGVGSTWDEARMQAAERALSSVRSMLGQPLHKRQGSPRSFGGMSNKRLKPDFQRSLQRMPSSGRYS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDNRSPRSRGNMGPKPTPLKVRGDSHKIIKKPPLAPPHPQPQPPQTHQQEPSQSRPPPGPVNIYTVTPRIIHTHPNNFMTLVQRLTGQTSTSTTSSSSSSSTSEPKDTSTMVDTSHGLISPAARFAVTEKANISNELGTFVGGEGTMDQYYHHHHQEQPHQNRGFERPSFHHAGILSPGPYSLPSVSPDFFSTIGPTDPQEGTPYLIPSGDMHSYLSQDEDRGIPHSVKDTRSIGSAYDRYLQSMQTFFVPSEEAGPFNGVGMVRQGGSNMMPGPSMGELMAGCGGSLPSDFRPNGRDMGFGQLDSVGRPGREPHPLPPDVSNTLYVEGLPSNCSRREVSHIFRPFVGYREVRLVTQDSKHRSGDPTVLCFVDFENSACAATALSALQDYRMDEDEPDSKILRLQFFRNPGPRPGQRGGRR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPYANQPTVRITELTDENVKFIIENTDLAVANSIRRVFIAEVPIIAIDWVQIDANSSVLHDEFIAHRLGLIPLISDDIVDKLQYSRDCTCEEFCPECSVEFTLDVRCNEDQTRHVTSRDLISNSPRVIPVTSRNRDNDPNDYVEQDDILIVKLRKGQELRLRAYAKKGFGKEHAKWNPTAGVAFEYDPDNALRHTVYPKPEEWPKSEYSELDEDESQAPYDPNGKPERFYYNVESCGSLRPETIVLSALSGLKKKLSDLQTQLSHEIQSDVLTIN", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIPLEKPGSGGSPSAAASGSGPGGLLTEIRTAIRTEPFQDCYSLSPGRELGRGKFAVVRKCIQKDSGKEFAAKFMRKRRKGQDCRMEIIHEIAVLELAQDNPWVINLHEVYETSSEMILVLEYAAGGEISDQCVADRDEAFNEKDVQRLMRQILEGVHFLHTHDVVHLDLKPQNILLTSESPLGDIKIVDFGLSRIVKNSEELREIMGTPEYVAPEILSYDPISMATDMWSIGVLTYVMLTGISPFLGDNKQETFLNISQMNLSYSEEEFDTVSESAVDFIKKLLVKKPEDRATAEECLKHPWLTQSSIQDPVLRVKEALEEANALQKGDSVPEISSATEKPGTEESIVTEELIVVTSYTLGQCRQSEKEKMEQKAISKRFKFEEPLLQEIPGEFIY", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKMDADSSGTQHRDSRGSRSRSRREREYHGRSSERDSRKKEHKIPYFADEVREQDRLRRLRQRAHQSTRRTRSRSRSQSSIRESRHRRHRQRSRSRNRSRSRSSERKRRQRSRSRSSERRRRQRSPHRYNPPPKIINYYVQVPPQDFYGMSGMQQSFGYQRLPRPPPFPPAPYRYRQRPPFIGVPRFGYRNAGRPPY", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGKRKKSTRKPTKRLVQKLDTKFNCLFCNHEKSVSCTLDKKNSIGTLSCKICGQSFQTRINSLSQPVDVYSDWFDAVEEVNSGRGSDTDDGDEGSDSDYESDSEQDAKTQNDGEIDSDEEEVDSDEERIGQVKRGRGALVDSDDE", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRPIPVKNRIGKNNIDNAKGLKGNKLIRHYHNLLKEKSRLIASSAPIEKIKNVESELENIGIDAYQRASRSGQAEGKGGDSSKILIKWIRTTPCFSYCARLKEPKDLLEIGSVSVDNKCSTCGLFRVSRIDLHSVHPLIKQQDFLERTPEEGLFTGISCSLVLNFAPPELRAKMLLHCTGLLMPPNKEQPPWLFLVLPSPCITNSRYMDEKTLHSIMIQFGFICRQKSISKKIAYYLYSYECFPMKEIDWKKKIVNDGATRNNFFIPCIL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTGLLSILLHYKGNGDLATTDIPSHEKIPSAKRTAVQFCIGPTHEQSPRMSTVSQGRVTFAVLPTKPKDEVTSMKANGSRQSSCIVSNARKRQSVHCLSRSPIPSTRLKENDSRLCSPLASPTGGLKRPAKVSFALANTPSRKGNLVPQSPRRTIATTCKGVLEDVLKKDNELKFNDSDEEDEVDDEEIESFNSFSRKMQTISNSRYRGSPKPNIEKQSCSSESDRVSQISDDEEDEEGSADEEDEEDSDVELSESSLSDDEDSPLRCPSTPVQTAAAPNESQIPDDTDFVPGTFDEDQPACLAFACSLTHANSKRSIMLPQDIDPTFPDSEPEDDGHASSTVGSLSKEEARFKAKAKWNYKSSFSAHVSSEVLRNSKSPPLDIARKAVGAHRV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAGAAPRVRYLAGFCCPLGGLAAGKPRVLCHEAEVFLSTGSELVYVYDQEGGLLTAAFRFPDQVWHLELLAPRRLLYALCARRGLYCLSLDHPGRSRSTSQDDRDSEDGDQPSPVIPVDPDACILPDAALCAFTLLDSVLVTLVQGPARWKMQLFEQPCPGEDPRPGGQIGEVELSSYTPPAGVPGKPAAPHFLPVLCSVSPSGSRVPHDLLGGSGGFTLEDALFGLLFGADATLLQSPVVLCGLPDGQLCCVILKALVTSRSAPGDPNALVKILHHLEEPVIFIGALKTEPQAAEAAENFLPDEDVHCDCLVAFGHHGRMLAIKASWDESGKLVPELREYCLPGPVLCAACGGGGRVYHSTPSDLCVVDLSRGSTPLGPEQPEEGPGGLPPMLCPASLNICSVVSLSASPRTHEGGTKLLALSAKGRLMTCSLDLDSEMPGPARMTTESAGQKIKELLSGIGNISERVSFLKKAVDQRNKALTSLNEAMNVSCALLSSGTGPRPISCTTSTTWSRLQTQDVLMATCVLENSSSFSLDQGWTLCIQVLTSSCALDLDSACSAITYTIPVDQLGPGARREVTLPLGPGENGGLDLPVTVSCTLFYSLREVVGGALAPSDSEDPFLDECPSDVLPEQEGVCLPLSRHTVDMLQCLRFPGLAPPHTRAPSPLGPTRDPVATFLETCREPGSQPAGPASLRAEYLPPSVASIKVSAELLRAALKDGHSGVPLCCATLQWLLAENAAVDVVRARALSSIQGVAPDGANVHLIVREVAMTDLCPAGPIQAVEIQVESSSLADICRAHHAVVGRMQTMVTEQATQGSSAPDLRVQYLRQIHANHETLLREVQTLRDRLCTEDEASSCATAQRLLQVYRQLRHPSLILL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEGVAVVTAGSVGAAKTEGAAALPPPPPVSPPALTPAPAAGEEGPAPLSETGAPGCSGSRPPELEPERSLGRFRGRFEDEDEELEEEEELEEEEEEEEEDMSHFSLRLEGGRQDSEDEEERLINLSELTPYILCSICKGYLIDATTITECLHTFCKSCIVRHFYYSNRCPKCNIVVHQTQPLYNIRLDRQLQDIVYKLVINLEEREKKQMHDFYKERGLEVPKPAVPQPVPSSKGRSKKVLESVFRIPPELDMSLLLEFIGANEGTGHFKPLEKKFVRVSGEATIGHVEKFLRRKMGLDPACQVDIICGDHLLEQYQTLREIRRAIGDAAMQDGLLVLHYGLVVSPLKIT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKIKTIKRSADDYVPVKSTQESQMPRNLNPELHPFERAREYTKALNATKLERMFAKPFVGQLGYGHRDGVYAIAKNYGSLNKLATGSADGVIKYWNMSTREEFVSFKAHYGLVTGLCVTQPRFHDKKPDLKSQNFMLSCSDDKTVKLWSINVDDYSNKNSSDNDSVTNEEGLIRTFDGESAFQGIDSHRENSTFATGGAKIHLWDVNRLKPVSDLSWGADNITSLKFNQNETDILASTGSDNSIVLYDLRTNSPTQKIVQTMRTNAICWNPMEAFNFVTANEDHNAYYYDMRNLSRSLNVFKDHVSAVMDVDFSPTGDEIVTGSYDKSIRIYKTNHGHSREIYHTKRMQHVFQVKYSMDSKYIISGSDDGNVRLWRSKAWERSNVKTTREKNKLEYDEKLKERFRHMPEIKRISRHRHVPQVIKKAQEIKNIELSSIKRREANERRTRKDMPYISERKKQIVGTVHKYEDSGRDRKRRKEDDKRDTQEK", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVSVKVNGNPQNRLVNNAKVNGNMAFRGNQNRNRNFGGGNNNYGGPMGANRMGGMNMSPWESQNPGGGQFGNNMRQGGGQMNAQAINLANNLLNNLFRNQNPPSLLDLPRGGGGMGNRNQRGGPMVSRGGGAGNRLNNRRGQGGGFQNRGATGSGPKPPPKQGGGGIRKQNAFDRAKKLLAKNANQNKKKEPTPGEKKIESPTKESPYASVPNDMFYCHLCKKHMWDANSFENHIKGRTHLMMREGIEESYRLKANMIRQEAKIAEQLKSIEFDRLKRMGKSKQRQLDYCTMCDLNFHGHISTHRKSEGHLQLKKFLHPKCIECNKEFATRIDYDTHLLSAEHLKKAAENNTKVGERKRQTLPISTEEEETRDLRLPQKRKKKPVKKEGEAADGEAKKEGAGDGEGAEGDEAEGEEAKEGEEAADETKEGDELNESQEEEEVALPVDPEDCILDFNDGDEIPSEVDTRLPKYNWQRAVGPGLISKLECYECSVCSKFFDTEVTAEIHSRTATHHRNFLKFINEKSSDTKIAQKRAAAALEENERKKRKVEEAEAPAAEGAAEETTEGAEGELYDPSEATGDDEDVEMVDDNAEGEGEGEGDEEAEAEVEEDGAGQDNGEEEMEAQEEEGQEGEQEPEPEPAPVQTPAPAEPAPPAKTPAKTPTKAAAPAAVASPAAAATSADASPSPAKKATPARAAAGAKATPQRQRARGRYNRY", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLSSPTVILQPYGLPVYPQTASCYPGIVQGAAAQEAGPGNGDPSLPQVYAPPPSYPPPGQAPPTPAARLPPLDFSAAHPNSEYADHHQLRVYQGPQHDGTESITASNTDDSLAPVTSDPQSLSVSVASGSGAAGGSDEEGGGKAQPKRLHVSNIPFRFRDPDLRQMFGQFGKILDVEIIFNERGSKGFGFVTFESAVEADRAREKLNGTIVEGRKIEVNNATARVVTKKPQTPLVNAAGWKINPVMGAMYAPELYTVASFPYPVPTPTLAYRGSGLRGRGRAVYNTIRSAAAAATPAAVPAYPGVVYQEGLYGAEVYGGYPATYRVAQSASAAATATYSDGYGRVYATATDPYHHSVGPTTTYGVGTMASLYRGGYNRFTPY", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSNELRLEDNYVPTSDTLVVFKQLMKLPVTVLYDLTLSWFAKFGGSFDGDIYLLTETLDLLIEKGVRRNVIVNRILYVYWPDGLNVFQLAEIDCHLMISKPEKFKWLPSKALRGDGKPYVVKLQPAKFIENLQTDLAKIYHCHVYMFKHPSLPVLITRIQLFDSNNLFLSTPNIGSINKESLYNKLDKFQGKPLISRRPYYVAFPLNSPIIFHSVDKDIYARLVLQSISRTISERETIIFKPVQKIPVKSIHNIMTLLGPSRFAESMGPWECYASANFERSPLHDYKKHQGLTGKKVMVREFDDSFLNDDENFYGKEEPEIRRLRLEKNMIKFKGSANGVMDQKYNDLKEFNEHVHNIRNGKKNEDSGEPVYISRYSSLVPIEKVGFTLKNEINSRIITIKLKFNGNDIFGGLHELCDKNLINIDKVPGWLAGENGSFSGTIMNGDFQREQVAKGGLL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSQTNWEADKMLDVYIHDYLVKRDLKATAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQMIKAREQQLQQSQHPQVSQQQQQQQQQQIQMQQLLLQRAQQQQQQQQQQHHHHQQQQQQQQQQQQQQQQQQQQHQNQPPSQQQQQQSTPQHQQQPTPQQQPQRRDGSHLANGSANGLVGNNSEPVMRQNPGSGSSLASKAYEERVKMPTQRESLDEAAMKRFGDNVGQLLDPSHASILKSAAASGQPAGQVLHSTSGGMSPQVQTRNQQLPGSAVDIKSEINPVLTPRTAVPEGSLIGIPGSNQGSNNLTLKGWPLTGFDQLRSGLLQQQKPFMQSQSFHQLNMLTPQHQQQLMLAQQNLNSQSVSEENRRLKMLLNNRSMTLGKDGLGSSVGDVLPNVGSSLQPGGSLLPRGDTDMLLKLKMALLQQQQQNQQQGGGNPPQPQPQPQPLNQLALTNPQPQSSNHSIHQQEKLGGGGSITMDGSISNSFRGNEQVLKNQSGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPNLPHSGGSSKSMMMFGTEGTGTLTSPSNQLADMDRFVEDGSLDDNVESFLSQEDGDQRDAVTRCMDVSKGFTFTEVNSVRASTTKVTCCHFSSDGKMLASAGHDKKAVLWYTDTMKPKTTLEEHTAMITDIRFSPSQLRLATSSFDKTVRVWDADNKGYSLRTFMGHSSMVTSLDFHPIKDDLICSCDNDNEIRYWSINNGSCTRVYKGGSTQIRFQPRVGKYLAASSANLVNVLDVETQAIRHSLQGHANPINSVCWDPSGDFLASVSEDMVKVWTLGTGSEGECVHELSCNGNKFQSCVFHPAYPSLLVIGCYQSLELWNMSENKTMTLPAHEGLITSLAVSTATGLVASASHDKLVKLWK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSGKANASKKNAQQLKRNPKRKKDNEEVVLSENKVRNTVKKNKNHLKDLSSEGQTKHTNLKHGKTAASKRKTWQPLSKSTRDHLQTMMESVIMTILSNSIKEKEEIQYHLNFLKKRLLQQCETLKVPPKKMEDLTNVSSLLNMERARDKANEEGLALLQEEIDKMVETTELMTGNIQSLKNKIQILASEVEEEEERVKQMHQINSSGVLSLPELSQKTLKAPTLQKEILALIPNQNALLKDLDILHNSSQMKSMSTFIEEAYKKLDAS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAAAARWNHVWVGTETGILKGVNLQRKQAANFTAGGQPRREEAVSALCWGTGGETQMLVGCADRTVKHFSTEDGIFQGQRHCPGGEGMFRGLAQADGTLITCVDSGILRVWHDKDKDTSSDPLLELRVGPGVCRMRQDPAHPHVVATGGKENALKIWDLQGSEEPVFRAKNVRNDWLDLRVPIWDQDIQFLPGSQKLVTCTGYHQVRVYDPASPQRRPVLETTYGEYPLTAMTLTPGGNSVIVGNTHGQLAEIDLRQGRLLGCLKGLAGSVRGLQCHPSKPLLASCGLDRVLRIHRIQNPRGLEHKVYLKSQLNCLLLSGRDNWEDEPQEPQEPNKVPLEDTETDELWASLEAAAKRKLSGLEQPQGALQTRRRKKKRPGSTSP", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSVLIVTSLGDIVIDLHSDKCPLTCKNFLKLCKIKYYNGCLFHTVQKDFTAQTGDPTGTGAGGDSIYKFLYGEQARFYKDEIHLDLKHSKTGTVAMASGGENLNASQFYFTLRDDLDYLDGKHTVFGQIAEGFDTLTRINEAYVDPKNRPYKNIRIKHTHILDDPFDDPPQLAEMMPDASPEGKPKEEVKDDVRLEDDWVPMDEELGAQELEEVIREKAAHSSAVVLESIGDIPEAEVKPPDNVLFVCKLNPVTEDEDLHTIFSRFGTVVSADVIRDFKTGDSLCYAFIEFENKESCEQAYFKMDNALIDDRRIHVDFSQSVSKLWSQFRQKDSQKGKGNGCFKCGSTDHIAKDCVGGPSSKFIVKDQNRQHGGGEGYEMVFEGDVHETPKHNSHERERSEKIQRRSPHGNGEGKRQHRDERDDGRRQHDREDARELERKHRERKERESREDEDRRRRRRREESRDKESRRERDEDDHRSHRDYKERRRERDDRHGREARHERRDR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAGLSDLELRRELQALGFQPGPITDTTRDVYRNKLRRLRGEARLRDEERLREEARPRGEERLREEARLREDAPLRARPAAASPRAEPWLSQPASGSAYATPGAYGDIRPSAASWVGSRGLAYPARPAQLRRRASVRGSSEEDEDARTPDRATQGPGLAARRWWAASPAPARLPSSLLGPDPRPGLRATRAGPAGAARARPEVGRRLERWLSRLLLWASLGLLLVFLGILWVKMGKPSAPQEAEDNMKLLPVDCERKTDEFCQAKQKAALLELLHELYNFLAIQAGNFECGNPENLKSKCIPVMEAQEYIANVTSSSSAKFEAALTWILSSNKDVGIWLKGEDQSELVTTVDKVVCLESAHPRMGVGCRLSRALLTAVTNVLIFFWCLAFLWGLLILLKYRWRKLEEEEQAMYEMVKKIIDVVQDHYVDWEQDMERYPYVGILHVRDSLIPPQSRRRMKRVWDRAVEFLASNESRIQTESHRVAGEDMLVWRWTKPSSFSDSER", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MHKKRVEEGEASDFSLAWDSSVTAAGGLEGEPECDQKTSRALEDRNSVTSQEERNEDDEDMEDESIYTCDHCQQDFESLADLTDHRAHRCPGDGDDDPQLSWVASSPSSKDVASPTQMIGDGCDLGLGEEEGGTGLPYPCQFCDKSFIRLSYLKRHEQIHSDKLPFKCTYCSRLFKHKRSRDRHIKLHTGDKKYHCHECEAAFSRSDHLKIHLKTHSSSKPFKCTVCKRGFSSTSSLQSHMQAHKKNKEHLAKSEKEAKKDDFMCDYCEDTFSQTEELEKHVLTRHPQLSEKADLQCIHCPEVFVDENTLLAHIHQAHANQKHKCPMCPEQFSSVEGVYCHLDSHRQPDSSNHSVSPDPVLGSVASMSSATPDSSASVERGSTPDSTLKPLRGQKKMRDDGQGWTKVVYSCPYCSKRDFNSLAVLEIHLKTIHADKPQQSHTCQICLDSMPTLYNLNEHVRKLHKNHAYPVMQFGNISAFHCNYCPEMFADINSLQEHIRVSHCGPNANPSDGNNAFFCNQCSMGFLTESSLTEHIQQAHCSVGSAKLESPVVQPTQSFMEVYSCPYCTNSPIFGSILKLTKHIKENHKNIPLAHSKKSKAEQSPVSSDVEVSSPKRQRLSASANSISNGEYPCNQCDLKFSNFESFQTHLKLHLELLLRKQACPQCKEDFDSQESLLQHLTVHYMTTSTHYVCESCDKQFSSVDDLQKHLLDMHTFVLYHCTLCQEVFDSKVSIQVHLAVKHSNEKKMYRCTACNWDFRKEADLQVHVKHSHLGNPAKAHKCIFCGETFSTEVELQCHITTHSKKYNCKFCSKAFHAIILLEKHLREKHCVFDAATENGTANGVPPMATKKAEPADLQGMLLKNPEAPNSHEASEDDVDASEPMYGCDICGAAYTMEVLLQNHRLRDHNIRPGEDDGSRKKAEFIKGSHKCNVCSRTFFSENGLREHLQTHRGPAKHYMCPICGERFPSLLTLTEHKVTHSKSLDTGTCRICKMPLQSEEEFIEHCQMHPDLRNSLTGFRCVVCMQTVTSTLELKIHGTFHMQKLAGSSAASSPNGQGLQKLYKCALCLKEFRSKQDLVKLDVNGLPYGLCAGCMARSANGQVGGLAPPEPADRPCAGLRCPECSVKFESAEDLESHMQVDHRDLTPETSGPRKGTQTSPVPRKKTYQCIKCQMTFENEREIQIHVANHMIEEGINHECKLCNQMFDSPAKLLCHLIEHSFEGMGGTFKCPVCFTVFVQANKLQQHIFAVHGQEDKIYDCSQCPQKFFFQTELQNHTMSQHAQ", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPGNTKKSADSGLTAKDYYFDSYSHWGIHEEMLKDDVRTLSYRDAIMQNPHLFRDKIVLDVGCGTGILSMFCARAGAKHVYGVDMSEIIHKAVQIVEVNKLSDRITLIQGKMEEIQLPVEKVDIIVSEWMGYFLLYESMLDTVLVARDRYLAPDGLLFPDRAQIQLAAIEDADYKSEKIGFWDDVYGFDFSPIKKDVWKEPLVDTVDRIAVNTNSCVILDLDLKTVKKEDLAFSSPFEITATRNDFVHAFLAWFDIEFSACHKPIKFSTGPFSRYTHWKQTVFYTHKDLTVKAGEYIRGTITCKPAEGNHRELDIDISYTFNPREPNREPVSEDLSYRMC", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAGSDEVNRNECKTVVPLHTWVLISNFKLSYNILRRADGTFERDLGEYLDRRVPANARPLEGVSSFDHIIDQSVGLEVRIYRAAAEGDAEEGAAAVTRPILEFLTDAPAAEPFPVIIFFHGGSFVHSSASSTIYDSLCRRFVKLSKGVVVSVNYRRAPEHRYPCAYDDGWTALKWVMSQPFMRSGGDAQARVFLSGDSSGGNIAHHVAVRAADEGVKVCGNILLNAMFGGTERTESERRLDGKYFVTLQDRDWYWKAYLPEDADRDHPACNPFGPNGRRLGGLPFAKSLIIVSGLDLTCDRQLAYADALREDGHHVKVVQCENATVGFYLLPNTVHYHEVMEEISDFLNANLYY", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDDGGHRENGRHKAAVQGQWLMQHQPSMKQVMSIIAERDAAIQERNLAISEKKAAVAERDMAFLQRDTAIAERNNAIMERDSALTALQYRENSMVTAPAANMSACPPGCQISRGVKHLHHPHMHHHHQQHHIPQLTENAYETREMEPNDGLPTSPPAGSTLESAKPKRGKRVNPKATTQTAANKRGPKNQRKVKKESEDDLNKIMFVKTTHDYTDEDSSKHILIGSKSDWKSQEMVGLNQVVYDETTMPPPVCSCTGVLRQCYKWGNGGWQSSCCTTTLSMYPLPALPNKRHARVGGRKMSGSAFNKLLSRLAAEGHHDLSNPVDLKDHWAKHGTNRYITIK", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPFLGQDWRSPGWSWIKTEDGWKRCDPCSHELRSEDSQYTINHSIILNSGEEEIFNNECEYAAKKRKKEHFGNDTAAHSFYREKWIYVHKESTKERHGYCTLGEAFNRLDFSSAIQDIRRFTYVVKLLQLIAKSQLTSLSGVAQKNYFNILDKIVQKVLDDHQNPRLIKGLLQDLSSTLGILVRGVGKSVLVGNINIWICRLETVLSWQQQLQNLQVTKQVNTGLTLSDLPLHMLNNILYRFSDGWDIVTLGQVTPTLYMLSEDRRLWKRLCQYHFAEQQFCRHLILSEKGHIEWKLMYFTLQKYYPTKEQYGDTLHFCRHCSILFWKDSGHPCTAADPDSCFTPVSPEHFIDLFKF", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGLAGVKKKQQIGVDPRNSKWAKDTNRLGFKLLSSYGWVNGNGLGEKQHGRIHNIKVSLKDDTLGIGAKATNDLEWSGLGEFNAIFGRLNGDESAYGVYAEKAKVQQLTYERQSANEKGLKSLELSRRFVLGGTFTSEFSEWMQKAEEDEDRVCEDASSSDEAKREKRKKHSSKKKSKKKTSTGSALDPKKLEKITKKKKKEHKKKDKESSSKKRKSGSSDKEEKKKKKIKLKDKPESTSSVEKVKEGNRPASIHFHTRRKFLAQKRAAVSDPVALREILGIKG", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTEDKSQVKIRFFTREKDELLHVQDTPMYAPISLKRYGLSEIVNHLLGSEKPVPFDFLIEGELLRTSLHDYLTKKGLSSEASLNVEYTRAILPPSYLNSFSNEDWVSSLDVGDGSKHIISGSYDGIVRTWDLSGNVQKQYSGHSGPIRAVKYISNTRLVSAGNDRTLRLWKTKNDDLKLTSQQQAQEDDDDEVNIEDGKTLAILEGHKAPVVSIDVSDNSRILSASYDNSIGFWSTIYKEMTVVDPLEDINNPNNKISTAARKRRKLTMKDGTIRRRAPLSLLESHTAPVEQVIFDSTDNTVGYSVSQDHTIKTWDLVTARCIDTRTTSYSLLSIAQLSTLNLLACGSSARHITLHDPRVGASSKVTQQQLIGHKNFVSSLDTCPENEYILCSGSHDGTVKVWDVRSTSPMYTITREDKSVQKGVNDKVFAVKWAEKVGIISAGQDKKIQINKGDNIFKN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVKPKYKGRSTINPSKASTNPDRVQGAGGQNMRDRATIRRLNMYRQKERRNSRGKIIKPLQYQSTVASGTVARVEPNIKWFGNTRVIKQSSLQKFQEEMDTVMKDPYKVVMKQSKLPMSLLHDRIRPHNLKVHILDTESFETTFGPKSQRKRPNLFASDMQSLIENAEMSTESYDQGKDRDLVTEDTGVRNEAQEEIYKKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRSPHIETYLKKEKPWKHLIFVLNKCDLVPTWATKRWVAVLSQDYPTLAFHASLTNPFGKGAFIQLLRQFGKLHTDKKQISVGFIGYPNVGKSSVINTLRSKKVCNVAPIAGETKVWQYITLMRRIFLIDCPGVVYPSEDSETDIVLKGVVQVEKIKSPEDHIGAVLERAKPEYISKTYKIDSWENAEDFLEKLAFRTGKLLKGGEPDLQTVGKMVLNDWQRGRIPFFVKPPNAEPLVAPQLLPSSSLEVVPEAAQNNPGEEVTETAGEGSESIIKEETEENSHCDANTEMQQILTRVRQNFGKINVVPQFSGDDLVPVEVSDLEEELESFSDEEEEEQEQQRDDAEESSSEPEEENVGNDTKAVIKALDEKIAKYQKFLDKAKAKKFSAVRISKGLSEKIFAKPEEQRKTLEEDVDDRAPSKKGKKRKAQREEEQEHSNKAPRALTSKERRRAVRQQRPKKVGVRYYETHNVKNRNRNKKKTNDSEGQKHKRKKFRQKQ", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAAAVRPGAEPWNRVRIPQAGNCSTLTVRDPSATLDICTAAVTKGCHLVTQSLKSQTLDAEVDVLCSVLYSNHNRLGHHKPHLALRQVEQCLKRLKHMNLEGSIEDLSQLLSANATQPGATENRVVPSQPVVEVVLMKVLGGCKLLLRLLDCCCKAFLLTVKHLGLKEFIILNLVMVGLVSRLWVLHKGLLRRLISLYEPLLSLRQEISSIHPMPYFKDFAFPSDITDFLGPSYLEVFKVKTPAASATKGVTKLLNKLFLMREQLPKMNEDTLDRLSKPSEQMTSNPQSTVDLGQPVKACKRTRKEKPLGFDLRAFCTRLGNKATQETNRDFKYSQSKLKTTKLPSQQLRTHWANDTVQRIRKTKTFAQLSEEIEMAIVWSRSKKLKTQATFLGNKLLKSNRFRHVESQGYSLTKKLQCMKTSLCNCLLRGSRTSTSEHPPRQRRSKYKVLSRQRKPQRKLQSTLLKETQQVPEGTLKNTRDSSAKRRCSGTVQRSDVCPNGKQVLRKLAKPDLKTKVVVHGNLTGGSRNESGFQAKTQMHTHNAPDTAKEADDIDDIFALMGV", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEVEVKLRLLTAAAHLRLTTLLTPYHLKTLHQRNTFFDTPKNDLSLRRAVLRLRFLQNAAVSAASPSPPRCIVSLKAKPTLANGISRVEEDEEEIEYWIGKECVESPAKLSDIGSRVLKRVKEEYGFNDFLGFVCLGGFENVRNVYEWRGVKLEVDETKYDFGNCYEIECETEEPERVKTMIEEFLTEEKIEFSNSDMTKFAVFRSGKLP", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MILRTPQPKRLRSDAGESPFPTGATGSGNQLIIYEDSPLPAPAPLQTSHDHSADQHLCTYQCRQMVKADVLDALSTAEKQVEESKTKLQTLNANFTEADAERKHFRDKFLYSEQELAAAKAREKMLQEQLLMEINNSQERYTKELQSCHELEVKLQNEMNLRKKAESSAATAEEKAKLLEDKLTQLSGSVDREKKRLNNDIAQLGKEAKLSVARIGADLERMQCRAQNAETESNLLRSQLEHLKLIFDECLQEKTEVDKKLSSFTSEAASSSDNSVLVKHLQEELKRYEAEVREARKLKSRHLDAELLNVNLLEEQSRRERAESELSKFHDLQLSMEKLENELSSWKSLLNDIPGVSCPDDIVMRFSVLQNEVVQSTMKIGEASTRIKQLEETLEAIQLGRQNAVSEAALAKEKSEALKTDVKRIEVMLTLVTEEKEQLKAVVNELRKSNSEGSVSGAADGALIQGFESSLAKKENYIKDLEQDLNQLKDVNNRQRTEIELLNEKLVDEARRNKSLERDSDRLRSEISLLESKLGHGDYSAANTRVLRMVNTLGVENEAKQTIEALQAELQKTKERLQAVEELKSQSGDAGKLVDSHITGKIAQLKEQNATLEKREERYKTVFADRISVFRRACCELFGYKIVMDEHQRPNGIPVTRFTLQSIYAQSDDEKLEFEYESGNTSILNNEYASQGDIAKQIEIFIRKFNSIPAFTANLTMESFNRRTLY", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MMSARSVSPKVLLDISYKPTLPNIMELQNNVIKLIQVEQQAYMQSGYQLQHQQQHLHSHQHHQQHHQQQHAQYAPLPSEYAAYGITELEDTDYNIPSNEVLSTSSNQSAQSTSLELNNNNTSSNTNSSGNNPSGFDGQASSGSSWNEHGKRARSSGDYDCQTGGSLVMQPEHKKLIHQQQQQQQQHQQQIYVDYLPTTVDEVASAQSCPGVQSTCTSPQSHFDFPDEELPEHKAQVFLPLYNNQQQQSQQLQQQQPHQQSHAQMHFQNAYRQSFEGYEPANSLNGSAYSSSDRDDMEYARHNALSSVSDLNGGVMSPACLADDGSAGSLLDGSDAGGKAFRKPRRRLKRKPSKTEETDEFSNQRVMANVRERQRTQSLNDAFKSLQQIIPTLPSDKLSKIQTLKLATRYIDFLCRMLSSSDISLLKALEAQGSPSAYGSASSLLSAAANGAEADLKCLRKANGAPIIPPEKLSYLFGVWRMEGDAQHQKA", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDFKSRKYKIKKHPKDCKLHAKKYRGTLNSKGKNDNDCLIMCMRCRKVKGIDSYSKTQWSKTFTFVRGRTVSVSDPKVICRTCQPKQHDSIWCTACQQTKGINEFSKAQRHVLDPRCQICVHSQRNDGDDNLESDKFVDPFIGDDSDLDDDIYIHDKQTINSEYADDVSDNTDEERTESKGQQESNSAEEYDDDDSDEDRMEEIFQQFKKEKQIV", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSGGLAPSKSTVYVSNLPFSLTNNDLYRIFSKYGKVVKVTIMKDKDTRKSKGVAFILFLDKDSAQNCTRAINNKQLFGRVIKASIAIDNGRAAEFIRRRNYFDKSKCYECGESGHLSYACPKNMLGEREPPKKKEKKKKKKAPEPEEEIEEVEESEDEGEDPALDSLSQAIAFQQAKIEEEQKKWKPSSGVPSTSDDSRRPRIKKSTYFSDEEELSD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDDDAGDGAASGGTKRKVTAASSSAAAKGKAAGKGKAASKASALATAKESSLLKQKSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEIIIEEITKSKFNTMIGLVDRQRIDEELYDDFESAKAREKRLAKEARFQETQAKNAALGKKVKEAPAARGKGRGEAAFFRVTCKDNGRGMPHDDIPNMLGRVLSGTKYGLRQTRGKFGLGAKMALIWSKMSTGLPIEIKSSMKGQNFISFCRLDIDIHKNVPHVHLHEKRENKDRWHGAELQVIIEGNWTTHRSKILHYMRQMAVITPYAQFLFRFLSDSPDKNLTIQFARRTDVMPPIPLQTKHHPSAVDLLLIKRLISETTKQNLLQFLQHEFVNISKSHAERLIGEMGPDFSAKTTVKSLTSQQLVRIHQLFRQAKFDDPSGNCLSPAGEYNLRLGIIKELHPDLVATHASSPQVFEGHPFIVEAGISIGGKDVKHGLNIFRYANRIPLLFEQGADVITRTALKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVQSALKQCCLQLKSKIVKKLQARERQDRKRNLNRYIPDVARAIMETLGEIADESPPKRPRYDKEDEELLEKVNSEEVTEMTFRDCLTQHVEQVDYEMALEYAMQSGVSEEPREALYLNSLEGSYKFIDFQSPVFVFRFIP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MARKKSRSSSTRKGSSLKVPEIKEKEKINAINTYEDVANSEDEFYNAQDKILFDADNGEQADELELSDEELVALESSSDEEDGNAEENLSENEELSGKKKDAVNEEELYDNKGWGRSAKSYYGGDDYDNEDYSEEDDEFDARMEEQEALRLQRKRLEKVSETDAIDDISQWADNSDLKSIKQDSSAAAIEELVQQISPDLPRTELLKILEAKHPEFQLFLDELNQLKPQLNEIKEKLKTYPSSQLLQAQCTALSTYISFLTFYFALLKDGEEDLKNHPIMVDLVRCKQTWESYCGLDEVLTPTSLEEDGKTIELHEKIASASDIGASEDEEDVDMHESISDNENEGIKDIPVAEDDDITLKFRAAKSKINTNIKNLDDYGEGNRLDDVDAEDKIARKRSLKFYANQIDQKAAKRSRAVLELSGDLDMPYKERRFERQQRLMREAAARGRNQDAGADLDDEDPESMGIPANVDREVEDQDDLDYYESLDKKSKMAKKLRKENHDLERDLIRASRHPELIELGEGDKRGITLDIAKNRGLTPRRPKENRNPRLKKRMRYEKAKKKLASKKAIYKGAPQGGYAGEQTGIKAGLVKSIKLQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAGKLKKEQQNQSAERESADTGKVNDEDEEHLYGNIDDYKHLIQDEEYDDEDVPHDLQLSEDEYNSERDSSLLAEFSDYGEISEDDEEDFMNAIREASNFKVKKKKKNDKGKSYGRQRKERVLDPEVAQLLSQANEAFVRNDLQVAERLFNEVIKKDARNFAAYETLGDIYQLQGRLNDCCNSWFLAAHLNASDWEFWKIVAILSADLDHVRQAIYCFSRVISLNPMEWESIYRRSMLYKKTGQLARALDGFQRLYMYNPYDANILRELAILYVDYDRIEDSIELYMKVFNANVERREAILAALENALDSSDEESAAEGEDADEKEPLEQDEDRQMFPDINWKKIDAKYKCIPFDWSSLNILAELFLKLAVSEVDGIKTIKKCARWIQRRESQTFWDHVPDDSEFDNRRFKNSTFDSLLAAEKEKSYNIPIDIRVRLGLLRLNTDNLVEALNHFQCLYDETFSDVADLYFEAATALTRAEKYKEAIDFFTPLLSLEEWRTTDVFKPLARCYKEIESYETAKEFYELAIKSEPDDLDIRVSLAEVYYRLNDPETFKHMLVDVVEMRKHQVDETLHRISNEKSSNDTSDISSKPLLEDSKFRTFRKKKRTPYDAERERIERERRITAKVVDKYEKMKKFELNSGLNEAKQASIWINTVSELVDIFSSVKNFFMKSRSRKFVGILRRTKKFNTELDFQIERLSKLAEGDSVFEGPLMEERVTLTSATELRGLSYEQWFELFMELSLVIAKYQSVEDGLSVVETAQEVNVFFQDPERVKMMKFVKLAIVLQMDDEEELAENLRGLLNQFQFNRKVLQVFMYSLCRGPSSLNILSSTIQQKFFLRQLKAFDSCRYNTEVNGQASITNKEVYNPNKKSSPYLYYIYAVLLYSSRGFLSALQYLTRLEEDIPDDPMVNLLMGLSHIHRAMQRLTAQRHFQIFHGLRYLYRYHKIRKSLYTDLEKQEADYNLGRAFHLIGLVSIAIEYYNRVLENYDDGKLKKHAAYNSIIIYQQSGNVELADHLMEKYLSI", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGVDPFKTTETLEADKETNGGVPVKDKLTFKAPERKSRLGLDARAIEKKDNAKTEGEFKVPKKSAISVTSSLDEEDKSDVSGLDFGTENTRPVHSSRRYREKSSRSQSAQESTVTTENAGTSDISITPRTLSCTSSYERGGSNRHREEHRRDRSETPRSRQRNTYDEMDHYRRRESYRQSDRDYHGEKRRRYNSDWRTPGRSDWDDGQDEWERSPHGDRGSSYSRRPQPSPSPMLAAASPDARLASPWLDTPRSTMSSASPWDMGAPSPIPIRASGSSIRSSSSRYGGRSNQLAYSREGDLTNEGHSDEDRSQGAEEFKHEITETMRVEMEYQSDRAWYDTDEGNSLFDADSASFFLGDDASLQKKETELAKRLVRRDGSKMSLAQSKKYSQLNADNAQWEDRQLLRSGAVRGTEVQTEFDSEEERKAILLVHDTKPPFLDGRVVYTKQAEPVMPVKDPTSDMAIISRKGSGLVKEIREKQSANKSRQRFWELAGSNLGNILGIEKSAEQIDADTAVVGDDGEVDFKGEAKFAQHMKKGEAVSEFAMSKTMAEQRQYLPIFSVRDELLQVIRENQVIVVVGETGSGKTTQLTQYLHEDGYTINGIVGCTQPRRVAAMSVAKRVSEEMETELGDKIGYAIRFEDVTGPNTVIKYMTDGVLLRETLKDSDLDKYRVVVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNAQKFSNFFGSVPIFNIPGRTFPVNILYSKTPCEDYVEAAVKQAMTIHITSPPGDILIFMTGQDEIEAACFSLKERMEQLVSSSSREITNLLILPIYSQLPADLQAKIFQKPEDGARKCIVATNIAETSLTVDGIYYVIDTGYGKMKVFNPRMGMDALQVFPISRAASDQRAGRAGRTGPGTCYRLYTESAYLNEMLPSPVPEIQRTNLGNVVLLLKSLKIDNLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTDLGWKMVEFPLDPPLAKMLLMGERLDCIDEVLTIVSMLSVPSVFFRPKERAEESDAAREKFFVPESDHLTLLNVYQQWKEHDYRGDWCNDHYLQVKGLRKAREVRSQLLDILKQLKIELRSCGPDWDIVRKAICSAYFHNSARLKGVGEYVNCRTGMPCHLHPSSALYGLGYTPDYVVYHELILTTKEYMQCATSVEPHWLAELGPMFFSVKDSDTSMLEHKKKQKEEKSGMEEEMEKLRRDQVESELRSKERERKKRAKQQQQISGPGLKKGTTFLRPKKLGL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPQPGSSVDFSNLLNPQNNTAIPAEVSNATASATMASGASLLPPMVKGARPAAEEARQDLPRPYKCPLCERAFHRLEHQTRHIRTHTGEKPHACQFPGCSKRFSRSDELTRHSRIHNNPNSRRGNKAQHLAAAAAAAAANQDGSAMANNAGSMMPPPSKPITRSAPVSQVGSPDISPPHSFSNYANHMRSNLSPYSRTSERASSGMDINLLATAASQVERDESFGFRSGQRSHHMYGPRHGSRGLPSLSAYAISHSMSRSHSHEDEDSYASHRVKRSRPNSPNSTAPSSPTFSHDSLSPTPDHTPLATPAHSPRLKPLSPSELHLPSIRHLSLHHTPALAPMEPQAEGPNYYNPNQPHVGPSISDIMSRPEGAQRKLPIPQVPKVAVQDMLNPSGFTSVSSSTANSVAGGDLAERF", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MFNKSFGTPFGGGTGGFGTTSTFGQNTGFGTTSGGAFGTSAFGSSNNTGGLFGNSQTKPGGLFGTSSFSQPATSTSTGFGFGTSTGTANTLFGTASTGTSLFSSQNNAFAQNKPTGFGNFGTSTSSGGLFGTTNTTSNPFGSTSGSLFGPSSFTAAPTGTTIKFNPPTGTDTMVKAGVSTNISTKHQCITAMKEYESKSLEELRLEDYQANRKGPQNQVGAGTTTGLFGSSPATSSATGLFSSSTTNSGFAYGQNKTAFGTSTTGFGTNPGGLFGQQNQQTTSLFSKPFGQATTTQNTGFSFGNTSTIGQPSTNTMGLFGVTQASQPGGLFGTATNTSTGTAFGTGTGLFGQTNTGFGAVGSTLFGNNKLTTFGSSTTSAPSFGTTSGGLFGNKPTLTLGTNTNTSNFGFGTNTSGNSIFGSKPAPGTLGTGLGAGFGTALGAGQASLFGNNQPKIGGPLGTGAFGAPGFNTTTATLGFGAPQAPVALTDPNASAAQQAVLQQHINSLTYSPFGDSPLFRNPMSDPKKKEERLKPTNPAAQKALTTPTHYKLTPRPATRVRPKALQTTGTAKSHLFDGLDDDEPSLANGAFMPKKSIKKLVLKNLNNSNLFSPVNRDSENLASPSEYPENGERFSFLSKPVDENHQQDGDEDSLVSHFYTNPIAKPIPQTPESAGNKHSNSNSVDDTIVALNMRAALRNGLEGSSEETSFHDESLQDDREEIENNSYHMHPAGIILTKVGYYTIPSMDDLAKITNEKGECIVSDFTIGRKGYGSIYFEGDVNLTNLNLDDIVHIRRKEVVVYLDDNQKPPVGEGLNRKAEVTLDGVWPTDKTSRCLIKSPDRLADINYEGRLEAVSRKQGAQFKEYRPETGSWVFKVSHFSKYGLQDSDEEEEEHPSKTSTKKLKTAPLPPASQTTPLQMALNGKPAPPPQSQSPEVEQLGRVVELDSDMVDITQEPVLDTMLEESMPEDQEPVSASTHIASSLGINPHVLQIMKASLLTDEEDVDMALDQRFSRLPSKADTSQEICSPRLPISASHSSKTRSLVGGLLQSKFTSGAFLSPSVSVQECRTPRAASLMNIPSTSSWSVPPPLTSVFTMPSPAPEVPLKTVGTRRQLGLVPREKSVTYGKGKLLMDMALFMGRSFRVGWGPNWTLANSGEQLNGSHELENHQIADSMEFGFLPNPVAVKPLTESPFKVHLEKLSLRQRKPDEDMKLYQTPLELKLKHSTVHVDELCPLIVPNLGVAVIHDYADWVKEASGDLPEAQIVKHWSLTWTLCEALWGHLKELDSQLNEPREYIQILERRRAFSRWLSCTATPQIEEEVSLTQKNSPVEAVFSYLTGKRISEACSLAQQSGDHRLALLLSQFVGSQSVRELLTMQLVDWHQLQADSFIQDERLRIFALLAGKPVWQLSEKKQINVCSQLDWKRSLAIHLWYLLPPTASISRALSMYEEAFQNTSDSDRYACSPLPSYLEGSGCVIAEEQNSQTPLRDVCFHLLKLYSDRHYDLNQLLEPRSITADPLDYRLSWHLWEVLRALNYTHLSAQCEGVLQASYAGQLESEGLWEWAIFVLLHIDNSGIREKAVRELLTRHCQLLETPESWAKETFLTQKLRVPAKWIHEAKAVRAHMESDKHLEALCLFKAEHWNRCHKLIIRHLASDAIINENYDYLKGFLEDLAPPERSSLIQDWETSGLVYLDYIRVIEMLRHIQQVDCSGNDLEQLHIKVTSLCSRIEQIQCYSAKDRLAQSDMAKRVANLLRVVLSLHHPPDRTSDSTPDPQRVPLRLLAPHIGRLPMPEDYAMDELRSLTQSYLRELAVGSL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAELVEAKNMVMSFRVSDLQMLLGFVGRSKSGLKHELVTRALQLVQFDCSPELFKKIKELYETRYAKKSAEPGPQAPRPLDPLALHSMPRTPLSGPTVDYPVLYGKYLNGLGRLPTKTLKPEVRLVKLPFFNMLDELLKPTELVPQSAEKLQESPCIFALTPRQVEMIRNSRELQPGVKAVQVVLRICYSDTSCPQEDQYPPNIAVKVNHSYCSVPGYYPSNKPGVEPKRPCRPINLTHLMYLSSATNRITVTWGNYGKSYSVALYLVRQLTSSDLLQRLKTIGVKHPELCKALVKEKLRLDPDSEIATTGVRVSLICPLVKMRLSVPCRAETCAHLQCFDAVFYLQMNEKKPTWMCPVCDKPAAYDQLIIDGLLSKILSECEGADEIEFLAEGSWRPIRAEKEPSCSPQGPILVLGTSDANGLAPASSTPGIGSGLSGPGSAGSGAGAAGSLENGKTGADVVDLTLDSSSSSEDEDEDEDDDEDEDEGPRPKRRCPFQKGLVPAC", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAETRNVAGAEAPPPQKRYYRQRAHSNPMADHTLRYPVKPEEMDWSELYPEFFAPLTQNQSHDDPKDKKEKRAQAQVEFADIGCGYGGLLVELSPLFPDTLILGLEIRVKVSDYVQDRIRALRAAPAGGFQNIACLRSNAMKHLPNFFYKGQLTKMFFLFPDPHFKRTKHKWRIISPTLLAEYAYVLRVGGLVYTITDVLELHDWMCTHFEEHPLFERVPLEDLSEDPVVGHLGTSTEEGKKVLRNGGKNFPAIFRRIQDPVLQAVTSQTSLPGH", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSGLPPPPPGFEEDSDLALPPPPPPPPGYEIEELDNPMVPSSVNEDTFLPPPPPPPSNFEINAEEIVDFTLPPPPPPPGLDELETKAEKKVELHGKRKLDIGKDTFVTRKSRKRAKKMTKKAKRSNLYTPKAEMPPEHLRKIINTHSDMASKMYNTDKKAFLGALKYLPHAILKLLENMPHPWEQAKEVKVLYHTSGAITFVNETPRVIEPVYTAQWSATWIAMRREKRDRTHFKRMRFPPFDDDEPPLSYEQHIENIEPLDPINLPLDSQDDEYVKDWLYDSRPLEEDSKKVNGTSYKKWSFDLPEMSNLYRLSTPLRDEVTDKNYYYLFDKKSFFNGKALNNAIPGGPKFEPLYPREEEEDYNEFNSIDRVIFRVPIRSEYKVAFPHLYNSRPRSVRIPWYNNPVSCIIQNDEEYDTPALFFDPSLNPIPHFIDNNSSLNVSNTKENGDFTLPEDFAPLLAEEEELILPNTKDAMSLYHSPFPFNRTKGKMVRAQDVALAKKWFLQHPDEEYPVKVKVSYQKLLKNYVLNELHPTLPTNHNKTKLLKSLKNTKYFQQTTIDWVEAGLQLCRQGHNMLNLLIHRKGLTYLHLDYNFNLKPTKTLTTKERKKSRLGNSFHLMRELLKMMKLIVDTHVQFRLGNVDAFQLADGIHYILNHIGQLTGIYRYKYKVMHQIRACKDLKHIIYYKFNKNLGKGPGCGFWQPAWRVWLNFLRGTIPLLERYIGNLITRQFEGRSNEIVKTTTKQRLDAYYDLELRNSVMDDILEMMPESIRQKKARTILQHLSEAWRCWKANIPWDVPGMPAPIKKIIERYIKSKADAWVSAAHYNRERIKRGAHVEKTMVKKNLGRLTRLWIKNEQERQRQIQKNGPEITPEEATTIFSVMVEWLESRSFSPIPFPPLTYKNDTKILVLALEDLKDVYASKVRLNASEREELALIEEAYDNPHDTLNRIKKYLLTQRVFKPVDITMMENYQNISPVYSVDPLEKITDAYLDQYLWYEADQRKLFPNWIKPSDSEIPPLLVYKWTQGINNLSEIWDVSRGQSAVLLETTLGEMAEKIDFTLLNRLLRLIVDPNIADYITAKNNVVINFKDMSHVNKYGLIRGLKFASFIFQYYGLVIDLLLLGQERATDLAGPANNPNEFMQFKSKEVEKAHPIRLYTRYLDRIYMLFHFEEDEGEELTDEYLAENPDPNFENSIGYNNRKCWPKDSRMRLIRQDVNLGRAVFWEIQSRVPTSLTSIKWENAFVSVYSKNNPNLLFSMCGFEVRILPRQRMEEVVSNDEGVWDLVDERTKQRTAKAYLKVSEEEIKKFDSRIRGILMASGSTTFTKVAAKWNTSLISLFTYFREAIVATEPLLDILVKGETRIQNRVKLGLNSKMPTRFPPAVFYTPKELGGLGMISASHILIPASDLSWSKQTDTGITHFRAGMTHEDEKLIPTIFRYITTWENEFLDSQRVWAEYATKRQEAIQQNRRLAFEELEGSWDRGIPRISTLFQRDRHTLAYDRGHRIRREFKQYSLERNSPFWWTNSHHDGKLWNLNAYRTDVIQALGGIETILEHTLFKGTGFNSWEGLFWEKASGFEDSMQFKKLTHAQRTGLSQIPNRRFTLWWSPTINRANVYVGFLVQLDLTGIFLHGKIPTLKISLIQIFRAHLWQKIHESIVFDICQILDGELDVLQIESVTKETVHPRKSYKMNSSAADITMESVHEWEVSKPSLLHETNDSFKGLITNKMWFDVQLRYGDYDSHDISRYVRAKFLDYTTDNVSMYPSPTGVMIGIDLAYNMYDAYGNWFNGLKPLIQNSMRTIMKANPALYVLRERIRKGLQIYQSSVQEPFLNSSNYAELFNNDIKLFVDDTNVYRVTVHKTFEGNVATKAINGCIFTLNPKTGHLFLKIIHTSVWAGQKRLSQLAKWKTAEEVSALVRSLPKEEQPKQIIVTRKAMLDPLEVHMLDFPNIAIRPTELRLPFSAAMSIDKLSDVVMKATEPQMVLFNIYDDWLDRISSYTAFSRLTLLLRALKTNEESAKMILLSDPTITIKSYHLWPSFTDEQWITIESQMRDLILTEYGRKYNVNISALTQTEIKDIILGQNIKAPSVKRQKMAELEAARSEKQNDEEAAGASTVMKTKTINAQGEEIVVVASADYESQTFSSKNEWRKSAIANTLLYLRLKNIYVSADDFVEEQNVYVLPKNLLKKFIEISDVKIQVAAFIYGMSAKDHPKVKEIKTVVLVPQLGHVGSVQISNIPDIGDLPDTEGLELLGWIHTQTEELKFMAASEVATHSKLFADKKRDCIDISIFSTPGSVSLSAYNLTDEGYQWGEENKDIMNVLSEGFEPTFSTHAQLLLSDRITGNFIIPSGNVWNYTFMGTAFNQEGDYNFKYGIPLEFYNEMHRPVHFLQFSELAGDEELEAEQIDVFS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MMTPGGSGRLRPLPTAMYAGYSGTASSWVAKTSVSASGKRIQREMAELNIDPPPDCSAGPKGDNLYHWIATIIGPSGTPYEGGIFFLDIIFPSDYPFKPPKLVFKTRIYHCNVDTAGDLSVNILRDSWSPALTITKVLQAIRSIFLKPEPYSPALPVIARLYLTDREKHDEVAKEWTLRFAK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRHNQMYCETPPTVTIHVKSGSNRSHQTRKPISLKRPILKDSWEASENNAQNNKSKRPRGPCLIIQRQEMTAFFKLFDDDLIQDFLWMDCCCKIADKYLLAMTFVYFKRAKFTINEHTRINFFIALYLANTVEEDEEEAKYEIFPWALGKNWRKLFPNFLKLRDQLWDRIDYRAIVSRRCCEEVMAIAPTHYIWQRERSVHHSGAVRNYNRDEVHLPRGPSATPVDCSLCGKKGRYVRLGLSSSSSSSSDTGELMEKDSQELHSAFSVDTAGDPPHTYSQVANDHQSNKENETNFVKKNKSVEWFAESEE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSLSDSPSKSGNTGKDLISNNEAKNHEDEETHQKKRRRRTTDAEATLLEQYFLKTPKPSLIERQELSKKLKSSMTPRELQIWFQNKRQSLRRSNCLSRNRLEGTGENSLLRRKSTLTLCETSTGQAELFFQSWPLHSQSVVGEMIHHEQDDYNKENKQQKVVDTTKDISRGSNGNEDSAAHQELEECARSLVELQQQCNDH", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MQMIPGDPFSISSSMGGFVHQETHLHHLQQQIPDLNPNSNPNPNAKPNSSSAKKKRNQPGTPDPDADVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSKQEVIKKKVYICPIKTCVHHDASRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHAKTCGTREYKCDCGTLFSRKDSFITHRAFCDALTEEGARMSSLSNNNPVISTTNLNFGNESNVMNNPNLPHGFVHRGVHHPDINAAISQFGLGFGHDLSAMHAQGLSEMVQMASTGNHHLFPSSSSSLPDFSGHHQFQIPMTSTNPSLTLSSSSTSQQTSASLQHQTLKDSSFSPLFSSSSENKQNKPLSPMSATALLQKAAQMGSTRSNSSTAPSFFAGPTMTSSSATASPPPRSSSPMMIQQQLNNFNTNVLRENHNRAPPPLSGVSTSSVDNNPFQSNRSGLNPAQQMGLTRDFLGVSNEHHPHQTGRRPFLPQELARFAPLG", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MADRIKGPWSPEEDEQLRRLVVKYGPRNWTVISKSIPGRSGKSCRLRWCNQLSPQVEHRPFSAEEDETIARAHAQFGNKWATIARLLNGRTDNAVKNHWNSTLKRKCGGYDHRGYDGSEDHRPVKRSVSAGSPPVVTGLYMSPGSPTGSDVSDSSTIPILPSVELFKPVPRPGAVVLPLPIETSSSSDDPPTSLSLSLPGADVSEESNRSHESTNINNTTSSRHNHNNTVSFMPFSGGFRGAIEEMGKSFPGNGGEFMAVVQEMIKAEVRSYMTEMQRNNGGGFVGGFIDNGMIPMSQIGVGRIE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGIVLEPPCPKSVDGISIDPEPNWNFESLVAEIASVEKKLNGFSMYPQPITNTTLRMGRRGGGFVMHVSEDEMESDEGEESDDEEEEEDHSQICTAGKRFACDELYLSDESDEEFDHEPEYMMNKLGLAESALYEVINDHQTEIKDDIRNQVSVVETEIMNEIETSLSAIARVEKYSETRKEVERKLDLQYQRKVAEALDTHLTAVQREHKIKSQIEERKIRSEEAQEEARRKERAHQEEKIRQEKARAEAQMLAKIRAEEEKKEVERKAAREVAEKEVADRKAAEQKLAEQKAVIESVTGSSATSNAQAGGNSIRAAESALILENHRLKKLEELETTNQSLKSRSNENFSSFEKHIGRVIRQISGTKDSVSGKINDIVKIFKDPRCPVSISIAAFAKKMVTTKEKPNPFACSYVIVYINSQFPQVMDILLAEFHKACIYTVPKHIVNSQSAWDSDAYERLDSIMRLYGALVQTDIRVGNATNVHGIEHGWAWLARFLNKIPANRATATALNSFLQTAGFGLHQRYKSQFLKVVNVVREHFLQKLRAKKDTSDLLVIIAEITAYLDDRMYLKEPEGRAMKTTSTLSSELTAELNQPNYNQNYQRNDYRNYY", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAGILFEDIFDVKDIDPEGKKFDRVSRLHCESESFKMDLILDVNIQIYPVDLGDKFRLVIASTLYEDGTLDDGEYNPTDDRPSRADQFEYVMYGKVYRIEGDETSTEAATRLSAYVSYGGLLMRLQGDANNLHGFEVDSRVYLLMKKLAF", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAGVEDWISAPLAVVQGLFSQRQANGDWEKNVTDYFHDKLQGNRSPASVPCLNDVPLHYLKPNSLVRFRCMVQDMFDPEFYMGVYETVDTNTSARVLHFGKYRDLAESLPQQEVDLDSRRNVTCERQTFYCVPVPGESPWVKDAYTSSSQARACASTSYTPSRQKRSYEEDEDVGPCDTRTSHGLGEPKRLETEAAGLQQNQPSSCSSAPDLNFPLPGEKGPACLIKVYEGWDSFKVNDIIEVYGILSVDPALSAVNEDRDAVSALLDPSDNMETLEEQRAHCPPASLVPRIHSVVTWKLQHNNPLLPGTLQGTEESKLFVSNLLCEVSAVRAELLGFLSHALLGDSLAAEYLIIHLISTVYARRDVLPLGKFTLNLSGCPRNGIFSELLYRILQQIVPAAHYLPMTIENMNKLRFIPHKDYNANRLRSGLLQLSAHTSLLLDETLLEQGQLDTAGVHNVTALGNLITWQKVDYDFSYHRMEFPCNINVLVTSEGRSLLPSDCRVHLQPQMTPPNLEQYMGALLSASLPSLLNKFRSYIGLLRLLDYSISDEITKAVEDDFVEMRKNDPQSISADDLHRLLVVSRLLSLSSGQTTLSREMWLRAKQLEQQRKSRFREQKHVNGNEL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSLYSDGLSSDQYFLVNFIMSNYLGPDVYSDNPRCSSSQRLARGLPPYTLMHIGSSSLTVSQLQNLYYNVLRNAKSSLLLHPDMIYMYLKGYLPLEPSGKFPQFTHFFPTNLHPQKRYSPSHEIVKGIVVIDDPAVGFINKEELQRFRCLSRLDDLKIDRVTSLSPRVNLDESRETEQDCSRNGDATANGVVTNEDYNSSGELQETCKRKEGEDAVASCVISEPERLSGDIPESQGMKQDCSRNGESAFSGIVSDQDYYSFVKLPETCKRKNKEEEAVTGHAVSGTSKTPERFRETYKRRRFKNSSKKATNKNGETLMEREKTDKPIPFSSEMKESDAEPSVVTTGTASKETLGSSVGVVDIGVNKVAYFFQVALPGVRKDYGEFSCEIESDGKVILEGSTTRGEKNIKRHSRVFEMNIRKLCPPGPFKLCFNLPGPVDPRLFSPNFRSDGIFEGVIIRHKNS", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFEARLVQGSILKKVLEALKDLINEACWDISSSGVNLQSMDSSHVSLVQLTLRSEGFDTYRCDRNLAMGVNLTSMSKILKCAGNEDIITLRAEDNADTLALVFEAPNQEKVSDYEMKLMDLDVEQLGIPEQEYSCVVKMPSGEFARICRDLSHIGDAVVISCAKDGVKFSASGELGNGNIKLSQTSNVDKEEEAVTIEMNEPVQLTFALRYLNFFTKATPLSSTVTLSMSADVPLVVEYKIADMGHLKYYLAPKIEDEEGS", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSKRGADHQLTKDQDDSDDDRHGPVEVPKEASADVMATRKIAKPKSRKRPTSGVSSPGIFANLAAKPVSLPASTTQFTFGKPAVTANNDSDIHLKKRGLNKSFIDAVIKSVDNNPFGNLSPLFDEYRQHFSSIEKKPAEEQPTSNAVVSEVNPQQQKSQDSSSFVTEKPASSEKEDKEKPLVPPGAPRFGFSAPALGSSFQFNSSAFTPKGSFGEKSATEAEAKEKETSSNQTATGTAATTTNQFSFNTAANPFAFAKKENEESKPLTPVFSFSTTMASADASKETKQTHETKDSKSEESKPSNNEKSENAVEPAKGNTMSFSWTPDKPIKFDTPEKKFTFTNPLSSKKLPASSDVKPPSAAAVGFSFGTTTNPFSFAAPKSSFPTSSTPASVGAEKSEETSNGNKSEQEEKENGNDETRSNDSLVSGKGKGEENEDSVFETRAKIYRFDATSKSYSDIGIGPLKINVDRDTGSARILARVEGSGKLLLNVRLCQDFEYSLAGKKDVKVPAASTDGKSIEMYLIRVKEPSTAEKLLAELNEKKVSKSEN", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSTADALDDENTFKILVATDIHLGFMEKDAVRGNDTFVTLDEILRLAQENEVDFILLGGDLFHENKPSRKTLHTCLELLRKYCMGDRPVQFEILSDQSVNFGFSKFPWVNYQDGNLNISIPVFSIHGNHDDPTGADALCALDILSCAGFVNHFGRSMSVEKIDISPVLLQKGSTKIALYGLGSIPDERLYRMFVNKKVTMLRPKEDENSWFNLFVIHQNRSKHGSTNFIPEQFLDDFIDLVIWGHEHECKIAPTKNEQQLFYISQPGSSVVTSLSPGEAVKKHVGLLRIKGRKMNMHKIPLHTVRQFFMEDIVLANHPDIFNPDNPKVTQAIQSFCLEKIEEMLENAERERLGNSHQPEKPLVRLRVDYSGGFEPFSVLRFSQKFVDRVANPKDIIHFFRHREQKEKTGEEINFGKLITKPSEGTTLRVEDLVKQYFQTAEKNVQLSLLTERGMGEAVQEFVDKEEKDAIEELVKYQLEKTQRFLKERHIDALEDKIDEEVRRFRETRQKNTNEEDDEVREAMTRARALRSQSEESASAFSADDLMSIDLAEQMANDSDDSISAATNKGRGRGRGRRGGRGQNSASRGGSQRGRADTGLETSTRSRNSKTAVSASRNMSIIDAFKSTRQQPSRNVTTKNYSEVIEVDESDVEEDIFPTTSKTDQRWSSTSSSKIMSQSQVSKGVDFESSEDDDDDPFMNTSSLRRNRR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSDALNMTLDEIVKKSKSERSAAARSGGKGVSRKSGRGRGGPNGVVGGGRGGGPVRRGPLAVNTRPSSSFSINKLARRKRSLPWQNQNDLYEETLRAVGVSGVEVGTTVYITNLDQGVTNEDIRELYAEIGELKRYAIHYDKNGRPSGSAEVVYMRRSDAIQAMRKYNNVLLDGRPMKLEILGGNTESAPVAARVNVTGLNGRMKRSVFIGQGVRGGRVGRGRGSGPSGRRLPLQQNQQGGVTAGRGGFRGRGRGNGGGRGNKSGGRGGKKPVEKSAADLDKDLESYHAEAMNIS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSDLSPERFKLAVTSPSSIPESSSALQLHHSYSRKQKSLGLLCTNFLALYNREGIEMVGLDDAASKLGVERRRIYDIVNVLESVGVLTRRAKNQYTWKGFSAIPGALKELQEEGVKDTFHRFYVNENVKGSDDEDDDEESSQPHSSSQTDSSKPGSLPQSSDPSKIDNRREKSLGLLTQNFIKLFICSEAIRIISLDDAAKLLLGDAHNTSIMRTKVRRLYDIANVLSSMNLIEKTHTLDSRKPAFKWLGYNGEPTFTLSSDLLQLESRKRAFGTDITNVNVKRSKSSSSSQENATERRLKMKKHSTPESSYNKSFDVHESRHGSRGGYHFGPFAPGTGTYPTAGLEDNSRRAFDVENLDSDYRPSYQNQVLKDLFSHYMDAWKTWFSEVTQENPLPNTSQHR", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSLYIKTPLHALSAGPDSHANSSYYDNLLLPSFSNLSSNISRNNITTDNNINSASPRKYSFHSLNVSPILSPISLANEILGKKSNTAPASPHHMDYNPISSLTPGNSPEFNKASLSQISFTNPLNYGSGLGFSSNSQPRLPLLDRLSSVSLSKRPERPQQSLPSLRHLQLLPSPLLQENAARFPDTSKRTSNWKTDLTHWCKDTNYQDYVKIREEVAHFKPLSIPNLTNNQNNDSFNYGKELESTRSSKFHSPSKESFDRTKLIPSILEAKDQFKDLSNNAWSITPPVTPPMSPPTNRTMERTTLRGVEASFFEGKSSNNDSIFNPIISEKLVQEVKHQRQLRGNSFPMPNASHKKTNSFKALQIKKLLANRDILSNNSKSNVRKPSKNKISKQASNVFGNTARQLVMKLDNASYSSVSASSSPSPSTPTKSGKMRSRSSSPVRPKAYTPSPRSPNYHRFALDSPPQSPRRSSNSSITKKGSRRSSGSSPTRHTTRVCVSCHSSDSPCWRPSWSPRKQDQLCNSCGLRYKKTHTRCLNDLCRKIPTKGEINIMKSNGIDKEFVPERNCEIEGYRCLFCNYITETVEN", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MQAKNKDALQPIKEDRTGKAQDDAFWLQSLITDAFHDKGFQKIKEYFQQKESHFPQKYNRLLLYRLDRSINKELDKNEFQSVSLLLKCIQRFLVDGLKEDEPLLIRQGLIPKLVSWFERTTGILTSEGLASDTSLICVIEDFFDTALIISRSSSEGKIQMLDSFLLSLGFLVTEKTVNHLLQQEGLKTFNCILHAVPREERKKFPLSEGMCHLMKDLARTLLTVGDYDQQVAISEALCRLTIKKSRDELVHKWFDDEVIAEAFKEIKDREFETDSRRFLNHLNNRLGDQRRVYSFPCIAAFADEHEMRKPADEKLEKFWIDFNLGSQSVTFYIDNAENTLWDSVTLPKEAVMNFSITETEKIKIFIIYLKKPMIISYKEVMKIEIHFDLQFNISQVSIQALGEDKQMLPDQTKISSELFSKSDKEDRESPSGLERETEQAEESTNMVEFMSAEDDRCLITLHLNDQSEPPVIGEPASDSHLQPVPPFGVPDFPQQPKSHYRKHLFSESNQDSSTSELSWTSNQKKKSLKSYSSRKKTRTRSNLRILPVFPPSSGSGHEKDQAKLLSPSEKEIPEQNNTTSPKTSEQKFQDSFAFLTAEDSAQKTELQDPHSLSELSSLKHSEDEEKPKIVNQESLTESTSLKHKLRNLEDKDIPEGSFAKSQQSRLEEEVAPGSPFSITEERELPEGISTSSLEVVPENLNGSAILPTFENFTKKRKRKYELRYRKRPFNSENAKKAPDCLIKLLNQMQLFRLNKLERFQNLVLQELSSLKQDIQALEHLEKEVLEFWGKQSADLQSFCDLQVLRFNSTQTS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSEVKQLIVVAEGTAALGPYWQTIVSDYLEKIIRSFCGSELNGERNPVSTVELSLVIFNSHGSYCACLVQRSGWTRDVDIFLHWLSSIQFGGGGFNEVATAEGLAEALMMFSPPSGQAQPSNDLKRHCILITASNPHILPTPVYRPRLQNVERNENGDAQAESRLSDAETVASYFAKCSVSLSVVCPKQLPTIRALYNAGKPNQQSADLSIDTAKNTFYLVLISENFVEACAALSHSATNLPQTQSPVKVDRATVAPSIPVTGQPPAPVSSANGPIQNRQPVSVGPVPTATVKVEPSTVTSMAPVPSFPHIPAVARPATQAIPSIQTSSASPVSQDMVSNAENAPDIKPVVVSGMTPPLRTGPPGGANVNLLNNLSQVRQVMSSAALAGAASSVGQSAVAMHMSNMISTGMATSLPPSQTVFSTGQQGITSMAGSGALMGSAQTGQSPGPNNAFSPQTTSNVASNLGVSQPMQGMNQGSHSGAMMQGGISMNQNMMSGLGQGNVSSGTGGMMPTPGVGQQAQSGIQQLGGSNSSAPNMQLSQPSSGAMQTSQSKYVKVWEGNLSGQRQGQPVLITRLEGYRSASASDSLAANWPPTMQIVRLISQDHMNNKQYVGKADFLVFRAMSQHGFLGQLQDKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQIPNQQQQQQQQLHQQQQQQQQIQQQQQQQQHLQQQQMPQLQQQQQQHQQQQQQQHQLSQLQHHQQQQQQQQQQQQQHQLTQLQHHHQQQQQASPLNQMQQQTSPLNQMQQQTSPLNQMQQQQQPQQMVMGGQAFAQAPGRSQQGGGGGQPNMPGAGFMG", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MADPGMMSLFGEDGNIFSEGLEGLGECGYPENPVNPMGQQMPIDQGFASLQPSLHHPSTNQNQTKLTHFDHYNQYEQQKMHLMDQPNRMMSNTPGNGLASPHSQYHTPPVPQVPHGGSGGGQMGVYPGMQNERHGQSFVDSSSMWGPRAVQVPDQIRAPYQQQQPQPQPPQPAPSGPPAQGHPQHMQQMGSYMARGDFSMQQHGQPQQRMSQFSQGQEGLNQGNPFIATSGPGHLSHVPQQSPSMAPSLRHSVQQFHHHPSTALHGESVAHSPRFSPNPPQQGAVRPQTLNFSSRSQTVPSPTINNSGQYSRYPYSNLNQGLVNNTGMNQNLGLTNNTPMNQSVPRYPNAVGFPSNSGQGLMHQQPIHPSGSLNQMNTQTMHPSQPQGTYASPPPMSPMKAMSNPAGTPPPQVRPGSAGIPMEVGSYPNMPHPQPSHQPPGAMGIGQRNMGPRNMQQSRPFIGMSSAPRELTGHMRPNGCPGVGLGDPQAIQERLIPGQQHPGQQPSFQQLPTCPPLQPHPGLHHQSSPPHPHHQPWAQLHPSPQNTPQKVPVHQHSPSEPFLEKPVPDMTQVSGPNAQLVKSDDYLPSIEQQPQQKKKKKKNNHIVAEDPSKGFGKDDFPGGVDNQELNRNSLDGSQEEKKKKKRSKAKKDPKEPKEPKEKKEPKEPKTPKAPKIPKEPKEKKAKTATPKPKSSKKSSNKKPDSEASALKKKVNKGKTEGSENSDLDKTPPPSPPPEEDEDPGVQKRRSSRQVKRKRYTEDLEFKISDEEADDADAAGRDSPSNTSQSEQQESVDAEGPVVEKIMSSRSVKKQKESGEEVEIEEFYVKYKNLQKPKQKRHRCRNPNKLDINTLTGEERVPVVNKRNGKKMGGAMAPPMKDLPRWLEENPEFAVAPDWTDIVKQSGFVPESMFDRLLTGPVVRGEGASRRGRRPKSEIARAAAAAAAVASTSGINPLLVNSLFAGMDLTSLQNLQNLQSLQLAGLMGFPPGLATAATAGGDAKNPAAVLPLMLPGMAGLPNVFGLGGLLNNPLSAATGNTTTASSQGEPEDSTSKGEEKGNENEDENKDSEKSTDAVSAADSANGSVGAATAPAGLPSNPLAFNPFLLSTMAPGLFYPSMFLPPGLGGLTLPGFPALAGLQNAVGSSEEKAADKAEGGPFKDGETLEGSDAEESLDKTAESSLLEDEIAQGEELDSLDGGDEIENNENDE", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPSKDPESVIDKEIRKISARNDELIKQDGTLKREYTTLLRKVSSVITVLNSIDDADTGSAETELPRLISQATVEKVPELKWYNDQISLITEKLEDDEDIEVPEELMDAYTLYKETPLLYNDTHTP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAHLGPTPPPHSLNYKSEDRLSEQDWPAYFKVPCCGVDTSQIESEEAEVDVRERETQRDREPKRARDLTLRDSCTDNSMQFGTRTTTAEPGFMGTWQNADTNLLFRMSQQAIRCTLVNCTCECFQPGKINLRTCDQCKHGWVAHALDKLSTQHLYHPTQVEIVQSNVVFDISSLMLYGTQAVPVRLKILLDRLFSVLKQEEVLHILHGLGWTLRDYVRGYILQDAAGKVLDRWAIMSREEEIITLQQFLRFGETKSIVELMAIQEKEGQAVAVPSSKTDSDIRTFIESNNRTRSPSLLAHLENSNPSSIHHFENIPNSLAFLLPFQYINPVSAPLLGLPPNGLLLEQPGLRLREPSLSTQNEYNESSESEVSPTPYKNDQTPNRNALTSITNVEPKTEPACVSPIQNSAPVSDLTKTEHPKSSFRIHRMRRMGSASRKGRVFCNACGKTFYDKGTLKIHYNAVHLKIKHRCTIEGCNMVFSSLRSRNRHSANPNPRLHMPMLRNNRDKDLIRATSGAATPVIASTKSNLALTSPGRPPMGFTTPPLDPVLQNPLPSQLVFSGLKTVQPVPPFYRSLLTPGEMVSPPTSLPTSPIIPTSGTIEQHPPPPSEPVVPAVMMATHEPSADLAPKKKPRKSSMPVKIEKEIIDTADEFDDEDDDPNDGGAVVNDMSHDNHCHSQEEMSPGMSVKDFSKHNRTRCISRTEIRRADSMTSEDQEPERDYENESESSEPKLGEESMEGDEHIHSEVSEKVLMNSERPDENHSEPSHQDVIKVKEEFTDPTYDMFYMSQYGLYNGGGASMAALHESFTSSLNYGSPQKFSPEGDLCSSPDPKICYVCKKSFKSSYSVKLHYRNVHLKEMHVCTVAGCNAAFPSRRSRDRHSANINLHRKLLTKELDDMGLDSSQPSLSKDLRDEFLVKIYGAQHPMGLDVREDASSPAGTEDSHLNGYGRGMAEDYMVLDLSTTSSLQSSSSIHSSRESDAGSDEGILLDDIDGASDSGESAHKAEAPALPGSLGAEVSGSLMFSSLSGSNGGIMCNICHKMYSNKGTLRVHYKTVHLREMHKCKVPGCNMMFSSVRSRNRHSQNPNLHKNIPFTSVD", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSAAAYMDFVAAQCLVSISNRAAVPEHGVAPDAERLRLPEREVTKEHGDPGDTWKDYCTLVTIAKSLLDLNKYRPIQTPSVCSDSLESPDEDMGSDSDVTTESGSSPSHSPEERQDPGSAPSPLSLLHPGVAAKGKHASEKRHKCPYSGCGKVYGKSSHLKAHYRVHTGERPFPCTWPDCLKKFSRSDELTRHYRTHTGEKQFRCPLCEKRFMRSDHLTKHARRHTEFHPSMIKRSKKALANAL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNVLVQGAVHALGYYEDGKYSREPDCYESIRDLIRYLREDGDDHTARIECGRHNLVEQDLVPMVKCEDLTDDEFDIAIRLMVNLCQPAISTMRGKPPADRDQWKMYWELEENLRRAKTAFSDAHFFTAIKKRIDNYFIDTEYEDRDERLRLVVERIVLLIKYVFSINPDTSEGRRTRIEDSSHDRVIIAFLESGIDKTLMHIANQPREKEFHVTILDIFALILKEQTAEDLATKSEEVSTAEQKKTEEEFRKIIENHVVKETQKRKSFSRFGGSYTIKGLKGISANSSQVVFKPIQNVEKHNFLDDRKAKKRAPRNRRPFEIDTNSHFASSEVRGMLRDMVIRIIETCFNRLMKSSKTTVFVQVQKTSQINYFFLIKFVLRFVRLSRQDHLLERISECIGVEAFHENNVQLTEYVENATTLKGVEAKSHGLKAQYALGAYNELVLLHRYIYEHAKEENERKFAKRALEHIVNVEEYRELPIFIIKKFSSSVLSNNFLRELVLTTHHYMKLVERFVKTGALKKVTKKVKVRKATKKSKMSEEDVRSEFDGMSKKDLDRLWEESKGLVLQILKKEVPEMRGMNPIDSQLDVPVDAQQKFAKLSIQRSLRSRGFPAAVGLYHASRALWPESFKRGLTDFQDSPGEEDQLQELEQLLKADMKKVAKDLKKAESCKTCDEDPAYKKYDKMDATALQSLWEQSTDTLARILSHELPESESTSPVNWQLDITPDVQQKFAMLAIQRALRARDLPAAVGLYHTSRKLWPGDEAIFGAPGIGVEEEIAELKAILEADLHEVAREMKVAEDRAEDPDEEDPAEPYDSEQEEEEEVPAWKVEEIDFQFDSYVCKFSNVDVLKWYVFLLNDFSKNSTELNQALVKMLHRIAFDLKLPIKLYQVSLFQVFSKVNEHFTHLSKDLRKSSRLYELYQFGFHLLKKFFSKFTGDLAIEALFWKGPRECFEIENGYGSWVKSREADIRVWTEDLEIELRNLYEEYRTMETRDGIDVLDFIEHNLSRARSRKKVAKKLIEFGFDLLGAKWKNSDKARMDSVLPIGDIQKWYDEWKEAGARGDLVNVLQEKLNEDLGMEISRKKILKQLAHMDILYEKPKKEKPLPQWDTGLIEELKKLKEQYDDIPDALNMLGVNIVRYVMKRLSEKKPTRQVERHLESLGATIPERSKKSEKNGKKFDDFLNDDDDDSENDVGGGSEDDEEEEIVMKSKRIIPDSEDEEEHIEQEEAQKKLEKVAEKPNTLMGMIAGRKRKLAQLESDSSDESDDDDSAEKEEKKLPAAEDDSDLEEDAVIYKRSYVDALLTGGSIAGNGITETRRDTSEEREDDDDEDPFTKKLTFKRRIVMSDNEDEA", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDVRFYPPPAQPAAAPAAPCLGPSPCLDPYYCNKFDGENMYMSMTEPSQDYVPASQSYPGPSLESEDFNIPPITPPSLPDHSLVHLNEVESGYHSLCHPMNHNGLLPFHPQTMDLPEITVSNMLGQDGALLSNSISVMQEIGNAEGAQYSSHPQMAAMRPRGQPTDIRQQASMMQPGQLTTINQSQLSAQLGLNMGGTNVAHNSPSPPGSKSATPSPSSSVHEDECEDASKINGGEKRPASDMGKKPKTPKKKKKKDPNEPQKPVSAYALFFRDTQAAIKGQNPNATFGEVSKIVASMWDGLGEEQKQVYKKKTEAAKKEYLKQLAAYRASLVSKSYTDPVDVKTSQPPQLVNSKPSVFHGPSQAHSALYLSSHYHQQPGMTPQLTAMHPSLPRNIAPKPNNQMPVTVSIANMAVSPPPPLQISPPLHQHLSMQQHQSLAMQQPLGSQLPMQVQTALHSPTMQQGFTLQPDYQTIINPTSTAAQVVTQAMEYVRSGCRNPPPQPVDWSTDYCSSGGMQRDKALYLT", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNSDGVWLDGSGESPEVNNGEAASWVRNPDEDWFNNPPPPQHTNQNDFRFNGGFPLNPSENLLLLLQQSIDSSSSSSPLLHPFTLDAASQQQQQQQQQQEQSFLATKACIVSLLNVPTINNNTFDDFGFDSGFLGQQFHGNHQSPNSMNFTGLNHSVPDFLPAPENSSGSCGLSPLFSNRAKVLKPLQVMASSGSQPTLFQKRAAMRQSSSSKMCNSESSSEMRKSSYEREIDDTSTGIIDISGLNYESDDHNTNNNKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHTELESTPPSSSSLHPLTPTPQTLSYRVKEELCPSSSLPSPKGQQPRVEVRLREGKAVNIHMFCGRRPGLLLSTMRALDNLGLDVQQAVISCFNGFALDVFRAEQCQEDHDVLPEQIKAVLLDTAGYAGLV", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSRSINLDELRKKALESKKKNEEDESNDSDKEDGEISEDDPVIDQSNSVPPMKVPTFPEQIPQLPPFDRFPGTNANFFPFGAPFMLPPALMFGPNTVPFFPQTASSNKTFSKRKRSSENSFNNRNKAKSSETSDSSNTSQSFKENRALKDTATSRPLALSSDTSYQKSEKAKSEKSPFLSTSKNSDANYSKTTNQKEAEKAVSQLFEVGVRFNDFIAEGIEPSVVHTLFLKLGLDSSSASSQGSLTLSADKAARSAKLRKIDSNLSDTHILPGDNGTPTVLPERKNLISLPLLKQDDWLSSSKPFGSSTPNVVIEFDSDDDGDDFSNSKIEQSNLEKPPSNSENGLTMSRSDYLALLRNKEEEIRRMTKLILRLESNKKPYRSPTSAADMKLPSVPVAAVDNKSKTHLDTFEKVVDLSSKADFVEAGPSISSSGASSSAATTNSDTTEQILEAPWLRKTEQIAVVHEEHPAQIKKSEIDILNNLIEKEEGELTKYQTLVKSKTEILTQLYTRKKQLLEQQGKGNVACLPKESDLSMDSITEVSAQADENSSQILSSKTSNAPNGTTETDFEDKVPLVDYISPFYRFKSYRFNQQFVERVPLKYRSLTYSNKIEPMKVFCKYETTGGVCNDDHCEASHFRDIKMTDDEIIQDLSRYIEGNDEIEKESYKSGLDIVMKNTDENTDFVDVATRIVEYHNLWKSERMTIPVAKVSI", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNYFPDEVIEHVFDFVASHKDRNSISLVCKSWHKIERFSRKEVFIGNCYAINPERLIRRFPCLKSLTLKGKPHFADFNLVPHEWGGFVHPWIEALARSRVGLEELRLKRMVVTDESLDLLSRSFANFKSLVLVSCEGFTTDGLASIAANCRHLRELDLQENEIDDHRGQWLNCFPDSCTTLMSLNFACLKGETNVAALERLVARSPNLKSLKLNRAVPLDALARLMSCAPQLVDLGVGSYENEPDPESFAKLMTAIKKYTSLRSLSGFLEVAPLCLPAFYPICQNLISLNLSYAAEIQGNHLIKLIQLCKRLQRLWILDSIGDKGLAVVAATCKELQELRVFPSDVHGEEDNNASVTEVGLVAISAGCPKLHSILYFCKQMTNAALIAVAKNCPNFIRFRLCILEPHKPDHITFQSLDEGFGAIVQACKGLRRLSVSGLLTDQVFLYIGMYAEQLEMLSIAFAGDTDKGMLYVLNGCKKMRKLEIRDSPFGNAALLADVGRYETMRSLWMSSCEVTLGGCKRLAQNSPRLNVEIINENENNGMEQNEEDEREKVDKLYLYRTVVGTRKDAPPYVRIL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGFPRILSKNNKIYTKLGEFCLSGDSFWIVCHTCQEELQTQDQFWKHIQDEHNFMHGVAKEHSRTSSYCLTDVEAAAAAATPGSSSQQGATAISVPLALYTCSTKYSEEEQREVEMHEQQVQHQVQQQQAQQQQAQQQQHQQSQQQGHQQHQVQQQQTHQQLQQQRDVAKELAELHANAVAAAAASAAVVSTGEGTTQSNSAIDIKIEPSSLTLTPEMQAAAAAGGTIYHLPQLVPPPVPPPPPGSGFVSVSASTSTSNTVSTTPPNVLQQQQQLNMSVVPSTAMAAAMLAASQEQLPKDSNSTTASAGSAVSSDDGERWYVCDYETCGLKFKYKSRMELHRVVHSKERRFNCELCSASFKQSCNLSTHRKKKHALRGIKSEILPQRF", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVSETTTNRSTVKISNVPQTIVADELLRFLELHLGEDTVFALEIPTTRDNWKPRDFARVQFTTLEVKSRAQLLSSQSKLLFKTHNLRLSEAYDDIIPRPVDPRKRLDDIVLTVGFPESDEKRFCALEKWDGVRCWILTEKRRVEFWVWESGDCYKIEVRFEDIIETLSCCVNGDASEIDAFLLKLKYGPKVFKRVTVHIATKFKSDRYRFCKEDFDFMWIRTTDFSGSKSIGTSTCFCLEVHNGSTMLDIFSGLPYYREDTLSLTYVDGKTFASAAQIVPLLNAAILGLEFPYEILFQLNALVHAQKISLFAASDMELIKILRGMSLETALVILKKLHQQSSICYDPVFFVKTQMQSVVKKMKHSPASAYKRLTEQNIMSCQRAYVTPSKIYLLGPELETANYVVKNFAEHVSDFMRVTFVEEDWSKLPANALSVNSKEGYFVKPSRTNIYNRVLSILGEGITVGPKRFEFLAFSASQLRGNSVWMFASNEKVKAEDIREWMGCFRKIRSISKCAARMGQLFSASRQTLIVRAQDVEQIPDIEVTTDGADYCFSDGIGKISLAFAKQVAQKCGLSHVPSAFQIRYGGYKGVIAVDRSSFRKLSLRDSMLKFDSNNRMLNVTRWTESMPCFLNREIICLLSTLGIEDAMFEAMQAVHLSMLGNMLEDRDAALNVLQKLSGENSKNLLVKMLLQGYAPSSEPYLSMMLRVHHESQLSELKSRCRILVPKGRILIGCMDEMGILEYGQVYVRVTLTKAELKSRDQSYFRKIDEETSVVIGKVVVTKNPCLHPGDIRVLDAIYEVHFEEKGYLDCIIFPQKGERPHPNECSGGDLDGDQFFVSWDEKIIPSEMDPPMDYAGSRPRLMDHDVTLEEIHKFFVDYMISDTLGVISTAHLVHADRDPEKARSQKCLELANLHSRAVDFAKTGAPAEMPYALKPREFPDFLERFEKPTYISESVFGKLYRAVKSSLAQRKPEAESEDTVAYDVTLEEAGFESFIETAKAHRDMYGEKLTSLMIYYGAANEEEILTGILKTKEMYLARDNRRYGDMKDRITLSVKDLHKEAMGWFEKSCEDEQQKKKLASAWYYVTYNPNHRDEKLTFLSFPWIVGDVLLDIKAENAQRQSVEEKTSGLVSI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAANMYRVGDYVYFENSSSNPYLVRRIEELNKTANGNVEAKVVCLFRRRDISSSLNSLADSNAREFEEESKQPGVSEQQRHQLKHRELFLSRQFESLPATHIRGKCSVTLLNETDILNQYLDKEDCFFYSLVFDPVQKTLLADQGEIRVGCKFQAEIPDRLAEGESDNRNQQKMEMKVWDPDNPLTDRQIDQFLVVARAVGTFARALDCSSSIRQPSLHMSAAAASRDITLFHAMDTLQRNGYDLAKAMSTLVPQGGPVLCRDEMEEWSASEAMLFEEALEKYGKDFNDIRQDFLPWKSLASIVQFYYMWKTTDRYIQQKRLKAAEADSKLKQVYIPTYTKPNPNQIISVGSKPGMNGAGFQKGLTCESCHTTQSAQWYAWGPPNMQCRLCASCWIYWKKYGGLKTPTQLEGAARGTTEPHSRGHLSRPEAQSLSPYTTSANRAKLLAKNRQTFLLQTTKLTRLARRMCRDLLQPRRAARRPYAPINANAIKAECSIRLPKAAKTPLKIHPLVRLPLATIVKDLVAQAPLKPKTPRGTKTPINRNQLTQNRGLGGIMVKRSYETMAGAGVPFSANGRPLASGIRSSSQPAAKRQKLNPADAPNPVVFVATKDTRALRKALTHLEMRRAARRPNLPLKVKPTLMTVRPPVPLPASSHPASTNEPIVLED", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MADNKGGGGGGGETEGDASSNNNNNSNGAGETGSPGETESQAVEEPESAEKAPVAAVPTDTPAEENPAPSSSSVASSSATPASSSSSPLVVNLLDTCAVCKLSLQSRDTEPKLLPCLHSFCRRCLPEPERQLSVPGGTNGDIQQVGVIRCLVCRQECRQIDLVDNYFVKDASEAPNTDEKSEQVCTSCEDNASAVGFCVECGEWLCKTCIEAHQRVKFTKDHIITNKEDVSSESVGASGQRPVFCPVHKQEQLKLFCETCDRLTCRDCQLLEHKEHRYQFLEEAFQNQKGAIENLLAKLLEKKNYVHFAATQVQNRIKEVNETNKRVEQEIKVAIFTLINEINKKGKSLLQQLESVTKERQIKLVQQQNDITGLSRQIKHVMTFTNWAIASGSSTALLYSKRLITFQLRHILKARCDPVPAANGAIRFHCDPTFWAKNVVNLGNLVIENKPTTGFTPNVVVGQVPQGANHVNKPPAQINLAQLRLQHMQQQVYAQKQQQLQMRMAQPPGQHQRPSAPQVMHQQPPRLISMQSMPRNNMNCGPFQAHQMRMAQNAAQNAARMSGVPRHNGMQYSMMQPHLQRQHSNPGHAGPFPVVSVHNNTINPTSPTTATMANANRGPTSPSVTSIELIPSVTNPENLPSLPDIPPIQLEDAGSSNLDNLLSRYISLGHQLPQPTSNMNPSPAPSAMSPGSTGLSNSHTPVRPPSTSSTGSRGSCGSSSRTVERNSSFKSDPVKVKQEPGTEEEVCSFSGPVKQEKAEDGRRSACMLSSPESSLTPPLTTNVHLETDLESLAALENNVKTEPNNTSQSCRQSSHVSLVNGKSAVRNSMHRPPRGGGGGDGSNKDDDPNEDWCAVCQNGGDLLCCEKCPKVFHLTCHVPTLLSFPSGEWICTFCRDLNKPEVEYDCDNSQHSKKGKTVQGLSPVDQMKCERLLLYLYCHELSIEFQEPVPATIPNYYKIIKKPMDLSTVKKKLQKKHSQHYQTPEDFVADVRLIFKNCERFNEMMKVVQAYADTQEINLQNDSEVAQAGKAVVLYFEEKLPAIYPDRTFQPLPEFEAEDDDGDVTDDSDDDDFVQPRRKRLKSEERPVHIK", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSAALVRRGLELLAASEAPRAVPGQVQASGTPAKRTRRARAKASQALKLRNSAKGKAPKSALAEYQKRQCRDHLKANLKFMTSMRSTVPESVTQQILQQNQGRKACDRLVAKTKNKKKKKKKAEGTVFTEEDFQKFQREYFGS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDSTAGSDASTPTNHTVSSKKRRGRPPKGSYAAYGSSDDDDEEYSGRTRRRNRNTRPRVSAPSSSSTVVPKDLYSHRATLEDDELNFGVVDPEGEKKVNELGYLNGGREYRCRTFTCLGRGNRLYMLSTEPARAMGYRDSYLLFLKHRSLHKIIVDDSEKWDLIERNIIPHSYKGRAVGIVAARSIFREFGARIIVGGRRIVDDYWEGEFRARGFVEGELADPDDKLPPPGMPYNRNQYVAWHGASAVYHPQPSLEAQLPAAARKRKKEPPKDATWLFQHAKATAAYNNDITKYLVQKQDIGYFEPHTNLLHVPLNTQPTKTHWIQAKTGTECPAPKLDILVALNSDVAPQVSIANIPPSVYASCPLHVQEAIRKRQEQEIRSIRLNSMY", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEGEPPPVEERRRLQEELNEFVESGCRTLEEVTASLGWDLDSLDPGEEEAAEDEVVICPYDSNHHMPKSSLAKHMASCRLRKMGYTKEEEDEMYNPEFFYENVKIPSITLNKDSQFQIIKQARTAVGKDSDCYNQRIYSSLPVEVPLNHKRFVCDLTQADRLALYDFVVEETKKKRSDSQIIENDSDLFVDLAAKINQDNSRKSPKSYLEILAEVRDYKRRRQSYRAKNVHITKKSYTEVIRDVINVHMEELSNHWQEEQEKAEDDAEKNEERRSASVDSRQSGGSYLDAECSRHRRDRSRSPHKRKRNKDKDKNCESRRRKERDGERHHSHKRRKQKI", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAHSCRWRFPARPGTTGGGGGGGRRGLGGAPRQRVPALLLPPGPPVGGGGPGAPPSPPAVAAAAAAAGSSGAGVPGGAAAASAASSSSASSSSSSSSSASSGPALLRVGPGFDAALQVSAAIGTNLRRFRAVFGESGGGGGSGEDEQFLGFGSDEEVRVRSPTRSPSVKTSPRKPRGRPRSGSDRNSAILSDPSVFSPLNKSETKSGDKIKKKDSKSIEKKRGRPPTFPGVKIKITHGKDISELPKGNKEDSLKKIKRTPSATFQQATKIKKLRAGKLSPLKSKFKTGKLQIGRKGVQIVRRRGRPPSTERIKTPSGLLINSELEKPQKVRKDKEGTPPLTKEDKTVVRQSPRRIKPVRIIPSSKRTDATIAKQLLQRAKKGAQKKIEKEAAQLQGRKVKTQVKNIRQFIMPVVSAISSRIIKTPRRFIEDEDYDPPIKIARLESTPNSRFSAPSCGSSEKSSAASQHSSQMSSDSSRSSSPSVDTSTDSQASEEIQVLPEERSDTPEVHPPLPISQSPENESNDRRSRRYSVSERSFGSRTTKKLSTLQSAPQQQTSSSPPPPLLTPPPPLQPASSISDHTPWLMPPTIPLASPFLPASTAPMQGKRKSILREPTFRWTSLKHSRSEPQYFSSAKYAKEGLIRKPIFDNFRPPPLTPEDVGFASGFSASGTAASARLFSPLHSGTRFDMHKRSPLLRAPRFTPSEAHSRIFESVTLPSNRTSAGTSSSGVSNRKRKRKVFSPIRSEPRSPSHSMRTRSGRLSSSELSPLTPPSSVSSSLSISVSPLATSALNPTFTFPSHSLTQSGESAEKNQRPRKQTSAPAEPFSSSSPTPLFPWFTPGSQTERGRNKDKAPEELSKDRDADKSVEKDKSRERDREREKENKRESRKEKRKKGSEIQSSSALYPVGRVSKEKVVGEDVATSSSAKKATGRKKSSSHDSGTDITSVTLGDTTAVKTKILIKKGRGNLEKTNLDLGPTAPSLEKEKTLCLSTPSSSTVKHSTSSIGSMLAQADKLPMTDKRVASLLKKAKAQLCKIEKSKSLKQTDQPKAQGQESDSSETSVRGPRIKHVCRRAAVALGRKRAVFPDDMPTLSALPWEEREKILSSMGNDDKSSIAGSEDAEPLAPPIKPIKPVTRNKAPQEPPVKKGRRSRRCGQCPGCQVPEDCGVCTNCLDKPKFGGRNIKKQCCKMRKCQNLQWMPSKAYLQKQAKAVKKKEKKSKTSEKKDSKESSVVKNVVDSSQKPTPSAREDPAPKKSSSEPPPRKPVEEKSEEGNVSAPGPESKQATTPASRKSSKQVSQPALVIPPQPPTTGPPRKEVPKTTPSEPKKKQPPPPESGPEQSKQKKVAPRPSIPVKQKPKEKEKPPPVNKQENAGTLNILSTLSNGNSSKQKIPADGVHRIRVDFKEDCEAENVWEMGGLGILTSVPITPRVVCFLCASSGHVEFVYCQVCCEPFHKFCLEENERPLEDQLENWCCRRCKFCHVCGRQHQATKQLLECNKCRNSYHPECLGPNYPTKPTKKKKVWICTKCVRCKSCGSTTPGKGWDAQWSHDFSLCHDCAKLFAKGNFCPLCDKCYDDDDYESKMMQCGKCDRWVHSKCENLSDEMYEILSNLPESVAYTCVNCTERHPAEWRLALEKELQISLKQVLTALLNSRTTSHLLRYRQAAKPPDLNPETEESIPSRSSPEGPDPPVLTEVSKQDDQQPLDLEGVKRKMDQGNYTSVLEFSDDIVKIIQAAINSDGGQPEIKKANSMVKSFFIRQMERVFPWFSVKKSRFWEPNKVSSNSGMLPNAVLPPSLDHNYAQWQEREENSHTEQPPLMKKIIPAPKPKGPGEPDSPTPLHPPTPPILSTDRSREDSPELNPPPGIEDNRQCALCLTYGDDSANDAGRLLYIGQNEWTHVNCALWSAEVFEDDDGSLKNVHMAVIRGKQLRCEFCQKPGATVGCCLTSCTSNYHFMCSRAKNCVFLDDKKVYCQRHRDLIKGEVVPENGFEVFRRVFVDFEGISLRRKFLNGLEPENIHMMIGSMTIDCLGILNDLSDCEDKLFPIGYQCSRVYWSTTDARKRCVYTCKIVECRPPVVEPDINSTVEHDENRTIAHSPTSFTESSSKESQNTAEIISPPSPDRPPHSQTSGSCYYHVISKVPRIRTPSYSPTQRSPGCRPLPSAGSPTPTTHEIVTVGDPLLSSGLRSIGSRRHSTSSLSPQRSKLRIMSPMRTGNTYSRNNVSSVSTTGTATDLESSAKVVDHVLGPLNSSTSLGQNTSTSSNLQRTVVTVGNKNSHLDGSSSSEMKQSSASDLVSKSSSLKGEKTKVLSSKSSEGSAHNVAYPGIPKLAPQVHNTTSRELNVSKIGSFAEPSSVSFSSKEALSFPHLHLRGQRNDRDQHTDSTQSANSSPDEDTEVKTLKLSGMSNRSSIINEHMGSSSRDRRQKGKKSCKETFKEKHSSKSFLEPGQVTTGEEGNLKPEFMDEVLTPEYMGQRPCNNVSSDKIGDKGLSMPGVPKAPPMQVEGSAKELQAPRKRTVKVTLTPLKMENESQSKNALKESSPASPLQIESTSPTEPISASENPGDGPVAQPSPNNTSCQDSQSNNYQNLPVQDRNLMLPDGPKPQEDGSFKRRYPRRSARARSNMFFGLTPLYGVRSYGEEDIPFYSSSTGKKRGKRSAEGQVDGADDLSTSDEDDLYYYNFTRTVISSGGEERLASHNLFREEEQCDLPKISQLDGVDDGTESDTSVTATTRKSSQIPKRNGKENGTENLKIDRPEDAGEKEHVTKSSVGHKNEPKMDNCHSVSRVKTQGQDSLEAQLSSLESSRRVHTSTPSDKNLLDTYNTELLKSDSDNNNSDDCGNILPSDIMDFVLKNTPSMQALGESPESSSSELLNLGEGLGLDSNREKDMGLFEVFSQQLPTTEPVDSSVSSSISAEEQFELPLELPSDLSVLTTRSPTVPSQNPSRLAVISDSGEKRVTITEKSVASSESDPALLSPGVDPTPEGHMTPDHFIQGHMDADHISSPPCGSVEQGHGNNQDLTRNSSTPGLQVPVSPTVPIQNQKYVPNSTDSPGPSQISNAAVQTTPPHLKPATEKLIVVNQNMQPLYVLQTLPNGVTQKIQLTSSVSSTPSVMETNTSVLGPMGGGLTLTTGLNPSLPTSQSLFPSASKGLLPMSHHQHLHSFPAATQSSFPPNISNPPSGLLIGVQPPPDPQLLVSESSQRTDLSTTVATPSSGLKKRPISRLQTRKNKKLAPSSTPSNIAPSDVVSNMTLINFTPSQLPNHPSLLDLGSLNTSSHRTVPNIIKRSKSSIMYFEPAPLLPQSVGGTAATAAGTSTISQDTSHLTSGSVSGLASSSSVLNVVSMQTTTTPTSSASVPGHVTLTNPRLLGTPDIGSISNLLIKASQQSLGIQDQPVALPPSSGMFPQLGTSQTPSTAAITAASSICVLPSTQTTGITAASPSGEADEHYQLQHVNQLLASKTGIHSSQRDLDSASGPQVSNFTQTVDAPNSMGLEQNKALSSAVQASPTSPGGSPSSPSSGQRSASPSVPGPTKPKPKTKRFQLPLDKGNGKKHKVSHLRTSSSEAHIPDQETTSLTSGTGTPGAEAEQQDTASVEQSSQKECGQPAGQVAVLPEVQVTQNPANEQESAEPKTVEEEESNFSSPLMLWLQQEQKRKESITEKKPKKGLVFEISSDDGFQICAESIEDAWKSLTDKVQEARSNARLKQLSFAGVNGLRMLGILHDAVVFLIEQLSGAKHCRNYKFRFHKPEEANEPPLNPHGSARAEVHLRKSAFDMFNFLASKHRQPPEYNPNDEEEEEVQLKSARRATSMDLPMPMRFRHLKKTSKEAVGVYRSPIHGRGLFCKRNIDAGEMVIEYAGNVIRSIQTDKREKYYDSKGIGCYMFRIDDSEVVDATMHGNAARFINHSCEPNCYSRVINIDGQKHIVIFAMRKIYRGEELTYDYKFPIEDASNKLPCNCGAKKCRKFLN", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTASPDYLVVLFGITAGATGAKLGSDEKELILLFWKVVDLANKKVGQLHEVLVRPDQLELTEDCKEETKIDVESLSSASQLDQALRQFNQSVSNELNIGVGTSFCLCTDGQLHVRQILHPEASKKNVLLPECFYSFFDLRKEFKKCCPGSPDIDKLDVATMTEYLNFEKSSSVSRYGASQVEDMGNIILAMISEPYNHRFSDPERVNYKFESGTCSKMELIDDNTVVRARGLPWQSSDQDIARFFKGLNIAKGGAALCLNAQGRRNGEALVRFVSEEHRDLALQRHKHHMGTRYIEVYKATGEDFLKIAGGTSNEVAQFLSKENQVIVRMRGLPFTATAEEVVAFFGQHCPITGGKEGILFVTYPDGRPTGDAFVLFACEEYAQNALRKHKDLLGKRYIELFRSTAAEVQQVLNRFSSAPLIPLPTPPIIPVLPQQFVPPTNVRDCIRLRGLPYAATIEDILDFLGEFATDIRTHGVHMVLNHQGRPSGDAFIQMKSADRAFMAAQKCHKKNMKDRYVEVFQCSAEEMNFVLMGGTLNRNGLSPPPCKLPCLSPPSYTFPAPAAVIPTEAAIYQPSVILNPRALQPSTAYYPAGTQLFMNYTAYYPSPPGSPNSLGYFPTAANLSGVPPQPGTVVRMQGLAYNTGVKEILNFFQGYQYATEDGLIHTNDQARTLPKEWVCI", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRRQLSFHESTKRSLKKKKIRKIEKPSLVSKTSRDKNASITDIHEEDIEAFSDEENKIVHLNNLKEDRFQLWFEKYIPQKAADLAVHKSKISAIKQWMLTDSLESRLLLICGPSGCGKSTAVQVLAKELGYSLIEWLNPMNLKEPSNQESDTLSLTEKFSRFMSLCETYPELELMDSNNIQKRGKNAQGKKKFIFLDEIPHLSKFNGSLDAFRNVIRTALTSRGAFSIIMVLTEIQLNNLEGINSQDRNSFNSVQIMGNDLLQDPRVTVLQFNPIAPTYMKKCLGSILRKEGVPKSPKLLSLVENICSASEGDLRSAINSLQLSISQSFEKKGTKNIREVKEGKGKGNDFSLEAAQVLERLSKSDSEAYARFKNYKSAYIPKSDKNENSFFKKDVGLGMMHAIGKVVWNKREGDDEVLKASSQQTGNSERIKGVKVSKSQENKNCISLKSDQRERMLNVDQCFTSKRRSLVDIESTINQSGLSGSVFRYGLFENYVDSCVTTDEAFNVCDLLSISDCLSHDFPYSYTGDEISTWFSVQGTLFYLPSPVPRKWRQLRFQQWNNEGIVRGIFDDYMVIYGKRSVSDPVIEAHEDQVLEDIDDPIEDED", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPRRKQSHPQPVKCEGVKVDTEDSLDEGPGALVLESDLLLGQDLEFEEEEEEEEGDGNSDQLMGFERDSEGDSLGARPGLPYGLSDDESGGGRALSAESEVEEPARGPGEARGERPGPACQLCGGPTGEGPCCGAGGPGGGPLLPPRLLYSCRLCTFVSHYSSHLKRHMQTHSGEKPFRCGRCPYASAQLVNLTRHTRTHTGEKPYRCPHCPFACSSLGNLRRHQRTHAGPPTPPCPTCGFRCCTPRPARPPSPTEQEGAVPRRPEDALLLPDLSLHVPPGGASFLPDCGQLRGEGEGLCGTGSEPLPELLFPWTCRGCGQELEEGEGSRLGAAMCGRCMRGEAGGGASGGPQGPSDKGFACSLCPFATHYPNHLARHMKTHSGEKPFRCARCPYASAHLDNLKRHQRVHTGEKPYKCPLCPYACGNLANLKRHGRIHSGDKPFRCSLCNYSCNQSMNLKRHMLRHTGEKPFRCATCAYTTGHWDNYKRHQKVHGHGGAGGPGLSASEGWAPPHSPPSVLSSRGPPALGTAGSRAVHTDSS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAKSQIEPGVPITLQELYPSSLFYKEGVSLRVTAMLRGYSVETAIGVIEDGGRSLKINTQNIRDVSFRVGSIYQFIGELHIEQPNNEAILQARTGRNVDGIDMNLYRKTIELLRQFLKEEDNSNMVE", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPDMDIDVKDLEEFEATTGEINLSELGEGFLQSFCKKAATSFFDKYGLISHQLNSYNYFIEHGLQNVFQSFGEMLVEPSFDVVKKKDNDWRYATVKFGEVTVEKPTFFSDDKELEFLPWHARLQNMTYSARIKVNVQVEVFKNTVVKSDKFKTGQDNYVEKKILDVKKQDILIGSIPVMVKSILCKTSEKGKENCKKGDCAFDQGGYFVIKGAEKVFIAQEQMCTKRLWISNSPWTVSFRSENKRNRFIVRLSENEKAEDYKRREKVLTVYFLSTEIPVWLLFFALGVSSDKEAMDLIAFDGDDASITNSLIASIHVADAVCEAFRCGNNALTYVEQQIKSTKFPPAESVDECLHLYLFPGLQSLKKKARFLGYMVKCLLNSYAGKRKCENRDSFRNKRIELAGELLEREIRVHLAHARRKMTRAMQKHLSGDGDLKPIEHYLDASVITNGLSRAFSTGAWSHPFRKMERVSGVVANLGRANPLQTLIDLRRTRQQVLYTGKVGDARYPHPSHWGRVCFLSTPDGENCGLVKNMSLLGLVSTQSLESVVEKLFACGMEELMDDTCTPLFGKHKVLLNGDWVGLCADSESFVAELKSRRRQSELPREMEIKRDKDDNEVRIFTDAGRLLRPLLVVENLQKLKQEKPSQYPFDHLLDHGILELIGIEEEEDCNTAWGIKQLLKEPKIYTHCELDLSFLLGVSCAVVPFANHDHGRRVLYQSQKHCQQAIGFSSTNPNIRCDTLSQQLFYPQKPLFKTLASECLKKEVLFNGQNAIVAVNVHLGYNQEDSIVMNKASLERGMFRSEQIRSYKAEVDAKDSEKRKKMDELVQFGKTHSKIGKVDSLEDDGFPFIGANMSTGDIVIGRCTESGADHSIKLKHTERGIVQKVVLSSNDEGKNFAAVSLRQVRSPCLGDKFSSMHGQKGVLGYLEEQQNFPFTIQGIVPDIVINPHAFPSRQTPGQLLEAALSKGIACPIQKEGSSAAYTKLTRHATPFSTPGVTEITEQLHRAGFSRWGNERVYNGRSGEMMRSMIFMGPTFYQRLVHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASANLHERLFTLSDSSQMHICRKCKTYANVIERTPSSGRKIRGPYCRVCVSSDHVVRVYVPYGAKLLCQELFSMGITLNFDTKLC", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MHSRKSKSITGKRKQVGSNVTRVIKPQKTRRIIRRFHHLINKRQSICKFLCLKENLDDSNEEKNDKIIRLSIKGNVRLGKYYEDGKSQSFNDAMESQLLRLHSLIKNESKSKDTSDLAVMYTLLGYIMNQINKLGGLETYQIASQNGQLKERGGDTSKLLEKWIRSSFENCPGAVALEIGSLSSGNRISRCALFRNVVRIDLEEHEGVIKQDFMERPLPRNENDKFDLISCSLVLNFVKNHRDRGAMCHRMVKFLKPQGYIFIVLPQACVTHSRYCDKTLLQNLLGSIGLIMLNSHQSNKLYYCLYQLQVVPPQPSSFSKRIKVNDGPGLNNFGITL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSNTSNSDPNSDIPFASSNVTLPSYNQNPRRKRTKLTNNEVGSSSSSPRPKPVTQPDPDASQIARPCTECGKQFGSLKALFGHMRCHPERQWRGINPPSNFKRRINSNAASSSSSWDPSEEEHNIASCLLMMANGDVPTRSSEVEERFECDGCKKVFGSHQALGGHRATHKDVKGCFANKNITEDPPPPPPQEIVDQDKGKSVKLVSGMNHRCNICSRVFSSGQALGGHMRCHWEKDQEENQVRGIDLNVPAATSSDTTLGCSLDLRLGL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDVHDLFRRLGAGAKFDTRRFSADAARFQIGKRKYDFDSSEVLQGLDFFGNKKSVPGVCGASQTHQKPQNGEKKEESLTERKREQSKKKRKTMTSEIASQEEGATIQWMSSVEAKIEDKKVQRESKLTSGKLENLRKEKINFLRNKHKIHVQGTDLPDPIATFQQLDQEYKINSRLLQNILDAGFQMPTPIQMQAIPVMLHGRELLASAPTGSGKTLAFSIPILMQLKQPANKGFRALIISPTRELASQIHRELIKISEGTGFRIHMIHKAAVAAKKFGPKSSKKFDILVTTPNRLIYLLKQDPPGIDLASVEWLVVDESDKLFEDGKTGFRDQLASIFLACTSHKVRRAMFSATFAYDVEQWCKLNLDNVISVSIGARNSAVETVEQELLFVGSETGKLLAMRELVKKGFNPPVLVFVQSIERAKELFHELIYEGINVDVIHAERTQQQRDNTVHSFRAGKIWVLICTALLARGIDFKGVNLVINYDFPTSSVEYIHRIGRTGRAGNKGKAITFFTEDDKPLLRSVANVIQQAGCPVPEYIKGFQKLLSKQKKKMIKKPLERESISTTPKCFLEKAKDKQKKVTGQNSKKKVALEDKS", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAASDQHRSRRHDESSSRPNKKKKVSRNPETNLLFNLNSCSKSKDLSAALALYDAAITSSEVRLSQQHFQTLLYLCSASITDISLQYLAIDRGFEIFDRMVSSGISPNEASVTSVARLAAAKGNGDYAFKVVKEFVSVGGVSIPRLRTYAPALLCFCEKLEAEKGYEVEEHMEAAGIALEEAEISALLKVSAATGRENKVYRYLHKLREYVGCVSEETLKIIEEWFCGEKAGEVGDNGIGSDVGMLREAVLNNGGGWHGHGWVGEGKWTVKKGNVSSTGRCLSCSEQLACVDTNEVETQKFVDSLVALAMDRKTKMNSCETNVVFSEFQDWLEKHGDYEAIVDGANIGLYQQNFVDGSFSLSQLESVMKELYRESGNNKWPLILLHKRRVKTLLENPTHRNLVEEWISNGVLYATPPGSNDDWYWLYAAAKLKCLLVTNDEMRDHIFELLGSTFFQKWKERHQVRYTFVKGNLKLEMPSPFSVVIQESEKGSWHFPVSCENNEESSRTWMCISRQSILDSPKSNGKIP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEVNCLTLKDLISPRQPRLDFAVEDGENAQKENIFVDRSRMAPKTPIKNEPIDLSKQKKFTPERNPITPVKFVDRQQAEPWTPTANLKMLISAASPDIRDREKKKGLFRPIENKDDAFTDSLQLDVVGDSAVDEFEKQRPSRKQKSLGLLCQKFLARYPSYPLSTEKTTISLDEVAVSLGVERRRIYDIVNVLESLHLVSRVAKNQYGWHGRHSLPKTLRNLQRLGEEQKYEEQMAYLQQKELDLIDYKFGERKKDGDPDSQEQQLLDFSEPDCPSSSANSRKDKSLRIMSQKFVMLFLVSKTKIVTLDVAAKILIEESQDAPDHSKFKTKVRRLYDIANVLTSLALIKKVHVTEERGRKPAFKWIGPVDFSSSDEELVDVSASVLPELKRETYGQIQVCAKQKLARHGSFNTVQASERIQRKVNSEPSSPYREEQGSGGYSLEIGSLAAVYRQKIEDNSQGKAFASKRVVPPSSSLDPVAPFPVLSVDPEYCVNPLAHPVFSVAQTDLQAFSMQNGLNGQVDVSLASAASAVESLKPALLAGQPLVYVPSASLFMLYGSLQEGPASGSGSERDDRSSEAPATVELSSAPSAQKRLCEERKPQEEDEPATKRQSREYEDGPLSLVMPKKPSDSTDLASPKTMGNRASIPLKDIHVNGQLPAAEEISGKATANSLVSSEWGNPSRNTDVEKPSKENESTKEPSLLQYLCVQSPAGLNGFNVLLSGSQTPPTVGPSSGQLPSFSVPCMVLPSPPLGPFPVLYSPAMPGPVSSTLGALPNTGPVNFSLPGLGSIAQLLVGPTAVVNPKSSTLPSADPQLQSQPSLNLSPVMSRSHSVVQQPESPVYVGHPVSVVKLHQSPVPVTPKSIQRTHRETFFKTPGSLGDPVLKRRERNQSRNTSSAQRRLEIPSGGAD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MIEVLTTTDSQKLLHQLNALLEQESRCQPKVCGLRLIESAHDNGLRMTARLRDFEVKDLLSLTQFFGFDTETFSLAVNLLDRFLSKMKVQPKHLGCVGLSCFYLAVKSIEEERNVPLATDLIRISQYRFTVSDLMRMEKIVLEKVCWKVKATTAFQFLQLYYSLLQENLPLERRNSINFERLEAQLKACHCRIIFSKAKPSVLALSIIALEIQAQKCVELTEGIECLQKHSKINGRDLTFWQELVSKCLTEYSSNKCSKPNVQKLKWIVSGRTARQLKHSYYRITHLPTIPEMVP", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MIAILYLYYILTTSILLSVSFMLRINDDDHPNEKIGFISAIKGDFHDLSSDYLKRIAAMAFPIMKEHGFGVTSLDEVAYNAKFWGRNWNKGECIELVLRDASNRWLPFEFVMDVFLHELCHIWQGPHDRRFFSHLSTLRAALIALYAKGYKGPGKYMTWDSFVLANVVGNYNTVVFNGITLERSTMHGVETCGGSLQRKKKIRRKPTPSSTKKRKLTRTGQKLGTDMNIRLELLKSPAKPQAQSMRGREARIAAALLRVDNSNEYKPKDHNSSTTLENYFVVE", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNMDIGKALSDVGGLRDITSRANNSLPPTPDSSPAAPSKKHKLYDFLESRGKIETPRKRIVFEKKPLLHKPVHIQKKPAQLCKELLIRQLGGSRSHPFSTKTSRHVGGRLNLETYYSRPSECLMMLNQLPFCLGFANNESLLAVCTETGALELFDSRFYDRQNEENQPSARRIHGWLAHNNAIFSVNFSKDDSLLATSSGDQTSKVFDLSTQQCITRLGRRGVDGYHSHSVKQVNFCNDSPYNLVSCSRDGSIIFWDMRTHGITIDGEHFQKPVLRIRKAHENSGRDCSITSATWLPQSTSQVISSCSANSALKLWDLRTVHTVRPLPAATTPELTTSKRDFGVTNVCTSPDGERIYAASRDSIIYEYSSRHLNSGFCKTYKDPRLRISSFYVKLACSPDGATLACGGGVQDKTSGVVVFDTTRNCSSSAMLTGGHTKDVTAVDWSSEGQLASISDDGSVRVWNSSLHGSAANLREKNFSEIFYWGFSEK", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPILLFLIDTSASMNQRSHLGTTYLDTAKGAVETFMKLRARDPASRGDRYMLVTFEEPPYAIKAGWKENHATFMNELKNLQAEGLTTLGQSLRTAFDLLNLNRLVTGIDNYGQGRNPFFLEPAIIITITDGSKLTTTSGVQDELHLPLNSPLPGSELTKEPFRWDQRLFALVLRLPGTMSVESEQLTGVPLDDSAITPMCEVTGGRSYSVCSPRMLNQCLESLVQKVQSGVVINFEKAGPDPSPVEDGQPDISRPFGSQPWHSCHKLIYVRPNPKTGVPIGHWPVPESFWPDQNSPTLPPRTSHPVVKFSCTDCEPMVIDKLPFDKYELEPSPLTQFILERKSPQTCWQVYVSNSAKYSELGHPFGYLKASTALNCVNLFVMPYNYPVLLPLLDDLFKVHKAKPTLKWRQSFESYLKTMPPYYLGPLKKAVRMMGAPNLIADSMEYGLSYSVISYLKKLSQQAKIESDRVIGSVGKKVVQETGIKVRSRSHGLSMAYRKDFQQLLQGISEDVPHRLLDLNMKEYTGFQVALLNKDLKPQTFRNAYDIPRRNLLDHLTRMRSNLLKSTRRFLKGQDEDQVHSVPIAQMGNYQEYLKQVPSPLRELDPDQPRRLHTFGNPFKLDKKGMMIDEADEFVAGPQNKHKRPGEPNMQGIPKRRRCMSPLLRGRQQNPVVNNHIGGKGPPAPTTQAQPDLIKPLPLHKISETTNDSIIHDVVENHVADQLSSDITPNAMDTEFSASSPASLLERPTNHMEALGHDHLGTNDLTVGGFLENHEEPRDKEQCAEENIPASSLNKGKKLMHCRSHEEVNTELKAQIMKEIRKPGRKYERIFTLLKHVQGSLQTRLIFLQNVIKEASRFKKRMLIEQLENFLDEIHRRANQINHINSN", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTDPYSNFFTDWFKSNPFHHYPNSSTNPSPHPLPPVTPPSSFFFFPQSGDLRRPPPPPTPPPSPPLREALPLLSLSPANKQQDHHHNHDHLIQEPPSTSMDVDYDHHHQDDHHNLDDDDHDVTVALHIGLPSPSAQEMASLLMMSSSSSSSRTTHHHEDMNHKKDLDHEYSHGAVGGGEDDDEDSVGGDGGCRISRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGNGHGAYGIDGFDEEDEPASEVEQLDNDHESMQSK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRIPVDASTSRRFTPPSTALSPGKMSEALPLGAPDGGPALASKLRSGDRSMVEVLADHPGELVRTDSPNFLCSVLPTHWRCNKTLPIAFKVVALGDVPDGTLVTVMAGNDENYSAELRNATAAMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPPQVATYHRAIKITVDGPREPRRHRQKLDDQTKPGSLSFSERLSELEQLRRTAMRVSPHHPAPTPNPRASLNHSTAFNPQPQSQMQDARQIQPSPPWSYDQSYQYLGSITSSSVHPATPISPGRASGMTSLSAELSSRLSTAPDLTAFGDPRQFPTLPSISDPRMHYPGAFTYSPPVTSGIGIGMSAMSSASRYHTYLPPPYPGSSQAQAGPFQTGSPSYHLYYGASAGSYQFSMVGGERSPPRILPPCTNASTGAALLNPSLPSQSDVVETEGSHSNSPTNMPPARLEEAVWRPY", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLPRLFLTILPVCSPSISNKTSKKFRDAHANHVFLFEMDLNNTLSFFGKQLKLDTEEEISKVIDQIRKHLNLEVLDFRGNTLSVLAGKLIAESLKTRRELKECIWSDMFTGRLKDEIPLVLDALGEALTASGCRLTTLDLSDNAFGAGLSTSLYNFLQSPALYSLENLILNNNGLGLAGKTVGKALCSLIDASKKAGTPLKLKKFVCGRNRLEVESTIALSDAFIKLGTLEEIRLPQNGIRDDGIIALAEAFRMNKKLRIIDINDNFCCPEGAIQISEVLSDLQFIEVLDLGDCVCDDPGVLAIIAELDKINRDCLKKVVLSGNNITSDVIDEIGACFNSPKMCHVKVDISVNMFGKDFDSAKARHGKGNIDFGRRGDDELLSSDEEEEQGAEDASMEEDAFNTSRETVIDRSNLHEASADEMMNDLMNKGFGCMKIEDNQQNSNGNGMVSFLDKSLKLDTAESAEPVVKVIAAASSMKALELRGNTLGIAAGNVIAKALESHPELERCLWSDLFTGRLKNEIPPILEALGKAMMTAGCKIKELDLSDNAFGPIGADALKDLLESPSSFSLEVLKLNNNGLGIGGKQIAKSLTECLRKSIAVGGENRLRLKTFIAGRNRLENPGAHALAATFKALETVEWFDVRQNGIHEEGIRALVAALKHNRNLRYLWLEDNTVLPKGAKALAKTLESWPKLEVLNLSDCLIRDAGCNYIIDHLNPQHHRHLKNVYLCGNELTPPVAKLLIQKWSKFDGLTPKPVLHIHTNSFGDEFSDVAGMAPENVNVGDEDDDLGSLDGDQEEYNSKSSDSEDADLDDDDEDDDEEAEIQIIDNGESQLKLAMDRIDRLDIDFESRFQEDTARVILQLSAPLKSCKMSEPALQRAIEVAENIVRRVESVKRNPIPATTQLVNNIVAQCAGTGVKAETDWGYGADPQVISRLFSELVARGHFKLELALLQRFFPSQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTRNAPPGQESTDLAWLVTPAKDLVENFSIDVLKALAGYLEVIRQESEDTDNQVDAATTYRLFDFQRACRIIQGSCAVYGRKVDHVYELTISVVDLVENKGQDDGNTGSRRGAGRRKNFNLGSTNYDLADIDSLKQEALANFEKTVKEEKKSIDAVRMVENAEVIESQYERKSCLVAKPTQFMFKLNYGQLNRTDEQILNAKSRPDVIGKVKDFEIKKSKVKHDQQILYSHDCYRGNLDQFTLPGARWMPDNKELAANFGVADLEVELDLEQEHEKISAYGPFKDPLSGREVVPPPRWFIEQEAVRQNQEIQSRATSRAITIAAKTLRDSQGFGSQPTRLSQPFVERHRQSNHLNDFLSFVEGRVNKNRPSTHLTTGLVDMFVDNFGSVMQNDEPNTSRRPDENYAPMDFDDDFGGGGDDDDDDYIRNLSRRDEKRAPAPWDELDKNHIIWYTGDENLPVVSKPVKKITKFQPKPAEMLARKQRREEKINKSRRDEFMETHDYLQDYYYWRSAARINPIKDWKIESLRTAILAEKKRRIKEKTAKIREARIQNMQRKRTARVIPVEQFEPVTEDIPTSNRRTLGAEYDDVVDEDLAAEVELSMFGGGFDDDEEDVRPRGERPPMAPNNLEFDALQTDFDIPPAEYVPLRFEDIDDAELNSVINLPGNLLIDKALPLLKKFAENRTDREQMAYEMAKAYEDVDVAVSTLQEHVDKWHSRMEPILEEGETRKEYDVHAVGRAVIGQYDIEGGTKRLLDLVMDRPWYEISRYFLSCLFMCNVGNVMVSEDMELPLEERINSMKITLLKRDMHCEMFKEAGALDA", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSSRRSSRGSISEEEINELISKLQSLLPNSRRRGSSQASTTKLLKETCNYIKSLHREVDDLSDRLSDLMATMDHNSPGAEIIRSILRS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSNTLFDDIFQVSEVDPGRYNKVCRIEAASTTQDQCKLTLDINVELFPVAAQDSLTVTIASSLNLEDTPANDSSATRSWRPPQAGDRSLADDYDYVMYGTAYKFEEVSKDLIAVYYSFGGLLMRLEGNYRNLNNLKQENAYLLIRR", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNQANFLQELPNVLKRLETGLIIPQLKDILRVFGLRLSGTKAELITRIKQLIERIAIENNTTSWEALKKAIDGDVTSAVCILKYNTYQIYSAAAPIAPPSSASGNRSYSRPFAPVVHSRIRFRKSPFYDILEQFNAPFVVPACVGTRNTISFSFHVTPPALSKLLNDPKQYRVYLFSTPSETIGFGNCLMEFPTPQMELRINNQVAHANYRRLKGKPGTTNPADITDLVSKYAGPPGNNVVIYYMNSTKSYSVVVCFVKVYTIENLVDQIKSRKAESKEKIIERIKNDNQDADIIATSTDISLKCPLSFSRISLPVRSVFCKHIQCFDASAFLEMNKQTPSWMCPVCASHIQFSDLIIDGFMQHILESTPSNSETITVDPEGNWKLNTFDEPVESSEDEFVPKEKVIELSDGEGISTMANKSNDQPTRRASTHNSGPPAKRKRESLVIDLTISDDDENVATSTTESPSNATKENSLSRNVQSPNIDTAISNRSTNVRHGHPGFKDYTVENSPASRERSTSESAQSSVHMGYAGEGGLLSGALRAPSQQNNNNSNTQHSINLHTIVPSPYEPPLSVTPSTAITNLSIPESNRTNSSASSKSFTMNDLILPPLHLKNTTQTNNAHEDAQSSNLSQNHSLFYERIPQRPSYRIEKQNKGIYEDENEQSISAMPIPRAHPQLPKNLLSQTAGPLWDEQQDAQVDWNSELQSNNSYHNSGFEGTGNTFQSID", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDHITVPKVENVKLVDRYVSKKPANGILYLTATHLIYVEASGAARKETWIALHHIATVEKLPITSLGCPLTLRCKNFRVAHFVLDSDLVCHEVYISLLKLSQPALPEDLYAFSYNPKSSKEMRESGWKLIDPISDFGRMGIPNRNWTITDANRNYEICSTYPPEIVVPKSVTLGTVVGSSKFRSKERVPVLSYLYKENNAAICRCSQPLSGFYTRCVDDELLLEAISQTNPGSQFMYVVDTRPKLNAMANRAAGKGYENEDNYANIRFRFMGIENIHVMRSSLQKLLEVCELKTPTMSEFLSGLESSGWLRHIKAIMDAGIFITKAVKVEKASVLVHCSDGWDRTAQVCSVASILLDPFYRTFKGLMILIEKEWISMGHKFSQRCGHLDGDSKEVSPIFTQFLDCIWQLMEQFPCAFEFNENFLLEIHDHVFSCQFGNFLGNCQKDREDLRVYEKTHSVWPFLVQRKPDFRNPLYKGFTMYGVLNPSTVPYNIQFWCGMYNRFDKGLQPKQSMLESLLEIKKQRAMLETDVHELEKKLKVRDEPPEEICTCSQLGNILSQHLGSPLTNPLGFMGINGDLNTLMENGTLSREGGLRAQMDQVKSQGADLHHNCCEIVGSLRAINISGDVGISEAMGISGDMCTFEATGFSKDLGICGAMDISEATGISGNLGISEARGFSGDMGILGDTGISKASTKEADYSKHQ", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTMDGKNKEEEQYLDLCKRIIDEGEFRPDRTGTGTLSLFAPPQLRFSLRDDTFPLLTTKKVFTRGIILELLWFLAGDTDANLLSEQGVKIWDGNGSREYLDKMGFKDRKVGDLGPVYGFQWRHFGAKYKTCDDDYTGQGIDQLKQVIHKLKTNPYDRRIIMSAWNPADFDKMALPPCHIFSQFYVSFPKEGEGSGKPRLSCLLYQRSCDMGLGVPFNIASYALLTRMIAKVVDMEPGEFIHTLGDAHVYKDHIDALKEQITRNPRPFPKLKIKRDVKDIDDFKLTDFEIEDYNPHPRIQMKMSV", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MATFNPGASSSAADVLSATTATATFLVPTTAAVSHPGAHTAHLQLDQFGGFSAATATPLQHHHHQQTNSSYTFVQIKREPCQVSEISSNNCHQQQQQHHQHHQHQVQHQGLSSASMTASSKTMSSSTLTTLVKIEAPSPKVSELEKSTGNSVPIGIAVARKRPQEALVPAINTPATLPLQPPLNKDLNCFGIRVADLGATSCGNLYFTGNGDLMTTGTATAEELALSAAGVNRAPSTFWQYPNALPIESVISMSPATVGLQYSREASRGQVVLLPAGPTALDPFQQAAAAAAFVWPSAYIPQAPAPGGHSAAAAAAAASLQPTPNLSSLPNFIFPSMGGHQALSTTPSYASTLQLYLAAAATATGSSTMCQHSNQQTSTTTTNSTINLSLAAGSGVTQSGNAASSLSSSSSLSASSSRFLSLATGQTGIPSLLSLPPPTGLKEEYPMPLALPPLVPLEAARDKEQALNLMRLPTPPTSATMEPSSLGHATPHHLFQSGAMATPTPALLNLSMHGNGGELPAATPLPAVSDEAALNYKLHAPLTPQTPPRLADIPVSGSTQLLPQMQDVNIQTDTPVCSEDESFPGSAKPQDPAAEAFPPPTHVQPLELTKPSEASHTQPTECHTQTEPSDIPSASQEESAEQTPPEPIETMTQATQADQTSPEDLTGLELLSNISTNSKPLVRVKQEPVEHIEQPAPQPQPVNIMPSEPTLPMPPMLEMEPTSEREPLGGLKLLCALAEQRIQEEVVQGSSLFATPSSRTPTPTSLALGTTAATPPIFEAKSCYAFGQSQGSVFPSSTSSFQMPLSSPGFPSMQGIELPTTSAGIVAELTPVKRKKHKHSKSSGSDSRKSARCSKKSKKKRHHSSSRQQFSAPAEEDLDLQDDQLQSELRNALHAVDPSYAQRFGQEVFSIMDNSMRMRLAKITRQYRKKKRKLDEISKHKKKKKCSKQQLELQQQQASQQAVQPSSALQQPQMTLSSVLGTSSPLRDYKFPKFSSSNLQASSFLRFPDKTHSFPPPPSLQQSQPEPNPLPSSSSPSTSSFVRLEPSALDAAVAIAPTTSSTSTSGSPSTKQVASARKQRKMKASTVGAAGEQTAATEAKRRFSAIDRELQLTSEHLYRDETRVLTDMGGLFYAGVMKPLRPPDVYSITLDGERGNKSHVMSREDILKDTILEVAPKSVESVPVGTRLCAYWSQQYRCLYPGRAIDSEQVVDGTASSATNAATTAPDFVSVEFDDGDSGRIRLQNIRMLLSDYPIAEYNDNPLYSVGKQKRSALRGGESGPGGVTQDHLTVPGCEDSHSHHSLGMSSDNTTSLAATMELFTQRSEKKRLKKSLKKMSKAQNGVSPATATNGGADAAALGDGVSAEDAARKHHKHKKRKKHKKHHRKNGSEEQEQQVVQQDYSAAGQEATEAPSTEMSSATSSRVKVEVKVKTEQLEMEEEESASNLMSEISDEAKGDDLVEHNNSKGSSKIAAFLPERQLWGWYGTAYRKAGVKGRARKQFYKTIKRGKETITVGDSAVFLSTGRPDRPYIGRIESMWETTTGNKVVRVAWFYHPEETTGCPKLKFPGALFESPHEDENDVQTISHRCEVLQFGSYFEKFGADSKQYQSIYDNNDTYYLAGHYNPRLQVLKLQDDIPTLEELQDTNTTTTTTETTTED", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MERARDRLHLRRTTEQHVPEVEVQVKRRRTASLSNQECQLYPRRSQQQQVPVVDFQAELRQAFLAETPRGG", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPGKEAAVNVMQSSTKSNTKKMVQARLPFKRLNPVPKDEGCLEEKKVRITKNVSPQKMLHSLNSSMEDMENDCDMETETVPIPKAVNGKGPLDNYIRKAPKVSHAPSITTIDLTEESNISISNDCPLNGESETHLANGTLALEESTPNLPLSAKEECTVSLENKTVENTHFSELKSDQLHQAAATSTSASNFSPERVVKEDCNSSADDDSASVSSSSSPVSLSSPDAQTGSQFRNRSSPSTSTTPTGKVTANKTSADKNKTKDKDKQRQAEKEERERAKKEARSAKKKKRQGLLKNLQRKRGKTSESSGKEYKKEKKEREDKEKAEKMKLKEEKKREKLEALEAKQEEKRKKDEEKRQKEEEKRQKEEEKRLKEEEKRVKAEKAEITRFFQKPKTPQAPKTFSRSCGKFAPFEIKKGMALAPLCRIDFEPEASEELDRFLQEQNSKIYFFDEIKKRKPRKMGQTTVPTVNSFEVDDVQVLGESDPVLGSNMLEGHIKDIGVPERKKFGRMKLLQFCENHRPAYWGTCNRRSRVINSRKPWAQDTGMLDYEVDSDEEWEEEEPGESLSHSEGENDDDPKEDDEDDDGFFVPHGYLSDDEGVSDEECTDPENQKFRQKLKAKEWYELQTNGKKIRAMQPVVIGCVWWDSKASEISLLQKFSACILESPAVDEELAQEISSAQSLKDRQILSKLVPLLHGNVNGSKIMIQEFQEYCRRGLFLEDNASDAAGNESTSPNVTPQTPSNIIVPSKARLKRLISENSVYEKRPDHRMCWYVHSDVLKGLQQDNLPVPCQWTYITQVNSVAKEDNGANGGSLQSLPLSGKRKSAGSMPITKFMKRAKDLETAINTDMDGFQADNEEDDDDCMILEDQQAKDAEDSTIECKINLNDSAVLASCQN", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSEEDNRLNELFANDLGVQPPCERSLKEGRRFLNDFEIAAKKKLLELERKALEDKEKNLNFVVESERTLFNSKKRAFDNDECYNDRCKLFRGIVNEWGRSERTLDSLDEPPAWFRREMGEWKKAREEDKAEWKKAREEDKAEWKKAREEDKAEWKKAREEDKAEWKKAREEDKAEWKKAREEDKEWRNSMDEWRKSMDEWRKSMDEWRKSMDEWRKSTDEWRKSTDERLENLLNIVREILDVQRDMRNDLSNLTRKVDRMDMRLSRNNNMIMRSFAQPITEVPFFNGDIPDPNLPRITRIEDIDSLSEENCTRYLKGYGVSYDENDQSLWKRQLAKAVGLTAAYDESYTFSPFSSSE", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MMMMSGRPSGGAGGGRYPFTASQWQELEHQALIYKYMASGTPIPSDLILPLRRSFLLDSALATSPSLAFPPQPSLGWGCFGMGFGRKAEDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEMSLATPPPPSSSATSAASNSSAGVAPTTTTTSSPAPSYSRPAPHDAAPYQALYGGPYAAATARTPAAAAYHAQVSPFHLHIDTTHPHPPPSYYSMDHKEYAYGHATKEVHGEHAFFSDGTEREHHHAAAGHGQWQFKQLGMEPKQSTTPLFPGAGYGHTAASPYAIDLSKEDDDEKERRQQQQQQQQHCFLLGADLRLEKPAGHDHAAAAQKPLRHFFDEWPHEKNSKGSWMGLEGETQLSMSIPMAANDLPITTTSRYHNDE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MQMKPRQDKKNQEIFRISCQRCRQRKIKCDRLHPCFQCVKSNSQCFYPEDPIRRRAPKEYVEALERQIAFFEAFVKKLAKVGSDEQSLMIQDMNNKIVNEGNEYDQTPDISARKRRKHFRMLPQNNFRYFQFYGTTNVISASNLTTTSEIPTFKFPIFSKRKYNDTENLYEQPFHLEFDTCQELLSLFFLKQYHNFMFVFRDYFIRDFELGGGPYYSQWLLFAICSIGAMISPDDDLKNLSNTLANIAEKWVLDEGLNSPDITTLQTLLVLGIREIGRGLTFKGWLFSGMAFRLVYDMGLHLDPDHWDHSEESRIDREVRRRCFWGCFTLDKLISLCYGRPPGLYLKQTDVRNTTQLPYISELDEPFEIFNKKSELFAAVSAGEDRRGLVQFWLNQVELCKIIHRMLTEVFEDRTSSVLEASINNIHTELQKWIADIPMELQWNTRSQKETSSTVLLLHMLYHSVIIILNRPSDDNYLKLDNTERYTFEICWKSAKTIVQLLKIYFKKYDADCLPMTFIHIATSAARIILVKLNENIPEDGDVCNIYLEIITNALDVCANVWPLASQASRAILNAYKSCATSPKENNEDSLPLQRSPSLDDVSRFDSLDYIFSPNVKY", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRAHPGGGRCCPEQEEGESAAGGSGAGGDSAIEQGGQGSALAPSPVSGVRREGARGGGRGRGRWKQAGRGGGVCGRGRGRGRGRGRGRGRGRGRGRPPSGGSGLGGDGGGCGGGGSGGGGAPRREPVPFPSGSAGPGPRGPRATESGKRMDCPALPPGWKKEEVIRKSGLSAGKSDVYYFSPSGKKFRSKPQLARYLGNTVDLSSFDFRTGKMMPSKLQKNKQRLRNDPLNQNKGKPDLNTTLPIRQTASIFKQPVTKVTNHPSNKVKSDPQRMNEQPRQLFWEKRLQGLSASDVTEQIIKTMELPKGLQGVGPGSNDETLLSAVASALHTSSAPITGQVSAAVEKNPAVWLNTSQPLCKAFIVTDEDIRKQEERVQQVRKKLEEALMADILSRAADTEEMDIEMDSGDEA", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQVIGRGGANILIDYGDPTWLWRCCIRWPDLLSSNNSYTIKNISYIKDYVEPLLHGLLCPMYLIDVDIEAIRPILSDFILNLDDKVVKVIKIKNLTNNTSNLILNNHFLKSYCSQNLQTVILELKPKWLYYDTDYCRNCTHNAFKGRGTKYCYNQLLMNPAHLELIFGECNIFPVKFKDAMHEYLRNDNNIFKILYDLQKKLTKNTTPISDIKSINDVNDEHLLLMTLRDVTCFIEWNSAENALHVNIIDVDLKPKEKWTHWTKTYSQLTSSQKIYHTSNK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEPAQKKLRQESKNPYLAHLNNGDDSEEVVSSKGLTRRATTVAQAAKAEEGPNNFFNDKPFSQNYFKILETRRELPVYQQREEFLKIYHENQIIVFVGETGSGKTTQIPQFVLYDELPHLTNTQIACTQPRRVAAMSVAKRVADEMDVDLGEEVGYNIRFEDCSGPNTLLKYMTDGMLLREAMTDHMLSRYSCIILDEAHERTLATDILMGLMKRLATRRPDLKIIVMSATLDAKKFQKYFFDAPLLAVPGRTYPVEIYYTQEPERDYLEAALRTVLQIHVEEGPGDILVFLTGEEEIEDACRKITLEADDLVREGAAGPLKVYPLYGSLPPNQQQRIFEPTPEDTKSGYGRKVVISTNIAETSLTIDGIVYVVDPGFSKQKIYNPRIRVESLLVSPISKASAQQRAGRAGRTRPGKCFRLYTEEAFRKELIEQTYPEILRSNLSSTVLELKKLGIDDLVHFDYMDPPAPETMMRALEELNYLNCLDDNGDLTPLGRKASEFPLDPNLAVMLIRSPEFYCSNEVLSLTALLSVPNVFVRPNSARKLADEMRQQFTHPDGDHLTLLNVYHAYKSGEGTADWCWNHFLSHRALISADNVRKQLRRTMERQEVELISTPFDDKNYYVNIRRALVSGFFMQVAKKSANGKNYVTMKDNQVVSLHPSCGLSVTPEWVVYNEFVLTTKSFIRNVTAIRPEWLIELAPNYYDLDDFDNNKEVKSALQKVYQMAARSKKNARR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAKRLLESSQNDQANRKNSKIEKKEVSFYEEEETDDSFDSFYQDEEDDLSDIDWEEVSLDGSLTVTFGNIRRDREKVSKYKRKHNKKAFNYQRLKYGLHLIMIPFMLFLLKSRMKWIDDERLNRRLRRSVPKLIGKKFKDWDVRDPAFKMDSLRTLLLGLVLWFRSNYKMNSNGIRQNFNRLQYLIKYADNQNENSISESTYKKVLENQQEFYGNRPLINHGVEDIRKMAKRKMANRDILTLFFFIILENVLPGPKKLYLCFALPLHDYDIRCNKVKWQIEHGIGKVPNRFDSDLIQPYFWIELEVPTLSDGELYIIDPIAHLGEREMVLKTREDQFVPTYQPSVDMKYNLNQKFHYVVRINHAEKVLQDVSPRYVPNVCYRYFELSESSPILKSKHYTSYQYLSKWLKVLNKKKASVHHYAIMKKIALTNFTLPKSVTEIKRTDNFVIPSLLKSNEVLKACAKQAATFTKGDNSQEPIFWRRDVIQLKSKQHWAILGRSILPNAQPLKRKKYLPMRERMVRNLDKYVIKELFSYEQTMKSPKYPSTYCDHLGQEHVITDLSHYKNKFGNIEIYSKETKPDGFELIPLSKEVDIKCLIKEYNKGKRKMQKIKYLDVVSGFDFKQKKGHAIPKIESILVKETDYKAVQLLKQQTKVLLGLSFWDILLRKLRVNDRLNAEYGNVGNNEETLDDH", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSKSRRAGTATPQPGNTSTPRPPSAGPQPPPPSTHSQTASSPLSPTRHSRVAEKVELQNLNDRLATYIDRVRNLETENSRLTIEVQTTRDTVTRETTNIKNIFEAELLETRRLLDDTARDRARAEIDIKRLWEENEELKNKLDKKTKECTTAEGNVRMYESRANELNNKYNQANADRKKLNEDLNEALKELERLRKQFEETRKNLEQETLSRVDLENTIQSLREELSFKDQIHSQEINESRRIKQTEYSEIDGRLSSEYDAKLKQSLQELRAQYEEQMQINRDEIQSLYEDKIQRLQEAAARTSNSTHKSIEELRSTRVRIDALNANINELEQANADLNARIRDLERQLDNDRERHGQEIDLLEKELIRLREEMTQQLKEYQDLMDIKVSLDLEIAAYDKLLVGEEARLNITPATNTATVQSFSQSLRNSTRATPSRRTPSAAVKRKRAVVDESEDHSVADYYVSASAKGNVEIKEIDPEGKFVRLFNKGSEEVAIGGWQLQRLINEKGPSTTYKFHRSVRIEPNGVITVWSADTKASHEPPSSLVMKSQKWVSADNTRTILLNSEGEAVANLDRIKRIVSQHTSSSRLSRRRSVTAVDGNEQLYHQQGDPQQSNEKCAIM", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSVNPKAFPLADSGLTQQILDLVQQASHYKQLRKGANEATKTLNRGISEFIVMAADTEPIEILLHLPLLCEDKNVPYVFVPSKAALGRACGVSRPVISASITTNEASDLLPQIQAIKLAIEKLLI", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPSLRDLSLERDQELNQLRARINQLGKTGKEEANDFVGLNISNEPVYDTVIQTGQSSNATNSFVQETIQKTKQKESGQPYIIPQKNEHQRYIDKVCETSDLKAKLAPIMEVLEKKTNEKIKGIIRKRVLQEPDRDNDDSG", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDFFNLNNNNNNNNTTTTTTTTNNNNTNNNNTNNNNNPANNTNNNNSTGHSSNTNNNTNNNNTNTGASGVDDFQNFFDPKPFDQNLDSNNNNSNSNNNDNNNSNTVASSTNFTSPTAVVNNAAPANVTGGKAANFIQNQSPQFNSPYDSNNSNTNLNSLSPQAILAKNSIIDSSNLPLQAQQQLYGGNNNNNSTGIANDNVITPHFITNVQSISQNSSSSTPNTNSNSTPNANQQFLPFNNSASNNGNLTSNQLISNYAASNSMDRSSSASNEFVPNTSDNNNNSNNHNMRNNSNNKTSNNNNVTAVPAATPANTNNSTSNANTVFSERAAMFAALQQKQQQRFQALQQQQQQQQNQQQQNQQPQQQQQQQQNPKFLQSQRQQQQRSILQSLNPALQEKISTELNNKQYELFMKSLIENCKKRNMPLQSIPEIGNRKINLFYLYMLVQKFGGADQVTRTQQWSMVAQRLQISDYQQLESIYFRILLPYERHMISQEGIKETQAKRIFLQQFLQELLKKVQQQQQAAALANANNNINSASSAPTPAAPGASVPATAAPGTEAGIVPVSANTPKSLNSNININVNNNNIGQQQVKKPRKQRVKKKTKKELELERKEREDFQKRQQKLLEDQQRQQKLLLETKLRQQYEIELKKLPKVYKRSIVRNYKPLINRLKHYNGYDINYISKIGEKIDSNKPIFLFAPELGAINLHALSMSLQSKNLGEINTALNTLLVTSADSNLKISLVKYPELLDSLAILGMNLLSNLSQNVVPYHRNTSDYYYEDAGSNQYYVTQHDKMVDKIFEKVNNNATLTPNDSNDEKVTILVDSLTGNQLPTPTPTEMEPDLDTECFISMQSTSPAVKQWDLLPEPIRFLPNQFPLKIHRTPYLTSLKKIKDEIDDPFTKINTRGAEDPKVLINDQLSTISMILRNISFSDNNSRIMSRNFYLKRFISDLLWLVLIHPENFTCNRKILNFKKDLVIVLSNISHLLEIASSIDCLLILILVISFGQPKLNPMASSSSFGSESLTFNEFQLQWGKYQTFGVDILAKLFSLEKPNLNYFKSILLNKNTGNNLYDRNSNNNHKDKKLLRRLLNLYNDNNKNNNNRHNLLNDVVSFLFSAIPLQQVLSQSADPSLLIDQFSPVISQSLTSILVIVQKILPLSNEVFEISENNSDSNSNNNGNKDSSFNFNKNLPFVWLSSEENIGSGLLKLSEIILNINNSTSKNTLLQQQNYSKVLLPSINISCVQLIKCLVEKSICFENCLNNDPEILKKIASIPNLFPTDLEIFQLFTNPSVDIQIINQYQLLYNLKNDILTNLE", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MERDFLGLGSKNSPITVKEETSESSRDSAPNRGMNWSFSNKVSASSSQFLSFRPTQEDRHRKSGNYHLPHSGSFMPSSVADVYDSTRKAPYSSVQGVRMFPNSNQHEETNAVSMSMPGFQSHHYAPGGRSFMNNNNNSQPLVGVPIMAPPISILPPPGSIVGTTDIRSSSKPIGSPAQLTIFYAGSVCVYDDISPEKAKAIMLLAGNGSSMPQVFSPPQTHQQVVHHTRASVDSSAMPPSFMPTISYLSPEAGSSTNGLGATKATRGLTSTYHNNQANGSNINCPVPVSCSTNVMAPTVALPLARKASLARFLEKRKERVTSVSPYCLDKKSSTDCRRSMSECISSSLSSAT", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAPLLGRKPFPLVKPLPGEEPLFTIPHTQEAFRTREEYEARLERYSERIWTCKSTGSSQLTHKEAWEEEQEVAELLKEEFPAWYEKLVLEMVHHNTASLEKLVDTAWLEIMTKYAVGEECDFEVGKEKMLKVKIVKIHPLEKVDEEATEKKSDGACDSPSSDKENSSQIAQDHQKKETVVKEDEGRRESINDRARRSPRKLPTSLKKGERKWAPPKFLPHKYDVKLQNEDKIISNVPADSLIRTERPPNKEIVRYFIRHNALRAGTGENAPWVVEDELVKKYSLPSKFSDFLLDPYKYMTLNPSTKRKNTGSPDRKPSKKSKTDNSSLSSPLNPKLWCHVHLKKSLSGSPLKVKNSKNSKSPEEHLEEMMKMMSPNKLHTNFHIPKKGPPAKKPGKHSDKPLKAKGRSKGILNGQKSTGNSKSPKKGLKTPKTKMKQMTLLDMAKGTQKMTRAPRNSGGTPRTSSKPHKHLPPAALHLIAYYKENKDREDKRSALSCVISKTARLLSSEDRARLPEELRSLVQKRYELLEHKKRWASMSEEQRKEYLKKKREELKKKLKEKAKERREKEMLERLEKQKRYEDQELTGKNLPAFRLVDTPEGLPNTLFGDVAMVVEFLSCYSGLLLPDAQYPITAVSLMEALSADKGGFLYLNRVLVILLQTLLQDEIAEDYGELGMKLSEIPLTLHSVSELVRLCLRRSDVQEESEGSDTDDNKDSAAFEDNEVQDEFLEKLETSEFFELTSEEKLQILTALCHRILMTYSVQDHMETRQQMSAELWKERLAVLKEENDKKRAEKQKRKEMEAKNKENGKVENGLGKTDRKKEIVKFEPQVDTEAEDMISAVKSRRLLAIQAKKEREIQEREMKVKLERQAEEERIRKHKAAAEKAFQEGIAKAKLVMRRTPIGTDRNHNRYWLFSDEVPGLFIEKGWVHDSIDYRFNHHCKDHTVSGDEDYCPRSKKANLGKNASMNTQHGTATEVAVETTTPKQGQNLWFLCDSQKELDELLNCLHPQGIRESQLKERLEKRYQDIIHSIHLARKPNLGLKSCDGNQELLNFLRSDLIEVATRLQKGGLGYVEETSEFEARVISLEKLKDFGECVIALQASVIKKFLQGFMAPKQKRRKLQSEDSAKTEEVDEEKKMVEEAKVASALEKWKTAIREAQTFSRMHVLLGMLDACIKWDMSAENARCKVCRKKGEDDKLILCDECNKAFHLFCLRPALYEVPDGEWQCPACQPATARRNSRGRNYTEESASEDSEDDESDEEEEEEEEEEEEEDYEVAGLRLRPRKTIRGKHSVIPPAARSGRRPGKKPHSTRRSQPKAPPVDDAEVDELVLQTKRSSRRQSLELQKCEEILHKIVKYRFSWPFREPVTRDEAEDYYDVITHPMDFQTVQNKCSCGSYRSVQEFLTDMKQVFTNAEVYNCRGSHVLSCMVKTEQCLVALLHKHLPGHPYVRRKRKKFPDRLAEDEGDSEPEAVGQSRGRRQKK", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDQNKSTQATGNGKKTEEINELLESFIKEGPKLLWGSTNLKYEDQISRSSEHELQQYRELFTRLKFSYIEQGTKERYLRAILDDPPMLVEAEDNEKLETTNSSLKGRLKSEKREVDLLTEELKTTSRELSSNYESVMEECKNTKSTLSKLESLESELLKLQQDSSTKTPILPEVEAAIHDLESELNITNESIETIDGKIDNDEKYFIQLTKNLSLLEKEYKIASERSNQIKAAIHTRTPDADAKKQVQNWYTSMLEIYDQLLQK", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTNEEPLPKKVRLSETDFKVMARDELILRWKQYEAYVQALEGKYTDLNSNDVTGLRESEEKLKQQQQESARRENILVMRLATKEQEMQECTTQIQYLKQVQQPSVAQLRSTMVDPAINLFFLKMKGELEQTKDKLEQAQNELSAWKFTPDSQTGKKLMAKCRMLIQENQELGRQLSQGRIAQLEAELALQKKYSEELKSSQDELNDFIIQLDEEVEGMQSTILVLQQQLKETRQQLAQYQQQQSQASAPSTSRTTASEPVEQSEATSKDCSRLTNGPSNGSSSRQRTSGSGFHREGNTTEDDFPSSPGNGNKSSNSSEERTGRGGSGYVNQLSAGYESVDSPTGSENSLTHQSNDTDSSHDPQEEKAVSGKGNRTVGSRHVQNGLDSSVNVQGSVL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAPQVWRRRTLERCLTEVGKATGRPECFLTIQEGLASKFTSLTKVLYDFNKILENGRIHGSPLQKLVIENFDDEQIWQQLELQNEPILQYFQNAVSETINDEDISLLPESEEQEREEDGSEIEADDKEDLEDLEEEEVSDMGNDDPEMGERAENSSKSDLRKSPVFSDEDSDLDFDISKLEQQSKVQNKGQGKPREKSIVDDKFFKLSEMEAYLENIEKEEERKDDNDEEEEDIDFFEDIDSDEDEGGLFGSKKLKSGKSSRNLKYKDFFDPVESDEDITNVHDDELDSNKEDDEIAEEEAEELSISETDEDDDLQENEDNKQHKESLKRVTFALPDDAETEDTGVLNVKKNSDEVKSSFEKRQEKMNEKIASLEKELLEKKPWQLQGEVTAQKRPENSLLEETLHFDHAVRMAPVITEETTLQLEDIIKQRIRDQAWDDVVRKEKPKEDAYEYKKRLTLDHEKSKLSLAEIYEQEYIKLNQQKTAEEENPEHVEIQKMMDSLFLKLDALSNFHFIPKPPVPEIKVVSNLPAITMEEVAPVSVSDAALLAPEEIKEKNKAGDIKTAAEKTATDKKRERRKKKYQKRMKIKEKEKRRKLLEKSSVDQAGKYSKTVASEKLKQLTKTGKASFIKDEGKDKALKSSQAFFSKLQDQVKMQINDAKKTEKKKKKRQDISVHKLKL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVTKPSHNLRREHKWLKETATLQEDKDFVFQAIQKHIANKRPKTNSPPTTPSKDECGPGTTNFITSIPASGPTNTATKQHEVMQTLSNDTEWLSYTATSNQYADVPMVDIPASTSVVSNPRTPNGSKTHNFNTFRPHMASSLVENDSSRNLGSRNNNKSVIDNSSIGKQLENDIKLEVIRLQGSLIMALKEQSKLLLQKCSIIESTSLSEDAKRLQLSRDIRPQLSNMSIRIDSLEKEIIKAKKDGMSKDQSKGRSQVSSQDDNIISSILPSPLEYNTSSRNSNLTSTTATTVTKALAITGAKQNITNNTGKNSNNDSNNDDLIQVLDDEDDIDCDPPVILKEGAPHSPAFPHLHMTSEEQDELTRRRNMRSREPVNYRIPDRDDPFDYVMGKSLRDDYPDVEREEDELTMEAEDDAHSSYMTTRDEEKEENELLNQSDFDFVVNDDLDPTQDTDYHDNMDVSANIQESSQEGDTRSTITLSQNKNVQVILSSPTAQSVPSNGQNQIGVEHIDLLEDDLEKDAILDDSMSFSFGRQHMPMSHSDLELIDSEKENEDFEEDNNNNGIEYLSDSDLERFDEERENRTQVADIQELDNDLKIITERKLTGDNEHPPPSWSPKIKREKSSVSQKDEEDDFDDDFSLSDIVSKSNLSSKTNGPTYPWSDEVLYRLHEVFKLPGFRPNQLEAVNATLQGKDVFVLMPTGGGKSLCYQLPAVVKSGKTHGTTIVISPLISLMQDQVEHLLNKNIKASMFSSRGTAEQRRQTFNLFINGLLDLVYISPEMISASEQCKRAISRLYADGKLARIVVDEAHCVSNWGHDFRPDYKELKFFKREYPDIPMIALTATASEQVRMDIIHNLELKEPVFLKQSFNRTNLYYEVNKKTKNTIFEICDAVKSRFKNQTGIIYCHSKKSCEQTSAQMQRNGIKCAYYHAGMEPDERLSVQKAWQADEIQVICATVAFGMGIDKPDVRFVYHFTVPRTLEGYYQETGRAGRDGNYSYCITYFSFRDIRTMQTMIQKDKNLDRENKEKHLNKLQQVMAYCDNVTDCRRKLVLSYFNEDFDSKLCHKNCDNCRNSANVINEERDVTEPAKKIVKLVESIQNERVTIIYCQDVFKGSRSSKIVQANHDTLEEHGIGKSMQKSEIERIFFHLITIRVLQEYSIMNNSGFASSYVKVGPNAKKLLTGKMEIKMQFTISAPNSRPSTSSSFQANEDNIPVIAQKSTTIGGNVAANPPRFISAKEHLRSYTYGGSTMGSSHPITLKNTSDLRSTQELNNLRMTYERLRELSLNLGNRMVPPVGNFMPDSILKKMAAILPMNDSAFATLGTVEDKYRRRFKYFKATIADLSKKRSSEDHEKYDTILNDEFVNRAAASSNGIAQSTGTKSKFFGANLNEAKENEQIINQIRQSQLPKNTTSSKSGTRSISKSSKKSANGRRGFRNYRGHYRGRK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAEEAKVDVKTSAKKDIRNYLCQYCGISRSKNYLITKHIQSHHQMELEEERDDEACEVDEESSSNHTCQECGAEFKKPAHLKQHMQSHSLERSFTCYVDDCAASYRRKDHLNRHLLTHKGKLFKCPKENCKSEFSVQGNVGRHVKKYHSNDNRDKDNTGLGDGDKDNTCKGDDDKEKSGSGGCEKENEGNGGSGKDNNGNGDSQPAECSTGQKQVVCKEIGCGKAFKYPSQLQKHQDSHVKLDSVEAFCSEPGCMKYFTNEECLKSHIRSCHQHINCEICGSKHLKKNIKRHLRTHDEDSSPGEIKCEVEGCSSTFSKASNLQKHMKAVHDDIRPFVCGFPGCGMRFAYKHVRNKHENSGYHVYTCGDFVETDEDFTSRPRGGLKRKQVTAEMLVRKRVMPPRFDAEEHETC", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSDLYIHELGDYLSDEFHGNDDGIVPDSAYEDGGQFPILVSNRKKRRNDDMGSGTNHLKSNTFIKREANMLGKNPWPEKDSGGSSVSRDTGTGKDVQDMTLEDTNTSDHGFNGGHVDVVENFSTGDPMLCDTSAATNDGVYNYSLNSIPDAENDLSFFDNGDKEKNDLFYGWGDIGNFEDVDNMLRSCDSTFGLDSLNNEGDLGWFSSAQPNEETAGAMTDDLKPDKMLENQRTAMLQVEDFLNNSEPNHAVEDEYGYTIEDDSAQGKSSQNVFDTSLQKKDILMLDVEANLEKKQTDHLHHLDGKSDGFSENSFTLQHSGISREIMDTNQYYPPSAFQQRDVPYSHFNCEQPSVQVSACESKSGIKSENKPSPSSASNESYTSNHAQSIESLQGPTVDDRFRKVFETRANLLPGQDMPPSFAANTKKSSKTDSMVFPDAAPIQKIGLENDHRKAATELETSNMQGSSCVSSVVDDISLEATSFRQLQQVIEQLDVRTKLCIRDSLYRLAKSAEQRHHGGNRPEKGAGSHLVTGEADKYAGFMDIETDTNPIDRSIAHLLFHRPSDSSLSSDNNVLSYKSHPMIPQPNSSPSLRIEKQEETTELRPEAEVVTSDNN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGKSAVIFVERATPATLTELKDALSNSILSVRDPWSIDFRTYRCSIKNLPADVSKLMYSITFHHHGRQTVLIKDNSAMVTTAAAADIPPALVFNGSSTGVPESIDTILSSKLSNIWMQRQLIKGDAGETLILDGLTVRLVNLFSSTGFKGLLIELQADEAGEFETKIAGIEGHLAEIRAKEYKTSSDSLGPDTSNEICDLAYQYVRALEL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVRPPCCDKGGVKKGPWTPEEDIILVTYIQEHGPGNWRAVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTEHEEKMIVHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLNKVNQDSHQELDRSSLSSSPSSSSANSNSNISRGQWERRLQTDIHLAKKALSEALSPAVAPIITSTVTTTSSSAESRRSTSSASGFLRTQETSTTYASSTENIAKLLKGWVKNSPKTQNSADQIASTEVKEVIKSDDGKECAGAFQSFSEFDHSYQQAGVSPDHETKPDITGCCSNQSQWSLFEKWLFEDSGGQIGDILLDENTNFF", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPKFKGPGLSVLKKKIRDNERLLKKENLPANIRVEHERALLGLQEQLSMAQLEHKKQKIFERYKKVRFFERKKAERRIKQLEKSLKDETMDDEKRKQCEKSMRKCQIDLMYIKEYPPLTKYVSLYAEGTSEQTEETRNRIWAEMEERFNSGRKHKIPSSGSNRVPVQEKSSTGGDLEDEFLQR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGLDDSCNTGLVLGLGLSPTPNNYNHAIKKSSSTVDHRFIRLDPSLTLSLSGESYKIKTGAGAGDQICRQTSSHSGISSFSSGRVKREREISGGDGEEEAEETTERVVCSRVSDDHDDEEGVSARKKLRLTKQQSALLEDNFKLHSTLNPKQKQALARQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLQKELQDLKALKLSQPFYMHMPAATLTMCPSCERLGGGGVGGDTTAVDEETAKGAFSIVTKPRFYNPFTNPSAAC", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAVSHSVKERTISENSLIILLQGLQGRVTTVDLRDESVAHGRIDNVDAFMNIRLAKVTYTDRWGHQVKLDDLFVTGRNVRYVHIPDDVNITSTIEQQLQIIHRVRNFGGKGQGRWEFPPKNCK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MADNDGAVSNGIIVEQTSNKGPLNAVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTASLSTSSPFTLGKRVVRAEEGESGGGGGGGLTVGHTMGTSLMGGGGSGGFWAVPARPDFGQVWSFATGAPPEMVFAQQQQPATLFVRHQQQQQASAAAAAAMGEASAARVGNYLPGHHLNLLASLSGGANGSGRREDDHEPR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEVEKSKYRSEDLDVVEEEADLKKSRRDRDRSNERKKDKGSEKRREKDRRKKRVKSSDSEDDYDRDDDEEREKRKEKERERRRRDKDRVKRRSERRKSSDSEDDVEEEDERDKRRVNEKERGHREHERDRGKDRKRDREREERKDKEREREKDRERREREREEREKERVKERERREREDGERDRREREKERGSRRNRERERSREVGNEESDDDVKRDLKRRRKEGGERKEKEREKSVGRSSRHEDSPKRKSVEDNGEKKEKKTREEELEDEQKKLDEEVEKRRRRVQEWQELKRKKEEAESESKGDADGNEPKAGKAWTLEGESDDEEGHPEEKSETEMDVDEETKPENDGDAKMVDLENETAATVSESGGDGAVDEEEIDPLDAFMNTMVLPEVEKFCNGAPPPAVNDGTLDSKMNGKESGDRPKKGFNKALGRIIQGEDSDSDYSEPKNDDDPSLDEDDEEFMKRVKKTKAEKLSLVDHSKIEYEPFRKNFYIEVKDISRMTQEEVNTYRKELELKVHGKDVPRPIKFWHQTGLTSKILDTMKKLNYEKPMPIQTQALPIIMSGRDCIGVAKTGSGKTLGFVLPMLRHIKDQPPVEAGDGPIGLVMAPTRELVQQIHSDIRKFSKPLGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIIQNIRPERQTVLFSATFPRQVETLARKVLNKPVEIQVGGRSVVNKDITQLVEVRPESDRFLRLLELLGEWSEKGKILVFVQSQEKCDALYRDMIKSSYPCLSLHGGKDQTDRESTISDFKNDVCNLLIATSVAARGLDVKELELVVNFDAPNHYEDYVHRVGRTGRAGRKGCAVTFISEDDAKYAPDLVKALELSEQPVPDDLKALADGFMVKVKQGIEQAHGTGYGGSGFKFNEEEEEVRKAAKKAQAKEYGFEEDKSDSEDENDVVRKAGGGEISQQQATFAQIAAIAAAAKAAAAAPVSAPVTANQLLANGGGLAAMPGVLPVTVPTLPSEGAGRAAAMVAAMNLQHNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGQFYPTGRIPGPGERKLYLFIEGPSEKSVKHAKAELKRVLEDITNQAMSSLPGGASGRYSVL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAESVFSCIPEDVVFNIFFKLQDDPRNWARLACVCTKFSSIVRNVCCKTQCYSAIPTVISDLLPLPPSAAASASSSTAADSSLTPPGGWASLYKLAVCCPGLFHAGILLENSDFGLERELGPDQNLDPKPTTTDLALNDEEVSKPVGSGLETTSFWSLYDDLYTDTIPAPPPEDSIDDQEEEIETSEIRPGRDLPVRKRRKICRSLGSHLASGGWNLSREQGNKLLASRFRGDCLYICNWPGCIHVEEKRNYMLFRGVFKDFKRSRVWRTINDGNRSKTSGLKCAFCLCDETWDLHSSFCLRRVFGFHDDGEPVVRAYVCENGHVSGAWTALPLYT", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAAARATTPADGEEPAPEAEALAAARERSSRFLSGLELVKQGAEARVFRGRFQGRAAVIKHRFPKGYRHPALEARLGRRRTVQEARALLRCRRAGISAPVVFFVDYASNCLYMEEIEGSVTVRDYIQSTMETEKTPQGLSNLAKTIGQVLARMHDEDLIHGDLTTSNMLLKPPLEQLNIVLIDFGLSFISALPEDKGVDLYVLEKAFLSTHPNTETVFEAFLKSYSTSSKKARPVLKKLDEVRLRGRKRSMVG", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAPPEHAATGSEQTDPPETNSEPDLSQPEPADSDADEPVGPKIRETPEDIRLEAIANTVALHPSRDVLVCGDVDGDVYAYAYSCTEGETRELWSSGHHLKSCRQVRFSADGLKLYSVSRDKAVHQLDVERGQLVSRIRGAHAAAINSLLLVDENILATGDDRGTLKVWDMRKGEAFMDLKHHDDYISDIAVDQAKRILLTTSGDGTMGVFNIKRRRFELLSEFQSGDLTSVALMKRGKKVVCGSSEGTVYIFNWNGFGATSDRFAVKAESVDCIVPITDSIMCTASMDGYIRAINLLPNRVIGCIGQHVGEPIEEINKSWDSRFLVSCAHDQLIKFWEISNLQKTTVSDYRKRKKKDGRMKSLTKKALGDNDFFSGLVEETEKKEEEEEEEEDSDSDSD", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAGPQMGGSAEDHPPRKRHAAEKQKKKTVIYTKCFEFESATQRPIDRQRYDENEDLSDVEEIVSVRGFSLEEKLRSQLYQGDFVHAMEGKDFNYEYVQREALRVPLIFREKDGLGIKMPDPDFTVRDVKLLVGSRRLVDVMDVNTQKGTEMSMSQFVRYYETPEAQRDKLYNVISLEFSHTKLEHLVKRPTVVDLVDWVDNMWPQHLKEKQTEATNAIAEMKYPKVKKYCLMSVKGCFTDFHIDFGGTSVWYHVFRGGKIFWLIPPTLHNLALYEEWVLSGKQSDIFLGDRVERCQRIELKQGYTFFIPSGWIHAVYTPVDSLVFGGNILHSFNVPMQLRIYEIEDRTRVQPKFRYPFYYEMCWYVLERYVYCVTQRSHLTQEYQRESMLIDAPRKPSIDGFSSDSWLEMEEEACDQQPQEEEEKDEEGEGRDRAPKPPTDGSTSPTSTPSEDQEALGKKPKAPALRFLKRTLSNESEESVKSTTLAVDYPKTPTGSPATEVSAKWTHLTEFELKGLKALVEKLESLPENKKCVPEGIEDPQALLEGVKNVLKEHADDDPSLAITGVPVVTWPKKTPKNRAVGRPKGKLGPASAVKLAANRTTAGARRRRTRCRKCEACLRTECGECHFCKDMKKFGGPGRMKQSCIMRQCIAPVLPHTAVCLVCGEAGKEDTVEEEEGKFNLMLMECSICNEIIHPGCLKIKESEGVVNDELPNCWECPKCNHAGKTGKQKRGPGFKYASNLPGSLLKEQKMNRDNKEGQEPAKRRSECEEAPRRRSDEHSKKVPPDGLLRRKSDDVHLRKKRKYEKPQELSGRKRASSLQTSPGSSSHLSPRPPLGSSLSPWWRSSLTYFQQQLKPGKEDKLFRKKRRSWKNAEDRMALANKPLRRFKQEPEDELPEAPPKTRESDHSRSSSPTAGPSTEGAEGPEEKKKVKMRRKRRLPNKELSRELSKELNHEIQRTENSLANENQQPIKSEPESEGEEPKRPPGICERPHRFSKGLNGTPRELRHQLGPSLRSPPRVISRPPPSVSPPKCIQMERHVIRPPPISPPPDSLPLDDGAAHVMHREVWMAVFSYLSHQDLCVCMRVCRTWNRWCCDKRLWTRIDLNHCKSITPLMLSGIIRRQPVSLDLSWTNISKKQLSWLINRLPGLRDLVLSGCSWIAVSALCSSSCPLLRTLDVQWVEGLKDAQMRDLLSPPTDNRPGQMDNRSKLRNIVELRLAGLDITDASLRLIIRHMPLLSKLHLSYCNHVTDQSINLLTAVGTTTRDSLTEINLSDCNKVTDQCLSFFKRCGNICHIDLRYCKQVTKEGCEQFIAEMSVSVQFGQVEEKLLQKLS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGSEEDKKLTKKQLKAQQFRKSKEEKDQEKDVKKEQAPEGKRPNSAAGNDGEEPVKKKRKTRRGRGGKGKNGKKGNRFIVFVGSLPRDITAVELQNHFKNSSPDQIRLRADKGIAFLEFDADKDRTGIQRRMDIALLQHGTLLKEKKINVELTVGGGGNSQERLEKLKNKNIKLDEERKERLTKMINDGNQKKIAKTTATAAQTSGTDNKPVPAGIHPDRAKLLK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSAAAAPAAEGEDAPVPPSSEKEPEMPGPREESEEEEEDDEDDDEEDEEEEKEKSLIVEGKREKKKVERLTMQVSSLQREPFTVTQGKGQKLCEIERIHFFLSKKKPDELRNLHKLLYNRPGTVSSLKKNVGQFSGFPFEKGSTQYKKKEEMLKKFRNAMLKSICEVLDLERSGVNSELVKRILNFLMHPKPSGKPLPKSKKSSSKGSKKERNSSGTTRKSKQTKCPEILSDESSSDEDEKKNKEESSEDEEKESEEEQPPKKTSKKEKAKQKATAKSKKSVKSANVKKADSSTTKKNQKSSKKESESEDSSDDEPLIKKLKKPPTDEELKETVKKLLADANLEEVTMKQICKEVYENYPAYDLTERKDFIKTTVKELIS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEHIRTTKVEQVKLLDRFSTSNKSLTGTLYLTATHLLFIDSHQKETWILHHHIASVEKLALTTSGCPLVIQCKNFRTVHFIVPRERDCHDIYNSLLQLSKQAKYEDLYAFSYNPKQNDSERLQGWQLIDLAEEYKRMGVPNSHWQLSDANRDYKICETYPRELYVPRIASKPIIVGSSKFRSKGRFPVLSYYHQDKEAAICRCSQPLSGFSARCLEDEHLLQAISKANPVNRYMYVMDTRPKLNAMANRAAGKGYENEDNYSNIRFQFVGIENIHVMRSSLQKLLEVNGTKGLSVNDFYSGLESSGWLRHIKAVMDAAIFLAKAITVENASVLVHCSDGWDRTSQVCSLGSLLLDSYYRTIKGFMVLIEKDWISFGHKFSERCGQLDGDPKEVSPVFTQFLECVWHLTEQFPQAFEFSEAFLLQIHEHIHSCQFGNFLGNCQKEREELKLKEKTYSLWPFLLEDQKKYLNPLYSSESHRFTVLEPNTVSFNFKFWRNMYHQFDRTLHPRQSVFNIIMNMNEQNKQLEKDIKDLESKIKQRKNKQTDGILTKELLHSVHPESPNLKTSLCFKEQTLLPVNDALRTIEGSSPADNRYSEYAEEFSKSEPAVVSLEYGVARMTC", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQPGSSRCEEETPSLLWGLDPVFLAFAKLYIRDILDMKESRQVPGVFLYNGHPIKQVDVLGTVIGVRERDAFYSYGVDDSTGVINCICWKKLNTESVSAAPSAARELSLTSQLKKLQETIEQKTKIEIGDTIRVRGSIRTYREEREIHATTYYKVDDPVWNIQIARMLELPTIYRKVYDQPFHSSALEKEEALSNPGALDLPSLTSLLSEKAKEFLMENRVQSFYQQELEMVESLLSLANQPVIHSASSDQVNFKKDTTSKAIHSIFKNAIQLLQEKGLVFQKDDGFDNLYYVTREDKDLHRKIHRIIQQDCQKPNHMEKGCHFLHILACARLSIRPGLSEAVLQQVLELLEDQSDIVSTMEHYYTAF", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MMSSEEYEADCFGLYSDENNVLLKANEPETTAATKQQHQPQFQQQQQQQQQQQQHHHQHQQPPPSSNGHTSPIPSQVNGDGSAANCGESGKTNTNTGSHSHSNSGNTNTDADKEQEREKAKEKANEEESEDSDDDVVVVLEGCEGNASSSSSSSNSNSNASSNNHKAAATTTTTATTANHCNRSGGSSRSHRSARSSRQISQSTAVGKTTTCAAKKPTAAQATTTTAKNSNSNSNVNVNVNVNSNGSGNGNANSKVNRRSRQRSLSKDINNQPASSNSNSNSSNNSSNSNGGATATAAGFMSSAAAAAAGAAGGGALFQPQSVSTANSSSSNNNNSSTPAALATHSPTSNSPVSGASSASSLLTAAFGNLFGGSSAKMLNELFGRQMKQAQDATSGLPQSLDNAMLAAAMETATSAELLIGSLNSTSKLLQQQHNNNSIAPANSTPMSNGTNASISPGSAHSSSHSHQGVSPKGSRRVSACSDRSLEAAAADVAGGSPPRAASVSSLNGGASSGEQHQSQLQHDLVAHHMLRNILQGKKELMQLDQELRTAMQQQQQQLQEKEQLHSKLNNNNNNNIAATANNNNNTTMESINLIDDSEMADIKIKSEPQTAPQPQQSPHGSSHSSRSGSGSGSHSSMASDGSLRRKSSDSLDSHGAQDDAQDEEDAAPTGQRSESRAPEEPQLPTKKESVDDMLDEVELLGLHSRGSDMDSLASPSHSDMMLLDKDDVLDEDDDDDCVEQKTSGSGCLKKPGMDLKRARVENIVSGMRCSPSSGLAQAGQLQVNGCKKRKLYQPQQHAMERYVAAAAGLNFGLNLQSMMLDQEDSESNELESPQIQQKRVEKNALKSQLRSMQEQLAEMQQKYVQLCSRMEQESECQELDQDQDVEQEQEPDNGSSDHIELSPSPTLTGDGDVSPNHKEETGQERPGSSSPSPSPLKPKTSLGESSDSGANMLSQMMSKMMSGKLHNPLVGVGHPALPQGFPPLLQHMGDMSHAAAMYQQFFFEQEARMAKEAAEQQQQQQQQQQQQQQQQQQEQQRRFEQEQQEQQRRKEEQQQQIQRQQQHLQQLQQQQMEQQHVATAAPRPQMHHPAPARLPTRMGGAAGHTALKSELSEKFQMLRANNNSSMMRMSGTDLEGLADVLKSEITTSLSALVDTIVTRFVHQRRLFSKQADSVTAAAEQLNKDLLLASQILDRKSPRTKVADRPQNGPTPATQSAAAMFQAPKTPQGMNPVAAAALYNSMTGPFCLPPDQQQQQQTAQQQQSAQQQQQSSQQTQQQLEQNEALSLVVTPKKKRHKVTDTRITPRTVSRILAQDGVVPPTGGPPSTPQQQQQQQQQQQQQQQQQQQQASNGGNSNATPAQSPTRSSGGAAYHPQPPPPPPPMMPVSLPTSVAIPNPSLHESKVFSPYSPFFNPHAAAGQATAAQLHQHHQQHHPHHQSMQLSSSPPGSLGALMDSRDSPPLPHPPSMLHPALLAAAHHGGSPDYKTCLRAVMDAQDRQSECNSADMQFDGMAPTISFYKQMQLKTEHQESLMAKHCESLTPLHSSTLTPMHLRKAKLMFFWVRYPSSAVLKMYFPDIKFNKNNTAQLVKWFSNFREFYYIQMEKYARQAVTEGIKTPDDLLIAGDSELYRVLNLHYNRNNHIEVPQNFRFVVESTLREFFRAIQGGKDTEQSWKKSIYKIISRMDDPVPEYFKSPNFLEQLE", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSSAIDNLFGNIDEKKIESSVDKLFSSSCGPINKLEVKSKTRTVLPDSKKRERAAEADQEEKEASKPDVSDEQTEEVALPKVKKAKKSKRNDEDEDLEARYYAKLLNEEAEAEDDKPTVTKTDETSVPLTSAAKKVDFKEDELEKAERTVFIGNILSTVITSKKVYKEFKKLFGTNPIAETEESGNEKEEESSKKSDNNEFAIESIRFRSISFDEALPRKVAFVQQKFHKSRDTINAYIVYKNKSAVRKICSNLNAVVFQDHHLRVDSVAHPAPHDKKRSIFVGNLDFEEIEESLWKHFEPCGDIEYVRIIRDSKTNMGKGFAYVQFKDLQSVNKALLLNEKPMKSQKQEDENTKKPTKKARKLRVSRCKNMKKGTTIGTGLDRNGLTDSQRTRAGRAKKILGKADRATLGQEITIEGLRAKKGEGSTHLKKKKQRSATGRVTKRSIAFKKAQAEKSKK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASKRKSTTPCMIPVKTVVLQDASMEAQPAETLPEGPQQDLPPEASAASSEAAQNPSSTDGSTLANGHRSTLDGYLYSCKYCDFRSHDMTQFVGHMNSEHTDFNKDPTFVCSGCSFLAKTPEGLSLHNATCHSGEASFVWNVAKPDNHVVVEQSIPESTSTPDLAGEPSAEGADGQAEIIITKTPIMKIMKGKAEAKKIHTLKENVPSQPVGEALPKLSTGEMEVREGDHSFINGAVPVSQASASSAKNPHAANGPLIGTVPVLPAGIAQFLSLQQQPPVHAQHHVHQPLPTAKALPKVMIPLSSIPTYNAAMDSNSFLKNSFHKFPYPTKAELCYLTVVTKYPEEQLKIWFTAQRLKQGISWSPEEIEDARKKMFNTVIQSVPQPTITVLNTPLVASAGNVQHLIQAALPGHVVGQPEGTGGGLLVTQPLMANGLQATSSPLPLTVTSVPKQPGVAPINTVCSNTTSAVKVVNAAQSLLTACPSITSQAFLDASIYKNKKSHEQLSALKGSFCRNQFPGQSEVEHLTKVTGLSTREVRKWFSDRRYHCRNLKGSRAMIPGDHSSIIIDSVPEVSFSPSSKVPEVTCIPTTATLATHPSAKRQSWHQTPDFTPTKYKERAPEQLRALESSFAQNPLPLDEELDRLRSETKMTRREIDSWFSERRKKVNAEETKKAEENASQEEEEAAEDEGGEEDLASELRVSGENGSLEMPSSHILAERKVSPIKINLKNLRVTEANGRNEIPGLGACDPEDDESNKLAEQLPGKVSCKKTAQQRHLLRQLFVQTQWPSNQDYDSIMAQTGLPRPEVVRWFGDSRYALKNGQLKWYEDYKRGNFPPGLLVIAPGNRELLQDYYMTHKMLYEEDLQNLCDKTQMSSQQVKQWFAEKMGEETRAVADTGSEDQGPGTGELTAVHKGMGDTYSEVSENSESWEPRVPEASSEPFDTSSPQAGRQLETD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAENLSDQDCFEKLSSSKEGQHWFCSGLLTQYIQPTFFWFAHDETPSFKWVLNAYHERLRSCTSCIQAYYELRNESLAKGSYSFTGFSITDLQEKWNKWDIIRVLEDFKALEDETIDFTSLPCLIFETLLNPKLFTCKNIYKNAIDAFNGLLSDWCSNIFLPGYLLFFYEASHPDVLEWVHSFFKENTEIRISSATVDAVFNTVVFESQNSSDSDCNFVSISSPEFWERTYMFLELLPLQSITAACESILKDYLLNLVKTSESLSSQQMSCLRICCNSSSFWSAADSFKEVSSFLKNLLKNVTATPFEVSDMNWAYIIASFFRTCLNDFVSVFPEWLKGFVEEKRTIGFIIYSVLDALFDLLSLDYSSPSFLNNTLSLMNANSITILQDYPEYMLKLRLHSLLYDIAFISWSHKAITKNPSFVFDPSYELSPFWKLDNLQEEKISDVLFSKISSCCFAHDIEISENSTPSGTMVQFAELWQVMSEYISGFLKGFSEKSSTEISNMLGDSSKFDTVVSFLLSPTQPLYVSAFHIVQIITNCTKNRNEALKKLVAMDFRGIVHGLADAVLNWQSILSFFPALRIMRFLSITNKSLSSDNSAFTENDIPTLGAYWQCIWNILDLVFSNVARWSLNNPADTVKALMKLTLKFVDDLFQNDGIFIKLLAKFDSLILLGETSESLFSFIMWLKINDLELRGIVINSLCKLFTKFSNFDYLFEDRTVTFLTDFIIRKQKAHLSADQCKQLANVLTQASPEAKTVLEQHRLSEMRKTKKQTELTNSAHVIKPSPTPQITVKQNTTKSSSAPRMGMLEQLKQEYLTKRNFESKLKSSAVSSRKPTFNEVKPANLLAEDLSDNEDDIDRKQGLFSLAKANKIPEIRQQERRQVQLLSNSTIKMHPSQIRMMTNRNVANVKARLFPSMTDFYKEILSWEPANQSPNPVLKFHKLDGKIIDSFKTVEHYMEVLQPMIFMECWSQIQSTKLDLKFSPVEGIMVERTAVNNFVDIGVSVAPKDLYGYPLYDTEVVSLAFNKEDASSMKGLCCFAKVERIVRQTNGVLVVLRTLPSMEILNKLQGNCALWFLKLTNLATFTRQYAGIRGLPYFHLADDIIRARPCSQPVKHSSSEIKAAMKRYQVNEPQAKAIMCALDNNGFTLIQGPPGTGKTKTIIGIISALLVDLSRYHITRPNQQSKSTESKQQILLCAPSNAAVDEVLLRLKRGFLLENGEKYIPRVVRIGNPETINVSVRDLSLEYQTEKQLLEVNQGAIDLGSLQELTRWRDTFYDCIQKIEELEKQIDVARDVAEDTKSLGKELQNKINEKNLAEQKVEELQSQSFTKNKEVDLLRKKAQKAILKQADVVCATLSGSGHDLVAHSSLNFSTVIIDEAAQAVELDTIIPLRYGAKKCILVGDPNQLPPTVLSKKAASLNYSQSLFVRIQKNFSNQMCLLSIQYRMHPDISHFPSKKFYDSRLEDGDNMAEKTQQVWHVNPKFTQYRLFDVRGKERTSNTMSTYNLEEVEYLVNMVDELLNKFPDVNFTGRIGVITPYRSQLHELRRAFKVKYGKSFMSTIDIQTVDGFQGQEKDIIFFSCVKSYSKHGIGFLRDFRRLNVALTRARSSLLIIGNMETLKTDDLWGSLVDDALSRKLVESPHIDSEGRLITISRTSEKRMKNEEFVEPPSKKLANSEPSKEIRQRS", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MFAIQSENYFVDNYSVSDLMMYPCVELNVEAAPTATTRSSEKSKRSRTAFSSLQLIELEREFHLNKYLARTRRIEISQRLALTERQVKIWFQNRRMKLKKSTNRKGAIGALTTSIPLSSQSSEDLQKDDQIVERLLRYANTNVETAPLRQVDHGVLEEGQITPPYQSYDYLHEFSPEPMALPQLPFNEFDANWASSWLGLEPTIPIAENVIEHNTQDQPMIQNFCWDSNSSSASSSDILDVDYDFIQNLLNF", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MCENQPKTKADGTAQIEVIPCKICGDKSSGIHYGVITCEGCKGFFRRSQQNNASYSCPRQRNCLIDRTNRNRCQHCRLQKCLALGMSRDAVKFGRMSKKQRDSLYAEVQKHQQRLQEQRQQQSGEAEALARVYSSSISNGLSNLNTETGGTYANGHVIDLPKSEGYYSIDSGQPSPDQSGLDMTGIKQIKQEPIYDLTSVPNLFTYSSFNNGQLAPGITMSEIDRIAQNIIKSHLETCQYTMEELHQLAWQTHTYEEIKAYQSKSREALWQQCAIQITHAIQYVVEFAKRITGFMELCQNDQILLLKSGCLEVVLVRMCRAFNPLNNTVLFEGKYGGMQMFKALGSDDLVNEAFDFAKNLCSLQLTEEEIALFSSAVLISPDRAWLIEPRKVQKLQEKIYFALQHVIQKNHLDDETLAKLIAKIPTITAVCNLHGEKLQVFKQSHPDIVNTLFPPLYKELFNPDCAAVCK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSVDVKSDFSENESSSTPSPTTVPADVTWPHYPMMPFMQPHPLREKMLQPTFDPQIYGRWSQMGDTGFYGHPDLYPFGLPQLAANGQIPAVEAVDVKPPLSNGSSSSDSGMYPSPSDMMTPFPSTSSGAASSSELSAAAAAAANYQMRAATCYQQSVWPFMDYQQFQGFSWKMPLGNNHGKDRRSSSDGKTLPTGPGTNNVRVRTADKYRMVYSDYQRLELEKEFHTSPFITSDRKSQLSTMLSLTERQIKIWFQNRRAKDRRDKQKIRL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDRKGKGKQVAGSDSYSGGQKRKNSVEFRDEGLRIKKRKNPEVLQFFEESAEVGYYGGSSDEDDDGLGFLNDMEDEPEVEESSKAGKGEKGKSSFVFPKEEDLNEEEFDRIMEERYKPGSGFLRYADDDIKDAIEMDALAPTSKDPPIWKVKCAIGRERRSVFCLMHKFVELRKIGTKLEIISVFSVDHVKGFIFIEADKEHDVLEACKSLVGIYATRMVLLPKAETPNLLTVQKKTKKVSEGTWARVKNGKYKGDLAQIVAVSDTRNKALIKLIPRIDIQALTQKYGGGVTVQKGQTPAPRLISSSELEEFRPLIQVRRDRDTGITFEHLDSLMLKDGYLYKKVSLDSISSWGVIPTKDELLKFTPVDRKETGDVEWISEIYGEERKKKILPTCREGGKGEGSGGGKGEGSGGGKGEGSRGGKGEGSSDFKSESSYELYNLVCFSRKDFGLIVGVDDKGDGYKVLKEGIDGPVVVTVGKKEMQNGPFDSKFTALDLNKKQISVNDVVKISKGPSEGKQGVVRQVYRGIIFLYDESEEENGGYFCCKSQSCEKVKLFTEESNEKTGGFDGTAFEDFVSSPKSPLSPEKEWQPRERYNSSNQGDIGSTYSIGQKLRIRVGPLKGYLCRVIALRYSDVTVKLDSQHKIFTVKSEHLAEVRDRNTVLSTSGDAGTGSFQPFGMLGTESSTGDWAIGAGTSSEGGNWNIGGPSTDSHESLNIERNMVQLCREKNPWGGSKPTSDVSPTVADDNTSAWANAAAENKPASASDQPGGWNPWGKTPASEAGTVSGWGDTSASNVEASSWEKQGASTSNVADLGSWGTHGGSSGGNKQDEDSVWGKLCEASESSQKKEESSWGKKGGSDGESSWGNKDGNSSASKKDGVSWGQQDKGSDESKGGSAWSNQCGDFGSGKKKDGSSGWNKSAEDSNANSKGVPDWGQPNDGSSWGKKGDGAASWGKKDDGGSWGKKDDGNKDDGGSSWGKKDDGQKDDGGSSWEKKFDGGSSWGKKDDGGSSWGKKDDGGSLWGKKDDGGSSWGKEDDGGSLWGKKDDGESSWGKKDDGESSWGKKDDGGSSWGKKDEGGYSEQTFDRGGRGFGGRRGGGRRGGRDQFGRGSSFGNSEDPAPWSKPSGGSSWGKQDGDGGGSSWGKENDAGGGSSWGKQDNGVGSSWGKQNDGSGGGSSWGKQNDAGGGSSWGKQDSGGDGSSWGKQDGGGDSGSAWGKQNNTSGGSSWGKQSDAGGGSSWGKQDGGGGGSSWGKQDGGGGSGSAWGKQNETSNGSSWGKQNDSGGGSSWGKQDGGGGGSSWGKQNDGGGGSSWGKQGDGGSKPWNEHSGGGRGFGERRGGGGFRGGRNQSGRGGRSFDGGRSSSWKTDNQENTWKSDQSGGSDWKKGWGEDSNNSKPSGSSAGGCAGNWPSWDTNSKKETNDKPGDDSKSAWGTSNDQVNTDNNNDSWNKKPNNDVGTSGEADNAWGGKTNAVAPSPSGSAAWGTGDKKTGW", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTDITPVQNDVDVNGNNVNDDVSSNLKRPIDQGDPSNGLAEEENPANNQLHLKKARLDGDALTSSPAGLAENGIEGATLAANGENGYNATGSGAEDEQQGLKKEEGGQGTKQEDLDENSKQELPMEVPKEPAPAPPPEPDMNNLPQNPIPKHQQKHALLAIKAVKRLKDARPFLQPVDPVKLDIPFYFNYIKRPMDLSTIERKLNVGAYEVPEQITEDFNLMVNNSIKFNGPNAGISQMARNIQASFEKHMLNMPAKDAPPVIAKGRRSSAQEDAPIVIRRAQTHNGRPKRTIHPPKSKDIYPYESKKPKSKRLQQAMKFCQSVLKELMAKKHASYNYPFLEPVDPVSMNLPTYFDYVKEPMDLGTIAKKLNDWQYQTMEDFERDVRLVFKNCYTFNPDGTIVNMMGHRLEEVFNSKWADRPNLDDYDSDEDSRTQGDYDDYESEYSESDIDETIITNPAIQYLEEQLARMKVELQQLKKQELEKIRKERRLARGSKKRGKRSKGRSGSKNASSKGRRDKKNKLKTVVTYDMKRIITERINDLPTSKLERAIDIIKKSMPNISEDDEVELDLDTLDNHTILTLYNTFFRQYESSSGASNGLDGTSGVTRDASSLSPTSAGSRKRRSKALSQEEQSRQIEKIKNKLAILDSASPLSQNGSPGQIQSAAHNGFSSSSDDDVSSESEEE", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MIEAYETDVFMDPIGMKVWEKRHQYVATKLSALNCKRVLDMGTNTCKLIQRLSRSLQFTQIDGLDIDGQLLETQGIQNAKPDLIQNQYASMRDHQLVVNLYQGSALNKIQHLKDQQYDAVILVELIEHLQVEDVFLIEQNLFGFLRPQFVIVTTPNSDFNVYFNFKEQGVLFRDKDHKFEWSQNQFQIWAQKVCQNYGYKVIELTGVGEHKTEGTKNGFCTQIVVFEKDTQQEKYLNFAFFNLQEGEIRQVCQILYPFESKEQHFQREVVDSIRYILHITDKQNQFEDGSYQNYTTLSRIMQNHSISSNWQIQGDYFKLKTYIQNISEFLVHENQFNFQESFVTLNYQAQMEDEENEDQLESDSENVKMQQQQYYFSNDNCFSTKDTTYSSFSTADNLFSQKIQLGQQQMALEEIELEDTIDY", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEFWGVAVTPKNATKVTPEEDSLVHISQASLDCTVKSGESVVLSVTVGGAKLVIGTLSQDKFPQISFDLVFDKEFELSHSGTKANVHFIGYKSPNIEQDDFTSSDDEDVPEAVPAPAPTAVTANGNAGAAVVKADTKPKAKPAEVKPAEEKPESDEEDESDDEDESEEDDDSEKGMDVDEDDSDDDEEEDSEDEEEEETPKKPEPINKKRPNESVSKTPVSGKKAKPAAAPASTPQKTEEKKKGGHTATPHPAKKGGKSPVNANQSPKSGGQSSGGNNNKKPFNSGKQFGGSNNKGSNKGKGKGRA", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MWIQVRTMDGKETHTVNSLSRLTKVQELRKKIEEVFHVEPQLQRLFYRGKQMEDGHTLFDYDVRLNDTIQLLVRQSLALPLSTKERDSELSDSDSGYGVGHSESDKSSTHGEGAAEADDKTVWEDTDLGLYKVNEYVDVRDNIFGAWFEAQVVQVQKRALSEDEPCSSSAVKTSEDDIMYHVKYDDYPEHGVDIVKAKNVRARARTVIPWENLEVGQVVMANYNVDYPRKRGFWYDVEICRKRQTRTARELYGNIRLLNDSQLNNCRIMFVDEVLMIELPKERRPLIASPSQPPPALRNTGKSGPSCRFCKDDENKPCRKCACHVCGGREAPEKQLLCDECDMAFHLYCLKPPLTSVPPEPEWYCPSCRTDSSEVVQAGEKLKESKKKAKMASATSSSRRDWGKGMACVGRTTECTIVPANHFGPIPGVPVGTMWRFRVQVSESGVHRPHVAGIHGRSNDGAYSLVLAGGYEDDVDNGNYFTYTGSGGRDLSGNKRTAGQSSDQKLTNNNRALALNCHSPINEKGAEAEDWRQGKPVRVVRNMKGGKHSKYAPAEGNRYDGIYKVVKYWPERGKSGFLVWRYLLRRDDTEPEPWTREGKDRTRQLGLTMQYPEGYLEALANKEKSRKRPAKALEQGPSSSKTGKSKQKSTGPTLSSPRASKKSKLEPYTLSEQQANLIKEDKGNAKLWDDVLTSLQDGPYQIFLSKVKEAFQCICCQELVFRPVTTVCQHNVCKDCLDRSFRAQVFSCPACRFELDHSSPTRVNQPLQTILNQLFPGYGSGR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MANDQEMSGWTDLLHSSSKLLEQAAPSSQFPPLQRNLDQLEALSKKLKAKTLRNEAPSQSIAATRLLAREGINAEQLARDLKSFELKTTFEDVFPAEATSVEEYLQQVHEMAMVSAIQEAQKDNVRSFNDYMMKVLEEDWRKEKRDFLQSLSRISMLPKTNMIDTSREAHAGQLVPVGSSPRVSSTPGKELVALANIPIHEKKAYVYGEVVKKLNTSRERGMPFRPAMCFKDAYDTLGAEVTRGKSVNMQKIWQLVQAITGEDSAVRQGVSKRMALAIGARHHLQHGHEKFIMDTIQTHPTQAALGGSVGNLQRIRAFLRIRLRDYGVLDFDSTDARRQPPVDTTWQQIYFCLRTGYYEEAREIARSTRSSQQFAPLLTEWITTDGMVAAESAAIASEECEKMLRMGDRLGRTAYDKKKLLLYTIISGSRRQIERILRDLSTLFNTIEDFLWFKLSCIRDVTGGSSSVVLNDGLAPYSLDDLQAYLNKFEPSYYTKNGKDPLVYPYVLLLSVQLLPAIMHLSKEAGDGGYNIDAVHIAISLVDHSVLSEGSGTGHKLSVMDSNAEASSMIRQYGSMFLHHGDLQMTVEYYAQAAATVGGGQLAWSGRSNVDQQRQRNLMLKQLLTEILLRERGIYFLLGARGSGEEGQLGRFFPDSRLRQQFLVEAAHQCQEAGLYDKSIELQKRVGAFSAALETINKCLSEAICSLARGRLDGESRTSGLILAGNDILETYKYYPEVSLQERERVMEQETILRELEAILSIHKLGRLGNHLDALREIAKLPFLHLDPRMPDATADVFQSASPYFQTCVPDLLKVALTCLDNVPDTDGSIRAMRSKIAGFLASNTHRNWPRDLYEKVARSF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MATECPPKMILRKSEKLDKDASSKFLNRYIQTIERFQDEKSGSESVLSQLNRVLMYLKGEEIPLISLNLPVQGPPTEELIIPPEEMLETKEEESLKHAREENDDLHLDKETKKRLKKEKKKAARREKEEARKAKADTTQGVGEKEQS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGVRLRVWPAAPHSISRCPRPLGAVLSILLAGGSRKGTPTARCLGQRTKEKRVGGRSLRSEAGSGPCPTAGAQPTAPSSAWPPRLRPRTCPQMSGELPRVRPTRVGLSSLGSGPGHPPSGTRMCGERARNRRGRARRLTPEQPRIGASAGPGPPLPPARPRCSGSCHLPRPPQHLSPPQPGRVRMGAAEGSRRADTHHARRRRRARLPAPRSAST", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVYCPYNKEHKMLRKKLQQHILKCRVIYKDTVELMVCPFNSSHLIPEPQFFQHTQSCEDRNIIVHYQTSAPAVLSEDTRHAKIESEENWDDDESVPDYDPQVYCSRANIVREPNGLFPAQRRAFIEQEKRRHFGEDYEEEKKPRKAKARADLRPTPYEHRRPYSRRQ", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEDYEQELCGVEDDFHNQFAAELEVLAELEGASTPSPSGVPLFTAGRPPRTFEEALARGDAASSPAPAASVGSSQGGARKRQVDADLQPAGSLPHAPRIKRPRLQVVKRLNFRSEEMEEPPPPDSSPTDITPPPSPEDLAELWGHGVSEAAADVGLTRASPAARNPVLRRPPILEDYVHVTSTEGVRAYLVLRADPMAPGVQGSLLHVPWRGGGQLDLLGVSLASLKKQVDGERRERLLQEAQKLSDTLHSLRSGEEEAAQPLGAPEEEPTDGQDASSHCLWVDEFAPRHYTELLSDDFTNRCLLKWLKLWDLVVFGHERPSRKPRPSVEPARVSKEATAPGKWKSHEQVLEEMLEAGLDPSQRPKQKVALLCGPPGLGKTTLAHVIARHAGYSVVEMNASDDRSPEVFRTRIEAATQMESVLGAGGKPNCLVIDEIDGAPVAAINVLLSILNRKGPQEVGPQGPAVPSGGGRRRRAEGGLLMRPIICICNDQFAPSLRQLKQQAFLLHFPPTLPSRLVQRLQEVSLRQGMRADPGVLAALCEKTDNDIRACINTLQFLYSRGQRELSVRDVQATRVGLKDQRRGLFSVWQEVFQLPRAQRRRVGQDPALPADTLLLGDGDAGSLTSASQRFYRVLHAAASAGEHEKVVQGLFDNFLRLRLRDSSLGAVCVALDWLAFDDLLAGAAHHSQSFQLLRYPPFLPVAFHVLFASSHTPRITFPSSQQEAQNRMSQMRNLIQTLVSGIAPATRSRATPQALLLDALCLLLDILAPKLRPVSTQLYSTREKQQLASLVGTMLAYSLTYRQERTPDGQYIYRLEPNVEELCRFPELPARKPLTYQTKQLIAREIEVEKMRRAEASARVENSPQVDGSPPGLEGLLGGIGEKGVHRPAPRNHEQRLEHIMRRAAREEQPEKDFFGRVVVRSTAVPSAGDTAPEQDSVERRMGTAVGRSEVWFRFNEGVSNAVRRSLYIRDLL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAARKNQKSPKPKVASSKLKNIKKSSKRNNSQSSTEPRKNATSLDSKKTTKKGVALPEIAERELTQEDIEFFNENPSSLKYLSSINPEDLGKKVEKGPRPDIYDLKKSQQFELDTSRLSSDEESVLDYSKDSEDEQDYELRPRVSSSWNNESYNRLPIKTKDGLLQNVVADVNNGEEFLSESESEASLEIDSDIKDEKQKSLEEQKIAPEIPVKQQIKNDKEALGIQAQQLLEEPVENLHLIRNIFEKFDSPYITIKKLSLLTLLAVFRDIIPGYKIRPLSEEEQGTKLSKEVAQRWEYEQTLLKHYAKFLQTLETILKSFSSTLDETQLSLYQVAVRCCTKLIEQASHFNLSEKLFALAVRQISHKTKRPGFDGIINSLKNIFEEDNLGKTSLKCVTILSRMFKQRNYDVLPDVYDLFLSVNILNDMKIKDEAWQDDTTNFKKRKKDLPYLTKKARKNYKETKKITQEMKEADAVITAQDKEKYQSEILKIIFITYFKTLQLKGKLIGNALEGVARLSHLLNIEFLGDLLQVLRELVMDDTVFLPKDKSGVQATREALLTVSTAFEIASAQGVGKLNLDLDLGLFVQRLYKIIFPFSLNPDADLNLKIKRLKDPDAPSKPFVVNATTEMEMLLKCFQVFFFKSKNISSSRLSSFSKRLAIASMQLPEHSASADLALLKKLLSRYSKLSRLLTSEEQIGDGIYNPFIEDPDLSNSSTAVLYEPFLLKNHYSPAVSQSAKELLKSTSL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSVCESKAVVQQQLQQHLQQQAAAAVVAVAQQQQAQAQAQAQAQAQQQQQAPQVVVPMTPQHLTPQQQQQSTQSIADYLAQLLKDRKQLAAFPNVFTHVERLLDEEIARVRASLFQINGVKKEPLTLPEPEGSVVTMNEKVYVPVREHPDFNFVGRILGPRGMTAKQLEQETGCKIMVRGKGSMRDKKKEDANRGKPNWEHLSDDLHVLITVEDTENRATVKLAQAVAEVQKLLVPQAEGEDELKKRQLMELAIINGTYRDTTAKSVAVCDEEWRRLVAASDSRLLTSTGLPGLAAQIRAPAAAPLGAPLILNPRMTVPTTAASILSAQAAPTAAFDQTGHGMIFAPYDYANYAALAGNPLLTEYADHSVGAIKQQRRLATNREHPYQRATVGVPAKPAGFIEIQ", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVKIANYQDLLGSHHQLLIAATAAAAAAAAAEPQLQLQHLLPAAPTTPAVISNPINSIGPINQISSSSHPSNNNQQAVFEKAITISSIAIKRRPTLPQTPASAPQVLSPSPKRQCAAAVSVLPVTVPVPVPVSVPLPVSVPVPVSVKGHPISHTHQIAHTHQISHSHPISHPHHHQLSFAHPTQFAAAVAAHHQQQQQQQAQQQQQAVQQQQQQAVQQQQVAYAVAASPQLQQQQQQQQHRLAQFNQAAAAALLNQHLQQQHQAQQQQHQAQQQSLAHYGGYQLHRYAPQQQQQHILLSSGSSSSKHNSNNNSNTSAGAASAAVPIATSVAAVPTTGGSLPDSPAHESHSHESNSATASAPTTPSPAGSVTSAAPTATATAAAAGSAAATAAATGTPATSAVSDSNNNLNSSSSSNSNSNAIMENQMALAPLGLSQSMDSVNTASNEEEVRTLFVSGLPMDAKPRELYLLFRAYEGYEGSLLKVTSKNGKTASPVGFVTFHTRAGAEAAKQDLQGVRFDPDMPQTIRLEFAKSNTKVSKPKPQPNTATTASHPALMHPLTGHLGGPFFPGGPELWHHPLAYSAAAAAELPGAAALQHATLVHPALHPQVPTQMTMPPHHQTTAIHPGAAMAHMAAAAAAAAAGGGGGAATAAAAPQSAAATAAAAAAASHHHYLSSPALASPAGSTNNASHPGNPQIAANAPCSTLFVANLGQFVSEHELKEVFSSHGNSNWLKLLHQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKIGSGFLSGGGGTGSSGGSGSGGGGSGGGGGGGSSGRRAEMEPTFPQGMVMFNHRLPPVTSFTRPAGSAAPPPQCVLSSSTSAAPAAEPPPPPAPDMTFKKEPAASAAAFPSQRTSWGFLQSLVSIKQEKPADPEEQQSHHHHHHHHYGGLFAGAEERSPGLGGGEGGSHGVIQDLSILHQHVQQQPAQHHRDVLLSSSSRTDDHHGTEEPKQDTNVKKAKRPKPESQGIKAKRKPSASSKPSLVGDGEGAILSPSQKPHICDHCSAAFRSSYHLRRHVLIHTGERPFQCSQCSMGFIQKYLLQRHEKIHSREKPFGCDQCSMKFIQKYHMERHKRTHSGEKPYKCDTCQQYFSRTDRLLKHRRTCGEVIVKGATSAEPGSSNHTNMGNLAVLSQGNTSSSRRKTKSKSIAIENKEQKTGKTNESQISNNINMQSYSVEMPTVSSSGGIIGTGIDELQKRVPKLIFKKGSRKNTDKNYLNFVSPLPDIVGQKSLSGKPSGSLGIVSNNSVETIGLLQSTSGKQGQISSNYDDAMQFSKKRRYLPTASSNSAFSINVGHMVSQQSVIQSAGVSVLDNEAPLSLIDSSALNAEIKSCHDKSGIPDEVLQSILDQYSNKSESQKEDPFNIAEPRVDLHTSGEHSELVQEENLSPGTQTPSNDKASMLQEYSKYLQQAFEKSTNASFTLGHGFQFVSLSSPLHNHTLFPEKQIYTTSPLECGFGQSVTSVLPSSLPKPPFGMLFGSQPGLYLSALDATHQQLTPSQELDDLIDSQKNLETSSAFQSSSQKLTSQKEQKNLESSTGFQIPSQELASQIDPQKDIEPRTTYQIENFAQAFGSQFKSGSRVPMTFITNSNGEVDHRVRTSVSDFSGYTNMMSDVSEPCSTRVKTPTSQSYR", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAALTPRKRKQDSLKCDSLLHFTENLFPSPNKKHCFYQNSDKNEENLHCSQQEHFVLSALKTTEINRLPSANQGSPFKSALSTVSFYNQNKWYLNPLERKLIKESRSTCLKTNDEDKSFPIVTEKMQGKPVCSKKNNKKPQKSLTAKYQPKYRHIKPVSRNSRNSKQNRVIYKPIVEKENNCHSAENNSNAPRVLSQKIKPQVTLQGGAAFFVRKKSSLRKSSLENEPSLGRTQKSKSEVIEDSDVETVSEKKTFATRQVPKCLVLEEKLKIGLLSASSKNKEKLIKDSSDDRVSSKEHKVDKNEAFSSEDSLGENKTISPKSTVYPIFSASSVNSKRSLGEEQFSVGSVNFMKQTNIQKNTNTRDTSKKTKDQLIIDAGQKHFGATVCKSCGMIYTASNPEDEMQHVQHHHRFLEGIKYVGWKKERVVAEFWDGKIVLVLPHDPSFAIKKVEDVQELVDNELGFQQVVPKCPNKIKTFLFISDEKRVVGCLIAEPIKQAFRVLSEPIGPESPSSTECPRAWQCSDVPEPAVCGISRIWVFRLKRRKRIARRLVDTLRNCFMFGCFLSTDEIAFSDPTPDGKLFATKYCNTPNFLVYNFNS", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSAPMEVSFSAPPPPDAASAAAAAPSLVPAVSAAAVAATTVSCSPQPPTGSPSADDRILVSVEVLLHATSTARAEDVCAAVERMLEARSLSYVDGPVPIPNDDPFLLANVKRIQICDTDEWTENHKVLLFWQVRPVVHVFQLSEDGPGEEPGEDDTLSSFNEWALPAKEFDGLWESLLYEVGLKQRLLRYAASALLFTEKGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRVGILTHTQGGNSLCLLSYFSLMENQHCPEVADPHGSVHLSGLLHKAAEICEGLSGRTLRKLPFLAHASVANPSCCDASAFLHALIQTAQRELSESRG", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MMAKNNKTTEAKMSKKRAASEESDVEEDEDKLLSVDGLIDAEASESDEDDDEYESAVEEKESSSDKEAQDDSDDDSDAELNKLLAEEEGDGEEDYDSSEFSDDTTSLTDRLSGVKLQTIVDPNIYSKYADGSDRIIKPEINPVYDSDDSDAETQNTIGNIPLSAYDEMPHIGYDINGKRIMRPAKGSALDQLLDSIELPEGWTGLLDKNSGSSLNLTKEELELISKIQRNEQTDDSINPYEPLIDWFTRHEEVMPLTAVPEPKRRFVPSKNEAKRVMKIVRAIREGRIIPPKKLKEMKEKEKIENYQYDLWGDSTETNDHVMHLRAPKLPPPTNEESYNPPEEYLLSPEEKEAWENTEYSERERNFIPQKYSALRKVPGYGESIRERFERSLDLYLAPRVRKNKLNIDPNSLIPELPSPKDLRPFPIRCSTIYAGHKGKVRTLSIDPSGLWLATGSDDGTVRVWEILTGREVYRTTLIDDEENPDYHIECIEWNPDANNGILAVAVGENIHLIVPPIFGYDIENNGKTKIEDGFGYDTFGTVKKSNLEVNENGDGDEDGENESAKNAVKKQVAQWNKPSQKQLEKDICITISCKKTVKKLSWHRKGDYFVTVQPDSGNTSVLIHQVSKHLTQSPFKKSKGIIMDAKFHPFKPQLFVCSQRYVRIYDLSQQILVKKLLPGARWLSKIDIHPRGDNLIASSFDKRVLWHDLDLASTPYKTLRYHEKAVRSVNFHKKLPLFSSAADDGTIHVFHATVYDDMMKNPMIVPLKKLTGHKVINSLGVLDAIWHPREAWLFSAGADNTARLWTT", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSLPSRQTAIIVNPPPPEYINTKKNGRLTNQLQYLQKVVLKDLWKHSFSWPFQRPVDAVKLQLPDYYTIIKNPMDLNTIKKRLENKYYAKASECIEDFNTMFSNCYLYNKPGDDIVLMAQALEKLFMQKLSQMPQEEQVVGVKERIKKGTQQNIAVSSAKEKSSPSATEKVFKQQEIPSVFPKTSISPLNVVQGASVNSSSQTAAQVTKGVKRKADTTTPATSAVKASSEFSPTFTEKSVALPPIKENMPKNVLPDSQQQYNVVKTVKVTEQLRHCSEILKEMLAKKHFSYAWPFYNPVDVNALGLHNYYDVVKNPMDLGTIKEKMDNQEYKDAYKFAADVRLMFMNCYKYNPPDHEVVTMARMLQDVFETHFSKIPIEPVESMPLCYIKTDITETTGRENTNEASSEGNSSDDSEDERVKRLAKLQEQLKAVHQQLQVLSQVPFRKLNKKKEKSKKEKKKEKVNNSNENPRKMCEQMRLKEKSKRNQPKKRKQQFIGLKSEDEDNAKPMNYDEKRQLSLNINKLPGDKLGRVVHIIQSREPSLSNSNPDEIEIDFETLKASTLRELEKYVSACLRKRPLKPPAKKIMMSKEELHSQKKQELEKRLLDVNNQLNSRKRQTKSDKTQPSKAVENVSRLSESSSSSSSSSESESSSSDLSSSDSSDSESEMFPKFTEVKPNDSPSKENVKKMKNECIPPEGRTGVTQIGYCVQDTTSANTTLVHQTTPSHVMPPNHHQLAFNYQELEHLQTVKNISPLQILPPSGDSEQLSNGITVMHPSGDSDTTMLESECQAPVQKDIKIKNADSWKSLGKPVKPSGVMKSSDELFNQFRKAAIEKEVKARTQELIRKHLEQNTKELKASQENQRDLGNGLTVESFSNKIQNKCSGEEQKEHQQSSEAQDKSKLWLLKDRDLARQKEQERRRREAMVGTIDMTLQSDIMTMFENNFD", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGLAATRTKQRFGLDPRNTAWSNDTSRFGHQFLEKFGWKPGMGLGLSPMNSNTSHIKVSIKDDNVGLGAKLKRKDKKDEFDNGECAGLDVFQRILGRLNGKESKISEELDTQRKQKIIDGKWGIHFVKGEVLASTWDPKTHKLRNYSNAKKRKREGDDSEDEDDDDKEDKDSDKKKHKKHKKHKKDKKKDKKDKKEHKKHKKEEKRLKKEKRAEKTKETKKTSKLKSSESASNIPDAVNTRLSVRSKWIKQKRAALMDSKALNEIFMITND", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MYSANRSHRAETRNRSKDELRKVINSLEKVRRWEKKLVLIKDTNIRIYKWVPVSAQNIMAPPKIKEVKEVDEESNQVPSAENSQDSTSVTQPPPQFDINEDSNFSTGDHFDSDSNQTFEPQNYQGGATGSTDFSSMRDAEMTSKQP", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAVKNKVSNGNLKGGNVKTDGVKEVHYRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAAKAYDTAAREFRGPKAKTNFPSPTENQSPSHSSTVESSSGENGVHAPPHAPLELDLTRRLGSVAADGGDNCRRSGEVGYPIFHQQPTVAVLPNGQPVLLFDSLWRAGVVNRPQPYHVTPMGFNGVNAGVGPTVSDSSSAVEENQYDGKRGIDLDLNLAPPMEF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKIYAVREPVFSGPTPSFQNVSNDIPLVIDNGSWQLRAGWGGEKDPKLVFDNLVSRYRDRKLSRTSTLVGNDTLIEVGSRSIARSPFERNVISNWDLMEQVLDYTFLKLGIDRMEHPICMTEPLANPTYVRSTMTELLFELYNAPSVAYGIDGLFSFYHNTKPSSSGIVLNLGNAASHVIPVLNGERILSEAKRISWGGSQSSSYLLKLFQIKYPSFPIKMLPSQAELLMHDHCHVSSDYTHDIAHALDRDILERDEIVLQFPYTEAAAQEKSQEELELIAERKRESGRRLQAQAAIKRKEKAAERDRELATLTELQQQSLVLSRRAFQRALEEAGFEDESQLNAQVKNVQAKIRRAQRDQQRQEESEGSLDVTEIDVEQAFPLLNVPDAELDEAGLRQKRHQRLMKANYDARVRAKAEKAIEEAAEAERAEADERLRLENFSTWVNEKRETHKILLEKISKNKRLKFELNDRKSHASQMRMKSLATLASEQPIQKRKRKDQSEDNFGARDEDWKVYHDVLTAEQLEEERKKLLDQIYSLEKQLLEYDSQFTQANTYDTLNDPRATLLYAFTRGVSDFDVNDVAQAFQLHLNVEQIRVPEVIFSPSIVGIDQAGILEIMRSILQRHSLEEQQKLVSNVLITGGLGSLPGMETRIKRELTSIMPVGSSINVFRASNPLLDAWKGASEWSVTEKFKAAKVTREEYLEKGPEYIKEHSLGNINS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGRAEAGTPKAISNALKSKGLQRLRWYCSACQKQMRDENGFKCHTQSEGHIRQMNVIAMNPGKRIQDFSNQFLRDFISLLRTAHGEKKIHFNQFYQEYIRDKNHVHMNATRWHTLSEFCKFLGRQGMCRVEENEKGFFISYIDKNPANILRNEANKKRERQEKSDEEQRLRLLDEQIKRAYESAQNNEDNKDGSSREQPVLHEIDLSKKGNPIQLNLSSSSDSHSAQNEFFQTRNTPTFSFSSSSSQTSLKHKPKNVFAELNKSRKKNNKDSLDQGQNVKRPRSAVEDIIAQETMREKRRNIKL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTRRCMPARPGFPSSPAPGSSPPRCHLRPGSTAHAAAGKRTESPGDRKQSIIDFFKPASKQDRHMLDSPQKSNIKYGGSRLSITGTEQFERKLSSPKESKPKRVPPEKSPIIEAFMKGVKEHHEDHGIHESRRPCLSLASKYLAKGTNIYVPSSYHLPKEMKSLKKKHRSPERRKSLFIHENNEKNDRDRGKTNADSKKQTTVAEADIFNNSSRSLSSRSSLSRHHPEESPLGAKFQLSLASYCRERELKRLRKEQMEQRINSENSFSEASSLSLKSSIERKYKPRQEQRKQNDIIPGKNNLSNVENGHLSRKRSSSDSWEPTSAGSKQNKFPEKRKRNSVDSDLKSTRESMIPKARESFLEKRPDGPHQKEKFIKHIALKTPGDVLRLEDISKEPSDETDGSSAGLAPSNSGNSGHHSTRNSDQIQVAGTKETKMQKPHLPLSQEKSAIKKASNLQKNKTASSTTKEKETKLPLLSRVPSAGSSLVPLNAKNCALPVSKKDKERSSSKECSGHSTESTKHKEHKAKTNKADSNVSSGKISGGPLRSEYGTPTKSPPAALEVVPCIPSPAAPSDKAPSEGESSGNSNAGSSALKRKLRGDFDSDEESLGYNLDSDEEEETLKSLEEIMALNFNQTPAATGKPPALSKGLRSQSSDYTGHVHPGTYTNTLERLVKEMEDTQRLDELQKQLQEDIRQGRGIKSPIRIGEEDSTDDEDGLLEEHKEFLKKFSVTIDAIPDHHPGEEIFNFLNSGKIFNQYTLDLRDSGFIGQSAVEKLILKSGKTDQIFLTTQGFLTSAYHYVQCPVPVLKWLFRMMSVHTDCIVSVQILSTLMEITIRNDTFSDSPVWPWIPSLSDVAAVFFNMGIDFRSLFPLENLQPDFNEDYLVSETQTTSRGKESEDSSYKPIFSTLPETNILNVVKFLGLCTSIHPEGYQDREIMLLILMLFKMSLEKQLKQIPLVDFQSLLINLMKNIRDWNTKVPELCLGINELSSHPHNLLWLVQLVPNWTSRGRQLRQCLSLVIISKLLDEKHEDVPNASNLQVSVLHRYLVQMKPSDLLKKMVLKKKAEQPDGIIDDSLHLELEKQAYYLTYILLHLVGEVSCSHSFSSGQRKHFVLLCGALEKHVKCDIREDARLFYRTKVKDLVARIHGKWQEIIQNCRPTQGQLHDFWVPDS", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPQPCLLMECESSPREEEIPPLFWGLDPVFLAFAKLYIKDILEMKESQQVPGTYFYNGHPIRRVDIMGAVISVKERETFYSYGVDDATGVINCVCWKKLSNAESSSDPAILSTARELSMTSQLKKLQETIEQKTRIGIGDIIRVRGSVRMFREEREICANIYYKVDDPVWNMQIARMLELPKLYQKVYDQPFRNPALQEEEALNNKDNLDLAGLTSLLSEKIKEFLQEKKMQSFYQQELETVESLQSLASRPVTHSTGSDQVELKDSGTSGVAQRVFKNALQLLQEKGLVFQRDSGSDKLYYVTTKDKDLQQKIYHIIKEDCQKPNHMEKGCHLLHILNCVHLNLRWDLSKAVLQRVLELLEDQSDIVSTADHYYAAF", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAFAVEPQGPALGSEPMMLGSPTSPKPGVNAQFLPGFLMGDLPAPVTPQPRSISGPSVGVMEMRSPLLAGGSPPQPVVPAHKDKSGAPPVRSIYDDISSPGLGSTPLTSRRQPNISVMQSPLVGVTSTPGTGQSMFSPASIGQPRKTTLSPAQLDPFYTQGDSLTSEDHLDDSWVTVFGFPQASASYILLQFAQYGNILKHVMSNTGNWMHIRYQSKLQARKALSKDGRIFGESIMIGVKPCIDKSVMESSDRCALSSPSLAFTPPIKTLGTPTQPGSTPRISTMRPLATAYKASTSDYQVISDRQTPKKDESLVSKAMEYMFGW", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MMDEGSVGDVSRIDEADVAHLQFKNSEQSFKPENIEVREVKEVQVQREAGSPDCSYGVIADFLDGKNGGDHVELIGNEPCSSRQNTNDEGDVVEELTVKTCEGSSMAIVGRPSSRARLEMNRSQFLHRFPLDGDLPGSSSMSKKVIDRGTVSILRNAGKMSLPETSNGQLAIIAVNGEANEHLTNVERNPVPVEALSHEGIKTKMLSQSGFSQFFVRKTLKGKGVTFRGPPNNRSKARNMDQQTVASSGSALVIANTSAKISSSIPLAAYDGLPCLPSNTSKPSSCANPSDTHRGCGGEGLSLREWLKSERQEVNKAECMYIFRQIVDHVDCSHSQGVVLCDLRPSSFKIFKENAVKYVVSGSQRESFDSNMNKETLSQLENPLVRRRLGDTSSLSIPAKKQKSSGPSSRQWPMFQRAGGVNIQTENNDGAIQEFHFRSSQPHCSTVACPFTSVSEQLEEKWYASPEELRGDMRSASSNIYSLGILLYELLSQFQCERAREAAMSDIRHRILPPKFLSENPKEAGFCLWLLHPESSCRPSTRDILQSEVVNGIPDLYAEGLSLSIEQEDTESELLQHFLFLSQEKRQKHAGNLMEEIASVEADIEEIVKRRCAIGPPSLEEASSSSPASSVPEMRLIRNINQLESAYFAARIDAHLPEARYRLRPDRDLLRNSDNTVAEVENSETWSSDDRVGAFFDGLCKYARYSKFETRGVLRTSELNNTSNVICSLGFDRDEDYFATAGVSKKIKIYEFNSLFNESVDIHYPAIEMPNRSKLSGVCWNNYIRNYLASSDYDGIVKLWDVTTGQAISHFIEHEKRAWSVDFSEACPTKLASGSDDCSVKLWNINERNCLGTIRNIANVCCVQFSPQSSHLLAFGSSDFRTYCYDLRNLRTPWCILSGHNKAVSYAKFLDNETLVTASTDNTLKLWDLKKTTHGGLSTNACSLTFGGHTNEKNFVGLSTSDGYIACGSETNEVYAYHRSLPMPITSYKFGSIDPISGKEIEEDNNLFVSSVCWRKRSNMVVSASSNGSIKVLQLV", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASPQENCTTLDLIRQHLLDDNVFMEHYCPQPILYSQSSSSSESLNSIASELNNETFSFEPTLKYADTAQSSNLDISSFFNNSKTEFDSFEFETKPNVSAARISSNSPKQTSFKERKPSLNIAIPMKQQEVVQKVEVVPTEKKHYRGVRQRPWGKFAAEIRDPNRKGTRVWLGTFDTAIEAAKAYDRAAFKLRGSKAIVNFPLEVANFKQQDNEILQPANSGRKRMRETENEEIVIKKEVKREERVPAAAAPLTPSSWSAIWEGEDGKGIFEVPPLSPLSPHMAYSQLVMI", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MYQPISTELPPTSFSSLMPCLTDTWGDLPLKVDDSEDMVIYGLLSDALTAGWTPFNLTSTEIKAEPREEIEPATIPVPSVAPPAETTTAQAVVPKGRHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYETAEEAALAYDKAAYRMRGSKALLNFPHRIGLNEPEPVRLTAKRRSPEPASSSISSALENGSPKRRRKAVAAKKAELEVQSRSNAMQVGCQMEQFPVGEQLLVS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDSVEEPQKKVFKARKTMRASDRQQLDAVHRVKGELLRADGKLLNGSHENGDLDPTSPLENTDCIQDREEVNGIDGICFQSEESTTEWKETPCMPNVAVKNKQEDLNSEALSPSITCDLSSRVTTEPGSGSPASDNPGCGTPVSDNPASDNPASDNPASDNPDSGDLAAGELATTVQATGDSACEEPPSSDPSSSDPTSSEPSSSEPTCSEPISGDPVSEEAASHDLVSGDSTCSEPVSGEPVSHEAASSEPATSEPASDEPVARVVAACELAPGESALDDCAPSGDSQSDEPPSSEDSLPRSVCSGLASGELTPGELSVEPATDTVKPSSSAVCEAGPDPDKTEPSSNNSDDCPGKSEDDEHLDQIQSKDSCDEGNKVNSNVVEKEEPLETHSAIICSDLPPENTTKIAEDPIAEPALEEEAISSSMEVDQSEKDEHKSPAEPVAAVSEDPAEEDKEDTVVDNTDSMETDEIIPILEKLAPTEDELSCFSKASLLPVETSQDLEDKMEGSFGSPSKQESSENLPKEAFLVLSDEEDLSCGKDESEAVAQSKMSTPEGEKSEKDGKAEEEERVPAEEQPPVRNEFSRRKRSKSEDMDSVESKRRRYMDEEYEAEFQVKITAKGDINQKLQKVIQWLLQEKLCALQCAVFDKTLAELKTRVEKIECNKRHKAVLTELQAKIARLTKRFGAAKDDLKKRQESPPNPPISPGKPANDTNSNNNMTYRNAGTVRQLLESKRNVSEGPPPSFQTPVNTVSSASHATSTAVVSSQPKLQTSATSGSLPAAPLLPAPSTATVVATTQVPSGTPQPTISLQPLPVILHVPVAVTSQPQLLQSHPGTLVTNQPSGNVEFISVQSQPTVSGLTKNPVSLPPLPNPTKPNIPSVPSPSSIQRNSSTTAAPLGTTLAVQAVPTAHSIVQATRTSLPTVGPSGLYSSSSSRGPIQMKIPISTFSPPSSAEQNSSATPRIVTENQTNKTVDSSINKKAADSTSQSGKASSSDSSGVIDLTMDDEESGTTQDPKKISPPSSSTVSTSQPMSRPLQPILPAPPLQPSGVPTSGPSQATIHVLPTAPTTVNVTHRPVTQVTTRLPVPRAPANHQVVYTTLPAPTTQAPLRGTVMQAPAVRQVNPQNSVTVRVPQTTTYVVNNGLTLGSAGPQLTVHHRPPQVHNEPPRPLHPAPLPEAPQPQRLPPEAASTSLPQKPHLKLARVQSQNGIVLSWSVLEVDRSCATVDSYHLYAYHEEPSATVPSQWKKIGEVKALPLPMACTLTQFVSGSKYYFAVRAKDIYGRFGPFCDPQSTDVISSSQNS", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKEKSKNAAKTRREKENGEFYELAKLLPLPSAITSQLDKASIIRLTTSYLKMRAVFPEGLGDAWGQPSRAGPLDGVAKELGSHLLQTLDGFVFVVASDGKIMYISETASVHLGLSQVELTGNSIYEYIHPSDHDEMTAVLTAHQPLHHHLLQEYEIERSFFLRMKCVLAKRNAGLTCSGYKVIHCSGYLKIRQYMLDMSLYDSCYQIVGLVAVGQSLPPSAITEIKLYSNMFMFRASLDLKLIFLDSRVTEVTGYEPQDLIEKTLYHHVHGCDVFHLRYAHHLLLVKGQVTTKYYRLLSKRGGWVWVQSYATVVHNSRSSRPHCIVSVNYVLTEIEYKELQLSLEQVSTAKSQDSWRTALSTSQETRKLVKPKNTKMKTKLRTNPYPPQQYSSFQMDKLECGQLGNWRASPPASAAAPPELQPHSESSDLLYTPSYSLPFSYHYGHFPLDSHVFSSKKPMLPAKFGQPQGSPCEVARFFLSTLPASGECQWHYANPLVPSSSSPAKNPPEPPANTARHSLVPSYEAPAAAVRRFGEDTAPPSFPSCGHYREEPALGPAKAARQAARDGARLALARAAPECCAPPTPEAPGAPAQLPFVLLNYHRVLARRGPLGGAAPAASGLACAPGGPEAATGALRLRHPSPAATSPPGAPLPHYLGASVIITNGR", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSEKKLETTAQQRKCPEWMNVQNKRCAVEERKACVRKSVFEDDLPFLEFTGSIVYSYDASDCSFLSEDISMSLSDGDVVGFDMEWPPLYNRGKLGKVALIQLCVSESKCYLFHVSSMSVFPQGLKMLLENKAVKKAGVGIEGDQWKLLRDFDIKLKNFVELTDVANKKLKCTETWSLNSLVKHLLGKQLLKDKSIRCSNWSKFPLTEDQKLYAATDAYAGFIIYRNLEILDDTVQRFAINKEEEILLSDMNKQLTSISEEVMDLAKHLPHAFSKLENPRRVSILLKDISENLYSLRRMIIGSTNIETELRPSNNLNLLSFEDSTTGGVQQKQIREHEVLIHVEDETWDPTLDHLAKHDGEDVLGNKVERKEDGFEDGVEDNKLKENMERACLMSLDITEHELQILEQQSQEEYLSDIAYKSTEHLSPNDNENDTSYVIESDEDLEMEMLKHLSPNDNENDTSYVIESDEDLEMEMLKSLENLNSGTVEPTHSKCLKMERNLGLPTKEEEEDDENEANEGEEDDDKDFLWPAPNEEQVTCLKMYFGHSSFKPVQWKVIHSVLEERRDNVAVMATGYGKSLCFQYPPVYVGKIGLVISPLISLMEDQVLQLKMSNIPACFLGSAQSENVLTDIKLGKYRIVYVTPEYCSGNMGLLQQLEADIGITLIAVDEAHCISEWGHDFRDSFRKLGSLKTALPMVPIVALTATASSSIREDIVRCLNLRNPQITCTGFDRPNLYLEVRRKTGNILQDLQPFLVKTSSHWEFEGPTIIYCPSRKMTQQVTGELRKLNLSCGTYHAGMSFSTRKDIHHRFVRDEIQCVIATIAFGMGINKADIRQVIHYGAPKDMESYYQEIGRAGRDGLQSSCHVLWAPADINLNRHLLTEIRNEKFRLYKLKMMAKMEKYLHSSRCRRQIILSHFEDKQVQKASLGIMGTEKCCDNCRSRLDHCYSMDDSEDTSWDFGPQAFKLLSAVDILGEKFGIGLPILFLRGSNSQRLADQYRRHSLFGTGKDQTESWWKAFSRQLITEGFLVEVSRYNKFMKICALTKKGRNWLHKANTESQSLILQANEELCPKKLLLPSSKTVSSGTKEHCYNQVPVELSTEKKSNLEKLYSYKPCDKISSGSNISKKSIMVQSPEKAYSSSQPVISAQEQETQIVLYGKLVEARQKHANKMDVPPAILATNKILVDMAKMRPTTVENVKRIDGVSEGKAAMLAPLLEVIKHFCQTNSVQTDLFSSTKPQEEQKTSLVAKNKICTLSQSMAITYSLFQEKKMPLKSIAESRILPLMTIGMHLSQAVKAGCPLDLERAGLTPEVQKIIADVIRNPPVNSDMSKISLIRMLVPENIDTYLIHMAIEILKHGPDSGLQPSCDVNKRRCFPGSEEICSSSKRSKEEVGINTETSSAERKRRLPVWFAKGSDTSKKLMDKTKRGGLFS", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEAERRHRALYKGTTPPWKETYRKRCVERLKRNRSKLLDKFRQVGERIHGGVGGSFLVQEVMEEEWKAMQSENGSFPSMWKKEAFSQALNIMRDPDELATLEEIKQELLLEEKAMIEEFENILQFEEQCLDSVVELSTGDQIVCPVCNRNYLTVTSCFIVCQCGVYINTQSQGMSIEKLHSLLESSLTSHGYHCTKLPVFSVATELGGAASLFMSCQECDAMVVIL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPKKAKPTGSGKEEGPAPCKQMKLEAAGGPSALNFDSPSSLFESLISPIKTETFFKEFWEQKPLLIQRDDPALATYYGSLFKLTDLKSLCSRGMYYGRDVNVCRCVNGKKKVLNKDGKAHFLQLRKDFDQKRATIQFHQPQRFKDELWRIQEKLECYFGSLVGSNVYITPAGSQGLPPHYDDVEVFILQLEGEKHWRLYHPTVPLAREYSVEAEERIGRPVHEFMLKPGDLLYFPRGTIHQADTPAGLAHSTHVTISTYQNNSWGDFLLDTISGLVFDTAKEDVELRTGIPRQLLLQVESTTVATRRLSGFLRTLADRLEGTKELLSSDMKKDFIMHRLPPYSAGDGAELSTPGGKLPRLDSVVRLQFKDHIVLTVLPDQDQSDEAQEKMVYIYHSLKNSRETHMMGNEEETEFHGLRFPLSHLDALKQIWNSPAISVKDLKLTTDEEKESLVLSLWTECLIQVV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSTSSQTIDVDALLDFSACDGSYNSPSLSPSTASKPTFASPVTAAVSTPSLPSTTQTLSGPSHNYDMYRQQTGFVPGALTNTMAVNQTNNTGYQDFGSLDYLSSFSPENDVFDFNASPSQGTMEMEFESPADSQFFPTVNPSSIQHDTSALSQTSSVGRLWPGAHSQAALAKAQAQQRQQQQQQQLIQQTQRQTSPKSRGKAPQPTDPIVEQKITQLLNSMRAKPASNEPESQSVLNNLPKSKKDEEEMDEDERLLASEEGKKLSSKERRQLRNKVSARAFRSRRKEYISQLEAEIANKVNENGDLRSQNRALMDENKRLSDLTRMLLSSPSFSTFLDNLSANPTGLPQGSPVKIEQNPQQEQNQVPKDVNAYNSQFSSQQQIGMAMIPEQTMDFSLLSLGNTYNFQPQVFVVDTPEVPNAIDASVLSGKTSNFVEESFSSDDEKVEVPVIERPLKTKAIETPEAPVDEEFESDPEFALFHSEPATTTTTPKDIDTENLTGIDLFGGIESEKMFARYELVNATEEEATAAFAMARVQRISASIDSVVSRLELLTMDI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTVIKTEPTTEVTLYSPPSKESLSKDDAHRKKQNNKPPSSINSRSGPNKHKLAAKAPEKKINNTDKQDLSAFLLNPSLIVKPSESKKKENIVAYNDTPGIKTEHTAFQPLTPISKKRALKEKAASEKCDSFDLSRDEKPYIQKKSKTLSSVTEINSSEYKLSLNGENTSSPAKEKSQEPIENPGSYQKTRNYLFEKPDPLDTCLQDYSSMLPSNVAEEDQEYFISVADSTLEEWTNKGQEIIDQQFQLYQEIIKKRIELSYKFKGIISVINDRADALEEQGQQLEGKIKKVKTLANEILNII", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MQRYHAANCTSAVNNSAIGGASARDSGRADSSSIGNYSLNSRRPPPLTPYKLKCEKDGLNSRLGPPDFHPPTSNSPEENLTKEYVQFGYKETVDGLKESEEIILSQVHTFSKPVVHKCKEAVRKCLRAINESRALKRKAGQVYGVPLSGSLLCKPGFPEQRSCGEETKKRWIESLSQQHKRLRSLADNIPGYRRKTLFEVLIRNNVPLLRATWFIKVTYLNQVRPSPAAISSGTPDKTQASRCEQWTKDVIEYLQYLLDELLSRNSSFPAQQTRDRSPQMLYTGSMQKNSPASTSLYGEETSLHFKWWYMVRLLQWHHAEGLLFPNLIVDWVLKLLQEKEIFEILQLLLPIVYGVLESIVLSQTYVQSLVAIAVRFIQEPAPGGSDLVDNSRRAYTLSALIEMVRYLVLAAPDTFVASDFFPLPPSVAACGPNDVSYTSKAYENLEKLRSNSAEISAQFQGRGVLSRFEFLSFDYTISTIQRSADDLAKIASAGYPQHNVAKAVQALDKALSDGDIRAAYSYLFEDLCNGAVDEAWITDVSPCLRSSLRWIGAISTSFVCSVFFLIEWATCDFRDFRAGVPKDIKFSGRKDCSQVYLVIQLLKQKILGGEFTARKGKNCRNNFLGVSKPSGSMDAFESPGPLHDIIVCWIDQHEVHKGGAKRLQLLVFELIRSGIFNPIAYVRQLIVSGMIDVIQPAVDPERRMRHHRILKQLPGCFVHETLEEAQLFGGDKLSEAVRTYSNERRLLLRELLVEKGKYWNNLVLSDQKSKKISTSLSSVIFPRACNAKSNSKGPRKHTKSSVDIRELKERISALLQFPGMSCGVETPVRDEFQNSVKRSSGSVYSKMDQPEATPGCEDCRRAKRPKMNDEKSSCYQGNSPIASDEEDNWWIKKGSKTVESSLKVDPQIEITKQVPRGRQKMARKTQSLAQLQAARIEGSQGASTSHVCDNKVSCPHHGPGVEGENQKVVDVFRTSTPVDMVSVGNSLKQLQFVDKRSIAVWLTTAVRQLVEEPQKSSVRVGQFNRGAPVEEKNTIRWKLGADELYSILFLLDISLDLVSAVKFLLWLLPKANSTPSFAVQGGRNLVTVPRNVENNMCEIGEAILVSSLRRYENILLSADLVPEAMTALMNRAASLMSSNGKISGSAALVYTRYILKRYGSLPSVVEWHNNFKATSEKKLLSELDHTRSGNGEYGNPLGVPAGVDNPDDYLRKKISIGGARPSRVGLSMRDVLQRHVEEATHYLKKLIGTGTMKASLAEKNDDGYQVAQQIVVGLMDCIRQTGGAAQEGDPSLVSSAVSAIINSVGLSVARITDFSLGNIYQNHPSGVDSSNIARYILRIHITCLCLLKEALGERQSRVFEIALATESSTALTGVFAPVKGSRGQHQLSPESYDSNANNSTIDMSNGTGKMALSRATKITAAVSALVIGSITHGVITLERIVGLLRLKDYLDFVQFVRRTKSSSNGSARSMGASKVESPIEVYVHWFRLLVGNCKTVSEGLVLELVGESSVVAISRMQRMLPLKLVFPPAYSIIAFVLWRPFVSNSNSNSSVHEDTHRLYQSLTMAFHDVIKHLPFRDVCFRDTQGLYELIVADSTDAEFASVFESHGLDMHLKSVAFAPLRARLFLNSLIDCKVPSSGYSHEGVSEAKNRHQGNGTKLVDKLVSVLDCLQPAKFHWQWVELRLLLNEQALAEKLENHDMPLTDAIRSSCPTSEKPDASENEKNFIQILLTRLLVRPDAVPLFSEVVHLFGRSVEDSMLKQAEWFLAGQDVLFGRKTIRQKLIIVGESKGLPTKPQFWKPWGWCNSSSSDHITANKAGKKRKFEITSIEEGEVIEEGSGSRKVLLPRVLDENSPSVGYGITTERAFVQLVLPCIDQSSDESRSTFVNELVRQFSNIEQQLSSVTNRSTTSNKQMGTASSGSEISSNKGSTRKGLRGGSPSLARRSSANTTDTSPPPSPAALRASMSLRLQFLLRLLPVICGEPSFKNTRHALASTIVRLLGSRVVYEDYAVCSPRSELSKAETESTIDPSSMADLSSEVLFDRLLFVLHGLLSNHQPKWLKPRPSSNESSKDFTLFDRDAAESLQNELSRMQLPDTIRWRIQAAMPILLPSLRCSLSCQPHSVPPTALTLVQPSGSTAAAGTNQRNSPAISKSGTAAAQGKLKPTMLAPHQQQEADNTDVVDPWTLLEDGTSSGLSSSNASNSSDMANLRATCWLKGAVRVRRTDLTYVGSVDDDS", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDRSEGMDTLENSMPSGMSMGMTMGGHQGHPPPDIKPDISSLTSPTSTHGYYGFGPGGMPSMASSTQPSPGPQQMHSPGMHSPTSSMGSPPMLCLSPSGPSPSPGLPHSSLHTKHICAICGDRASGKHYGVYSCEGCKGFFKRTVRKDLTYACRDDKNCMIDKRQRNRCQYCRYMKCLSMGMKREAVQEERQRVKEKGDGEVESTSGANNDMPVEQILEAELAVDPKIDTYIDAQKDPVTNICQAADKQLFTLVEWAKRIPHFTELPLEDQVILLRAGWNELLIAGFSHRSIMAKDGILLATGLHVHRSSAHQAGVGTIFDRVLTELVAKMRDMKMDKTELGCLRAVVLFNPDAKGLTAVQEVEQLREKVYASLEEYTKSRYPEEPGRFAKLLLRLPALRSIGLKCLEHLFFFKLIGDQPIDTFLMEMLENPSPAT", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSSSAHQKASPPIEEEATEHGPFPIEQLQASGIAALDVKKLKDAGLCTVESVAYSPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSASQLHAQRLEIIQLTTGSRELDQILDGGIETGSITEMYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRILQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMVVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGAAMFAGPQIKPIGGNIMAHASTTRLFLRKGRGEERICKVISSPCLAEAEARFQISSEGVTDVKD", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MIGMLESLQHESDLLQHDQIHTGEKPYECNECRKTFSLKQNLVEHKKMHTGEKSHECTECGKVCSRVSSLTLHLRSHTGKKAYKCNKCGKAFSQKENFLSHQKHHTGEKPYECEKVSIQMPTIIRHQKNHTGTKPYACKECGKAFNGKAYLTEHEKIHTGEKPFECNQCGRAFSQKQYLIKHQNIHTGKKPFKCSECGKAFSQKENLIIHQRIHTGEKPYECKGCGKAFIQKSSLIRHQRSHTGEKPYTCKECGKAFSGKSNLTEHEKIHIGEKPYKCNECGTIFRQKQYLIKHHNIHTGEKPYECNKCGKAFSRITSLIVHVRIHTGDKPYECKVCGKAFCQSSSLTVHMRSHTGEKPYGCNECGKAFSQFSTLALHMRIHTGEKPYQCSECGKAFSQKSHHIRHQRIHTH", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MATAVETEACQPTDASWESGGGGDDEMKQALPELESSQQNGGGGGLNIAEPSGGAGREENAGAEAAQSLSHEQPQDSSEAGAAALPRGPEEPERPVRRSFQIPRKSREKKALFQPLTPGSREFEDVVNILHSSYLEPTSVTNFNYRRACLVHNELLEKEFTEKRRELKFDGRLDKELSESYAFLMVDRYQVQTICEKGLHVGQSKITILGSPSMGVYLSRYADLLQANPLDTGAMGDVVIFKIMKGKIKSIYDPMGVKSLESMLNKSALDPTPKHECHVSKNANRITSLLAYRAYELTQYYFYEYGFDELRRRPRHVCPYAVVSFTYKDDIQTPKFVPSSRSNSFNTDRNIDKYNYTLWKGQLLNKGKLLCYISLRSATRAFLPIKLPEKLDVETVMSIDHLKQKIPPALFYKETYLGPNEVLKNGMYCSLYEVVEKTRIGSNMESLLQKLDREKLVLVKPLGDRGYLFLLSPYQMVPPYEYQTAKSRVLHALFLFQEPRSIVTSQKGSTNAAPQERHESMPDVLKIAQFLQFSLIQCRKEFKNISAINFHSVVEKYVSEFFKRGFGSGKREFIMFPYDSRLDDKKFLYSAPRNKSHIDTCLHAYIFRPEVYQLPICKLKELFEENRKLQQFSPLSDYEGQEEEMNGTKMKFGKRNNSRGEAIISGKQRSSHSLDYDKDRVKELINLIQCRKKSVGGDSDTEDMRSKTVLKRKLEDLPENMRKLAKTSNLSENCHLYEESPQPIGSLGHDADLRRQQQDTCNSGIADIHRLFNWLSETLANARHSDASLTDTVNKALGLSTDDAYEELRQKHEYELNSTPDKKDYEQPTCAKVENAQFKGTQSLLLEVDATSKYSVAISTSEVGTDHKLHLKEDPNLISVNNFEDCSLCPSVPIEHGFRRQQSKSNNVEETEIHWKLIPITGGNARSPEDQLGKHGEKQTPGMKSPEEQLVCVPPQEAFPNDPRVINRQRSSDYQFPSSPFTDTLKGTTEDDVLTGQVEEQCVPAAEAEPPAVSETTERTVLGEYNLFSRKIEEILKQKNVSYVSTVSTPIFSTQEKMKRLSEFIYSKTSKAGVQEFVDGLHEKLNTIIIKASAKGGNLPPVSPNDSGAKIASNPLERHVIPVSSSDFNNKHLLEPLCSDPLKDTNSDEQHSTSALTEVEMNQPQHATELMVTSDHIVPGDMAREPVEETTKSPSDVNISAQPALSNFISQLEPEVFNSLVKIMKDVQKNTVKFYIHEEEESVLCKEIKEYLIKLGNTECHPEQFLERRSKLDKLLIIIQNEDIAGFIHKIPGLVTLKKLPCVSFAGVDSLDDVKNHTYNELFVSGGFIVSDESILNPEVVTVENLKNFLTFLEELSTPEGKWQWKVHCKFQKKLKELGRLNAKALSLLTLLNVYQKKHLVEILSYHNCDSQTRNAPELDCLIRLQAQNIQQRHIVFLTEKNIKMLSSYTDNGIVVATAEDFMQNFKNLVGYHNSITEENLPQLGANENLESQSALLENDEKDEEDMSLDSGDEISHIEVCSNFHSEIWEKETKGSRGTDQKKNTQIELQSSPDVQNSLLEDKTYLDSEERTSIDIVCSEGENSNSTEQDSYSNFQVYHSQLNMSHQFSHFNVLTHQTFLGTPYALSSSQSQENENYFLSAYTESLDRDKSPPPLSWGKSDSSRPYSQEK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAHRGAHFAFRSRWQKTDDELCRHSMSFILHKAIRNDFFQSYLYLLEKIPLVKLYALTSQVINGEMQFYARAKLFYQEVPATEEGMMGNFIELSSPDIQASQKFLRKFVGGPGRAGTDCALDCGSGIGRVSKHVLLPVFNSVELVDMMESFLLEAQNYLQVKGDKVESYHCYSLQEFTPPFRRYDVIWIQWVSGHLTDKDLLAFLSRCRDGLKENGIIILKDNVAREGCILDLSDSSVTRDMDILRSLIRKSGLVVLGQEKQDGFPEQCIPVWMFALHSDRHS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSETPTDQSPQRMSTRNQARVNYTDMAAGNNSVEKEPVFRSPTASTRGRKKRAANVDVADLSASFGNLNNFSTPPKRGRPRGGGLGSARGGRAPMVRRTTTEESAEVDDRELVAAVKSGKKITEAVDRWIGRYNEKFLVAIAEMHQFFFAICGCKGIVTPQMSATLTYKDIICRMTEDFEEDSADYPLVHGGSLKKVRANLHNFIHTLIIRTKASMLFDSNLMDGFVQLLTGMADSQVRAFRHTATFCAMKITSALVDVTIELTQSKEKTSKQIEAEKAKLKNNSAGNEKYEALVAQRTQTEERAEEIRQIIGYLFRSVFVHRYRDVVPDIRCICIQELGHWMDVYPEHFVEDSYLKYIGWSMFDKVGDVRQRCIRALIPLFEKTLILDKLELFVNKFKDRLVSMLLDKDLETSIETVHLMRVLYTVFPTLLTIKDVVPIYELIYASNRPLAVAAGMFLNTKVFRSAEKPGKAPTAANIPLVKDLTTFFIEGDLHQHATYLVDALFESNPIVKDWATMGELLINDQYQLDSNFETKLIEILTCSVVQSATGEPPVGRHIVKKGAPSAKESRDLVEDRQRLTETLIPLIPRLITKFSSDNEKIINLVNIPLHFQLETYLSARMQTHLMELMEGLDSLIEKHLDEELLKAVAELYYHLTTNSSISALVEGHKMKLLDGVAAFIRKSMQQFDDDQMGEEEEALFVSYIKRMAAFAGFMDLRHWDLWDILLKVVSNYTREDTQRDVRERSMQMLFMQLCFDSMNIKKEGETPKADQVRKLKKRRDQLIRIVTETLNEEACGVEQAYLVICDLMILFGSQLAEESKALEPLIWRPDAMVLGNLKIFLDVNVFDVSNLDDMDQQEKIEVMHKMRQHVAQYAKLIIHGAMPVAEASHLIKRYQSHFQDFGDIFKNLLSKCREISFVETGVMICETLKTLYSQLDEDQGTDPLSESFNSIRDLAKRLGPAFGVDYAKNRFAISSLHKKAIDFAFEEYDKENHQMPKNIFFLEIAIEFSGKLLAQDKMAVVRYLNKIYTNRVGTSTVVWEPYRLYLGSLSDRNDDDNMSVRSGMTVTSNATMRSTASSTRGRGRGRGRSRIADDF", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAMVTGGWGDPGGDTNGVDKAGGSYPRATEDDSASPPGATSDAEPGDEERPGLQVDCVVCGDKSSGKHYGVFTCEGCKSFFKRSIRRNLSYTCRSNRDCQIDQHHRNQCQYCRLKKCFRVGMRKEAVQRGRIPHALPGPAACSPPGATGVEPFTGPPVSELIAQLLRAEPYPAAGRFGGGGAVLGIDNVCELAARLLFSTVEWARHAPFFPELPAADQVALLRLSWSELFVLNAAQAALPLHTAPLLAAAGLHAAPMAAERAVAFMDQVRAFQEQVDKLGRLQVDAAEYGCLKAIALFTPDACGLSDPAHVESLQEKAQVALTEYVRAQYPSQPQRFGRLLLRLPALRAVPASLISQLFFMRLVGKTPIETLIRDMLLSGSTFNWPYGSG", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFRSTRTTDQWRVGERLQCPAGHARAALARTADGGAVGPFKCVFVGEMAAQVGAVRVVRAVAAQEEPDKEGKEKPHVGVSPRGVKRQRRASSGGSQEKRGRPSQDPPLAPPHRRRRSRQHPGPLPPTNAAPTVPGPVEPLLLPPPPPPSLAPAGPTVAAPLPAPGTSALFTFSPLTVSAAGPKHKGHKERHKHHHHRGSDGDPGACVPGDLKHKDKQENGERSGGVPLIKAPKRETADENGKTQRADDFVLKKIKKKKKKKHREDMRGRRLKMYNKEVQTVCAGLTRISKEILTQGQLNSTSGVNKESFRYLKDEQLCRLNLGMQEYRVPQGVQTPFTTHQEHSIRRNFLKTGTKFSNFIHEEHQSNGGALVLHAYMDELSFLSPMEMERFSEEFLALTFSENEKNAAYYALAIVHGAAAYLPDFLDYFAFNFPNTPVKMEILGKKDIETTTISNFHTQVNRTYCCGTYRAGPMRQISLVGAVDEEVGDYFPEFLDMLEESPFLKMTLPWGTLSSLQLQCRSQSDDGPIMWVRPGEQMIPTADMPKSPFKRRRSMNEIKNLQYLPRTSEPREVLFEDRTRAHADHVGQGFDWQSTAAVGVLKAVQFGEWSDQPRITKDVICFHAEDFTDVVQRLQLDLHEPPVSQCVQWVDEAKLNQMRREGIRYARIQLCDNDIYFIPRNVIHQFKTVSAVCSLAWHIRLKQYHPVVETAQNTESNSNMDCGLEVDSQCVRIKTESEERCTEMQLLTTASPSFPPPSELHLQDLKTQPLPVFKVESRLDSDQQHSLQAHPSTPV", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNFETSRCATLQYCPDPYIQRFVETPAHFSWKESYYRSTMSQSTQTNEFLSPEVFQHIWDFLEQPICSVQPIDLNFVDEPSEDGATNKIEISMDCIRMQDSDLSDPMWPQYTNLGLLNSMDQQIQNGSSSTSPYNTDHAQNSVTAPSPYAQPSSTFDALSPSPAIPSNTDYPGPHSFDVSFQQSSTAKSATWTYSTELKKLYCQIAKTCPIQIKVMTPPPQGAVIRAMPVYKKAEHVTEVVKRCPNHELSREFNEGQIAPPSHLIRVEGNSHAQYVEDPITGRQSVLVPYEPPQVGTEFTTVLYNFMCNSSCVGGMNRRPILIIVTLETRDGQVLGRRCFEARICACPGRDRKADEDSIRKQQVSDSTKNGDGTKRPFRQNTHGIQMTSIKKRRSPDDELLYLPVRGRETYEMLLKIKESLELMQYLPQHTIETYRQQQQQQHQHLLQKQTSIQSPSSYGNSSPPLNKMNSMNKLPSVSQLINPQQRNALTPTTIPDGMGANIPMMGTHMPMAGDMNGLSPTQALPPPLSMPSTSHCTPPPPYPTDCSIVSFLARLGCSSCLDYFTTQGLTTIYQIEHYSMDDLASLKIPEQFRHAIWKGILDHRQLHEFSSPSHLLRTPSSASTVSVGSSETRGERVIDAVRFTLRQTISFPPRDEWNDFNFDMDARRNKQQRIKEEGE", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVKRSKKSKSKRVTLKQKHKVLKKVKEHHKKKAKDAKKLGLHRKPRVEKDPGIPNDWPFKEQELKALEVRRARALEEIEQKKEARKERAKKRKLGLVDDEDTKTEGETIEDLPKVVNVRDNSERAFYKELVKVIELSDVILEVLDARDPLGTRCTDMERMVMQAGPNKHLVLLLNKIDLVPREAAEKWLMYLREEFPAVAFKCSTQEQRSNLGWKSSKASKPSNMLQTSDCLGADTLIKLLKNYSRSHELKKSITVGIIGLPNVGKSSLINSLKRAHVVNVGATPGLTRSLQEVHLDKNVKLLDCPGVVMLKSSGNDASIALRNCKRIEKLDDPVSPVKEILKLCPKDMLVTLYKIPSFEAVDDFLYKVATVRGKLKKGGLVDIDAAARIVLHDWNEGKIPYYTMPPKRDQGGHAESKIVTELAKDFNIDEVYSGESSFIGSLKTVNEFNPVIIPSNGPLNFDETMIEDESKTQTEEEAEHESDDDESMGGEEEEEAGKTKEKSETGRQNVKLYAAESMLNTKKQKAEKKKRKKAKKAGADEEDLMDGDYDFKVDYRKNKDGEDEEFQIDAKIPMAGLLPEE", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVFTSHKGVKRKSHHSQLSVDDVPKKIPLFKKKISQALKKASTFERQKLVRRIKNCRASEDADTLGRFEAEFEFAKQFDFTKFVDYCYYKKILKNKDFRKLLNENLHVDFPADLTEDAQRNTVARILNSKQLSDAIRNINSILEKYLRFLNPDLQELSDKKAVSSTQKPIKTIGKVDLSNKSTSNQDQVDNTHVQNSTDGVNQDTGMILDNTEDKEINKSMSYSMKNEGVKESSLQNATLINRKSIIDDEMLEIPLGKHNNTNLPALTAGFLDPVESDDEFVEKELEEVDIPKRKNRRGQRARQAIWEKKYGKGANHLIKKATEERSIREERQRKYEERQAKRAARENAFTEHQTPQKPEQLHPSWEAKRKQKMPSSAAFQGKKIVFD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNRLQAKKAVNVSRHEQKRIRYKLTPEEVKAERKERKRRAAIQRAEKKLIRAKGEALVAFQAVSNPSCNFLVIDFEAYEFNQKIITEAGITMRINGEWDYHHYRIKNFLHLRNGRFVPDEADNFQFGDSKIVTKIAFISILKKILKTPNLHLVGHGVENEIKYANVLGIPIPKDVTVLDTQNVFSFFQSLFLKEISNSNNISLAKMLTHLNIRAFCLHNAGNDARYTSEALREMTNKFTLSNF", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGQTGKKSEKGPVCWRKRVKSEYMRLRQLKRFRRADEVKSMFSSNRQKILERTEILNQEWKQRRIQPVHILTSVSSLRGTRECSVTSDLDFPTQVIPLKTLNAVASVPIMYSWSPLQQNFMVEDETVLHNIPYMGDEVLDQDGTFIEELIKNYDGKVHGDRECGFINDEIFVELVNALGQYNDDDDDDDGDDPEEREEKQKDLEDHRDDKESRPPRKFPSDKIFEAISSMFPDKGTAEELKEKYKELTEQQLPGALPPECTPNIDGPNAKSVQREQSLHSFHTLFCRRCFKYDCFLHPFHATPNTYKRKNTETALDNKPCGPQCYQHLEGAKEFAAALTAERIKTPPKRPGGRRRGRLPNNSSRPSTPTINVLESKDTDSDREAGTETGGENNDKEEEEKKDETSSSSEANSRCQTPIKMKPNIEPPENVEWSGAEASMFRVLIGTYYDNFCAIARLIGTKTCRQVYEFRVKESSIIAPAPAEDVDTPPRKKKRKHRLWAAHCRKIQLKKDGSSNHVYNYQPCDHPRQPCDSSCPCVIAQNFCEKFCQCSSECQNRFPGCRCKAQCNTKQCPCYLAVRECDPDLCLTCGAADHWDSKNVSCKNCSIQRGSKKHLLLAPSDVAGWGIFIKDPVQKNEFISEYCGEIISQDEADRRGKVYDKYMCSFLFNLNNDFVVDATRKGNKIRFANHSVNPNCYAKVMMVNGDHRIGIFAKRAIQTGEELFFDYRYSQADALKYVGIEREMEIP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKTNKKISKRRSLKNLHGALKGLLKESGKKSESKIRKHSDCNPVHRVYPPNIEKRKTKKDDGISRPIAERNGHVYIMSKENHIIPKLTDDEVMERHKLADENMRKVWSNIISKYESIEEQGDLVDLKTGEIVEDNGHIKTLTANNSTKDKRTKYTSVLRDIIDISDEEDGDKNDEYTLWANDSEASDSEVDADNDTEEEKDEKLIDADFKKYEAKLSKRILRD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGRKKIQIQRITDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNHSNKLFQYASTDMDKVLLKYTEYNEPHESRTNADIIETLRKKGFNGCDSPEPDGEDSLEQSPLLEDKYRRASEELDGLFRRYGSSVPAPNFAMPVTVPVSNQSSMQFSNPSSSLVTPSLVTSSLTDPRLLSPQQPALQRNSVSPGLPQRPASAGAMLGGDLNSANGACPSPVGNGYVSARASPGLLPVANGNSLNKVIPAKSPPPPTHNTQLGAPSRKPDLRVITSQGGKGLMHHLTEDHLDLNNAQRLGVSQSTHSLTTPVVSVATPSLLSQGLPFSSMPTAYNTDYQLPSAELSSLPAFSSPAGLALGNVTAWQQPQPPQQPQPPQPPQSQPQPPQPQPQQPPQQQPHLVPVSLSNLIPGSPLPHVGAALTVTTHPHISIKSEPVSPSRERSPAPPPPAVFPAARPEPGEGLSSPAGGSYETGDRDDGRGDFGPTLGLLRPAPEPEAEGSAVKRMRLDTWTLK", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEEITQHFGVGASSHGHGHGQHHHHHHHHHPWASSLSAVVAPLPPQPPSAGLPLTLNTVAATGNSGGSGNPVLQLANGGGLLDACVKAKEPSSSSPYAGDVEAIKAKIISHPHYYSLLTAYLECNKVGAPPEVSARLTEIAQEVEARQRTALGGLAAATEPELDQFMEAYHEMLVKFREELTRPLQEAMEFMRRVESQLNSLSISGRSLRNILSSGSSEEDQEGSGGETELPEVDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKEARQQLLSWWDQHYKWPYPSETQKVALAESTGLDLKQINNWFINQRKRHWKPSEEMHHLMMDGYHTTNAFYMDGHFINDGGLYRLG", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSSDIFDVLNIKQKSRSPTNGQVSVPSSSAANRPKPQVTGMQRELFNLLGENQPPVVIKSGNNFKEKMLSTSKPSPWSFVEFKANNSVTLRHWVKGSKELIGDTPKESPYSKFNQHLSIPSFTKEEYEAFMNENEGTQKSVESEKNHNENFTNEKKDESKNSWSFEEIEYLFNLCKKYDLRWFLIFDRYSYNNSRTLEDLKEKFYYTCRNYFKASDPSNPLLSSLNFSAEKEIERKKYLQRLLSRSAAEIAEEEALVVESKKFEMAAKRTLAERESLLRLLDSPHSDQTITQYLTSQGMSQLYNALLADKTRKRKHDLNIPENPWMKQQQQFAQHRQLQQLNVKKSEVKENLSPKKTKRQRQEMQTALKRKSESAYAEQLLKDFNSDERKALGVITHGEKLSPGVYLRSTKLSTFKPALQNKILAILQELSLPSRPVMPSFDVMERQEELLKKINTLIDLKKHVDKYEAGMSITK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MHDPFIAEENDLDLEEKRLQRQLNEIQEKKRLRSAQKEASSENAEVIQVPRSPPQQVRVLTVSSPSKLKSPKRLILGIDKGKTGKDVSLGKGPRGPLPKPFHERLAEARNQERKRSDKLKTMKKNRKQSFQRKRNILEDGKSEEEKFPMKCDEIDPYSRQAIVIRYISDEVAKENIGGNQVYLIHQLLKLVRAPKFEAPEVDNYVVMGIVASNSGTRETVNGNKYCMLTLTDLKWQLECFLFGKAFERYWKIQSGTVIALLNPEVLKPKNPDIGRFSLKLDSEYDVLLEIGRSKHLGYCSSRRKSGELCKHWLDKRAGDVCEYHVDLAVQRSMSTRTEFASSMATMHEPRARREKRFRGQGFQGYFAGEKYSAIPNAVAGLYDAEDAVQTERERKERYKKQRAQAEREREILVRLSKRCCASSSSSSNSNNLSTGMSMRTLGHQYLNLQGSGVKNLHDKGNPTALSKDSEIDSSTKKPSVLASFNASIMNPKSSLPSFSNSAILGTNDAASGTPVPQDTTSTKVSPAVVFTSSPRIFSPQSLRKIGFDPTHSADASTTHSTATGLSRSGSLKNIKFRYEFTESDDEDDLEIVP", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDPTKAPDFKPPQPNEELQPPPDPTHTIPKSGPIVPYVLADYNSSIDAPFNLDIYKTLSSRKKNANSSNRMDHIPLNTSDFQPLSRDVSSEEESEGQSNGIDATLQDVTMTGNLGVLKSQIADLEEVPHTIVRQARTIEDYEFPVHRLTKKLQDPEKLPLIIVACGSFSPITYLHLRMFEMALDDINEQTRFEVVGGYFSPVSDNYQKRGLAPAYHRVRMCELACERTSSWLMVDAWESLQSSYTRTAKVLDHFNHEINIKRGGIMTVDGEKMGVKIMLLAGGDLIESMGEPHVWADSDLHHILGNYGCLIVERTGSDVRSFLLSHDIMYEHRRNILIIKQLIYNDISSTKVRLFIRRGMSVQYLLPNSVIRYIQEYNLYINQSEPVKQVLDSKE", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAKPSRGRRSPSVSGSSSRSSSRSRSGSSPSRSISRSRSRSRSLSSSSSPSRSVSSGSRSPPRRGKSPAGPARRGRSPPPPPSKGASSPSKKAVQESLVLHVDSLSRNVNEAHLKEIFGNFGEVIHVEIAMDRAVNLPRGHGYVEFKARADAEKAQLYMDGAQIDGKVVKATFTLPPRQKVSSPPKPVSAAPKRDAPKSDNAAADAEKDGGPRRPRETSPQRKTGLSPRRRSPLPRRGLSPRRRSPDSPHRRRPGSPIRRRGDTPPRRRPASPSRGRSPSSPPPRRYRSPPRGSPRRIRGSPVRRRSPLPLRRRSPPPRRLRSPPRRSPIRRRSRSPIRRPGRSRSSSISPRKGRGPAGRRGRSSSYSSSPSPRRIPRKISRSRSPKRPLRGKRSSSNSSSSSSPPPPPPPRKT", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSSTMFVKRNPIRETTAGKISSPSSPTLNVAVAHIRAGSYYEIDASILPQRSPENLKSIRVVMVSKITASDVSLRYPSMFSLRSHFDYSRMNRNKPMKKRSGGGLLPVFDESHVMASELAGDLLYRRIAPHELSMNRNSWGFWVSSSSRRNKFPRREVVSQPAYNTRLCRAASPEGKCSSELKSGGMIKWGRRLRVQYQSRHIDTRKNKEGEESSRVKDEVYKEEEMEKEEDDDDGNEIGGTKQEAKEITNGNRKRKLIESSTERLAQKAKVYDQKKETQIVVYKRKSERKFIDRWSVERYKLAERNMLKVMKEKNAVFGNSILRPELRSEARKLIGDTGLLDHLLKHMAGKVAPGGQDRFMRKHNADGAMEYWLESSDLIHIRKEAGVKDPYWTPPPGWKLGDNPSQDPVCAGEIRDIREELASLKRELKKLASKKEEEELVIMTTPNSCVTSQNDNLMTPAKEIYADLLKKKYKIEDQLVIIGETLRKMEEDMGWLKKTVDENYPKKPDSTETPLLLEDSPPIQTLEGEVKVVNKGNQITESPQNREKGRKHDQQERSPLSLISNTGFRICRPVGMFAWPQLPALAAATDTNASSPSHRQAYPSPFPVKPLAAKRPLGLTFPFTIIPEEAPKNLFNV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSQWHHPRSGWGRRRDFSGRSSAKKKGGNHIPERWKDYLPVGQRMPGTRFIAFKVPLQKSFEKKLAPEECFSPLDLFNKIREQNEELGLIIDLTYTQRYYKPEDLPETVPYLKIFTVGHQVPDDETIFKFKHAVNGFLKENKDNDKLIGVHCTHGLNRTGYLICRYLIDVEGVRPDDAIELFNRCRGHCLERQNYIEDLQNGPIRKNWNSSVPRSSDFEDSAHLMQPVHNKPVKQGPRYNLHQIQGHSAPRHFHTQTQSLQQSVRKFSENPHVYQRHHLPPPGPPGEDYSHRRYSWNVKPNASRAAQDRRRWYPYNYSRLSYPACWEWTQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "METPSQRRATRSGAQASSTPLSPTRITRLQEKEDLQELNDRLAVYIDRVRSLETENAGLRLRITESEEVVSREVSGIKAAYEAELGDARKTLDSVAKERARLQLELSKVREEFKELKARNTKKEGDLIAAQARLKDLEALLNSKEAALSTALSEKRTLEGELHDLRGQVAKLEAALGEAKKQLQDEMLRRVDAENRLQTMKEELDFQKNIYSEELRETKRRHETRLVEIDNGKQREFESRLADALQELRAQHEDQVEQYKKELEKTYSAKLDNARQSAERNSNLVGAAHEELQQSRIRIDSLSAQLSQLQKQLAAKEAKLRDLEDSLARERDTSRRLLAEKEREMAEMRARMQQQLDEYQELLDIKLALDMEIHAYRKLLEGEEERLRLSPSPTSQRSRGRASSHSSQTQGGGSVTKKRKLESTESRSSFSQHARTSGRVAVEEVDEEGKFVRLRNKSNEDQSMGNWQIKRQNGDDPLLTYRFPPKFTLKAGQVVTIWAAGAGATHSPPTDLVWKAQNTWGCGNSLRTALINSTGEEVAMRKLVRSVTVVEDDEDEDGDDLLHHHHGSHCSSSGDPAEYNLRSRTVLCGTCGQPADKASASGSGAQVGGPISSGSSASSVTVTRSYRSVGGSGGGSFGDNLVTRSYLLGNSSPRTQSPQNCSIM", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "METPSQRRATRSGAQASSTPLSPTRITRLQEKEDLQELNDRLAVYIDRVRSLETENAGLRLRITESEEVVSREVSGIKAAYEAELGDARKTLDSVAKERARLQLELSKVREEFKELKARNTKKEGDLIAAQARLKDLEALLNSKEAALSTALSEKRTLEGELHDLRGQVAKLEAALGEAKKQLQDEMLRRVDAENRLQTMKEELDFQKNIYSEELRETKRRHETRLVEIDNGKQREFESRLADALQELRAQHEDQVEQYKKELEKTYSAKLDNARQSAERNSNLVGAAHEELQQSRIRIDSLSAQLSQLQKQLAAKEAKLRDLEDSLARERDTSRRLLAEKEREMAEMRARMQQQLDEYQELLDIKLALDMEIHAYRKLLEGEEERLRLSPSPTSQRSRGRASSHSSQTQGGGSVTKKRKLESTESRSSFSQHARTSGRVAVEEVDEEGKFVRLRNKSNEDQSMGNWQIKRQNGDDPLLTYRFPPKFTLKAGQVVTIWAAGAGATHSPPTDLVWKAQNTWGCGNSLRTALINSTGEEVAMRKLVRSVTVVEDDEDEDGDDLLHHHHVSGSRR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSSLAVRDPAMDRSLRSVFVGNIPYEATEEQLKDIFSEVGSVVSFRLVYDRETGKPKGYGFCEYQDQETALSAMRNLNGREFSGRALRVDNAASEKNKEELKSLGPAAPIIDSPYGDPIDPEDAPESITRAVASLPPEQMFELMKQMKLCVQNSHQEARNMLLQNPQLAYALLQAQVVMRIMDPEIALKILHRKIHVTPLIPGKSQPVSGPGPGGPGPSGPGGPGPGPAPGLCPGPNVMLNQQNPPAPQPQHLPRRPVKDIPPLMQTSIQGGIPAPGPIPAAVPGPGPGSLTPGGAMQPQVGMPVVGPVPLERGQMQISDPRPPMPRGPMPSGGIPPRGLLGDAPNDPRGGTLLSVTGEVEPRGYMGPPHQGPPMHHGHDNRGPASHDMRGGPLAADPRMLIGEPRGPMIDQRGLPMDGRGGRESRGMETRPMETEVLEPRGMERRMETCAMETRGMDARGLEMRGPGPSSRGPMTGGIQGPGPINMGAGGPQGPRQVPNIAGVGNPGGTMQGAGIQGGGMQGAGMQGGGMQGAGMQGGGMQGAGMQAGMQGASMQGGMQGAGMQGASKQGGGQPSSFSPGQSQVTPQDQEKAALIMQVLQLTADQIAMLPPEQRQSILILKEQIQKSTGAS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MMVQRLGPISPPASQVSTACKQISPSLPRAVNAANLNRPPSDTRSVILQESLVSTTLSLTESQSALSVKQEWSQSYRAFPSLSSSHSSQNGTDLGDLLSLPPGTPVSGNSVSNSLPPYLFGMENSHSPYPSPRHSATRAHSTRSKKRALSLSPLSDGIGIDFNTIIRTSPTSLVAYINGPRASPANLSPQSEVYGHFLGVRGSCIPQSCAVASGQKGILVASGGHTLPGYGEDGTLEYERMQQLEHGGLQPGPVNNMVLQPGLPGQDGQTANMLKTERLEEFPASALDLPSALPLPLPPPQGPPPPYHAHPHLHHPELLPHTQSLSLAQTGLEEDGEMEDSGGKHCCRWIDCSALYDQQEELVRHIEKVHIDQRKGEDFTCFWTGCPRRYKPFNARYKLLIHMRVHSGEKPNKCTFEGCKKAFSRLENLKIHLRSHTGEKPYLCQHPGCQKAFSNSSDRAKHQRTHLDTKPYACQIPGCTKRYTDPSSLRKHVKAHSSREQQARKKLRSSTELHPDLLTDCLAVQPLQPATSPGDAADHTVGHSPGPGPGPGPGAELYSAPIFASNHSTRSGTAAGAGPPPHPVSHPSPGHNVQGSPHNPSSQLPPLTAVDAGAERFAPPTPSPHHISPGRVPAPPSLLQRAQAPHSQQPPGSLLKPYQPETNSSFQPNGIHVHGFYGQLQTFCPPHYPDSQRTVPPSGSCSMVPSFEDCLVPTSMGQAGFDVFHRAFSTHSGITVYDLPSASSSLFGESLRSGPEDPTFLQLSAVDRCPSQLSSVYTEG", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAGRAQVPSSEQAWLEDAQVFIQKTLCPAVKEPNVQLTPLVIDCVKTVWLSQGRNQGSTLPLSYSFVSVQDLKTHQRLPCCSHLSWSSSAYQAWAQEAGPNGNPLPREQLLLLGTLTDLSADLEQECRNGSLYVRDNTGVLSCELIDLDLSWLGHLFLFPRWSYLPPARWNSSGEGHLELWDAPVPVFPLTISPGPVTPIPVLYPESASCLLRLRNKLRGVQRNLAGSLVRLSALVKSKQKAYFILSLGRSHPAVTHVSIIVQVPAQLVWHRALRPGTAYVLTELRVSKIRGQRQHVWMTSQSSRLLLLKPECVQELELELEGPLLEADPKPLPMPSNSEDKKDPESLVRYSRLLSYSGAVTGVLNEPAGLYELDGQLGLCLAYQQFRGLRRVMRPGVCLQLQDVHLLQSVGGGTRRPVLAPCLRGAVLLQSFSRQKPGAHSSRQAYGASLYEQLVWERQLGLPLYLWATKALEELACKLCPHVLRHHQFLQHSSPGSPSLGLQLLAPTLDLLAPPGSPVRNAHNEILEEPHHCPLQKYTRLQTPSSFPTLATLKEEGQRKAWASFDPKALLPLPEASYLPSCQLNRRLAWSWLCLLPSAFCPAQVLLGVLVASSHKGCLQLRDQSGSLPCLLLAKHSQPLSDPRLIGCLVRAERFQLIVERDVRSSFPSWKELSMPGFIQKQQARVYVQFFLADALILPVPRPCLHSATPSTPQTDPTGPEGPHLGQSRLFLLCHKEALMKRNFCVPPGASPEVPKPALSFYVLGSWLGGTQRKEGTGWGLPEPQGNDDNDQKVHLIFFGSSVRWFEFLHPGQVYRLIAPGPATPMLFEKDGSSCISRRPLELAGCASCLTVQDNWTLELESSQDIQDVLDANKSLPESSLTDLLSDNFTDSLVSFSAEILSRTLCEPLVASLWMKLGNTGAMRRCVKLTVALETAECEFPPHLDVYIEDPHLPPSLGLLPGARVHFSQLEKRVSRSHNVYCCFRSSTYVQVLSFPPETTISIPLPHIYLAELLQGGQSPFQATASCHIVSVFSLQLFWVCAYCTSICRQGKCTRLGSTCPTQTAISQAIIRLLVEDGTAEAVVTCRNHHVAAALGLCPREWASLLDFVQVPGRVVLQFAGPGAQLESSARVDEPMTMFLWTLCTSPSVLRPIVLSFELERKPSKIVPLEPPRLQRFQCGELPFLTHVNPRLRLSCLSIRESEYSSSLGILASSC", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPAILVASKMKSGLPKPVHSAAPILHVPPARAGPQPCYLKLGSKVEVSKTTYPSQIPLKSQVLQGLQEPAGEGLPLRKSGSVENGFDTQIYTDWANHYLAKSGHKRLIRDLQQDVTDGVLLAQIIQVVANEKIEDINGCPKNRSQMIENIDACLNFLAAKGINIQGLSAEEIRNGNLKAILGLFFSLSRYKQQQQQPQKQHLSSPLPPAVSQVAGAPSQCQAGTPQQQVPVTPQAPCQPHQPAPHQQSKAQAEMQSSASSKDSSQSKIIRFTLGQKKISRLPGPTARVSAAGSEAKTRGGSTTANNRRSQSFNNYDKSKPVTSPPPPPSSHEKEPLASSASSHPGMSDNAPASLESGSSSTPTNCSTSSAIPQPGAATKPWRSKSLSVKHSATVSMLSVKPPGPEAPRPTPEAMKPAPNNQKSMLEKLKLFNSKGGSKAGEGPGSRDTSCERLETLPSFEESEELEAASRMLTTVGPASSSPKIALKGIAQRTFSRALTNKKSSLKGNEKEKEKQQREKDKEKSKDLAKRASVTERLDLKEEPKEDPSGAAVPEMPKKSSKIASFIPKGGKLNSAKKEPMAPSHSGIPKPGMKSMPGKSPSAPAPSKEGERSRSGKLSSGLPQQKPQLDGRHSSSSSSLASSEGKGPGGTTLNHSISSQTVSGSVGTTQTTGSNTVSVQLPQPQQQYNHPNTATVAPFLYRSQTDTEGNVTAESSSTGVSVEPSHFTKTGQPALEELTGEDPEARRLRTVKNIADLRQNLEETMSSLRGTQVTHSTLETTFDTNVTTEMSGRSILSLTGRPTPLSWRLGQSSPRLQAGDAPSMGNGYPPRANASRFINTESGRYVYSAPLRRQLASRGSSVCHVDVSDKAGDEMDLEGISMDAPGYMSDGDVLSKNIRTDDITSGYMTDGGLGLYTRRLNRLPDGMAVVRETLQRNTSLGLGDADSWDDSSSVSSGISDTIDNLSTDDINTSSSISSYANTPASSRKNLDVQTDAEKHSQVERNSLWSGDDVKKSDGGSDSGIKMEPGSKWRRNPSDVSDESDKSTSGKKNPVISQTGSWRRGMTAQVGITMPRTKPSAPAGALKTPGTGKTDDAKVSEKGRLSPKASQVKRSPSDAGRSSGDESKKPLPSSSRTPTANANSFGFKKQSGSAAGLAMITASGVTVTSRSATLGKIPKSSALVSRSAGRKSSMDGAQNQDDGYLALSSRTNLQYRSLPRPSKSNSRNGAGNRSSTSSIDSNISSKSAGLPVPKLREPSKTALGSSLPGLVNQTDKEKGISSDNESVASCNSVKVNPAAQPVSSPAQTSLQPGAKYPDVASPTLRRLFGGKPTKQVPIATAENMKNSVVISNPHATMTQQGNLDSPSGSGVLSSGSSSPLYSKNVDLNQSPLASSPSSAHSAPSNSLTWGTNASSSSAVSKDGLGFQSVSSLHTSCESIDISLSSGGVPSHNSSTGLIASSKDDSLTPFVRTNSVKTTLSESPLSSPAASPKFCRSTLPRKQDSDPHLDRNTLPKKGLRYTPTSQLRTQEDAKEWLRSHSAGGLQDTAANSPFSSGSSVTSPSGTRFNFSQLASPTTVTQMSLSNPTMLRTHSLSNADGQYDPYTDSRFRNSSMSLDEKSRTMSRSGSFRDGFEEESWEKSSVDNFVSRLHSSLHFSLPLFHHARYELVHGSSLSLVSSTSSVYSTPEEKCQSEIRKLRRELDASQEKVSALTTQLTANAHLVAAFEQSLGNMTIRLQSLTMTAEQKDSELNELRKTIELLKKQNAAAQAAINGVINTPELNCKGNGTAQSADLRIRRQHSSDSVSSINSATSHSSVGSNIESDSKKKKRKNWVNELRSSFKQAFGKKKSPKSASSHSDIEEMTDSSLPSSPKLPHNGSTGSTPLLRNSHSNSLISECMDSEAETVMQLRNELRDKEMKLTDIRLEALSSAHQLDQLREAMNRMQSEIEKLKAENDRLKSESQGSGCSRAPSQVSISASPRQSMGLSQHSLNLTESTSLDMLLDDTGECSARKEGGRHVKIVVSFQEEMKWKEDSRPHLFLIGCIGVSGKTKWDVLDGVVRRLFKEYIIHVDPVSQLGLNSDSVLGYSIGEIKRSNTSETPELLPCGYLVGENTTISVTVKGLAENSLDSLVFESLIPKPILQRYVSLLIEHRRIILSGPSGTGKTYLANRLSEYIVLREGRELTDGVIATFNVDHKSSKELRQYLSNLADQCNSENNAVDMPLVIILDNLHHVSSLGEIFNGLLNCKYHKCPYIIGTMNQATSSTPNLQLHHNFRWVLCANHTEPVKGFLGRFLRRKLMETEISGRVRNMELVKIIDWIPKVWHHLNRFLEAHSSSDVTIGPRLFLSCPIDVDGSRVWFTDLWNYSIIPYLLEAVREGLQLYGRRAPWEDPAKWVMDTYPWAASPQQHEWPPLLQLRPEDVGFDGYSMPREGSTSKQMPPSDAEGDPLMNMLMRLQEAANYSSPQSYDSDSNSNSHHDDILDSSLESTL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDLHQLLKYRLTGANVVYEIPTENNLQNSPWQANPLKYEFSDSPYTPLSSQFECDNLSALTNTPDNQSSTETISAQPISPLEADSSYRQAGILLQENIQVGADPLYATSRHNMQHALREIETVLMAPDTDDATTSTKHEFEEIKPAQLVRQRSRTWSHESRQPLPGVGRSQFASGGYPTASYEFRPEKRQRELREDPQIIVKQLLTRCAEALSEDRTEEFHKLVQEARGVVSINGEPIQRLGAYLLEGLVARHGNSGTNIYRALKCREPESKELLSYMRILYNICPYFKFGYMAANGAIAEALRTENNIHIIDFQIAQGTQWITLIQALAARPGGPPRVRITGIDDPVSEYARGEGLDIVGKMLKSMSEEFKIPLEFTPLSVYATQVTKEMLEIRPGEALSVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFLMRFGETMEYYSAMFESIDANLPRDNKERISVEQHCLAKDIVNIIACEGKDRVERHELLGKWKSRLTMAGFRPYPLSSYVNSVIRKLLACYSDKYTLDEKDGAMLLGWRSRKLISASAWH", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSNQMEFIMQLYMMNLMKQQQQMQLQLPQQQQQQQLAGYTYTQNEDISSSTSVQQQQQQQQEQLQQPQPDLRKTRTHKRISSQNTNCSSSRSCSPNSNLIAFQPQQYPGATAATPSTPNSHPSNLVNQMLLQSLPPLTQLMLQQQQQQHLLTTSNLLLTPTHTPSSLGKQDPLQHPLLLGQFAGSEQMATNNFLQSSTVTSTPIEREKAATPAPSAGATAGNLSAAQVKFEQESADDDEDDDKPLSSLTSCSSSGHTNASSEKLLLSGVHPLESTTDSLDSPSMYTPVKQPADSSYGLITPVDSDLTPNTPLQPTQTISLLTPPSSEQSKSLVSLSAASGLDALLQNEEVLKNLRKVSSYLECENSLCRQENLREHFHCHEEPCQGKILSKKDDIIRHLKWHKKRKESLKLGFARFSSSDDCAPAYGEGCAYNWKQTHYHCVYEHCPKVYVSTSDVQMHANFHRKDSEIVNEGFRRFRAHETCRIEDCPFFGKKISHYHCCREGCTHTFKNKADMDKHKTYHLKDHQLKMDGFKKILKTEVCPFDACKFSTVCNHIHCVREGCDYILHSSSQMISHKRKHDRQDGEQAYQQFKIKQDVEESSLDAMPQQQQQQQQQQPTSLSQSQSSSSVCGGSNTSTPLSSLSAEHFLARKRGRPPKKIQLPADAQQSEAKRLKVEDESSNPAMLLPQSQPAAAVHPLTSGLFPGLLPAAAAPGVDPTAPNFQLTHLMALFQLQNPLFYQNLYPGMTQNSSMLGNLAALSAASAAAAAAAAANGAGVQQPKAEFSFKPEFKE", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDEYQDMERFSMDNDYEGGRWEGDEFVYQKRKEKRKQTKNDATYGIFAESDSDSDDSGGGGSRRKRRKDRDSGRKADLTKPVNFVSTGTVMPNQEIDKDSREHNDEKDRDKIEDNDMIDEDVEVRGGLGIGSSGLGLGFNANGFDDEDNLLPGALGKKIADRAKMRGKAKVEKRGQEGGGAKGGKKNTLGSDIGQFEKSTKGIGMKLLEKMGYKGGGLGKNQQGIVAPIEAQLRPKNMGMGYNDFKEAKLPDLKKVEEKKIIGVSVSENEQSHGDRGGKNLWKKKKVRKAVYVTAEELLEKKQEAGFGGGQTIIDMRGPQVRVVTNLENLDAEEKAKEADVPMPELQHNLRLIVDLVEHEIQKIDRDLRNERESALSLQQEKEMLINEEEKQKRHLENMEYIADEISRIELENTSGNLTLDSLAIRFEDLQTSYPDDYKLCSLSTIACSLALPLFIRMFQGWDPLSDAVHGLKAISSWRKLLEVEEDHNIWVVSTPYSQLVSEVVLPAVRIAGINTWEPRDPEPMLRFLETWETLLPSSVLQTILDTVVLPKLSTAVEYWDPRRELVAIHVWVHPWLPILGQKLEFLYQIIQMKLSNVLDAWHPSDSSAYTILSPWKTVFDTTSWEQLMRRYIVPKLQLALQEFQVNPANQNLERFDWVMKWASAVPIHLMADMMERFFFPKWLDVLYHWLRAKPRFEEIQGWYYGWKELFPQELTANERIRIQLKRGLDMLMEAVEGVEVSQPRAKANERTQSVPAQAQAQAKAQMDSTEVLSLKEVLEVFAQEQELLFKPKPNRMHNGLQIYGFGNVSVIIDSVNQKLLAQKDGGWFLVTPDDLLRMHNNTTVSAKR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDDDQQFCLRWNNHQSTLISVFDTLLENETLVDCTLAAEGKFLKAHKVVLSACSPYFATLLQEQYDKHPIFILKDVKYQELRAMMDYMYRGEVNISQDQLAALLKAAESLQIKGLSDNRTGGGVAPKPESSGHHRGGKLSGAYTLEQTKRARLATGGAMDTSGDVSGSREGSSSPSRRRRKVRRRSMENDAHDNSNSSVLQAAASNQSILQQTGAGLAVSALVTTQLSSGPAAGTSSQASSTQQQQPLTSTNVTKKTESAKLTSSTAAPASGASASAAVQQAHLHQQQAQTTSDAINTENVQAQSQGGAQGVQGDDEDIDEGSAVGGPNSATGPNPASASASAVHAGVVVKQLASVVDKSSSNHKHKIKDNSVSSVGSEMVIEPKAEYDDDAHDENVEDLTLDEEDMTMEELDQTAGTSQGGEGSSQTYATWQHDRSQDELGLMAQDAQQRDPQDLSITRIAGLTWNEWNARLAMPLVTLREGVQPLVFPTDLSVDKQQGAAGLTAKDVNVSGRKTPTDGGGCKSEPRAASTPARTHSSSNHSSNGNGSGKPTKTSSGGKLNHLTEEEATALMLKAVAEKQAAAAAGTELSFGEDQASSGNGNSSDYPATLSGAVTFADVGGPAGLCHINILNSISAMNNLISGSTAAGVGITTGSGQSPSNSGHNNSAGGGSSVLGGADNGAGHPCPVCGRVYKLKSSLRNHQKWECGKEPQFQCPFCVYRAKQKMHIGRHMERMHKEKFKLEDVKNFAGSSGLDGDSSGATATAASVVAAAAALVSGVELHPHFS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGHHSCCNKQKVKRGLWSPEEDEKLINYINSYGHGCWSSVPKHAGTYTHIHGFCLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLMSHHHHGHHHHHLSSMASLLTNLPYHNGFNPTTVDDESSRFMSNIITNTNPNFITPSHLSLPSPHVMTPLMFPTSREGDFKFLTTNNPNQSHHHDNNHYNNLDILSPTPTINNHHQPSLSSCPHDNNLQWPALPDFPASTISGFQETLQDYDDANKLNVFVTPFNDNAKKLLCGEVLEGKVLSSSSPISQDHGLFLPTTYNFQMTSTSDHQHHHRVDSYINHMIIPSSSSSSPISCGQYVIT", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSAEASGPAAAAAPSLEAPKPSGLEPGPAAYGLKPLTPNSKYVKLNVGGSLHYTTLRTLTGQDTMLKAMFSGRVEVLTDAGGWVLIDRSGRHFGTILNYLRDGSVPLPESTRELGELLGEARYYLVQGLIEDCQLALQQKRETLSPLCLIPMVTSPREEQQLLASTSKPVVKLLHNRSNNKYSYTSTSDDNLLKNIELFDKLALRFHGRLLFLKDVLGDEICCWSFYGQGRKIAEVCCTSIVYATEKKQTKVEFPEARIFEETLNILIYETPRGPDPALLEATGGAAGAGGAGRGEDEENREHRVRRIHVRRHITHDERPHGQQIVFKD", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKGLVSTGWKGPVKFRMPTAENLVPIRLDIQFEGQRYKDAFTWNPSDPDNEVVIFAKRTVKDLKLPYAFVTQIAQSIQSQLSDFRAYEGQDMYTGEKIIPIKLDLRVNHTLIKDQFLWDLNNFESDPEEFARTLCKDLGVEDPEVGPAVAFAIREQLYEIAIQSVASARESRLSKKGRRGSDHGSASKASGLSMDLMKLFSFKSSVVRKRKDLDVYEPVVDLLTSEEVDALEAREERHAR", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPKTKKKDSSSDSDSGPDDRIKPASKKAKESDAPNSDPKDSGENGATSWTLEGLRQVRINEFRGRKSVDIREFYDKGGQILPGKKGISLSLIQWKKLLEVAEEVTRAIEN", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MERARPEPPPQPRPLRPAPPPLPVEGTSFWAAAMEPPPSSPTLSAAASATLASSCGEAVASGLQPAVRRLLQVKPEQVLLLPQPQAQNEEAAASSAQARLLQFRPDLRLLQPPTASDGATSRPELHPVQPLALHVKAKKQKLGPSLDQSVGPRGAVETGPRASRVVKLEGPGPALGYFRGDEKGKLEAEEVMRDSMQGGAGKSPAAIREGVIKTEEPERLLEDCRLGAEPASNGLVHGSAEVILAPTSGAFGPHQQDLRIPLTLHTVPPGARIQFQGAPPSELIRLTKVPLTPVPTKMQSLLEPSVKIETKDVPLTVLPSDAGIPDTPFSKDRNGHVKRPMNAFMVWARIHRPALAKANPAANNAEISVQLGLEWNKLSEEQKKPYYDEAQKIKEKHREEFPGWVYQPRPGKRKRFPLSVSNVFSGTTQNIISTNPTTVYPYRSPTYSVVIPSLQNPITHPVGETSPAIQLPTPAVQSPSPVTLFQPSVSSAAQVAVQDPSLPVYPALPPQRFTGPSQTDTHQLHSEATHTVKQPTPVSLESANRISSSASTAHARFATSTIQPPREYSSVSPCPRSAPIPQASPIPHPHVYQPPPLGHPATLFGTPPRFSFHHPYFLPGPHYFPSSTCPYSRPPFGYGNFPSSMPECLSYYEDRYPKHEGIFSTLNRDYSFRDYSSECTHSENSRSCENMNGTSYYNSHSHSGEENLNPVPQLDIGTLENVFTAPTSTPSSIQQVNVTDSDEEEEEKVLRDL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAGNDCGALLDEELSSFFLNYLADTQGGGSGEEQLYADFPELDLSQLDASDFDSATCFGELQWCPENSETEPNQYSPDDSELFQIDSENEALLAELTKTLDDIPEDDVGLAAFPALDGGDALSCTSASPAPSSAPPSPAPEKPSAPAPEVDELSLLQKLLLATSYPTSSSDTQKEGTAWRQAGLRSKSQRPCVKADSTQDKKAPMMQSQSRSCTELHKHLTSAQCCLQDRGLQPPCLQSPRLPAKEDKEPGEDCPSPQPAPASPRDSLALGRADPGAPVSQEDMQAMVQLIRYMHTYCLPQRKLPPQTPEPLPKACSNPSQQVRSRPWSRHHSKASWAEFSILRELLAQDVLCDVSKPYRLATPVYASLTPRSRPRPPKDSQASPGRPSSVEEVRIAASPKSTGPRPSLRPLRLEVKREVRRPARLQQQEEEDEEEEEEEEEEEKEEEEEWGRKRPGRGLPWTKLGRKLESSVCPVRRSRRLNPELGPWLTFADEPLVPSEPQGALPSLCLAPKAYDVERELGSPTDEDSGQDQQLLRGPQIPALESPCESGCGDMDEDPSCPQLPPRDSPRCLMLALSQSDPTFGKKSFEQTLTVELCGTAGLTPPTTPPYKPTEEDPFKPDIKHSLGKEIALSLPSPEGLSLKATPGAAHKLPKKHPERSELLSHLRHATAQPASQAGQKRPFSCSFGDHDYCQVLRPEGVLQRKVLRSWEPSGVHLEDWPQQGAPWAEAQAPGREEDRSCDAGAPPKDSTLLRDHEIRASLTKHFGLLETALEEEDLASCKSPEYDTVFEDSSSSSGESSFLPEEEEEEGEEEEEDDEEEDSGVSPTCSDHCPYQSPPSKANRQLCSRSRSSSGSSPCHSWSPATRRNFRCESRGPCSDRTPSIRHARKRREKAIGEGRVVYIQNLSSDMSSRELKRRFEVFGEIEECEVLTRNRRGEKYGFITYRCSEHAALSLTKGAALRKRNEPSFQLSYGGLRHFCWPRYTDYDSNSEEALPASGKSKYEAMDFDSLLKEAQQSLH", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTMINPLSYPVPSQYSYTYNNNNNNSNNSSNNNSNNNSNNNNNNNNNCGVPNVSYGSNLNQQSMAQDLRVPEQYSISSPSPKKRPFNSTMTTSPPTPTLLSNSPYIHGSHIVPQYSPQLQNVYNTPHQSSHSIHQPPQQTPNIFNNNNNNNNNNEKSNNQNFGNTLFNSITNNSSNSSNSLIQQNNLTNNGSSSSSSSSSSTNFNQNNNFINSSNDSIYNSNICSFYGNTSSSSSSSLNGIESPPSVELMDDDIEDQLREYISPVIWGMMDNYAREQFYNAIVEFINEEISFRSLLLELRVIAVMDVNYKSIFQFLVDLFLSIEPNQKMVNYLRDNGVEENEFNIDLKSLELSSDNDSNQKKKRERIRKSVSRGLRNPPNKWAKEESQKLIQLVHEHGDKQWKKIAHQIGGGKTGAQCAQHWKRVLCPAIRKGSWDEDEESKLFNLVEKHGQSWKNVASEIRTRTDIQCRYQYFKSCMSREVQWSSREDEILQKKVSENNQQDGTININNTRDISWMDVSKAMARGRQTKIPRTALECKTRYFQLNFGGAPIQIVVPHNDDHLNNPHSPLNSLLQDQNCY", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSVRIDEPQLFVSMSKEPTQETVNVGGHHDDSSSNCDERVDDQTEEQKSPPASPDLTANLNVFDLESRQKVVQRLLNSQLNLSNLRAPLNLPPIFQALQGPFSIQQQLLGLASGLTAISPGLDDYDEENTNQGEPEDLTLGGFRKETSVKSEEPSESGINASGPAWSYEEQFKQLYELSDDVKRKEWLDDWLNFMHRIGKPVTRIPIMAKQVLDLYELYRLVVQHGGLVEIINKKLWREITKGLNLPSSITSAAFTLRTQYQKYLYDYECEKEKLSNQSDLQQAIDGNRREAPGRRTAPSFPLPFQLPHAASAAATMLNNQLNGLGMRNDLLDDENTLSLQASGLFGTSYGAEQMAILEAHQRNLERAQRAVQQQVARQSLGLTACSNGNGGNIHNSGRESTSSNDSDIPAKRPKLENDVKTNGASSMRISTKHSDNSKTSMSVSMEINGITYQGVLFALDETVSES", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVSTHNRDKPWDTDDIDKWKIEEFKEEDNASGQPFAEESSFMTLFPKYRESYLKTIWNDVTRALDKHNIACVLDLVEGSMTVKTTRKTYDPAIILKARDLIKLLARSVPFPQAVKILQDDMACDVIKIGNFVTNKERFVKRRQRLVGPNGNTLKALELLTKCYILVQGNTVSAMGPFKGLKEVRRVVEDCMKNIHPIYHIKELMIKRELAKRPELANEDWSRFLPMFKKRNVARKKPKKIRNVEKKVYTPFPPAQLPRKVDLEIESGEYFLSKREKQMKKLNEQKEKQMEREIERQEERAKDFIAPEEEAYKPNQN", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDDALHALSSLTAKKRTTTYKKVAVPILDENDNTNGNGPNDIDNPPELTGNGFLFANATLNRVKNRLEGKKAPEQNHNNGKDRSENSLPTQLISNLYDGGEELEKSEVKDNSYSEKNVSSSFTQTQRIPVSIQQDKVFNVPIHSVNDGKPTQLIKEDGLVNETSQALKTPLTTGRPGATQRIDSSGATSQTQPIKSIEPQSQIITTSSNHSNALSPKIPIIPTELIGTSPLFQSIQNRGPDTQMDVPPQTAHDEDKTQAIGIPQATHQEQKTQIDTVAQTLQDEVPHTLKIREIQSELASEDSKREKARNVEYKKPQKPIPTKKFFSKESFLADFDDSSSNEDDDIKLENAHPKPVQNDDELHENKSVELNLTDETRINEKRVPLLSSYANNLKREIDSSKCITLDLDSDSDEYGDDDMDSIKLSKDESVLPISQLSKATILNLKARLSKQNQKLSQRPNKSKDPKVDHNVLLNTLRKASRKQILDHQKEVIETKGLKLEDMAKEKEIVENLLEQEILRNKRIRQKEKRREKLEENDFQLNAHDSGSDSGSESSGFALSGNEIADYESSGSENDNRRESDSEKEDDEIILKQKKSHHVKHIINESDSDTEVEAKPKEKADESLPKRIAINLGHYGDNIGEDTDKFQETNVLDTQNIEEVMAERNTIENEVKDDVYVNEEADEAIRRQLIDKEKLQLKQKEKEHEAKIKELKKRGVTNFFEMEAEESEDEWHGIGGADGEGSDDYDSDLEKMIDDYSKNNFNPHEIREMLAAENKEMDIKMINKILYDIKNGGFRNKRAKNSLELELSDDDEDDVLQQYRLKRRELMRKRRLEIGDDAKLVKNPKSSAFFESMVEDIIEYKNPFGAEEEYNLDITSTATDLDTQDNSINVGDNTGNNEQKPVDQKNKKVIISEDFVQKSLSFLKSNNYEDFETDKELSRIQHGNDEAIEDLYTLKQNSSIKSFTNSQTDSTTSKTVNTIIDLEKRPEDEDEVENGDTSLVGVFKHPSIIKSFASRTDINDKFKEGNKTVKILKSYKTVGSSKASITYMGKTRKLIAPKRKTEGSHRYHHDHHNKKMKMKTKTKSNKLFESGQDSFDN", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLPGAEIEMLGGDCFEGSYEDHQIFREVFFGSDPGNTTKRCLVTGAINFECDSSKNVNSSLSSNSVVTSGYACPQGFEASASRDGSDFNTKAKRVKLSGNKHLDARDEKGSALHGFPTSDIARETIPLHLVESSNKGVSTSSYLLKHSIVKGREVYLGGIVSGKCKSLNLDKCDGKEFKAIASPVSQESFATRMISVGASTPHSEKACFPLQLNNGSKVSPNELIMSKTCLKIDPKEDPRPLLYKYVCKVLTAARWKIEKRERSAGRKHVDTFYISPEGRKFREFGSAWKALGGILLADRKLMDTGTKKWTGINDFWSDLSLTLLDIEENMKNLNLANTRALWWSALEPFVVVVFISKQVGSLRKGNKVEVARNSNPDKLKKEDTICLNLISGCPESVLTVSEGSHLVHDVDANQEIHSDLEVQTKISSQKVSSRLERQSIIGKEISGTHEQEASKGIVASKLIAEDMHESVMRKNLHRRSKKISDIKPASLDQHDSLDSNSLNSFEFQDKEMGNIHLVSKGSRDERLRNEKMNNSCCNSKKGRKKARKHYTQDDDLMGSTITRNKGKFSRSSQKKKTQKPKARTKKRNNRGGCRLLPRSSSNVENHFFQGNWSILGPRTVLSWLIATKVISRDEVIQLRDPDDDTVVKTGLVTKDGVVCTCCNKTVSLSEFKNHAGFNQNCPCLNLFMGSGKPFASCQLEAWSAEYKARRNGWRLEKASDDDPNDDSCGVCGDGGELICCDNCPSTFHQACLSMQVLPEGSWYCSSCTCWICSELVSDNAERSQDFKCSQCAHKYHGTCLQGISKRRKLFPETYFCGKNCEKVYNGLSSRVGIINPNADGLSWSILKCFQEDGMVHSARRLALKAECNSKLAVALSIMEESFLSMVDPRTGIDMIPHVLYNWGSTFARLDFDGFYTVVVEKDDVMISVASIRVHGVTIAEMPLVATCSKYRRQGMCRILVAAIEEMLMSLKVEKLVVAALPSLVETWTEGFGFKPMDDEERDALKRINLMVFPGTTLLKKTLYESTKPSTMKGVCLSKERNNPSNKEADLEPGLDKAGSPMSTQVESCDQMVPAGSDDEPSPGFPVPLGADQTEPTSETENPSRDSNANDRPNKTTVVSIGEEEEEECLQKDVSKLSEEGKETTRASSSSAALEEVSGLGLGVVNNVSDEMLLCVDEQLDSDSSQDSE", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MALPRPSEAVPQDKVCYPPESSPQNLAAYYTPFPSYGHYRNSLATVEEDFQPFRQLEAAASAAPAMPPFPFRMAPPLLSPGLGLQREPLYDLPWYSKLPPWYPIPHVPREVPPFLSSSHEYAGASSEDLGHQIIGGDNESGPCCGPDTLIPPPPADASLLPEGLRTSQLLPCSPSKQSEDGPKPSNQEGKSPARFQFTEEDLHFVLYGVTPSLEHPASLHHAISGLLVPPDSSGSDSLPQTLDKDSLQLPEGLCLMQTVFGEVPHFGVFCSSFIAKGVRFGPFQGKVVNASEVKTYGDNSVMWEIFEDGHLSHFIDGKGGTGNWMSYVNCARFPKEQNLVAVQCQGHIFYESCKEIHQNQELLVWYGDCYEKFLDIPVSLQVTEPGKQPSGPSEESAEGYRCERCGKVFTYKYYRDKHLKYTPCVDKGDRKFPCSLCKRSFEKRDRLRIHILHVHEKHRPHKCSTCGKCFSQSSSLNKHMRVHSGDRPYQCVYCTKRFTASSILRTHIRQHSGEKPFKCKYCGKSFASHAAHDSHVRRSHKEDDGCSCSICGKIFSDQETFYSHMKFHEDY", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "METVPPSPITWPDGGALTNDWVHGLMSCFEWSSWNLPPSQLPSLLPVNVFDSLVLTAHKILHKERNCVHIDDLDSVSNVVVVGDIHGQLHDLLFLLKDTGFPCQNRCYVFNGDYVDRGAWGLETFLVLLSWKVLMPDRVYLLRGNHESKYCTSMYGFEKEVLTKYGDKGKHVYRKCLGCFEGLPLASIISGRVYTAHGGLFRSPVLPKRTTRGKKNRRVVLLEPEPSSMKLGTLDELMQARRSVLDPPWEGSNLIPGDVLWSDPSMTPGLSPNEQRGIGLLWGPDCTEDFLKKYELKLIIRSHEGPDAREKRTGLGGMDNGYTIDHNVESGKLITIFSAPDYPQFQATEERYKNKGAYIILQAPDFSDPQFHSFEAVKPRPKAHPYYDFENVIDSDDEMDKSAMDTNNEQPNS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MYPNWGRYGGSSHYPPPPVPPPPPPVALPEASPGPGYSSSTAPAAPSSSGFMSFREQHLAQLQQLQQMHQKQMQCVLQPHHLPPPPLPPPPVMPGGGYGDWQPPPPPMPPPPGPALSYQKQQQYKHQMIHHQRDGPPGLVPMELESPPESPPVPPGSYMPPSQSYMPPPQPPPSYYPPSSAQPYLPPAQPGPSKPQLPPPPSIPSGNKTAIQQEPLESGAKNKTAEQKQAAPEPDPSTMTPQEQQQYWYRQHLLSLQQRTKVHLPGHKKGLVTAKDVPEPIKEEAPGPAASQVAEPLAAEKPPLPPPNEEAPPPLSPEEPQSEDSEDSEDSEEDARFKQLKAIAAHWQAAAAHWQQQQQQRVGFQYQGIMQRHTQLQQILQQYQQVIQHSPHIQTMSLDVQLRHYEMQQQQFQHLFQDWEREFQLWEEQLHSYPHKDQLQEYEKQWKTWQGHMKATQTYLQEKVNSFQTVKSQYLGNMAMPPPFVPYSQMPPPLPTMPPPVLPPSLPPPVMPPALPTSIPPPGMPPPVMPPSLPTSVPPPGMPPSLSSGPPPVLPPPALSSAGSPPVLPPPALPGGPPILPLPPLSSATPPPGIPPPGAPQGMPPQLTAPLPPASGSQNSQIPEKPRQALLPTPVSFGSTPPSPYHPPPQSEQVNSKPLNKVFSSEQGLGESSALSQSIIAAKDTPVKSGGLLADPPKGSFLEGPRGPREQKEQLQKLKDFGSEPQMADHLPPPDSRLQNPSRPGMYPPPGSYRPPPPMGKPPGSIVRPSAPPARSSIPMTRPPVPIPPPPPPPPPPPPPPPVIKSKTSSVKQERWDEDSFFGLWDTNDDQGLNSEFKRDTATIPSAPVLPPPPVHSSIPPPGPMPMGMPPMSKPPPVQHTVDYGHGRDMPTNKVEQIPYGERITLRPDPLPERSTFDADHAGQRDRYDRDRDREPYFDRPSNITDHRDFKRDRETHRDRDRDRVLDYERDRFDRERRPRDDRNQSYRDKKDHSSSRRGGFDRPSYDRKSDRPPYEGPPMFGGERRTYPEERMPLPAPALGHQPPPVPRVEKKPESKNVDDILKPPGRESRPERIVVIMRGLPGSGKTHVAKLIRDKEVEFGGPAPRVLSLDDYFIAEVEKEEKDPDSGKKVKKKVMEYEYEADMEETYRTSMFKTFKKTLDDGFFPFIILDAINDRVRHFDQFWSAAKTKGFEVYLAEMSADNQTCGKRNIHGRKLKEINKMAEHWEVAPRHMMRLDIRSLLQDAAIEEVEMEDFDANIEDQKEEKKDAEEEESELGYIPKSKWEMDTSEAKLDKLDGLRTGTKRKRDWEAIASRMEDYLQLPDDYETRASEPGKKRVRWADLEEKKDADRKRAIGFVVGQTDWEKITDESGHLAERALNRTKYI", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRDYEGNGVDIDNNGASPYSQHISRDHENERDSSRSRDKERDKGRDKDRDRDRNRDRDRDRDRVKERDKDRHRDRDGEKDRDRHHRDRHRDRSDRRERERTRDRDEDDLHRSRDYDRRRDNDKDREDRRRHRPSSRGRSEHRSKSRSRSPSKSKRISGFDMAPPTTALLPGATDAAGQVPGTNPAIPGLFSNMFPLASSQFGALPMMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSHVMYAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGVIFEGGPVKVRRPSDYNPSLAATLGPSQPSPNLNLAAVGSTPGSSGGLEGPDRIFVGGLPYYFTESQIRELLESFGQLRGFDLVKDRETGNSKGYAFCVYQDVSVTDIACAALNGIKMGDKTLTVRRANQGTTQPNPEQESVLLHAQQQIALQRFMLQPGALATKVLCLTEVVTVDELNDDDDYQDILEDMRTECEKFGALVNVVIPRPNPNGVPTPGLGKVFLEYADVDGSSKARQGLNGRKFGGNQVVAVFYPENKFSEGDYEA", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFRSKRSGLVRRLWRSRVVPDREEGGSGGGGGGDEDGSLGSRAEPAPRAREGGGCGRSEVRPVAPRRPRDAVGQRGAQGAGRRRRAGGPPRPMSEPGAGAGSSLLDVAEPGGPGWLPESDCETVTCCLFSERDAAGAPRDASDPLAGAALEPAGGGRSREARSRLLLLEQELKTVTYSLLKRLKERSLDTLLEAVESRGGVPGGCVLVPRADLRLGGQPAPPQLLLGRLFRWPDLQHAVELKPLCGCHSFAAAADGPTVCCNPYHFSRLCGPESPPPPYSRLSPRDEYKPLDLSDSTLSYTETEATNSLITAPGEFSDASMSPDATKPSHWCSVAYWEHRTRVGRLYAVYDQAVSIFYDLPQGSGFCLGQLNLEQRSESVRRTRSKIGFGILLSKEPDGVWAYNRGEHPIFVNSPTLDAPGGRALVVRKVPPGYSIKVFDFERSGLQHAPEPDAADGPYDPNSVRISFAKGWGPCYSRQFITSCPCWLEILLNNPR", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRQSGASQPLLINMYLPDPVGDGLFKDGKNPSWGPLSPAVQKGSGQIQLWQFLLELLADRANAGCIAWEGGHGEFKLTDPDEVARRWGERKSKPNMNYDKLSRALRYYYDKNIMSKVHGKRYAYRFDFQGLAQACQPPPAHAHAAAAAAAAAAAAQDGALYKLPAGLAPLPFPGLSKLNLMAASAGVAPAGFSYWPGPGPAATAAAATAALYPSPSLQPPPGPFGAVAAASHLGGHYH", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPKVKSGAIGRRRGRQEQRRELKSAGGLMFNTGIGQHILKNPLIINSIIDKAALRPTDVVLEVGPGTGNMTVKLLEKAKKVVACELDPRLVAELHKRVQGTPVASKLQVLVGDVLKTDLPFFDTCVANLPYQISSPFVFKLLLHRPFFRCAILMFQREFALRLVAKPGDKLYCRLSINTQLLARVDHLMKVGKNNFRPPPKVESSVVRIEPKNPPPPINFQEWDGLVRITFVRKNKTLSAAFKSSAVQQLLEKNYRIHCSVHNIIIPEDFSIADKIQQILTSTGFSDKRARSMDIDDFIRLLHGFNAEGIHFS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPRRKKKVKEVSESRNLEKKDVETTSSVSVKRKRRLEDAFIVISDSDGEEPKEENGLQKTKTKQSNRAKCLAKRKIAQMTEEEQFALALKMSEQEAREVNSQEEEEEELLRKAIAESLNSCRPSDASATRSRPLATGPSSQSHQEKTTDSGLTEGIWQLVPPSLFKGSHISQGNEAEEREEPWDHTEKTEEEPVSGSSGSWDQSSQPVFENVNVKSFDRCTGHSAEHTQCGKPQESTGRGSAFLKAVQGSGDTSRHCLPTLADAKGLQDTGGTVNYFWGIPFCPDGVDPNQYTKVILCQLEVYQKSLKMAQRQLLNKKGFGEPVLPRPPSLIQNECGQGEQASEKNECISEDMGDEDKEERQESRASDWHSKTKDFQESSIKSLKEKLLLEEEPTTSHGQSSQGIVEETSEEGNSVPASQSVAALTSKRSLVLMPESSAEEITVCPETQLSSSETFDLEREVSPGSRDILDGVRIIMADKEVGNKEDAEKEVAISTFSSSNQVSCPLCDQCFPPTKIERHAMYCNGLMEEDTVLTRRQKEAKTKSDSGTAAQTSLDIDKNEKCYLCKSLVPFREYQCHVDSCLQLAKADQGDGPEGSGRACSTVEGKWQQRLKNPKEKGHSEGRLLSFLEQSEHKTSDADIKSSETGAFRVPSPGMEEAGCSREMQSSFTRRDLNESPVKSFVSISEATDCLVDFKKQVTVQPGSRTRTKAGRGRRRKF", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDEDEKDRAKRASRNKSEKKRRDQFNVLIKELSSMLPGNTRKMDKTTVLEKVIGFLQKHNEVSAQTEICDIQQDWKPSFLSNEEFTQLMLEALDGFIIAVTTDGSIIYVSDSITPLLGHLPSDVMDQNLLNFLPEQEHSEVYKILSSHMLVTDSPSPEYLKSDSDLEFYCHLLRGSLNPKEFPTYEYIKFVGNFRSYNNVPSPSCNGFDNTLSRPCRVPLGKEVCFIATVRLATPQFLKEMCIVDEPLEEFTSRHSLEWKFLFLDHRAPPIIGYLPFEVLGTSGYDYYHIDDLELLARCHQHLMQFGKGKSCCYRFLTKGQQWIWLQTHYYITYHQWNSKPEFIVCTHSVVSYADVRVERRQELALEDPPSEALHSSALKDKGSSLEPRQHFNTLDVGASGLNTSHSPSASSRSSHKSSHTAMSEPTSTPTKLMAEASTPALPRSATLPQELPVPGLSQAATMPAPLPSPSSCDLTQQLLPQTVLQSTPAPMAQFSAQFSMFQTIKDQLEQRTRILQANIRWQQEELHKIQEQLCLVQDSNVQMFLQQPAVSLSFSSTQRPEAQQQLQQRSAAVTQPQLGAGPQLPGQISSAQVTSQHLLRESSVISTQGPKPMRSSQLMQSSGRSGSSLVSPFSSATAALPPSLNLTTPASTSQDASQCQPSPDFSHDRQLRLLLSQPIQPMMPGSCDARQPSEVSRTGRQVKYAQSQTVFQNPDAHPANSSSAPMPVLLMGQAVLHPSFPASQPSPLQPAQARQQPPQHYLQVQAPTSLHSEQQDSLLLSTYSQQPGTLGYPQPPPAQPQPLRPPRRVSSLSESSGLQQPPR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVRKSTRRTAKASEKPPETVVRCVCKSQEDIGDTWVQCDGCDCWQHASCVGLADKDIPESYYCEVCHSRSDVSSQVQNSPNKDEEHQTADLLASNEGNEKNNEENNVVSSDSKEAITKESGAELESSEPASTNSNVGMTTRSGRQSPRTPIGSTTPKSSHSPPSTRKRRGSVGTTATHTKRSKNAPKTSPKDASNETADQEKELSLHTSIDEIQNPVRKSVAKAWVSVFEKIIEKAKLEGVQGLEDLNSTSLALQLEHIMFMVLSYTTDHSLTPNNKYREKFRALRFNLVDDKNPAFRARVLKNEISFNDLVNLSSEEMANPDLKNLAEEIRQQSTENTVIKQHLIAPRDRLLDEDKLTQQDELGIAENDDAMFPKPPGELVAPISIAEEEPTIDSKSPTLPEHNPLSEDDTSNGDKAAKRKGSFNDTKPIVNVPSIVEIDDPTILDIVEEEPLARNDSFSSPYSPAEDMAEESEFFGMKEKIWTGKVKMATVSEFHANALNLFGDVSASHLFEILSATALIEGRISVSSVLQYFHALRKTPSKEIIAVLFVPTEQNSQGFDILYDYFVKRNRYGVLHSKSNSVKDAYIIPMPSGNSVPELLDLLPKVDLPKDRNFQYFMGLFVLNKSSSRHESVERATPITTSTNGIPSTYQSASGTPTNPVHSYPSLESIINALTPSDMLLIKDVVENNPQIRANPSLAINPQFMQNAISAAQKKASKQ", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MIISKSFKAPLKFSVKSSTAPVISNHPPMENHPKRQRTTRLAARNLKRKLSHNTDGAPIVTQLIDIDDEPIDLVVAIRRHVEVLNSSFSDPDFDHEAVKEAAADIADLAKIDENVEIIVENGAIPALVRYLESPLVVCGNVPKSCEHKLEKDCALALGLIAAIQPGYQQLIVDAGAIVPTVKLLKRRGECGECMFANAVIRRAADIITNIAHDNPRIKTNIRVEGGIAPLVELLNFPDVKVQRAAAGALRTVSFRNDENKSQIVELNALPTLVLMLQSQDSTVHGEAIGAIGNLVHSSPDIKKEVIRAGALQPVIGLLSSTCLETQREAALLIGQFAAPDSDCKVHIAQRGAITPLIKMLESSDEQVVEMSAFALGRLAQDAHNQAGIAHRGGIISLLNLLDVKTGSVQHNAAFALYGLADNEENVADFIKAGGIQKLQDDNFTVQPTRDCVVRTLKRLQNKIHGPVLNQLLYLMRTAEKTVQIRIALALAHLCDPKDGKLIFIDNNGVEFLLELLYFSSNKQQRYSSSALYELAKKATSFAPEDSAPCSPTQQVFLGEKFVNNPTMSDVTFLIDGKQFYAHKIGLVASSDIFRAMFDGLYKERNAQNVEIPNIRWEVFELMMKFIYSGRINIAKHLAKDLLVAADQYLLEGLKRQCEYTIAQEICLDNIPEMYELADTFNASALRRACTLFVLEHFTKLSSQLWFAKFVKQIIPEIRSYMTDILTRPVEASPPTVV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPQLNSGGGDELGANDELIRFKDEGEQEEKSPGEGSAEGDLADVKSSLVNESENHSSDSDSEVERRPPPRETFEKPRDYLSEAFRRQQDAAFFKGPPYAGYPFLMIPDLGGHYLPNGALSPSARAYLQMKWPLLDSPSTAGLKDARSPSPAHLSNKVPVVQHPHHMHPLTPLITYSNEHFSPGTPPGHLSPEIDPKTGIPRPPHPSELSPYYPLSPGAVGQIPHPLGWLVPQQGQPMYSIPPGGFRHPYPALAMNASMSSLVSSRFSPHMVPPPHHSLHTSGIPHPAIVSPIVKQEPSSGNISPNLSTKSNVVVKKEEEKKPHIKKPLNAFMLYMKEMRAKVVAECTLKESAAINQILGRRWHSLSREEQAKYYELARKERQLHSQLYPSWSARDNYGKKKKRKREKQSPEMENYTKTKKMCVQHFPSDKSCDSPASSHGSMLDSPATPSAALASPAAPAATHSEQAQPLSLTTKPEARALSHSAAFLASKSPSSSSLSGHLPSPVGSPLLSRPIPLTSSILSPPGVFPSALQALPLLQAQPLSLVTRSSD", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSARELLRKVKQERLGQKRGLKASSQELKRQKTRDHKSFENLGRDQSRELAVSDFNEKQSTEPPKDTRAVSALPENFFDESIAKNKELIEEEWNDFQNEIGIIEENAVEQEITLQQQQLLAEKDEENEIADNDLEPEVYDILYEEESKLGESRDLIRRLKQKRFETKKNNFSVKESSNLSNNDSDASLDEDTLLWGL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSNHSEAYGSRDQRREKYTQGKEFEDGTLETLESIISAVEDETLSKDYQPLIVFFQRGFGAQLVQTWSYYAQVNNHGKFSKTTSLLTKTLRVLSSDTSTVTIGSGLIRLILTDYTKVLYRGLNNMRAQLTNPILRLLKQIVNFNNGQHIEELVSYFDFSLPILPRLLVPSKSELANGNSSADSSKHDSLRFTFIKFWLTLISNASPFVRKELLTENFKIMSNLFKFMNKADSDKLSEHILSVFINDILKEKSFKRTTKTKILNELAASKIHHFYYSSNKNLVKKANEFFLTFGASRDFSVAFPDNCVWFKNSVADGASHGAPITVNQVEFQIHNKLLFNTLRLFKPWEDTLQLGTLIKILENVPELVAPYSIFLTTNGNYDPKMTSYWFGITLLINKIINLKIPQFMEKVDSNIPPATSLVIENILPSLLTKSSLVKSLQFETPIIRQLACQSIVLALKKLEKVSTFYDQKGWRNEKTILLNEFHTRIPDLPIFVSTLSNSLASNKDNRILPLSISIIFNYYSKMFPNLFSINLPSSNIYTDIMQKSKISGIEFAILDNYLQFQEFNSTQTRWWNPSSGGNSLFTLLLKLASSKNASNVITTRISNLLDELTRTNVIFNISLISPVMALVNSLQGLSLQVSEIDNMEQVWKWFDETISRVVKTPYKYVDMAKEYNYISPFIMCLSEQWKYVDKSGNPEFLIKWLILFLRNMIFIGEDHIGIDKLVKNVFPEVSDHDVNIYLKLDSFEENIKKTNSSNSLISSMKSSSFFQYISALPSKNLMNISRLPVNKLDAAGILFRVQLLVEDDSVVYDNWFEATACELTGKIASYMVTDTEFPIIKVLERYINFALPKLAIEKRNALLMKKSRFMCNLIGAVCFETGHQLVEFREIIQKVVFSGENVEEYANYNELYQKEDVNAFLTSVSEYLSTSALTSLLMCSTKLESTRNILQKLFNEGKTIKISLVKNILNKAANEDPASIKEVNISLAKFFEENKVCVDASSDPMGKLSLSETTSLINSFVSSDLNYLVLKAFYRWEHFSFPSFIPSIWRIKDSPLLSIVTTAALFKHMQDKDFSAFAHETISKYGNEIAKSTYTTSKSEIFDEILNMITTYIDFYDETKRNEILKCVLSQSDHKYHAATVRYIAAHNNFTYPGVETWLHKTLLYLTKYLSERKVISNSFFELLRAMAELLKLEEVPNKLNVKIINSQLEAILGSEWIKQIKVLEYVIVLIFCVSKKSIQSQRMVQLLLSNDSYSSIMIKDNDEDSSYRKFLSTMILFSLFSIDPVVNSTPIVQEKLLTFYSGTISSNDKLILKILETIESHTATSWTNMIFSWEFIKDEEEEILEAIGDTRLITKEREGLILTLQKNMIKKSIDRYVLERPQVPELYTDSNTNNYDATTRCDLVKKYYDDTERSGVDMYDPLFLLLLIIHNKELVKMVKDDEGNVTYRYEFENFLDCKIFQFIICSLSDCHTVANISYEHLSNLASSLEKKTAQMNLEKQITSKDNERKESDSDLIKYNSIYQVLIKRILYQRQQNQDPINPLIWFSISRIVDLLGSPTAPLHEKAYRWVLSNSTIRSWDIPMVSDVMMSYNKRQQDDNKKEIDMEIYYGELSWVLTTICKGIKTDEDYKMLEKKGVFEWLLNLINMPYLKERLRELIYFIFYKVQRVADDGGLNLISRNGIVSFFEVLNNNIKSRLPQDDILNNIGTLRNENRGTLNTTLRLAQEQNGIEKLLLGYNELVKSQKRLILWTEGDSDNVVKRLRK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MICTECENDAFDEEDDGYYYCQRCGVQVENLIQTGVDDGDLIGEGGGTQGALYNPKHRRTEPQPITPSQPRFTDDTSRYSQFKSQFESENGNKELPREVKRAPDSYVDKEPTEPVDFAAETLSYENYYDEARDRYVKAFLMMITYQCDALVDKFNVTPLIIGLVGPISLRYVALSGVYHKDWANNAIRDSEHQSEDGEVKDAKRLKRHKAEPRNIDGKRAVTIWFGILKKTMPLSSSLVISFLACHQAGAPVLPTDIVRWAREGKLPYLSCFLDIREQMGERSAACPVKVSIMARPFQVISAQMLEARASVIADTIGLPLPPVNFYGIASNYIKQLSIPEDKILDLARLIQNWSLPPELYLSTNEQKLPSRVCVMSILIVAIRMLYNINGLGVWERSLGFVNASDGDSETNSGTAEKATEFDTQELLKNLEAKYHEVAAETLESEKDLVSYLSLGKNEFFAGLEEDSPDDTYRIVDNLWNGYPKDEDIECLPKRGRDWDDDVSLNQLSLYDSRFSDGNNPCSSSSRRNESVSIGLDLSSSEHRESSSPEKLKEIAIKRLITDMGDDLFCYIPPRVKVKRLDYLQYVRKKEDGALIYAAHADYYILLRVCAKVAEIDVRNMHRGVLSFERRLAWIEKRIDQVLHLTRPLMTCKHCCDDGNIGEDQDD", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVQLFGGALCADFPPKFLDASVLRQIPDNQEVFLQDSKENLTVIIELLEKIEKPFDGSVAAYHFNSIAFDNDASQRVIWRDKSLGEDDFEGMRSEKASGSSVQGCQRVLEKGKRNPESATNVAIFVNVITLIDFQTDIVISVNAPLPNTSSVPSSVENIPPSDQSIVRAALETIQRVTRSLVLVDKTVFA", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNLQRYWGEIPISSSQTNRSSFDLLPREFRLVEVHDPPLHQPSANKPKPPTMLDIPSEPCSLTIHTIQLIQHNRRLRNLIATAQAQNQQQTEGVKTEESEPLPSCPGSPPLPDDLLPLDCKNPNAPFQIRHSDPESDFYRGKGEPVTELSWHSCRQLLYQAVATILAHAGFDCANESVLETLTDVAHEYCLKFTKLLRFAVDREARLGQTPFPDVMEQVFHEVGIGSVLSLQKFWQHRIKDYHSYMLQISKQLSEEYERIVNPEKATEDAKPVKIKEEPVSDITFPVSEELEADLASGDQSLPMGVLGAQSERFPSNLEVEASPQASSAEVNASPLWNLAHVKMEPQESEEGNVSGHGVLGSDVFEEPMSGMSEAGIPQSPDDSDSSYGSHSTDSLMGSSPVFNQRCKKRMRKI", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNQQNPEEEVSLVNNSGGGGIIEAPAIVEEKEEEGLQQKQEETIESTDPILVVVEEKLLEKSVDGEKEDDNSSSSNMEIDPVSPATVFCVKLKQPNSNLLHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSKANTPFWIPIHILIPERPTECAVFNVVADSPRDSVQFIEWSPTSCPRALLIANFHGRITIWTQPTQGSANLVHDATSWQCEHEWRQDIAVVTKWLTGASPYRWLSSKPSSGTNAKSTFEEKFLSQSSESSARWPNFLCVCSVFSSGSVQIHWSQWPSNQGSTAPKWFSTKKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTIVVWEVTPGPGNGLQATPKISTGSRVPPSLSSSSWTGFAPLAAYLFSWQEYLISEIKQGKKPSDQDSSDAISLSCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPSITGWRVQRWESSVQPVVLHQIFGNPTSNFGGQVPTQTVWVSRVDMSIPPTKDFKNHQVAAAGPSVDAPKEPDSGDEKANKVVFDPFDLPSDIRTLARIVYSAHGGEIAIAFLRGGVHIFSGPTFSPVENYQINVGSAIAAPAFSPTSCCSASVWHDAAKDCAMLKIIRVLPPALPRNQSKVDQSTWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVMDADFHSLPSTQHRQQYGPNLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALVNPSALVFEPWRVDGETITGINPEAMAVDPALVSSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAASAGTGSNRNNVTSPTQNASSPATPQVFPDKSLYLAVGQPTTTTTTTATTNSSGSSHVQAWMQGAIAKISSSNDGSNSTASPISGSPTFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFLQRSSRFPQRNADVSSQKLQTGATSKLEEVNSAKPTPALNRIEDAQGFRGAQLGTGVKGIDENSARTTKMGSGNAGQGYTYEEVRVLFHILMDLCKRTSGLAHPLPGSQVGSGNIQVRLHYIDGNYTVLPEVVEAALGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNLFGGPGSEPEDMILTDDVSKLSNSLDLPDTNFSGICDGYNRVHSLWPRKRRMSERDAAFGSNTSVGLGAYLGIMGSRRDVVTATWKTGLEGVWYKCIRCLRQTSAFASPGATKQPNPNERETWWTSRWVYCCPMCGGTWVRVV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSGDSSGRGPEGRGRGRDPHRDRTRSRSRSRSPLSPRSRRGSARERREAPERPSLEDTEPSDSGDEMMDPASLEAEADQGLCRQIRHQYRALINSVQQNREDILNAGDKLTEVLEEANTLFNEVSRAREAVLDAHFLVLASDLGKEKAKQLRSDLSSFDMLRYVETLLTHMGVNPLEAEELIRDEDSPDFEFIVYDSWKITGRTAENTFNKTHTFHFLLGSIYGECPVPKPRVDRPRKVPVIQEERAMPAQLRRMEESHQEATEKEVERILGLLQTYFREDPDTPMSFFDFVVDPHSFPRTVENIFHVSFIIRDGFARIRLDQDRLPVIEPVSINEENEGFEHNTQVRNQGIIALSYRDWEEIVKTFEISEPVITPSQRQQKPSA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MMDSPKIGNGLPVIGPGTDIGISSLHMVGYLGKNFDSAKVPSDEYCPACREKGKLKALKTYRISFQESIFLCEDLQCIYPLGSKSLNNLISPDLEECHTPHKPQKRKSLESSYKDSLLLANSKKTRNYIAIDGGKVLNSKHNGEVYDETSSNLPDSSGQQNPIRTADSLERNEILEADTVDMATTKDPATVDVSGTGRPSPQNEGCTSKLEMPLESKCTSFPQALCVQWKNAYALCWLDCILSALVHSEELKNTVTGLCSKEESIFWRLLTKYNQANTLLYTSQLSGVKDGDCKKLTSEIFAEIETCLNEVRDEIFISLQPQLRCTLGDMESPVFAFPLLLKLETHIEKLFLYSFSWDFECSQCGHQYQNRHMKSLVTFTNVIPEWHPLNAAHFGPCNNCNSKSQIRKMVLEKVSPIFMLHFVEGLPQNDLQHYAFHFEGCLYQITSVIQYRANNHFITWILDADGSWLECDDLKGPCSERHKKFEVPASEIHIVIWERKISQVTDKEAACLPLKKTNDQHALSNEKPVSLTSCSVGDAASAETASVTHPKDISVAPRTLSQDTAVTHGDHLLSGPKGLVDNILPLTLEETIQKTASVSQLNSEAFLLENKPVAENTGILKTNTLLSQESLMASSVSAPCNEKLIQDQFVDISFPSQVVNTNMQSVQLNTEDTVNTKSVNNTDATGLIQGVKSVEIEKDAQLKQFLTPKTEQLKPERVTSQVSNLKKKETTADSQTTTSKSLQNQSLKENQKKPFVGSWVKGLISRGASFMPLCVSAHNRNTITDLQPSVKGVNNFGGFKTKGINQKASHVSKKARKSASKPPPISKPPAGPPSSNGTAAHPHAHAASEVLEKSGSTSCGAQLNHSSYGNGISSANHEDLVEGQIHKLRLKLRKKLKAEKKKLAALMSSPQSRTVRSENLEQVPQDGSPNDCESIEDLLNELPYPIDIASESACTTVPGVSLYSSQTHEEILAELLSPTPVSTELSENGEGDFRYLGMGDSHIPPPVPSEFNDVSQNTHLRQDHNYCSPTKKNPCEVQPDSLTNNACVRTLNLESPMKTDIFDEFFSSSALNALANDTLDLPHFDEYLFENY", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MQRHKVEIGSPDGSPGIKRSDSLDPIANTTILSVPQRPSSPRQFFERLYGHLETRSSENGEIDVGTHAHKPPPCDTPYHSDGGSVSSPDISISDERTSLAAYPAYDFYGHAKDYPQHPSQQHQQHHHHHHHPPQLVHQKLSYVSPPPAIAAGGAANPVLPHAFPAGFPSDPHFSAGFSAFLARRRRKEGRQRRQRTTFSTEQTLRLEVEFHRNEYISRSRRFELAETLRLTETQIKIWFQNRRAKDKRIEKAQIDQHYRNFVVANGFMSSIMGQAATTMPPGGVTGGVAVGVGLNYYAAAATPAALPKDNTQDANFIDIDDQFQRQQQQKQQQQQQQQRRRETTTPINIC", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSEDGAQTAEKMDTHTQYYLESLIIDALKGKGFQKIIELFDGKVIFSSQFHNKLLLSQLDKLINKELDRNEFKHVSVLMKCIQHFCKNDCQESSTLIHQGLVSKMVLWFERTVDFLRISKEATLLTLVEDFYDSALVICKCNCEDGKRQLLDSFLIRLGHLVTEKWVACHLRLEALRTINCILDSISREDKKKLHCSEDLCELTKDLARTIQEAGDYDIQVAISEALCRMMGKKFRDSFVHQWFEDNFLADAFKEIKDKEFETDCRKFLNCLNSRHQSNKGVYTFPCITVFTDLDELKKPQDENMENFWIDFNAGSQCVSFYIHNTEGSLWDSVRLLKESVNNYTLKENDGQQMLGIYLKDPQVINTNDVTKVKIYFEPKHDIKSAIKRVFEDINEIHSNPVELDSTEGLIDIGNSLASHTVITTATTFKQWKRNQANKMDSASDILGSQTSEHSSTTKTSSANRSVQKSLSNADSHEIVIESVPLEAVITIADEQPNTAQFQDDMDDAIFQGAASDVPAKDSSQEIIIITEASADKEFAAKKTQGIFQFQGYSDTLASDQVSDAKKKILLPKQSTERATPASRYRASMNSPLQRTSSAYRSHLFCESNEVTSNTESERSWIQDFKNKSAVKSADYSCEKTRNKSKRKVLPLASESGDDEKQVDTTETVARFTSRKEMHRPEDINPKSPHSAELKLPGISALLTPGDSRSQSKSDYRYQSAIDDQDIMDPVEEASSPEMSIDHNKEPKNGHDEVYASGPLNRSVDGNNIYHAADTLQHATGKRKHKTCEREEIPFKPRKLFSSTEKNVNRSAADSEDSEDVFYSESHDQDLAEASVLSAFDSFTKELKRKFLTRYKRIENRANHVLKSSHQQVSTVLNEIHQCRLQKINHFNKIVVHELSSLEAEVQALKQFEKETLDFWEDQYVKMNTFCSSQTQRIKTMDSALLETISNLKNVIQKTTKEEVSNTEEHIQNKLLK", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSTSLWLNNLEEWMNEDYIRAIFENVRKVNYYEDGESGAILKTCCIEFESQDAARNALERQSTQRLISGNPISLDVVPEWQKPSYYMLFISNIDPEVSENDIKYLFQRYNFISARVLRCVDGTSTSIAFIWLANESDIQNAQVEMQGAFCLKRSILVHSVKSDKNTYLSSPGFYGTPQPLNQFTDPNNTAVYVHQLPENITTQELRSYFLHFGEILYTQVNNNSGRIVFAQRYFAEQAINEMNNFPLHGVRIQLSWARPPSMALLPSKQSTYWPALAAPVYPSMKDVPNNPFTPFSPINPYYAKSWNHTASAPLLPPGLKNGSDYPYLSVPPDILNDSYLAMCEAVNSRLDAESTMLLPVHYSQA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAMKAPDPGGSGEILPSTPSLSETTSGGAAAASKSAQLPSSSSDIDNIHVPSYSSWFSWTDINDCEVRSLPEFFDSRSSSKNPKFYLYLRNSIIKQYRDDHPRKISFTDVRRTLVSDVVSIRRVFDFLDSWGLINYNSSASAKPLKWEEKEAGKSAGDAASEPATTVKETAKRNCNGCKAICSIACFACDKYDLTLCARCYVRSNYRVGINSSEFKRVEISEESKPEWSDKEILLLLEAVMHYGDDWKKVASHVIGRTEKDCVSQFVKLPFGEQFVKESDSEDGLEMFDQIKDSDIPESEGIDKDGSSPNKRIKLTPLADASNPIMAQAAFLSALAGTNVAEAAARAAVRALSDVDYEADKNASRDPNRQDANAASSGETTRNESERAWADAKSLIEKEEHEVEGAIKETVEVEMKKIRDRIVHFEKLDLEMERSRKQLEEVRNLLFVDQLNIFFHTRKARKTEDRIEC", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSAAEPPPPPPPESAPSKPAASIASGGSNSSNKGGPEGVAAQAVASAASAGPADAEMEEIFDDASPGKQKEIQEPDPTYEEKMQTDRANRFEYLLKQTELFAHFIQPAAQKTPTSPLKMKPGRPRIKKDEKQNLLSVGDYRHRRTEQEEDEELLTESSKATNVCTRFEDSPSYVKWGKLRDYQVRGLNWLISLYENGINGILADEMGLGKTLQTISLLGYMKHYRNIPGPHMVLVPKSTLHNWMSEFKRWVPTLRSVCLIGDKEQRAAFVRDVLLPGEWDVCVTSYEMLIKEKSVFKKFNWRYLVIDEAHRIKNEKSKLSEIVREFKTTNRLLLTGTPLQNNLHELWSLLNFLLPDVFNSADDFDSWFDTNNCLGDQKLVERLHMVLRPFLLRRIKADVEKSLPPKKEVKIYVGLSKMQREWYTRILMKDIDILNSAGKMDKMRLLNILMQLRKCCNHPYLFDGAEPGPPYTTDMHLVTNSGKMVVLDKLLPKLKEQGSRVLIFSQMTRVLDILEDYCMWRNYEYCRLDGQTPHDERQDSINAYNEPNSTKFVFMLSTRAGGLGINLATADVVILYDSDWNPQVDLQAMDRAHRIGQTKTVRVFRFITDNTVEERIVERAEMKLRLDSIVIQQGRLVDQNLNKIGKDEMLQMIRHGATHVFASKESEITDEDIDGILERGAKKTAEMNEKLSKMGESSLRNFTMDTESSVYNFEGEDYREKQKIAFTEWIEPPKRERKANYAVDAYFREALRVSEPKAPKAPRPPKQPNVQDFQFFPPRLFELLEKEILFYRKTIGYKVPRNPELPNAAQAQKEEQLKIDEAESLNDEELEEKEKLLTQGFTNWNKRDFNQFIKANEKWGRDDIENIAREVEGKTPEEVIEYSAVFWERCNELQDIEKIMAQIERGEARIQRRISIKKALDTKIGRYKAPFHQLRISYGTNKGKNYTEEEDRFLICMLHKLGFDKENVYDELRQCIRNSPQFRFDWFLKSRTAMELQRRCNTLITLIERENMELEEKEKAEKKKRGPKPSTQKRKMDGAPDGRGRKKKLKL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSGHTDADEIHEILRNSTTGLVHLKDYQRVKQNIVEKREKHALSTTSTKIKKRKDALSKKVKQGIKVNKGKLSFGEDEELENDDLPLKKVEKKMFMGKDPSADTSFLPDAEREIRENAKRAEYRKQWLKEQEQIREKEILIPFIYYDGTSTTYHVRTRLKDSVGHFLADMKQQIPFLKRILDMDKFLLVQSDLIIPHHHELYYFYINKVQGRDGLLFDFDKLSCSSPEMVATTQLPSQCIPHLVQKSYYLQNRHVFPCVHWEVFDSRKDYSLEKHATDPNAALFYRPS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSKQEIMDDQRFRRVSKDPRFWEMPEKDRKVKIDKRFRAMFHDKKFKLNYAVDKRGRPISHSTTEDLKRFYDLSDSDSDLSDEESKVLDEKRVKEKKKQTKKETKSKTPIEEKKKETKKTDQKDSINKNDLNNSERIQKMKNSHKSPKIDSEVSPKDSEESLQSRKKKRDTTDLSVEASPKGKLRTKDPSTSAMVKSSTVSGSKAKREKQAVIMAKDNAGRMLHEEAPEEDSDSASELGRDEESEGEITSDDRASADDDENEDEEEEEDGEEEEEEEEEEDESDDESDSGPDLARGKGNVETSSEDEDDLADLFPEEPGFEHAWRELDKDAPRADEITRRLAVCNMDWDRLKAKDLLALFNSFKPKGGVVFSVKIYPSEFGKQRMKEEQIQGPVELLSIPEDAPEKDWASREKLRDYQFKRLKYYYAVVECDSPETASKIYEDCDGLEFESSCSFIDLRFIPDDITFDDEPKDAASEVDLTAYKPKYFTSAAMGTSTVEITWDETDHERITTLNRKFKKDELLDMDFEAYLASSSEDEEEVEEAPEGEDGVSIEDGKTKKSQKDDEEQIAKYRQLLQVIQEKEKKGKENDMEMEIKWVPGLKESAEEMVKNKLEGKDKLTPWEQFLEKKKEKKRLKKKQKALAEEASEDEIPSDVDLNDPYFAEEVKKIGIKKKSMKSAKDGATSEEETELEKQKAEMALLVMDEEEDSKKHFNYDKIVEHQNLSKKKKKQLMKKKELLEDDFEVNVSDARFQAMYTSHLFNLDPSDPNFKKTKAMEKILEEKARHREQKEERLIQAVERAQQDTGKPAQKQPMDPALSMLIKSVKNKTEQFQARKKQRIK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAKGFKLKELLSHQKEIEKAEKLENDLKKKKSQELKKEEPTIVTASNLKKLEKKEKKADVKKEVAADTEEYQSQALSKKEKRKLKKELKKMQEQDATEAQKHMSGDEDESGDDREEEEEEEEEEEGRLDLEKLAKSDSESEDDSESENDSEEDEDVVAKEESEEKEEQEEEQDVPLSDVEFDSDADVVPHHKLTVNNTKAMKHALERVQLPWKKHSFQEHQSVTSETNTDEHIKDIYDDTERELAFYKQSLDAVLVARDELKRLKVPFKRPLDYFAEMVKSDEHMDKIKGKLIEEASDKKAREEARRQRQLKKFGKQVQNATLQKRQLEKRETLEKIKSLKNKRKHNEIDHSEFNVGVEEEVEGKRFDRGRPNGKRAAKNAKYGQGGMKRFKRKNDATSSADVSGFSSRKMKGKTNRPGKSRRARRF", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSESPSEPRAKRQRVDKNGRFAAMERLRQLKGTKNKCKVEDQVDDVYDVVDEREYAKRAQEKYGDDWIEEDGTGYAEDLRDFFEDEDEYSDGEEDRKDSKKKKGVAPNSKKRPRENEKPVTGKASIKNLFSNAVPKKMDVKTSVKDDDILADILGEIKEEPAATSEKAEKVIAPAKISVTSRKFDAAAAKEYMNSFLNNIKVQEQERKKAEASSDNEMLERILKPKAAVPNTKVAFFSSPTIKKEPMPEKTPAKKATEDPFSDNEMDFSCLDDDENQFDVEKTQQTEKVSQTKTAAEKTSQSKVAEKSAPKKETTGSPKESESEDISRLLNNWESICQMDDDFEKSVLTTEQDSTISSDQQLRFWYWEAYEDPVKMPGEVFLFGRTADGKSVCLRVQNINRVLYLLPRQFLLDPISKEPTKQKVTVADIYKEFDSEVANQLKLEFFRSRKVTKSFAHHAIGIEVPQSCDYLEVHYDGKKPLPNLSADKKYNSIAHIFGATTNALERFLLDRKIKGPCWLQVTGFKVSPTPMSWCNTEVTLTEPKNVELVQDKGKPAPPPPLTLLSLNVRTSMNPKTSRNEICMISMLTHNRFHIDRPAPQPAFNRHMCALTRPAVVSWPLDLNFEMAKYKSTTVHKHDSERALLSWFLAQYQKIDADLIVTFDSMDCQLNVITDQIVALKIPQWSRMGRLRLSQSFGKRLLEHFVGRMVCDVKRSAEECIRARSYDLQTLCKQVLKLKESERMEVNADDLLEMYEKGESITKLISLTMQDNSYLLRLMCELNIMPLALQITNICGNTMTRTLQGGRSERNEFLLLHAFHEKNYIVPDKKPVSKRSGAGDTDATLSGADATMQTKKKAAYAGGLVLEPMRGLYEKYVLLMDFNSLYPSIIQEYNICFTTVQQPVDADELPTLPDSKTEPGILPLQLKRLVESRKEVKKLMAAPDLSPELQMQYHIRQMALKLTANSMYGCLGFAHSRFFAQHLAALVTHKGREILTNTQQLVQKMNYDVVYGDTDSLMINTNITDYDQVYKIGHNIKQSVNKLYKQLELDIDGVFGCLLLLKKKKYAAIKLSKDSKGNLRREQEHKGLDIVRRDWSQLAVMVGKAVLDEVLSEKPLEEKLDAVHAQLEKIKTQIAEGVVPLPLFVITKQLTRTPQEYANSASLPHVQVALRMNRERNRRYKKGDMVDYVICLDGTTNAAMQRAYHLDELKTSEDKKLQLDTNYYLGHQIHPVVTRMVEVLEGTDASRIAECLGMDPTKFRQNAQRTQRENTEQSEGESLLKTTLQLYRLCEPFRFQCVTCKTEQLMASAYRPGPSNSHIAVLQQCAKSECQTAPIQYLASVRNQLQLSMRQYVQRFYKNWLVCDHPDCNFNTRTHSLRKKSHRPLCQKCRSGSLLRQYTERDLYNQLCYLRFMFDLGKQTLQQKPTLTPELEQAYQLLYETVDQQLQSSSYVIISLSKLFARSLAQMSLQPSVAQPQIEAIPSALADVV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MQGKNNLSCRPDTEDNEELFVDDQLLSPIGDSKNTSSFIYLGNPISFHEYNYDETMVSPENVKTAIAGSAKDHETCRGFKKTGTTSYKDFVFSRDYTNWTPTFWVLLSQLIDEFLKESELNFVAARDLLIKTKRLPKPFNNLLIQFQIQVPNVSRRTVYRHLKGYFNIPGYERFQYVKKASSGSWGANDIITLEKEIAMFKKKKNWSDEQFLQYVWSDNHRDEMKTLYNCLYELIDRDKKSIYNYLRRKYNPFKKKCKWTIEDEAELKKLVEKHGTSWSLIGKLSNRLPMHCRDHWRDYIQPGEINRSPWTIQEKEKLIKTVNQYLQSNPSSPIQWSLISKNMRNRHRHHCRWKYYTLISRDIHNSSPFKLGDSIWLIERMMDLNVAEERMIDWKCLSEYANHLWTADACKSHFERIKKTLFIDGLSTFSDTLIHLHKMLNSSPEETYISNLHDSYTAFSNADDLC", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGDRNNDGDQKMEDVLLPGFRFHPTDEELVSFYLKRKVQHNPLSIELIRQLDIYKYDPWDLPKFAMTGEKEWYFYCPRDRKYRNSSRPNRVTGAGFWKATGTDRPIYSSEGNKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLSEPSPPSKRFFDSPVSPNDSWAICRIFKKTNTTTLRALSHSFVSSLPPETSTDTMSNQKQSNTYHFSSDKILKPSSHFQFHHENMNTPKTSNSTTPSVPTISPFSYLDFTSYDKPTNVFNPVSCLDQQYLTNLFLATQETQPQFPRLPSSNEIPSFLLNTSSDSTFLGEFTSHIDLSAVLAQEQCPPLVSLPQEYQETGFEGNGIMKNMRGSNEDHLGDHCDTLRFDDFTSTINENHRHHQDLKQNMTLLESYYSSLSSINSDLPACFSSTT", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSVTGTEIDSDTAKNILSVDELQNYGINASDLQKLKSGGIYTVNTVLSTTRRHLCKIKGLSEVKVEKIKEAAGKIIQVGFIPATVQLDIRQRVYSLSTGSKQLDSILGGGIMTMSITEVFGEFRCGKTQMSHTLCVTTQLPREMGGGEGKVAYIDTEGTFRPERIKQIAEGYELDPESCLANVSYARALNSEHQMELVEQLGEELSSGDYRLIVVDSIMANFRVDYCGRGELSERQQKLNQHLFKLNRLAEEFNVAVFLTNQVQSDPGASALFASADGRKPIGGHVLAHASATRILLRKGRGDERVAKLQDSPDMPEKECVYVIGEKGITDSSD", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSQVQEQHISESQLQYGNGSLMSTVPADLSQSVVDGNGNGSSEDIEATNGSGDGGGLQEQAEAQGEMEDEAYDEAALGSFVPIEKLQVNGITMADVKKLRESGLHTAEAVAYAPRKDLLEIKGISEAKADKLLNEAARLVPMGFVTAADFHMRRSELICLTTGSKNLDTLLGGGVETGSITELFGEFRTGKSQLCHTLAVTCQIPLDIGGGEGKCLYIDTEGTFRPVRLVSIAQRFGLDPDDALNNVAYARAYNADHQLRLLDAAAQMMSESRFSLIVVDSVMALYRTDFSGRGELSARQMHLAKFMRALQRLADQFGVAVVVTNQVVAQVDGGMAFNPDPKKPIGGNIMAHSSTTRLGFKKGKGCQRLCKVVDSPCLPEAECVFAIYEDGVGDPREEDE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MASTGEPDRKRRHFSSISPSEAAAAVKKQPFFWPSSEDKLDTAVLQFQNLKLSQKLEAQQVECSILEDKLSQIKEKQLPYNSSLKTVHKSWEKLTASVESCSVRVSDSSSGAHRFVNKEDGSSPAVKNDFINRLLETGATESSSSNICSNQMEENGVNTSSQMTQTLYNLVAATEDLRCLKDELYPTVLRTNLGKDLCGQLALSELESEIKSFRGDLDDVLVKFKSLSRELQSHRDADAKVRVDLKRIRGELEDEVVELQQCNGDLSALRAERDATAGAFFPVLSLGNKLATSDRERDKQRDLQDMETVLKELTVLASGRLQQLKNLHEERTKMLGKMSNLQNKSKSVRCISSSQACLSLKDQLEKSKEAVFQYMALLEKLQVEKDSIVWKEREINIKNELGDVSRKTSAVTDSRMASLDSEIQKQLDEKMRIKTRLGNISRERGRKEIFADMKALISSFPEEMSSMRSQLNNYKETAGGIHSLRADVQSLSGVLCRKTKEYEALQLRSADYASQLGDLNATVCDLKNSHEELKLFLDMYKRESTDARDIAEAKEQEYRAWAHVQSLKSSLDEQNLELRVKAANEAEAVSQQMLAAAEAEIADLRQKMDDCKRDVAKHSDILKSKHEEHGTYLSEIQTIGSAYEDIVPQNQQLLLQVTERDDYNIKLFLEGITSRQMQDTLLIDKYIMDKDIQQGSAYASFLSKKSSRIEDQLRFCTDQFQKLAEDKYQKSVSLENLQKKRADIGNGLEQARSRLEESHSKVEQSRLDYGALELELEIERFNRRRIEEEMEIAKKKVSRLRSLIEGSSAIQKLRQELSEFKEILKCKACNDRPKEVVITKCYHLFCNPCVQKLTGTRQKKCPTCSASFGPNDIKPIYI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MADTSHLERMGRELKCPICLSLYNSAVSLSCNHVFCNACIVKSMKMDATCPVCKIPYHRREIRGAPHMDSLVSIYKNMEDASGIKLFVSQNNPSPSDKEKQVRDASVEKASDKNRQGSRKGRASKRNEYGKTKEIDVDAPGPIVMKPSSQTKKRVQLLQNLSAESLTKPTESVETAEKPKDYTENTVIRLDEHPSLNKEGNLSPFFWLRDEDDGENSSQRTESDQLLGTTPVNVPSFSDLMDSDHESPSKEDEQQKPNPGDMFDSEMFEWTQRPCSPEILPSPVKAKVLGRDEIDLTQKKLPKVKVASSKCKNRKAGSARNTVARRSIGVSQEDNMESSAAATISEQQDSRGTSGTIIRNDVNTDENVKAKRATRSKAQSTRVQSDLNVSNEADGKQGTKRKRSSIKSSPAHPIAGPNELSLGTEIVGKGDQDQAHGPSDTHPEKRSPTEKPSLKKRGRKSNASSSLKDLSGKTQKKTSEKKLKLDSHMISSKATQPHGNGILTAGLNQGGDKQDSRNNRKSTVGKDDHTMQVIEKCSTINKSSSGGSAHLRRCNGSLTKKFTCAFCQCSEDTEASGEMTHYYRGEPVSADFNGGSKVIHVHKNCAEWAPNVYFNDLTIVNLDVELTRSRRISCSCCGLKGAALGCYNKSCKNSFHVTCAKLIPECRWDNVKFVMLCPLDASIKLPCEEANSKDRKCKRTPKEPLHSQPKQVSGKANIRELHIKQFHGFSKKLVLSCSGLTVEEKTVIAEFAELSGVTISKNWDSTVTHVIASINENGACKRTLKFMMAILEGKWILTIDWIKACMKNTKYVSEEPYEITMDVHGIREGPYLGRQRALKKKPKLFTGLKFYIMGDFELAYKGYLQDLIVAAGGTILRRRPVSSDDNEASTIVVFSVEPSKKKTLTQRRSDAEALAKSARARAASSSWVLDSIAGCQILVLI", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGIKVQRPRCFFDIAINNQPAGRVVFELFSDVCPKTCENFRCLCTGEKGTGKSTQKPLHYKSCLFHRVVKDFMVQGGDFSEGNGRGGESIYGGFFEDESFAVKHNKEFLLSMANRGKDTNGSQFFITTKPTPHLDGHHVVFGQVISGQEVVREIENQKTDAASKPFAEVRILSCGELIPKSKVKKEEKKRHKSSSSSSSSSSDSDSSSDSQSSSDSSDSESATEEKSKKRKKKHRKNSRKHKKEKKKRKKSKKSASSESEAENLEAQPQSTVRPEEIPPIPENRFLMRKSPPKADEKERKNRERERERECNPPNSQPASYQRRLLVTRSGRKIKGRGPRRYRTPSRSRSRDRFRRSETPPHWRQEMQRAQRMRVSSGERWIKGDKSELNEIKENQRSPVRVKERKITDHRNVSESPNRKNEKEKKVKDHKSNSKERDIRRNSEKDDKYKNKVKKRAKSKSRSKSKEKSKSKERDSKHNRNEEKRMRSRSKGRDHENVKEKEKQSDSKGKDQERSRSKEKSKQLESKSNEHDHSKSKEKDRRAQSRSRECDITKGKHSYNSRTRERSRSRDRSRRVRSRTHDRDRSRSKEYHRYREQEYRRRGRSRSRERRTPPGRSRSKDRRRRRRDSRSSEREESQSRNKDKYRNQESKSSHRKENSESEKRMYSKSRDHNSSNNSREKKADRDQSPFSKIKQSSQDNELKSSMLKNKEDEKIRSSVEKENQKSKGQENDHVHEKNKKFDHESSPGTDEDKSG", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MACCHKVMLLLDTAGGAARHSRVRRAALRLLTYLSCRFGLARVHWAFKFFDSQGARSRPSRVSDFRELGSRSWEDFEEELEARLEDRAHLPGPAPRATHTHGALMETLLDYQWDRPEITSPTKPILRSSGRRLLDVESEAKEAEAALGGLVNAVFLLAPCPHSQRELLQFVSGCEAQAQRLPPTPKQVMEKLLPKRVREVMVARKITFYWVDTTEWSKLWESPDHLGYWTVCELLHHGGGTVLPSESFSWDFAQAGEMLLRSGIKLSSEPHLSPWISMLPTDATLNRLLYNSPEYEASFPRMEGMLFLPVEAGKEIQETWTVTLEPLAMHQRHFQKPVRIFLKGSVAQWSLPTSSTLGTDSWMLGSPEESTATQRLLFQQLVSRLTAEELHLVADVDPGEGRPPITGVISPLSASAMILTVCRTKEAEFQRHVLQTAVADSPRDTASLFSDVVDSILNQTHDSLADTASAASPVPEWAQQELGHTTPWSPAVVEKWFPFCNISGASSDLMESFGLLQAASANKEESSKTEGELIHCLAELYQRKSREESTIAHQEDSKKKRGVPRTPVRQKMNTMCRSLKMLNVARLNVKAQKLHPDGSPDVAGEKGIQKIPSGRTVDKLEDRGRTLRSSKPKDFKTEEELLSYIRENYQKTVATGEIMLYACARNMISTVKMFLKSKGTKELEVNCLNQVKSSLLKTSKSLRQNLGKKLDKEDKVRECQLQVFLRLEMCLQCPSINESTDDMEQVVEEVTDLLRMVCLTEDSAYLAEFLEEILRLYIDSIPKTLGNLYNSLGFVIPQKLAGVLPTDFFSDDSMTQENKSPLLSVPFLSSARRSVSGSPESDELQELRTRSAKKRRKNALIRHKSIAEVSQNLRQIEIPKVSKRATKKENSHPAPQQPSQPVKDTVQEVTKVRRNLFNQELLSPSKRSLKRGLPRSHSVSAVDGLEDKLDNFKKNKGYHKLLTKSVAETPVHKQISKRLLHRQIKGRSSDPGPDIGVVEESPEKGDEISLRRSPRIKQLSFSRTHSASFYSVSQPKSRSVQRVHSFQQDKSDQRENSPVQSIRSPKSLLFGAMSEMISPSEKGSARMKKRSRNTLDSEVPAAYQTPKKSHQKSLSFSKTTPRRISHTPQTPLYTPERLQKSPAKMTPTKQAAFKESLKDSSSPGHDSPLDSKITPQKRHTQAGEGTSLETKTPRTPKRQGTQPPGFLPNCTWPHSVNSSPESPSCPAPPTSSTAQPRRECLTPIRDPLRTPPRAAAFMGTPQNQTHQQPHVLRAARAEEPAQKLKDKAIKTPKRPGNSTVTSSPPVTPKKLFTSPLCDVSKKSPFRKSKIECPSPGELDQKEPQMSPSVAASLSCPVPSTPPELSQRATLDTVPPPPPSKVGKRCRKTSDPRRSIVECQPDASATPGVGTADSPAAPTDSRDDQKGLSLSPQSPPERRGYPGPGLRSDWHASSPLLITSDTEHVTLLSEAEHHGIGDLKSNVLSVEEGEGLRTADAEKSSLSHPGIPPSPPSCGPGSPLMPSRDVHCTTDGRQCQASAQLDNLPASAWHSTDSASPQTYEVELEMQASGLPKLRIKKIDPSSSLEAEPLSKEESSLGEESFLPALSMPRASRSLSKPEPTYVSPPCPRLSHSTPGKSRGQTYICQACTPTHGPSSTPSPFQTDGVPWTPSPKHSGKTTPDIIKDWPRRKRAVGCGAGSSSGRGEVGADLPGSLSLLESEGKDHGLELSIHRTPILEDFELEGVCQLPDQSPPRNSMPKAEEASSWGQFGLSSRKRVLLAKEEADRGAKRICDLREDSEVSKSKEGSPSWSAWQLPSTGDEEVFVSGSTPPPSCAVRSCLSASALQALTQSPLLFQGKTPSSQSKDPRDEDVDVLPSTVEDSPFSRAFSRRRPISRTYTRKKLMGTWLEDL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSKTRYYLEQCIPEMDDLVEKGLFTKNEVSLIMKKRTDFEHRLNSRGSSINDYIKYINYESNVNKLRAKRCKRILQVKKTNSLSDWSIQQRIGFIYQRGTNKFPQDLKFWAMYLNYMKARGNQTSYKKIHNIYNQLLKLHPTNVDIWISCAKYEYEVHANFKSCRNIFQNGLRFNPDVPKLWYEYVKFELNFITKLINRRKVMGLINEREQELDMQNEQKNNQAPDEEKSHLQVPSTGDSMKDKLNELPEADISVLGNAETNPALRGDIALTIFDVCMKTLGKHYINKHKGYYAISDSKMNIELNKETLNYLFSESLRYIKLFDEFLDLERDYLINHVLQFWKNDMYDLSLRKDLPELYLKTVMIDITLNIRYMPVEKLDIDQLQLSVKKYFAYISKLDSASVKSLKNEYRSYLQDNYLKKMNAEDDPRYKILDLIISKL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRKRERENPCSICGHYHKYEEGEVCGVCGHCMPVSSDTVAPQQVHVSAFPSEILPEFLYLGSYDNASRSELLKTQGISRVLNTVPMCQNLYRNSFTYHGLDNEKVLQFDDAIKFLDQCEKDKARVLVHCMSGKSRSPAVVVAYLMKRKGWRLAESHQWVKQRRPSTDISPEFYQQLQEFEQGIFGSEMMSAMNINDAPTFGFGFPKIDNQAQAPVFNNAPTSSIFSSPASSIPPQEFTFGATPPKPTTGGDIAMDGS", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKAGRGTLGVCLAKQSQGGDPDKLACGLKKRSQKRNPSPSVVPSWTDQPVADSHGKSRATGAAASEMKHGQSKASLLHHGGFKVLQSLKGSVGRSSAPAASLGKAVALSPAPSEEQLAGMSHGIGDALGSDWPGREPRATDNRGQYLKGESWVSGRPGHPKLREVGFLRGEPPSAGPKGLGTWSELSHRYFELGQLPYAYPYYKVLPEGELRCVSLDRFNPGLSEETVEDEKTLKFFRWSADSRGVTGSAIFQISKSLMP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MADAFGDELFSVFEGDSTTAAGTKKDKEKDKGKWKGPPGSADKAGKRFDGKLQSESTNNGKNKRDVDFEGTDEPIFGKKPRIEESITEDLSLADLMPRVKVQSVETVEGCTHEVALPAEEDYLPLKPRVGKAAKEYPFILDAFQREAIQCVDNNQSVLVSAHTSAGKTVCAEYAIALALREKQRVIFTSPIKALSNQKYREMYEEFQDVGLMTGDVTINPTASCLVMTTEILRSMLYRGSEVMREVAWVIFDEIHYMRDSERGVVWEETIILLPDNVHYVFLSATIPNARQFAEWICHLHKQPCHVIYTDYRPTPLQHYIFPAGGDGLHLVVDENGDFREDNFNTAMQVLRDAGDLAKGDQKGRKGGTKGPSNVFKIVKMIMERNFQPVIIFSFSKKDCEAYALQMTKLDFNTDEEKKMVEEVFSNAIDCLSDEDKKLPQVEHVLPLLKRGIGIHHGGLLPILKETIEILFSEGLIKALFATETFAMGINMPARTVLFTNARKFDGKDFRWISSGEYIQMSGRAGRRGMDDRGIVILMVDEKMSPTIGKQLLKGSADPLNSAFHLTYNMVLNLLRVEEINPEYMLEKSFYQFQHYRAIPGVVEKVKNSEEQYNKIVIPNEESVVIYYKIRQQLAKLGKEIEEYIHKPKYCLPFLQPGRLVKVKNEGDDFGWGVVVNFSKKSNVKPNSGELDPLYVVEVLLRCSKESLKNSATEAAKPAKPDEKGEMQVVPVLVHLLSAISSVRLYIPKDLRPVDNRQSVLKSIQEVQKRFPDGIPLLDPIDDMGIQDQGLKKVIQKVEAFEHRMYSHPLHNDPNLETVYTLCEKKAQIAIDIKSAKRELKKARTVLQMDELKCRKRVLRRLGFATSSDVIEMKGRVACEISSADELLLTEMMFNGLFNDLSAEQATALLSCFVFQENSSEMPKLTEQLAGPLRQMQECAKRIAKVSAEAKLEIDEETYLSSFKPHLMDVVYTWATGATFAHICKMTDVFEGSIIRCMRRLEELLRQMCQAAKAIGNTELENKFAEGITKIKRDIVFAASLYL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKMLQNKGPLQELTLNAMAPNGIFQDEPMIQKFENHYVFKKDMKSKRNKEVKNQMNDWLAYGYLCSVHEAKKWLEEETNNEYQNLDDFVDALVNGKVLCQLAFKYYPKLASNWKPRYQISERNTVYLNAFFHFLDFIGMFTPFRFETKDLVRRFNIPKVIYCLHALSYLLDFLEVTRHVPSLYGKLRIKKSQLNTATKEISLLKKAKKFPNFPKLKNFFCSYSHRHETATSNKQVSCFREVPAWIKQCQSQCRGFLTRKCVSIEKLRRDDMSERLGWLPKLETKLSSISDEERLILLKESHQIYRKMVSLHLELLHLPQLEMSLDFCGFSSDDSSIAISSQLVPPILNNLIFKLEESPQIWILIISRFSSDGIDKIDVQNFILLILKFFGFAISASDRRSFLNLIMSCVMVSIQQSSAEVGHSTSDSLISWASRLFTKGFCLQLQSFFEKHLGNVVDKFFLEHLCETTIESDAMRVVTEMLVSCYENRERIPNELPMVLKQIYYSRSESFKPSAIKEFIEYFLCDQLMNCLSVYYSKYFEGKDSKKFTLVKHFMNSLFGRVKLNEQTEIIWHTRNYRIVEALVRKLIHLSTPKIIPVYGGRTLSCTHKDIFDLQNILRYCDERGDFSSFPSFKKLISLLGSPKLFKKHENQILLLESKNEVIHSSLPCSKSSLYQLSLSLCIPLIEGHLRNSLEEILFGVPTELENQKFMSVLRNDKLIKSIHPGSLSGISNSFVNSKHPIEQWQSRLRKILHLFSGRNEDIASLQCVLQFGSSERIHLEDIQNSHRYLCSLKKNNSQKDIHRNPLLSHVFDTNTKSFDTLKTLNYKHAILKKSMGELYKMEMIHECPRQLFGQILVVYLNRERTLLNFYLIENSKTIDEATLQLTDLIQAIKTGIYYLRMFNLPFHVKQLYTWLAPISKYDSEISFNQKKERRKTFLSFERRGKNRKF", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDMRSHEMIERRREDNGNNNGGVVISNIISTNIDDNCNGNNNNTRVSCNSQTLDHHQSKSPSSFSISAAAKPTVRYRECLKNHAASVGGSVHDGCGEFMPSGEEGTIEALRCAACDCHRNFHRKEMDGVGSSDLISHHRHHHYHHNQYGGGGGRRPPPPNMMLNPLMLPPPPNYQPIHHHKYGMSPPGGGGMVTPMSVAYGGGGGGAESSSEDLNLYGQSSGEGAGAAAGQMAFSMSSSKKRFRTKFTTDQKERMMDFAEKLGWRMNKQDEEELKRFCGEIGVKRQVFKVWMHNNKNNAKKPPTPTTTL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVMEDEPREATIKPSYWLDACEDISCDLIDDLVSEFDPSSVAVNESTDENGVINDFFGGIDHILDSIKNGGGLPNNGVSDTNSQINEVTVTPQVIAKETVKENGLQKNGGKRDEFSKEEGDKDRKRARVCSYQSERSNLSGRGHVNNSREGDRFMNRKRTRNWDEAGNNKKKRECNNYRRDGRDREVRGYWERDKVGSNELVYRSGTWEADHERDVKKVSGGNRECDVKAEENKSKPEERKEKVVEEQARRYQLDVLEQAKAKNTIAFLETGAGKTLIAILLIKSVHKDLMSQNRKMLSVFLVPKVPLVYQQAEVIRNQTCFQVGHYCGEMGQDFWDSRRWQREFESKQVLVMTAQILLNILRHSIIRMETIDLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPAIFGMTASPVNLKGVSSQVDCAIKIRNLETKLDSTVCTIKDRKELEKHVPMPSEIVVEYDKAATMWSLHETIKQMIAAVEEAAQASSRKSKWQFMGARDAGAKDELRQVYGVSERTESDGAANLIHKLRAINYTLAELGQWCAYKVGQSFLSALQSDERVNFQVDVKFQESYLSEVVSLLQCELLEGAAAEKVAAEVGKPENGNAHDEMEEGELPDDPVVSGGEHVDEVIGAAVADGKVTPKVQSLIKLLLKYQHTADFRAIVFVERVVAALVLPKVFAELPSLSFIRCASMIGHNNSQEMKSSQMQDTISKFRDGHVTLLVATSVAEEGLDIRQCNVVMRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNVSHAAFLRNARNSEETLRKEAIERTDLSHLKDTSRLISIDAVPGTVYKVEATGAMVSLNSAVGLVHFYCSQLPGDRYAILRPEFSMEKHEKPGGHTEYSCRLQLPCNAPFEILEGPVCSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGSGQDAEKADQDDEGEPVPGTARHREFYPEGVADVLKGEWVSSGKEVCESSKLFHLYMYNVRCVDFGSSKDPFLSEVSEFAILFGNELDAEVLSMSMDLYVARAMITKASLAFKGSLDITENQLSSLKKFHVRLMSIVLDVDVEPSTTPWDPAKAYLFVPVTDNTSMEPIKGINWELVEKITKTTAWDNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHNIVFGQKSHPTYGIRGAVASFDVVRASGLLPVRDAFEKEVEEDLSKGKLMMADGCMVAEDLIGKIVTAAHSGKRFYVDSICYDMSAETSFPRKEGYLGPLEYNTYADYYKQKYGVDLNCKQQPLIKGRGVSYCKNLLSPRFEQSGESETVLDKTYYVFLPPELCVVHPLSGSLIRGAQRLPSIMRRVESMLLAVQLKNLISYPIPTSKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQFALVKGLQSYIQADRFAPSRWSAPGVPPVFDEDTKDGGSSFFDEEQKPVSEENSDVFEDGEMEDGELEGDLSSYRVLSSKTLADVVEALIGVYYVEGGKIAANHLMKWIGIHVEDDPDEVDGTLKNVNVPESVLKSIDFVGLERALKYEFKEKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTSLPPGRLTDLRAAAVNNENFARVAVKHKLHLYLRHGSSALEKQIREFVKEVQTESSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGKDTTAAWKVFQPLLQPMVTPETLPMHPVRELQERCQQQAEGLEYKASRSGNTATVEVFIDGVQVGVAQNPQKKMAQKLAARNALAALKEKEIAESKEKHINNGNAGEDQGENENGNKKNGHQPFTRQTLNDICLRKNWPMPSYRCVKEGGPAHAKRFTFGVRVNTSDRGWTDECIGEPMPSVKKAKDSAAVLLLELLNKTFS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MHWKFSNFRKYHLSFHLNLFDLSLFFISFYCFPILYICFFNQVHSFRSTQPSLIMNKFDLFDDYSTKGSTIPLPNENFDQLFLSSEANDMEFLFNETLMGLQDLDVPSGYGIPQNTINNDFQHTPNKSKSHSRQYSGTAIFGFADHNKDLSINGVNNDLCKQSNKAINTQSVSPGELLKRSRGSQTPTPTSALPDTAQDILDFNFEEKPILLLEEDELEEEKHKQQQRMMTQSSPLKRVTTPSQSPFVQQPQTMKQRKPHKKTNEYIVANENPNSYKFPPSPSPTAKRQQYPPSSPIPYNPKSDSVGGNSYSAKYLQSLNKTQQIEYVDDIEPLLQEDNNNMKYIPIPVQEPMSYQKQKPVTPPLQSQNDSQQLEPLKTPQPQPKQQQQQQQPNNEQDKEFTANINFNTFLPPPTPPNLINGSPDWNSSPEPHSPSPGRLQPPQQISPIHQNLGAMGNNINFYTPMYYELPVQAEQPQPQPQPHQQQHQQQQHQPELQNTYQQIKHIQQQQQMLQHQFHNQNNQLRQQHPNQFQNQNQNQNQNQTKTPYSQQSQFSPTHSNFNLSPAKQLNSNVGSMHLSPLKKQLPNTPTKQPPVTIEWSPVISPNSKQPLHKQIKESSPRRRIKKTSLLPPGELDNYWTGPDEDKIYTCTYKNCGKKFTRRYNVRSHIQTHLSDRPFGCQFCPKRFVRQHDLNRHVKGHIEARYSKCPCGKEFARLDALRKHQDRNICVGGNKNVISKPTKKKGTNNTQQQLLKTDTVVERIEKQLLQEDKSVTEEFLMLQ", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDTKMQSLPTTHPHPHSSSRPQSHTNNQCACSHHCRSCSQAGHPSSSSSPSPGPPTKHPKTPMHSRYSPSRPSHRGSCPKNRKTLEGKVSKRKAVRRRKRTHRAKRRSSGRRYK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNSVLSNIEKLDTYILRRNEYVAESKDEPNKLNTSRKLEVTTKKNQSNNKKRPPPINKARKSLPSIFRRAEENKDSAQIITPTGSKIIGSPLYKRSKSDSFFDHAFNFDKNLGKGSFGEVVAATCRSTSKKFAIKKIPFSKLSKDQYREAYGHMNIPCHPNIVRFHQAWIDKQILHIQLEMCDKSLAAYCHGIDWLEDKELWNVFLDILQGLGHLHNNFMLHNDIKPDNIFMTKNKVCKLGDFGLISDMRSEPINNSSNKHYQSEGDGKYCSKEAINGTLSIFSDIFSFGISILEVGTNIHLPSYGTGWEPIRKWEIPEEILEPMSDELRELVKQMMDKAPTRRPTCSELMKNHVVKEKLRIRKQEVEHIPSESQFQPISYLTTESPLGSRESSCSSIDFLDHFENAEKKTPFVSKLNFDLEDDEDEYEVFSPPRTPVKKSRYQQTMPEVSPPRKIQKLFQRKNLFDE", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MMLGPEGGEGYVVKLRGLPWSCSIEDVQNFLSDCTIHDGVAGVHFIYTREGRQSGEAFVELESEDDVKLALKKDRESMGHRYIEVFKSHRTEMDWVLKHSGPNSADSANDGFVRLRGLPFGCTKEEIVQFFSGLEIVPNGITLPVDPEGKITGEAFVQFASQELAEKALGKHKERIGHRYIEVFKSSQEEVRSYSDPPLKFMSVQRPGPYDRPGTARRYIGIVKQAGLDRMRSGAYSAGYGGYEEYSGLSDGYGFTTDLFGRDLSYCLSGMYDHRYGDSEFTVQSTTGHCVHMRGLPYKATENDIYNFFSPLNPVRVHIEIGPDGRVTGEADVEFATHEEAVAAMSKDRANMQHRYIELFLNSTTGASNGAYSSQVMQGMGVSAAQATYSGLESQSVSGCYGAGYSGQNSMGGYD", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MIKSEEASDRSVMTMDQLGGYYHDPRAHPPFSHPHAHSHPHQHTHTGHPYRAGNLLTGGNYQAMGGGESPTELIDEKPNIGYMELKHYMDATPTATPVSAAQHYSLSALHSMGTPPASSSPIPPYGVLMTAHSAGSASPQSNSKTPTDLPQDLQYASSSTSTAKVQPLQVQLQPLNHQYASTIKYCSNNTILSANDYQLLTSQEQAGQQQPQQLPAQQLQHSPGGGYMSRISTSPSQVISNAHGMPVLNYSSSSSSPAKSLNGSESSPPSQNHLENKVSGSAVVGTGGSSQQDAPSTPDTTKKSGTRRPEKPALSYINMIGHAIKESPTGKLTLSEIYAYLQKSYEFFRGPYVGWKNSVRHNLSLNECFKKLPKGMGVGKPGKGNYWTIDENSAHLFEDEGSLRRRPRGYRSKIKVKPYAGHANGYYASGYGDAGMDNGNYYASPAFASYDYSAAGATGVSPAGGQGFADPWNAHAAHSGSSSVGVGMGVGPLPQYTNISCLAAGGNVNGSATTPPLAHSALGMAPSASSSSSPLGAAATLQSDYAPTASLVAAGYSYATSAGSLDNGLRSISLQQLPGLSSIQHAQAQAQAQAHHHHHQHHASHPSHSHQGHGSMHQNHGTSSTTPPPSQSGGSHGIDHSPIDRKPAYLPPISPPPMMVALNGGGGYYEGLKYAN", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRIAEITTPDLRLHHRETDSHTHHPLLSEIELLIQQSEAISKDQPLPQSLPISLRQFLTRLSQLAPFPDNSFKLTIWKLSFRLWNACVDLANAASLQSSLTSAENIANLRHVAADMLFLAKDVTGVPSPTIKSSLFYYKTGLVYHSLKKFDLASDCFERATEIVSKIDIAKISDAGEKKLFLDLNLARSRTAWEISDRNLAVTLLNRAKNLLFGSPDHYKSLSNQFLAFGKSSLSRGDDDCSLNDALRLMNEALDLCEKGLGTAKTREDTTEFTAMRIKTLRFISAVHLQKGEFENVIKCVKVLRNGGNGSDGADQHASLPVLAMKAWLGLGRHSEAEKELRGMVGNNDIPEAVWVSAVEAYFEVVGTAGAETAKGVFLGLLGRCHVSAKAALRVAHRVLGESRGGDNGSRIRANVVAQLVSDERVVALFASEAVTKERKAIHSVLWNSASDHFRAKDYETSAEMFEKSMLYIPHDIENRVFRAKGFRVLCLCYLGLSQLDRALEYIEEAEKLEPNIACSFLKFKIYLQKKEHSCAIGQIDAMTSCLDFSPDYLSLSAHEAISCQALPVAVASLSKFLSFYISGKKMPTTEVVVFRTLVTILTQDIGSETEALNFMLQAQSRASKLGTECFFGLGETGKREQNWFAATCWNLGSRCGKEKKYELCGEFLRLASEFYGYIDTDESGEDKLMICRSIILSVTAMIALEKQTKSALTETQVKLAAELLVRAGKIMSSSLSDGKDCIMEPELIFMYTLLAYDIHGRLNNSAFQLLVVKTFAGSKSCHYNYLLQLGIFASQSPQSNPDVSTFALNECLSALIASASPEYPTIALIIRKLISIASVHKGDTDDEEAILKMYKQAYRIMVGLKEGEYPTEEGKWLAMTAWNRAALPVRLGQFETAKKWLSIGLEIADKVTGMDTYKACMQDYLAGFQTKVSSA", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAATKEAKQPKEPKKRTTRRKKDPNAPKRGLSAYMFFANENRDIVRSENPDVTFGQVGRILGERWKALTAEEKQPYESKAQADKKRYESEKELYNATRA", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEKQKPFTLFVPPRLSSSQVSAVKPQTAGGDSNYFKTANKCTEGDFGVPFTMSSRENIDKDPAFQKLSILPMLEQVANSGSCHYQEGVNDSDFENSEPMSRLYSKLYKEAEKIKKWKVSIESELKQKENKLQENRKIIEAQRKAIQELQFENEKVSLKLEEEIQENKDLIKENNATIHWCNLLKETCARSAEKTNKYEYEREETRQVYVDLNSNIEKMILAFEELRVQAENARLEMHFKLKEDHEKIQHLEEEYQKEVNNKENQVSELLIQSAEKENKMKDLTFLLEESRDKANQLEEKTKLQDENLKELSEKKDHLTSELEDIKMSMQRSMSTQKALEEDLQIATKTISQLTEVKEAQMEELNKAKTTHSFVVTELKATTCTLEELLRTEQQRLEKNEDQLKLITVELQKKSNELEEMTKFKNNKEVELEELKNILAEDQKLLDEKKQVEKLAEELQEKEQELTFLLETREKEVHDLQEQVTVTKTSEQHYLKQVEEMKTELEKEKLKNTELTASCDMLLLENKKFVQEASDMALELKKHQEDIINCKKQEERLLKQIENLEEKEMHLRDELESVRKEFIQQGDEVKCKLDKSEENARSIECEVLKKEKQMKILESKCNNLKKQVENKSKNIEELHQENKTLKKKSSAEIKQLNAYEIKVSKLELELESTKQRFEEMTNNYQKEIENKKISEGKLLGEVEKAKATVDEAVKLQKEIDLRCQHKIAEMVALMEKHKHQYDKIVEERDSELGLYKNREQEQSSAKIALETELSNIRNELVSLKKQLEIEKEEKEKLKMAKENTAILKDKKDKKIQASLLESPEATSWKFDSKTTPSQNISRLSSSMDSGKSKDNRDNLRASAKSILPTTVTKEYTVKTPTKKSIYQRENKYIPTGGSNKKRKTAFEFDVNSDSSETADLLSLVSEEDVSNRLYDNNPPDSHLLVKTPKQTPLSLSTPASFMKFGSLKKMREDRWTTIAKIDRKRRLKEAEKLFS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDGLSFVDKGKIPDGYKNEIDQLVKKEFANIKREPVHPEIRGILAKRKGADNSVSTLTNALYTEYLKQRNNKKRRTPDFNDDDDTLFLEEYRRKYPRIDTSRYIPNESSEVSLLGIVDSYLKHQEIVLDTLLPQTVSNQWRINNDYIRQTCTIVEEMNIQQRKQINDLEIYRKRL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAHRPVEWVQAVVSRFDEQLPIKTGQQNTHTKVSTEHNKECLINISKYKFSLVISGLTTILKNVNNMRIFGEAAEKNLYLSQLIILDTLEKCLAGQPKDTMRLDETMLVKQLLPEICHFLHTCREGNQHAAELRNSASGVLFSLSCNNFNAVFSRISTRLQELTVCSEDNVDVHDIELLQYINVDCAKLKRLLKETAFKFKALKKVAQLAVINSLEKAFWNWVENYPDEFTKLYQIPQTDMAECAEKLFDLVDGFAESTKRKAAVWPLQIILLILCPEIIQDISKDVVDENNMNKKLFLDSLRKALAGHGGSRQLTESAAIACVKLCKASTYINWEDNSVIFLLVQSMVVDLKNLLFNPSKPFSRGSQPADVDLMIDCLVSCFRISPHNNQHFKICLAQNSPSTFHYVLVNSLHRIITNSALDWWPKIDAVYCHSVELRNMFGETLHKAVQGCGAHPAIRMAPSLTFKEKVTSLKFKEKPTDLETRSYKYLLLSMVKLIHADPKLLLCNPRKQGPETQGSTAELITGLVQLVPQSHMPEIAQEAMEALLVLHQLDSIDLWNPDAPVETFWEISSQMLFYICKKLTSHQMLSSTEILKWLREILICRNKFLLKNKQADRSSCHFLLFYGVGCDIPSSGNTSQMSMDHEELLRTPGASLRKGKGNSSMDSAAGCSGTPPICRQAQTKLEVALYMFLWNPDTEAVLVAMSCFRHLCEEADIRCGVDEVSVHNLLPNYNTFMEFASVSNMMSTGRAALQKRVMALLRRIEHPTAGNTEAWEDTHAKWEQATKLILNYPKAKMEDGQAAESLHKTIVKRRMSHVSGGGSIDLSDTDSLQEWINMTGFLCALGGVCLQQRSNSGLATYSPPMGPVSERKGSMISVMSSEGNADTPVSKFMDRLLSLMVCNHEKVGLQIRTNVKDLVGLELSPALYPMLFNKLKNTISKFFDSQGQVLLTDTNTQFVEQTIAIMKNLLDNHTEGSSEHLGQASIETMMLNLVRYVRVLGNMVHAIQIKTKLCQLVEVMMARRDDLSFCQEMKFRNKMVEYLTDWVMGTSNQAADDDVKCLTRDLDQASMEAVVSLLAGLPLQPEEGDGVELMEAKSQLFLKYFTLFMNLLNDCSEVEDESAQTGGRKRGMSRRLASLRHCTVLAMSNLLNANVDSGLMHSIGLGYHKDLQTRATFMEVLTKILQQGTEFDTLAETVLADRFERLVELVTMMGDQGELPIAMALANVVPCSQWDELARVLVTLFDSRHLLYQLLWNMFSKEVELADSMQTLFRGNSLASKIMTFCFKVYGATYLQKLLDPLLRIVITSSDWQHVSFEVDPTRLEPSESLEENQRNLLQMTEKFFHAIISSSSEFPPQLRSVCHCLYQATCHSLLNKATVKEKKENKKSVVSQRFPQNSIGAVGSAMFLRFINPAIVSPYEAGILDKKPPPRIERGLKLMSKILQSIANHVLFTKEEHMRPFNDFVKSNFDAARRFFLDIASDCPTSDAVNHSLSFISDGNVLALHRLLWNNQEKIGQYLSSNRDHKAVGRRPFDKMATLLAYLGPPEHKPVADTHWSSLNLTSSKFEEFMTRHQVHEKEEFKALKTLSIFYQAGTSKAGNPIFYYVARRFKTGQINGDLLIYHVLLTLKPYYAKPYEIVVDLTHTGPSNRFKTDFLSKWFVVFPGFAYDNVSAVYIYNCNSWVREYTKYHERLLTGLKGSKRLVFIDCPGKLAEHIEHEQQKLPAATLALEEDLKVFHNALKLAHKDTKVSIKVGSTAVQVTSAERTKVLGQSVFLNDIYYASEIEEICLVDENQFTLTIANQGTPLTFMHQECEAIVQSIIHIRTRWELSQPDSIPQHTKIRPKDVPGTLLNIALLNLGSSDPSLRSAAYNLLCALTCTFNLKIEGQLLETSGLCIPANNTLFIVSISKTLAANEPHLTLEFLEECISGFSKSSIELKHLCLEYMTPWLSNLVRFCKHNDDAKRQRVTAILDKLITMTINEKQMYPSIQAKIWGSLGQITDLLDVVLDSFIKTSATGGLGSIKAEVMADTAVALASGNVKLVSSKVIGRMCKIIDKTCLSPTPTLEQHLMWDDIAILARYMLMLSFNNSLDVAAHLPYLFHVVTFLVATGPLSLRASTHGLVINIIHSLCTCSQLHFSEETKQVLRLSLTEFSLPKFYLLFGISKVKSAAVIAFRSSYRDRSFSPGSYERETFALTSLETVTEALLEIMEACMRDIPTCKWLDQWTELAQRFAFQYNPSLQPRALVVFGCISKRVSHGQIKQIIRILSKALESCLKGPDTYNSQVLIEATVIALTKLQPLLNKDSPLHKALFWVAVAVLQLDEVNLYSAGTALLEQNLHTLDSLRIFNDKSPEEVFMAIRNPLEWHCKQMDHFVGLNFNSNFNFALVGHLLKGYRHPSPAIVARTVRILHTLLTLVNKHRNCDKFEVNTQSVAYLAALLTVSEEVRSRCSLKHRKSLLLTDISMENVPMDTYPIHHGDPSYRTLKETQPWSSPKGSEGYLAATYPTVGQTSPRARKSMSLDMGQPSQANTKKLLGTRKSFDHLISDTKAPKRQEMESGITTPPKMRRVAETDYEMETQRISSSQQHPHLRKVSVSESNVLLDEEVLTDPKIQALLLTVLATLVKYTTDEFDQRILYEYLAEASVVFPKVFPVVHNLLDSKINTLLSLCQDPNLLNPIHGIVQSVVYHEESPPQYQTSYLQSFGFNGLWRFAGPFSKQTQIPDYAELIVKFLDALIDTYLPGIDEETSEESLLTPTSPYPPALQSQLSITANLNLSNSMTSLATSQHSPGIDKENVELSPTTGHCNSGRTRHGSASQVQKQRSAGSFKRNSIKKIV", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDSWLEYDDIINQDIDIPSNDLSGSGTLCVGVHSSLLENSLNSIDSFISSKEEISWCGNQSTPIATKSHLSCINPQYVNPFDTSPVSVDTEFQDTYLLDAPSFAQPHFSERQSVDKTRSRCLSRNRRRKRHPNLHKNHQRLLGMSFPQDGFRRMPAESVNFSYFRDTGFNEPTIFPSSDTQNTRQLNLSKIATLIGYDCPLALVDVVTQKQIPNKMDMESWVKYMSLEPSKRGRIYDVLSLEVSTTKLAYYVRKPNIVRDLDLVNTVWPPGSFALGEYPHVDTYCLMSAENSYTEFHIEFGGSSAYYNILDGCKIFYLIPGTSKNWEAYTAWLTSSNDSDKKFLPNMVDVCYCVEVHSQQTILVPSGWIYAVVTPCDTISIAGNFLTFLHIYPQLSIYNLELQLGIEKEYQYPYFESIMWYTAIHFYLAFPDNSSRDGIDDIIAEYETGRLFDINAFTEQELDGFEELLNYLYIRAQILRDCDIIIDIYNEPVKISKNNGYNSAYTMVPPDLDEICVDFVQKFGAWITYHHRRSAKHPSCNCFSHLQTKLIDSGPKPANNSYQHQSNFIGVVISTNHNIIKKCQESQIQTGKNNCSFQLVKKRIKSTKKAPSWRSIIKAFKKRENTRCNFLSSLHATTFREDIVVRPKIKSFVLEQLIFQALFSFAINWTPSFFLNHSNFENIALSKETFNFGGEANCENTDTTLFTTWGDQGFRPSDSICYNDFNLLETANSDAEASIHELELQPLNAVNEREVDISQTDMTPSTALDTRVDTRVDSLPEFSNLILSPSSNDDSFQLDDLLSPSSSNLKQQIQKVVPQNSLEFSVGEKEKKAAEYSLLHTFSYKRLSMENEKPDTTKVPLKYNIQHEEMKAYRRKNDLEYIDQHFASSKSGISNGRNNNKEVNLTKAENVGIKKRRIMKNENNIYDFEDHSPVREKWGHRLRSRGAS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEEGPIKKKLKSAGQGSGKTDAFRNFEQFFFRLNTLYTFLICRKHVVPTFKTLCGPIETALKRTVTKEDLAMVMALMPRECVFKYIDENQIYTETKIFDFNNGGFQQKENDIFELKDVDDQNQTQKSTQLLIFEFIDGTMQRSWSASDRFSQIKIPTYTTEEMKKMISKREALFKSRLREFILEKEKANLDPFSELTNLAQKYIPRERDYEDPIEAMMKAKQESNEMSIPNYSNNSVITTIPQMIEKLKSTEFYASQIKHCFTIPSRTAKYKGLCFELAPEVYQGMEHENFYSHQADAINSLHQGENVIITTSTSSGKSLIYQLAAIDLLLKDPESTFMYIFPTKALAQDQKRAFKVILSKIPELKNAVVDTYDGDTEPEERAYIRKNARVIFTNPDMIHTSILPNHANWRHFLYHLKLVVVDELHIYKGLFGSHVALVMRRLLRLCHCFYENSGLQFISCSATLKSPVQHMKDMFGINEVTLIHEDGSPTGAKHLVVWNPPILPQHERKRENFIRESAKILVQLILNNVRTIAFCYVRRVCELLMKEVRNIFIETGREDLVTEVMSYRGGYSASDRRKIEREMFHGNLKAVISTNALELGIDIGGLDAVLMCGFPLSMANFHQQSGRAGRRNNDSLTLVVASDSPVDQHYVAHPESLLEVNNFESYQDLVLDFNNILILEGHIQCAAFELPINFERDKQYFTESHLRKICVERLHHNQDGYHASNRFLPWPSKCVSLRGGEEDQFAVVDITNGRNIIIEEIEASRTSFTLYDGGIFIHQGYPYLVKEFNPDERYAKVQRVDVDWVTNQRDFTDVDPQEIELIRSLRNSDVPVYFGKIKTTIIVFGFFKVDKYKRIIDAIETHNPPVIINSKGLWIDMPKYALEICQKKQLNVAGAIHGAQHAIMGMLPRFIVAGVDEIQTECKAPEKEFAERQTKRKRPARLIFYDSKGGKYGSGLCVKAFEHIDDIIESSLRRIEECPCSDGCPDCVAASFCKENSLVLSKPGAQVVLHCILGHSEDSFIDLIKDGPEPNMPEIKVETVIPVSEHVNFSDDFKIIDVRRATKDDTHTNEIIKKEI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSELSTYKGYSPKHGDKVPVLQNLNDLTPKDFYDKFIATRTPVIIKSSLPESDWKGYLWQQQDYLLSKIGDIVCKVEPIDPVSGTFGQGMSRNEMSIKEFFQKLKNGERLYLTTQYDESNEVLDGDDEVSLLVKSLCPHPTDGLLTDFSITPALMGNLVPQQCNLWIGKSENGTSSGLHHDFHDNIYAVISGYKRFVIISPDHANQLKLSGKISKIHPNGLISYEGEDCPQRSDGLTELDAAIAKTQFLEKKIGSLKELAVPQESIELLEAEYENEMDRVLQMQIGGPEEDWNDLEEGDAASLLDGSVGGDPESDILLNEGNDIEATSLQDTKPELPDHFTKVSVNGLHKFMGFDDAKNVDVDKDELSALAGTVPLVVDLEPGDMLYLPASWFHEVTSSSASSGGSDVHIAFNYWFHPPDNLEDFDHPYLDRNIWQAKRHLVGEAIDQLYATNKKNEKRPAEDDSPSQKKTCQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDIPYYHYDHGGDSQYLPPGFRFHPTDEELITHYLLRKVLDGCFSSRAIAEVDLNKCEPWQLPGRAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIFSSKTCALVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKFSYHFISRSSKDEWVISRVFQKTTLASTGAVSEGGGGGGATVSVSSGTGPSKKTKVPSTISRNYQEQPSSPSSVSLPPLLDPTTTLGYTDSSCSYDSRSTNTTVTASAITEHVSCFSTVPTTTTALGLDVNSFSRLPPPLGFDFDPFPRFVSRNVSTQSNFRSFQENFNQFPYFGSSSASTMTSAVNLPSFQGGGGVSGMNYWLPATAEENESKVGVLHAGLDCIWNY", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAPSRRKGGGKAAAVAAACRKRKVGDLVLAKVKGFPAWPAVVSEPEKWDASPDSKKVFVHFFGTQQIAFCNPGDVEAFTEERKQSLLTRRHAKGSDFVRAVKEIIESYEKLKQQERASDPKSAEEGTLGSAENTTLMPQVIEIPTATSLTQMNSDPSHGRDESTLLNEDASAAEQMLALRDNSGPRNKACDSAVVKEPRKIATYSSRKRNGGVRSQNCAPQNETCPVQRSKSPSRLQTEKLQSSMLQNSDGGQTIDDVEDGALRREKRIRRSSGHSESDDVATSSLNSHGSDEENASEIATVESDNNRNEGNGVDSGSKVEQIDIGGKFLEGDYDLNKGLNFQINIMVKRKKRKPTRKRGTSDVVDPQAKVEGEAVPEAGARNNVQTSQNSHEKFTERPCEENGDEHLPLVKRARVRMSRAFYGNHEANSSLQAEERSPKDTVVSATAQTSPSDIISSHDTFAVEESKFFEVSAKLSGDMVNVAPSPVEKSHDGMSPSEACVQTVREREYAMGWNELSKTPDDKSAGPQYNQVSSLPAGEAQTASVPEAVCPEVLKLLTSESDLPAVQYCQVAKIEPSMDPNTVDSSANNASEICSLSIPSQLSGQDRSNDQDACVSLENSREYLNEEGSKIDACVAQVVQSEAIEHSPSSCLVVNKQETENMPKTVNMLLKEGHGSLGEECAIVEPAQCTPNLPISATESDVIVGENVPLNEIGCTKCEDAVEDSRQLKMIGETNDQKQQVQTNNSVLVSENLSREKMSFSPAITADTPARGTPHSSSVYYHISTSESANDMQNNSSGSPNIPTGEKKNDCDAIVKEEEKIETGVCQGQKVVSCDVQSTRESYEDALCSLVRTKESIGRATCLAMDLMKFGVSAKAMEILAHTLESESNLKRRVDLFFLVDSIAQCSKGLKGDTGCVYLSAIQVILPRLLAAAVPAGATTQENRKQCLKVLKLWLERRILPESIVRHHIRELDSHSIVPACLYSRRSARTERSLDDPVRDMEDMLVDEYGSNSTLQLPGFCMPALLKDEEGGSDSEGGCDSEGGSDSDGGDFESVTPEHESRILEENVSSSTAERHTLILEDVDGELEMEDVAPPWGTENCTHTDQADNTKVSNCQLGQQHRPVFGTSHQHMSLSSPPLPSSSPPPPPAPPSQQGECAMPDSYLNGFENGGYRNVHGDQQAGPLRMNPPLSGSTMHYQGPESSYISGVQLTNSIPQADGSNFQHRPYPSHPHPHPPPPPPPPQHQFSFREPGHVLKSHRDAPSYSHRSHYVPNCDERNFHDNHERMRHAPFENRDNWRYPPSSSYGSRYQDEHKAPYPSSSYNGVRWDNPPRQYNNRPSFHPKPHSEGPAPVGMRDPGMWHQRSD", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MFTSGNVTARVFERQIRTPPPGASVNRARHFYENLVPSYTLYDVESPDHCFRKFTEDGLFLISFSRNHQELIVYRPSWLTYSTTDDSTTTLPPLPRRASKFDSFFTQLYSVNLASSNELICKDFFLYHQTRRFGLFATSTAQIHDSSSPSNDAVPGVPSIDKITFVLLRLDDGVVLDERVFLHDFVNLAHNMGVFLYDDLLAILSLRYQRIHLLQIRDSGHLVDARAIGYFCREDDELFLNSSSQAMMSQDKSKQQSLSGSKEDDTGENGLRHSLSQPSGSNSFLSGVKQRLLSFIFREIWNEESDNVMRVQSLKKKFYFHFQDYVDLIIWKVQFLDRQHLLIKFGSVDGGVTRSADHHPAFFAVYNMETTDIVAFYQNSAEDLYQLFEQFSDHFTVSSSTPFMNFVTSHSNNVYALEQLKYTKNKSNSFSQFVKKMLLSLPFSCQSQSPSPYFDQSLFRFDEKLISAADRHRQSSDNPIKFISRRQPQTLKFKIKPGPECGTADGRSKKICSFLFHPHLPLAISIQQTLFMPPSVVNIHFRR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MMPQSGVAQPPMAPMSMDQHQYQQQAPPPTQQQQWMMPPQQPQQPQFQPQSQPAWAQQPSQQQYGAMATTNPNPSPTGNPNEVRSLWIGDLQYWMDENYLSTCFYHTGELVSAKVIRNKQTGQSEGYGFLEFRSHAAAETILQTYNGTLMPNVEQNFRMNWASLGAGERRDDSAEHTIFVGDLAADVTDYILQETFKSVYSSVRGAKVVTDRITGRSKGYGFVKFADESEQLRAMTEMNGVLCSTRPMRIGPAANKKPVGTPQKATYQNPQATQGESDPNNTTIFVGGLDPTVAEEHLRQVFSPYGELVHVKIVAGKRCGFVQFGTRASAEQALSSLNGTQLGGQSIRLSWGRSPSSKQTDQTQWGGSGGAYYGYGQGYEAYGYAPPAQDPNMYYGNYPGYANYQQPQQ", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGSNDLINEAYDDSEVVGEERESKSAWMKRWYQLLTSPLDLQLVINEKLEMINWDAYAKSLAKPLGNFLTILFFIIRLLQDNLIKPNYYKLNVKSGAFDLSKSNKLKEFDYLWEISSSFQNNNQFYAFQSWYFVTLRFLNNLFRFTIFILLSLNLYVSCKFMFGYFKTYNLFHLKKEFNSPNLTKHNLKDLSKEYYEDIYKQSLWSMLKHFFRGSRDDGPHVNQNEDEIFFQLRKWIPTNFMINLFVSFSPTAIVFLSFSDVSFTSAIAIVFHQYILDYIITKRFQRSVDDDLILSSAALQEYEDKHIMARINQCSNIDTLSSAMGTRSKTPRIFTTHSLCGEEIREVYNYEKREFEALPKMTESVPGSRETRIKDYGGISQVSDHQSHPIGFHYSPRMSPYYRDKVLDNNLAQSSSNENLEKGGAYLPNQDQNRPSKSLSPLRKTPLSARQKRFEGSEFNVLNKNDINSILRSPKKKKNYHKR", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATGFSFGSGTLGSTTVAPGGTGTGSGFSFGASSTPSVGLNFGTLGSSATPASTSTSASGFGTGLFGSKPGTGFTLGGTSAGTTATTSASTTGFSLGFSKPAASATPFALPVTSTTASGLTLSSALTSAPAASTGFTLNNLGATPATTTAASTGLSLGGALAGLGGSLFQSGNTATSGLGQNALSLSLGTATPTSAASSEGLGGIDFSTSSDKKSDKTGTRPEDSKALKDENLPPVICQDVENLQKFVKEQKQVQEEISRMSSKAMLKVQEDIKALKQLLSLAASGLQRNTLNIDKLKLETAQELKNAEIALRTQKTPPGLQHENTAPADYFRVLVQQFEVQLQQYRQQIEELENHLATQANNSHITPQDLSMAMQKIYQTFVALAAQLQSIHENVKVLKEQYLSYRKMFLGDAGDVFEARRTEAKKWQNAPRVTTGPTPFSTMPNAAAVAMAATLTQQQQPATGPQPSLGVSFGTPFGSGIGTGLQSSGLGSSNLGGFGTSSGFGCGTTGASTFGFGTTDKPSGSLSAGFGSSSTSGFNFSNPGITASAGLTFGVSNPASAGFGTGGQLLQLKRPPAGNKRGKR", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTRPPLVRGIFSLGLSVAVLKGVEKTVRKHLERQGWIEPQKVDYELIFTIDRLKNLVDNKREALTAEQPDAGELSWRKVFNFISRQSSELDTRIYVLILLLSFLLPIAWTVLDGDRETTLEDKDNDCNVDLIENERRLKHYNDGERAVLQFGKNRSEPIILSYKDMNVLEGEHEFTSKEEHSNSHLTSKSENALNQVGSEDLLGCHLEKQLEEDKNEPNGEADGEDDNNREKDCSSSSEVESQSKCRKESTAEPDSLSRDTRTTSSLKSSTSFPISFKGSIDLKSLNQPSSLLHIQVSPTKSSNLDAQVNTEQAYSQPFRY", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTSLPEKDQQGEVSVSENQKKLSEEWEPYIDKLVASNRTRDERVESIQELFQKCVIEEKLPVDIFFSIFSLAFERLEEKNTLASYVIDTLWLFDTEWIKNFHEGSHDKAEKRLVSIGKGLKEFLPEEWLLSRLDCKFLENINVVPNGDFLNRKIVRTNTSLLYRQKKFNLLREESEGFSHLMINFFDALTCLRNKSLNEDYLIVQVNKSIISTIGAFDLDPNKVLDLILLLFSENLLDSWRFFLSILRNSPWGPNKERKFWNQLPDREKETFLNNLSNANGIFNFDERFTNTKSIMSQVLGHNLQYMYKEDDENLESYFMMVALLIKYNFISIDNIWAHLSPSDEELGKELGKYKDKLDEQTFKAKGNALTMAAPLPDDEIEDGETMDGQKAEAVPEIKKAKPSQKLGLLKSLLSIGDLSSSLLILGRYPFLLRAYPELSNLYHKLLHISISSIYANYSPLKLLPNDVRERLKQPKFIPEDSRLREITLRPPKEKNLVFSLDPFADRFNKTESEVFYYFENYDEDIPILRNLTEFYNIAIPWLRLSGLALCHDPVIVTKLCRIGQKCVDNSSESRTLWLDIIRSLLLPLITLIDVNTGLSYELFELLSKFDSSTRYALYGEWSSTSMKKFPELKLQNSITEKETKGILRRLTKTNVKQFGRLLAKVCHSNPCTVFSIALNQIETYDNLVEVVVDSARFITALDFDALTFIILSSFSNEFKKRLKSDGTSIAHWLQGLASFCGRVFRRYSSLDCTSIVEYVIKQFKVNQMFDLVILKELLSQMTGLQPWTNLSDNQIQGAAGGPVLRQLSLSLIYENPDVVRKSSMRLFNTLQKNGLATQLLVLLSQKYSTCIYDVTDENSHLKLISSLQDECSDVLYLLMEFLNMVCSPKSYYKLIPSFEQLIQDFHIQPQVAFYLSRYKNLDHSLTGSNTEDAMDIDYENTSSPNTASNPVWSIDNSVITELLPKQIWDYFSPNFYLTFWKLSLYDVFVPLERYEFERSRAFDQIRQTDAANTFYSRHRHDRQKIMQLSNSLQNELKEHINSLESVRKVLQGDCVKWFIPNGVFPNGTRLEHARFNCARYLWTLCIAPRLKMSPHDALYCAKFVKLLHSLGTPNFSTMSFLEILFNSQLPSFIFSMTQREADNFGRFLYEVLYDITSWYRDKILYERECLANGALPGFRLYWSDEQNDPDLSAVLPYNKFVLLFSKWHKYLTSYFESCLLSTEYMHIYNSVIILEKILPCFPLIIESGSALKRAAERLKDEEKREDLKVLALGYFAKLSKKQPEWVSFNSFSGTVRPSNSEKLQRPQQLSVAATSAVDSKTASISEEQAKIDKQKVALNPSAPEFVPDSTPSDAVASETDNKNLVENKAVEKRVEARSSANERKQEERRRKTTPEGNRRALRTRTPTNEDIQRSDSKLREDQSRDRTPQSRSFTNENNDNLRSVSRHTRREPQQAQNLNARREHESQKSDRWRQNGNVNRNPRVSNNNSTNVSRERSSEANHRTSNDNKRDEVTEGKDKNKRQDISGESNSRQNNAISRAGRSNGSNRGNDSRDADGRRSTHYASNKRPRSSDSQSPSNLREEDERENSRRRARQDDRRDRDSRQQRDRPRDRTSRSAREEKRRKIQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MMQHASPAPALTMMATQNVPPPPYQDSPQMTATAQPPSKAQAVHISAPSAAASTPVPSAPIDPQAQLEADKRAVYRHPLFPLLTLLFEKCEQATQGSECITSASFDVDIENFVHQQEQEHKPFFSDDPELDNLMVKAIQVLRIHLLELEKVNELCKDFCNRYITCLKTKMHSDNLLRNDLGGPYSPNQPSINLHSQDLLQNSPNSMSGVSNNPQGIVVPASALQQGNIAMTTVNSQVVSGGALYQPVTMVTSQGQVVTQAIPQGAIQIQNTQVNLDLTSLLDNEDKKSKNKRGVLPKHATNIMRSWLFQHLMHPYPTEDEKRQIAAQTNLTLLQVNNWFINARRRILQPMLDASNPDPAPKAKKIKSQHRPTQRFWPNSIAAGVLQQQGGAPGTNPDGSINLDNLQSLSSDSATMAMQQAMMAAHDDSLDGTEEEDEDEMEEEEEEELEEEVDELQTTNVSDLGLEHSDSLE", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDKRTDDAVRETKLKARPELKGDEGWTRLGYANNFDLSYQHIKDTLPRIHCKSISHDEFIARYEKPRIPVILTGCTDSWLANQKWKLSSLAKKYRNQKFKVGEDNDGFSVKMKMKYYIEYLKHQKDDSPLYIFDGSYGEHPKKRKLLDDYHPPSFFQDDLFKYAGEKRRPPYRWIVIGPARSGTGIHIDPLGTSAWNALISGHKRWMMFPTETPKHLLEVSKQDGQHQSGEGIQWFVKVYPKVKSPTWPKEYAPLEIIQHPGETVFVPGGWWHVVLNLDQTVAVTQNFSSPTNFHVVWHKTVRGRPKLSQKWLRALKIYRPEIARIAAEVDLLRQSGLASDSSSDSSSSSSSSSSEESNSESEESTADSIPEQSESKRRKVDAVE", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSRKLKKTNLFNKDVSSLLYAYGDVPQPLQATVQCLDELVSGYLVDVCTNAFHTAQNSQRNKLRLEDFKFALRKDPIKLGRAEELIATNKLITEAKKQFNETDNQNSLKRYREEDEEGDEMEEDEDEQQVTDDDEEAAGRNSAKQSTDSKATKIRKQGPKNLKKTKK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTSTDVSSGVSNCYVFKSRLQEYAQKYKLPTPVYEIVKEGPSHKSLFQSTVILDGVRYNSLPGFFNRKAAEQSAAEVALRELAKSSELSQCVSQPVHETGLCKNLLQEYAQKMNYAIPLYQCQKVETLGRVTQFTCTVEIGGIKYTGAATRTKKDAEISAGRTALLAIQSDTKNNLANYNTQLTVLPCEKKTIQAAIPLKETVKTLKARKAQFKKKAQKGKRTVAKNPEDIIIPPQPTDHCQNDQSEKIETTPNLEPSSCMNGLKEAAFGSVETEKIETTPNLEPPSCMNGLKEAAFGSVETEKIETTPNLEPPSCMNGLKEAAFGSVETEKIETTPNLEPSSCMNGLKEAAFGSVETEKIETTPNLEPPSCMNGLKEAAFGSVETEKIETTPNLESSSCMSGLKEAAFGSVETEASHA", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDEEEDNLSLLTALLEENESALDCNSEENNFLTRENGEPDAFDELFDADGDGESYTEEADDGETGETRDEKENLATLFGDMEDLTDEEEVPASQSTENRVLPAPAPRREKTNEELQEELRNLQEQMKALQEQLKVTTIKQTASPARLQKSPVEKSPRPPLKERRVQRIQESTCFSAELDVPALPRTKRVARTPKASPPDPKSSSSRMTSAPSQPLQTISRNKPSGITRGQIVGTPGSSGETTQPICVEAFSGLRLRRPRVSSTEMNKKMTGRKLIRLSQIKEKMAREKLEEIDWVTFGVILKKVTPQSVNSGKTFSIWKLNDLRDLTQCVSLFLFGEVHKALWKTEQGTVVGILNANPMKPKDGSEEVCLSIDHPQKVLIMGEALDLGTCKAKKKNGEPCTQTVNLRDCEYCQYHVQAQYKKLSAKRADLQSTFSGGRIPKKFARRGTSLKERLCQDGFYYGGVSSASYAASIAAAVAPKKKIQTTLSNLVVKGTNLIIQETRQKLGIPQKSLSCSEEFKELMDLPTCGARNLKQHLAKATASGIMGSPKPAIKSISASALLKQQKQRMLEMRRRKSEEIQKRFLQSSSEVESPAVPSSSRQPPAQPPRTGSEFPRLEGAPATMTPKLGRGVLEGDDVLFYDESPPPRPKLSALAEAKKLAAITKLRAKGQVLTKTNPNSIKKKQKDPQDILEVKERVEKNTMFSSQAEDELEPARKKRREQLAYLESEEFQKILKAKSKHTGILKEAEAEMQERYFEPLVKKEQMEEKMRNIREVKCRVVTCKTCAYTHFKLLETCVSEQHEYHWHDGVKRFFKCPCGNRSISLDRLPNKHCSNCGLYKWERDGMLKEKTGPKIGGETLLPRGEEHAKFLNSLK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSDVTQQKKRKRSKGEVNPSKPTVDEEITDPSSNEDEQLEVSDEEDALESEEEFEGENPADKRRRLAKQYLENLKSEANDILTDNRNAEEKDLNNLKERTIDEYNNFDAGDLDKDIIASRLKEDVAEQQGRVFRYFGDKLLISEAKQSFTRVGENNLTCISCFQPVLNKYTFEESSNGDKNKGRLFAYTVSKDLQLTKYDITDFSKRPKKLKYAKGGAKYIPTSKHEYENTTEGHYDEILTVAASPDGKYVVTGGRDRKLIVWSTESLSPVKVIPTKDRRGEVLSLAFRKNSDQLYASCADFKIRTYSINQFSQLEILYGHHDIVEDISALAMERCVTVGARDRTAMLWKIPDETRLTFRGGDEPQKLLRRWMKENAKEGEDGEVKYPDESEAPLFFCEGSIDVVSMVDDFHFITGSDNGNICLWSLAKKKPIFTERIAHGILPEPSFNDISGETDEELRKRQLQGKKLLQPFWITSLYAIPYSNVFISGSWSGSLKVWKISDNLRSFELLGELSGAKGVVTKIQVVESGKHGKEKFRILASIAKEHRLGRWIANVSGARNGIYSAVIDQTGF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAIARTGVYVDDYLEYASTFPAELQRLLNTVRELDERSQSLINQTRQQTKYCLGLASQSSKKGNGNHYNNGGLDEEETIEKMRKEIESSQENALSLCTEKVLLARQAYDLIDSHVKRLDEDLNNFAEDLKQEGKIPPDEPSVLPPLPIVPKAEKRKSFYGTPQPKKIDYRDRDWDRDRDFELMPPPGSNRKDLMPIEEQPIDPNEPTYCVCHQVSFGDMIACDNENCQGGEWFHYTCVGLTPETRFKGKWYCPTCRLLPQSH", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAVAIEAPFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGSKALEFLGLHDHEDSPISTQSDQQEVGVNLIITDYCMPGMTGYDLLKKIKESSYLRDIPVVIMSSDNIPSRINRCLEEGADEFFLKPVRLSDMSKLKPHILKSRCKEHYQQEQHLQSNSESNNSSNPTSENSSSSTSTNSHKRKAVDEEILPHTIRPRHS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MADYLLYESATGYSLFDVVGADQIAAKTKEVQLSLQDISKFGKVVQLRSFIPFKNAAHALENANDISEGVLNDFLKNFLELNLPKASKKKKVSLGVQDKNLATSIKSEIDAIECDTSELTQDLLRGIRFHGDKLLKQLSPGDFERAQLGLGHSYSRAKVKFNVNRNDNMIIQAIAILDQLDKDINTFAMRMKEWYSWHFPELSKIVGDNYKYAVIVTLVGDKTTINDEMLHDLAAVVDDDKDIAQSIINAGKVSMGQDISEIDLENILSFAERVIKLSNYRKQLHNYLVQKMNVVAPNLAELIGEMVGARLISHAGSLTNLSKCPASTVQILGAEKALFRALKTRGNTPKYGIIYHSSFIGKAGAKNKGRISRFLANKCSIASRIDNFSDAPTTAFGQVLRRQVEERLNFFDTGVAPTRNSIAMAEAYEKALSSVNIDGDEEVDIDVEETVETISEKPSKKEKKDKKEKKKEKSKKKRSADDASEEVKKSKKKKKSH", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MATAAETEAPSTDASWKSRGGGGGDDGMKPALPELESSLQNGGGDGGGGAGPEETAAAEAARSYGHEQPQQTSEAAAAALPKGAEEPERPFRRSFQIPRKSREKKALFQPLTPGSREFEDVLNILHSSYLEPSSVTYFNYRRACLIHNELLEKEFTEKRRELKFDGRLDKELSESYAFLMVDRYQVQSICEKGLQVGQSKITVLGSPSMGIYLCRYADLLQANPLEAGAVGDVVIFKIMKGKIKSIYDPLSVKSLESMLSKNALDPTPKHECHVSKNASRITSLLAYRAYELTQYYFYEYGFDEVRRRPRHVCPYAVVSFTYKDDVQTPKFLSPLRSNSFNADRNIDKFNYTLWKGQLLNKGKLLCYISLRSANRAFLPVKLPEKLDVETVMSIDCLKQKIPPSFFYKDTYVGPNEVLKNGMYCSLYEVVEKTRIGSNMECLLQKLEKEKLVLVKPLGDRGYLFLLSPFQMVSPYEHQTVKSRILHALFLFQEPRCLIITQKGIMNTTPLEKPENLADILKITQFLQFSLIQCRKEFKTINTINFHSVVEKYVSEFFKRGFGSGKREFFMFSYDSRLDDRKFLYSAPRNKSHIDDCLHTYIYQPEMYQLSIFKLKELFEENWRRQQFSPLSDYEGQEEELNGSKMKFGKRNNSRDETTEPEQQKSSHSLDYDKDRVKELINLIQCTKKNVGGDPDPEDTKSKNVLKRKLEDLPENMRKFAKTSNSTESCHLYEESPQSIGLLGQDPNLRVQQEDSGNTGDIHKLYNWLSEALANARHSDGFLTETVNKALGLSSSGAYEELKQKCDYELNSTLDKKESEQPACTKIENVHFKDAQSPLLEVDAASVKYPPLLSSSEVGINHKLHCKEDPNLINVNNFEGCSLCPTVSIEHGFLRQHSKSNDDEETEIHWKLIPITGGNAGSPEDQHGKHGEKQTPDTLKGTTEEDTVTAGQAMAVEEQCVPAAELPRVSEITENTVLGEFHLFSRKVEEILKEKNVSYVSAISTPIFSAQEKMNRLSEFIHSNTSKAGVEEFVDGLHEKLNTVVITASAKGVSLPPAVSANHSHAAAALASLGRRVVSISSSDFSAKELFEPLCSEHLKDNNSNEQYSSSVEVEMNRPHHCKELMLTSDHTVPGDTVLEPTEKEITKSPSDITISAQPALSNFISQLEPEVFNSLVKIMKDVQKNTVKFYIHEEEESVLCKEIKEYLTKLGNTECHPDQFLERRSNLDKLLIIIQNEDIAGFIHKVPGLVTLKKLPCVSFAGVDSLDDVKNHTYNELFVSGGFIVSDESILNLEVVTIESLKIFLTFLEELSTPEGKWQWKIHCKFQKKLKELGRMNTKALSLLTLLNVYQKKHLVEILSYHSCDSQTRNAPEMDCLIRLQAQNIQQRHIVFLTEKNIKMVSSYTDNGIVVATTEDFMQNFTSLVGYHNSVTEESLPPLLGANENLESQSALLENDEKDEEDMSLDSGDEISHIEVFSNVHSEILAGETKGSSGTDQKKNIQIELQSSLDVQNSLLEDKTYLIDCEASAPIDRVCSEGESSNSAEQDAYSDFQADQNQLQMSHQCSHFNVLTHQTFLGTPYALSSTRSQENENYFLSAYKNSGTEKSPLS", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEKPRGTEEAPSSEPMEEEEEDDLDLFGGYDSFRSYNSSAGSESSSYLEESSEAENEDREAGELPTSPLHLFSSANNRSLDGSGSEPAVCEMCGIVGTREAFFSKTKRFCSVSCSRSYSSNSKKASILARLQGKPPTKKAKVLHKAAWSAKIGAFLHAQGTGQLADGTPTGQDALVLGFDWGKFLKDHSYKAAPVSCFKHVPLYDQWEDVMKGMKVEVLNSDAVLPSRVYWIATVIQAAGYRVLLRYEGFENDASHDFWCNLGTVDVHPIGWCAINSKILVPPRTIHAKFTDWKSYLMKRLVGSRTLPADFHIKMVESMKYPFRQGMRLEVVDKTQVSRTRMAVVDTVIGGRLRLLYEDGDSDDDFWCHMWSPLIHPVGWSRRVGHGIKMSDRRCDMSHHPTFRKIYCDAVPYLFKKVRAVYTEGGWFEEGMKLEAIDPLNLGSICVATICKVLLDGYLMICVDGGPSTDGSDWFCYHASSHAIFPATFCQKNDIELTPPKGYETQPFAWETYLEKTKSKAAPARLFNMDCPNHGFKVGMKLEAVDLMEPRLICVATVKRVVHRLLSIHFDGWDNEYDQWVDCESPDIYPVGWCELTGYQLQPPVSAEPNTPQKGKDTTKKKKKQFGKKRKRIPSAKTRPLRQGSKKPLLEDNLEALGVSEPVPDDIIAVCVKEEHQDISSLDRSPSPQLPLPIESIKQERNN", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPVSLTPNAITAIHDGDVNLKPLLQVLEIKMIGRSQERSQERYRFLISDGVSAQHAMVAVQLNDRVKSGQFEKGSIVQLIDYICSDVKGRKLIVVLNMETIVQQSETIGNPTIFGETDTEAQKTFSGTGNIPPPNRVVFNEPMVQHSVNRAPPRGVNIQNQANNTPSFRPSVQPSYQPPASYRNHGPIMKNEAPARVIPIAALNPYQGRWAIKARVTAKGDIRRYNNAKGDGKVFSFDLLDYDGGEIRVTCFNALVDRFYDVTEVGKVYLISKGSLKPAQKNFNHLKNEWEIFLESTSTVELCPDEDGSIPKQQFSFRPISDIENAENNTILDVIGVVTSVNPSVPILRKNGMETHRRILNLKDESGKAVEVTLWGEFCNRDGRQLEEMVDSAFHPVLAIKAGKVSDFSGKSVGTISSTQLFINPDFPEAHKLRTWFDYGGKDTASFSISRDTMPGGVSRNEIRKNVSQIKEEGLGRSDKPDWITVKATISFIKTDSFCYTACPLMIGDKQCNKKVTRSGTNRWLCDRCNQESDECDYRYLLQVQIQDHTGLTWITAFQETGEEIMGCPAKKLYAMKYELEKEEEFAEIVRDRLFHQYMLKLKIKEESYGDEQRVKMTVVKVDKVNYTSESKYMLDLLVR", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSPANLFPGLNDITDVLEEFPLATSRYLTLLHEIDAKCVHSMPNLNERIDKFLKKDFNKDHQTQVRLLNNINKIYEELMPSLEEKMHVSSIMLDNLDRLTSRLELAYEVAIKNTEIPRGLRLGVDNHPAMHLHHELMEKIESKSNSKSSQALKSESRREAMAANRRQGEHYSASTHQQDDSKNDANYGGSRHESQDHTGNNTNSRKRANAANTNNADPETKKRKRRVATTAVSPSTISTATAVNNGRIGTSTASRGVSSVGNSNNSRISRPKTNDYGEPLYCYCNQVAYGEMVGCDGADCELEWFHLPCIGLETLPKGKWYCDDCKKKL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQGGSSGIGYGLKYQARCISDVKADRDHTSFLTGTLSLKEENEVHLLRLSSGGSELLCEGLFSHPNEIWDLASSPFDQRIFSTVFSTGDSYGAAIWQIPEPYGQSNSSTLECVASLDAHVGKINCVLWCPSGNSDKLISMDEQNLVFWSLDSSKKSAEVLSKESAGMRHSLSGGAWNPHDVNSVAATSESSIQFWDLRTMKKNNSIERAHVRNVDYNLKREHILVSADDESGIHLWDLRKTKFPVQELPGHTHWTWAVRCNPEYEELILSVGTDSAVNLWFASASSEHKTSESPVEASRQRVNPLLNSYTDYEDSVYGLAWSSREPWIFASLSYDGRVVIESVKPFLPRR", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEKELEEYKSQLALVQISLQKTPQNEELQLLENDLKELISLTENLLQESVENDKNTFQNSQNGVAGFNTSKPVHIDFTPGNLVMARWVSGDYLFYPSRITAVSGFGANKKYTVQFLDYPDIETVSLKHIKAMPEEKRQEIEGNKEILKKSTTIRSTPVREPTKAISVASMSTSPSNYASRASSPDMKSSAAVTANVSPIQNVAQHVSTLPKISPIPPSNPPPVPSVSYSQKQQKQLKPKAALEASQNSWKQFAARGVKTGRVGKRKKIGESSIFKSTEDFPGRTNPKNFGNVARSGHREKHIYNYREDEDS", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRPKAVWEPEYHRVFVDLCVEQTMLGNKPGTHFSKEGWRNILISFQEQTGAMYDRMQLKNHWDTMSRQWKIWRRLVETSFMNWNPESNRFRATDDDWANYLQENPDAGQYRLSVPHDLKKLEILFAGCNVEVKNDEVSGVRKRRRSCYEEEDEDNQSMCSSSNPQTKGYWSPSTHKLFLDLLVQETLKGNRPDTHFNKEGWKTILGTINENTGLGYTRPQLKNHWDCTRKAWKIWCQLVGASSMKWDPESRSFGATEEEWRIYIRENPRAGQFRHKEVPHADQLAIIFNGVIEPGETYTPPSRSRKKLLHNRSESPQWRDTTPLSKMHVDEAETSRQNGCYAESQEDRIDSENAQPLDDMKLMNDVMLQESPVFVEIESAKPMYSIGECIKSLNAIEEVEQGSELYMFALDLFLKREYREIFLELKKPSLRIAWLQRLQSTSFPIPTTT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVLSKRPVRISKACENCRKRKVKCSGGDVCFECQKYNENCVYRQFYRKIKRLKYNNDNSKIDNFSNEQMNIPEFISVRNLNDDSSSIEFFGPASNISFVNQLNHYLRKAERNGYDFLSEGQNDITPEEERKGLEKFGMKLMVLKDNANNFDFSLSNITTEKMNGLLIAYLETWHIPCPIFKAEDLFNLSVRTWKNPSASVHDKALLYLILSIGSAASYFDLQSNSSTLPLARGFFNLALRTVPHIFTELSLDAIRIVFFMSVSAGNLGDTALSYLYSGTAVRMSLAIGLHKCKNFSNDLSDKYQNIRLWVSVWQWEGYWSFCVGRPSCSRQDIPIPAVPNEAFSFSGYGEHGRFLINHEHMRLRVFFSSCCSKIQSEIYSTNRNLLSVLQTVEQISKEVDKEYFSSTNHQLIRSEIGEYCKTLDINSCREWFWIRIYYLYLKLMIFRPFLIFLAYVNISKTSAPDDIIEGLKRGSDQCVQEAIDISKFIVQLNRKVRMLQPIFFICTYLESACTVLLFYIASNSAKIQGQLATEIWAVLRDTCSFLQGSSGPYVGSVSTIAKDALESLNNILVSKKYQDNSVNNTYFDKVMQHVLVHSPAFDDSSDPKFETNRSETPTQYTLDDSANDELMIPDLQGFWEQTLDWINN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSVSEQDPNRAYRETQSQIYKLQETLLNSARTKNKQEEGQESNTHSFPEQYMHYQNGRNSAYDLPNVSSQSVLAFTEKHYPNKLKNLGTLYYNRFKEGSFDEDSTSYSDRHSFPYNLYDNTLPPPFLPAIGIQNINNIATLKITYEDIQASFNNIESPRKRNNEIWGCDIYSDDSDPILVLRHCGFKIGAPSGGSFHKLRRTPVNVTNQDNVTGNLPLLEGTPFDLEVELLFLPTLQKYPSVKRFDITSREWGSEATVIHDGLSYGIYSIVIKQRLDRDKPHEPNGYIKNLKWT", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNLGRCPLAPRSANIVLPKHDAVSKQKEYRIEEKTNEAQREEIITWKDNREDEGEVKTDFEVVNNENIITTTPKHQTVITPKSYRKSVKRIKHDAPQNEDIPVMKGLAPINADTESKAESMAAGKVLGSKNSSQKARLQEWKRQYKKAFPHFRFYLDGCDPSVAHRVKKQIQQLGGHVETFFSGNVTHVATVRAIQDVSVKYAKQDVITKARQLNMKIWSMEKLCNRVLKTLMENDQCTTNAPTKQGNDLSYLLYVEKVQGTNERDLSVPRQDFVHFRGPYLYVHDIANIYKPILLREWQKPLPDRDVPWPTFRATSIGRCPFVPETKYRLSTSKSLVAKNDQQLLQRQSQEPSLILRANSMKASLPDISNTGISGMNTNTTYNTNINNTPQTAISGITQDTSPSIRTNCHHCLDDGMQASGIVQSNLTSAAMSNNSAIRSGSAASVPVVTINGRDIAELKKRIIQQKSGMIGKDYSYKAMLHNTSQRKIRVDAKPGYCENCREKFDNFESHIRSSRHRRFAENNDNFKDLDELFALVQRPLRPD", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSQESDNNKRLVALVPMPSDPPFNTRRAYTSEDEAWKSYLENPLTAATKAMMSINGDEDSAAALGLLYDYYKVPRDKRLLSVSKASDSQEDQDKRNCLGTSEAQINLSGGENRVQVLKTVPVNLCLSQDHMENSKREQYSVSITESSAVIPVSGITVVKAEDFTPVFMAPPVHYPRADSEEQRVVIFEQTQYDLPSIASHSSYLKDDQRSTPDSTYSESFKDGASEKFRSTSVGADEYTYDQTGSGTFQYTLEATKSLRQKQGEGPMTYLNKGQFYAITLSETGDNKCFRHPISKVRSVVMVVFSEDKNRDEQLKYWKYWHSRQHTAKQRVLDIADYKESFNTIGNIEEIAYNAVSFTWDVNEEAKIFITVNCLSTDFSSQKGVKGLPLMIQIDTYSYNNRSNKPIHRAYCQIKVFCDKGAERKIRDEERKQNRKKGKGQASQAQCNNSSDGKMAAIPLQKKSDITYFKTMPDLHSQPVLFIPDVHFANLQRTGQVYYNTDDEREGSSVLVKRMFRPMEEEFGPTPSKQIKEENVKRVLLYVRKENDDVFDALMLKSPTVKGLMEALSEKYGLPVEKITKLYKKSKKGILVNMDDNIIEHYSNEDTFILNMESMVEGFKITLMEI", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAASETVRLRLQFDYPPPATPHCTVFWLLVDLNRCRVVTDLISLIRQRFGFSSGALLGLYLEGGLLPPAESARLVRDNDSLRVKLEDQGLPENLIVSNGGDSSFPCRKAKKRAFKLMEDEETDQGYKSLKKHCKRQEDSGQNEKASDLETKLLPDETGRTKSKKKSKVTGSPAEEDEEETKKKSSKRKEKCEPKKQTRASKSSKQQTPKEGASQNCSFPRASPRSLGKARRRSSTGLKGSSGHLSESESCPESVSDGHCSVMMQEVTFSEKLSAELLKDAPATKTAAANRQASKPGFTFSSGKGKASRTSSSSSDSSSESEDQFLVSKNMLEGASAGFLKPTGLFAGQGGSGPGLSLETPGIMGWKSSDSNRGRQAPGPPSTPVPTSLGRGWGRGEDLLFGKGLRGRGVRGRGRGRGQAVSCVFNRSSESQKQRQLNDILTNSSVVIQNPVEPPKKDYSLLPLLAAAPQVGEKIAFKLLELTSDYSPDVSDYKEGKILSHDPETQQVDIEVLSSLPALKEPGKFDLVYHNENGTEVVEYAVTQEKRITVLWRELIDPRLIIDSSGSISST", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAAPPIEPAVTTTFPGFKFSPTDIELISYYLKRKMDGLERSVEIIPEVEIYNFEPWDLPDKSIVKSDSEWFFFCARGKKYPHGSQNRRATKIGYWKATGKERNVKSGSEVIGTKRTLVFHIGRAPKGGRTEWLMHEYCMIGVSLDALVICRLRRNTEFQGSTIQKPPQPSLPLDKHVNLRNEAISESIYGWETMVDFYLSSESGQELLSEIAESSQSSQNPQVPSEEDFYADILRDEIVKLDDPAVSGNTLINVPRLQTESNTTRVLPLPDMVDKQMQSLLQKLPLQNDTGEENNISMSNCFIGIYSIKSINRARWDVVVWLLVMIAVLVFYLV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEVNAGGVIAYISSSSSASSPASCHSEGSENSFQSSSSSVPSSPNSSNSDTNGNPKNGDLANIEGILKNDRIDCSMKTSKSSAPGMTKSHSGVTKFSGMVLLCKVCGDVASGFHYGVHACEGCKGFFRRSIQQNIQYKKCLKNENCSIMRMNRNRCQQCRFKKCLSVGMSRDAVRFGRIPKREKQRMLIEMQSAMKTMMNSQFSGHLQNDTLVEHHEQTALPAQEQLRPKPQLEQENIKSSSPPSSDFAKEEVIGMVTRAHKDTFMYNQEQQENSAESMQPQRGERIPKNMEQYNLNHDHCGNGLSSHFPCSESQQHLNGQFKGRNIMHYPNGHAICIANGHCMNFSNAYTQRVCDRVPIDGFSQNENKNSYLCNTGGRMHLVCPLSKSPYVDPHKSGHEIWEEFSMSFTPAVKEVVEFAKRIPGFRDLSQHDQVNLLKAGTFEVLMVRFASLFDAKERTVTFLSGKKYSVDDLHSMGAGDLLNSMFEFSEKLNALQLSDEEMSLFTAVVLVSADRSGIENVNSVEALQETLIRALRTLIMKNHPNEASIFTKLLLKLPDLRSLNNMHSEELLAFKVHP", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSGALNMTLDEIVKRGKTARSGGRGISRGRGRGRGGGGRGAGPARRGPLAVNARPSSFTINKPVRRVRSLPWQSGLFEDGLRAAGASGVEVGTRLHVTNLDQGVTNEDIRELFSEIGEVERYAIHYDKNGRPSGTAEVVYPRRSDAFQALKKYNNVLLDGRPMRLEILGGNNSSEAPLSGRVNVNVTGLNGRLKRTVVIQQGGGGRGRVRGGRGGRGPAPTVSRRLPIHNQQGGGMRGGRGGFRARGRGNGGRGRGGGRGNGKKPVEKSAADLDKDLESYHADAMNTS", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSEPDPQELGAEVVSGLVATSDDRLEMINAEYTNLCRDLNMDRQTELQGYETYLEVSQRCSMEGTASHWMCCAIYTACRRTSTPTVTGQNAVVKGNCVSLNNLLRCCKMSIYEFKTKIKQWCDMANLPQEFVNEIEDLDRKFSITFMLHKRFRIIMDMIFSCPPNEKKHSKYISLHGNHAHGKCSYIKLDDICWRLFLCAKNQKPSNTVDLVTSYNLMICCIDLIYNNVLAEKRTDLINPKFEGLPSNWTELDFRHNPHCILSNFCDMTEEAKAMKATTFRQIMSSFFQASTIYGNKDTMLGLLANENFERNLKSLNISYEQYVLSVGEFDERILSAYDAGEHTALNDQSLRPPVTPLTRKQDLPAQPAMAGDKFEPVRNATNNVKQLSAFGRITEPTDFVKQAGEEVIAKLLSIIEEIEQKFLAKYPSTEAKSRFQLAKSFFFYLLDQILQAEIRNKPDIDLKRLLVQKVSLVIFNITLMACCVELVLEAYKTELKFPWVLDCFSISAFEFQKIIEIVVRHGSHEGCLNRSLIKHLNSIEETCLERLAWARNSTVWEMIASAQLPLPTWLMVNLDRAAGPLQIFLRKVYLLGWLRIQKLCSELSLCEKTPESIWHIFEHSITHETELMKDRHLDQNIMCAIYIYIRVKRMEDPKFSDIMRAYRNQPQAVNSVYREVFIDINEDGEPKVKDIIHFYNHTYVPLMRQFVIDYLNVTPDVSGRASDLQLSPHPKERAAQPKKVTQSHSLFVSQMSKNEIQQSPNQMVYSFCRSPAKDLQAMNEKVRGGKRMLSFGDEPGLGTMAETKRSKISQVKAVMDDPELQSAEQQTAVTTEGCVGGEGGEHET", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSHLVSSSLGTTTTATPTSRSPHTNHSTPYNQNSITSNRSSPVPKNSVNSRIIPQTMNPPIDMKSNNILNPEKDTDTSRGDHSESKASSISSASGTTTTNNNNVSNNNSTGKTQIVFIHKLYDMLHDESISHLIWWSPSLDSFYVTPGEEFSRVLSQYFKHTNIASFIRQLNMYGFHKVNEPFLNQDDQQQQQQLQSNRWEFRHSTNQFRKGDTESLKNIKRRSSKTLNAQKEVVNIKSLPPTSHPMEYNTGYSYQNEDSAHYFVHHHSITTMQSPADMRPRSPSTPIPMQPLAQQQQQQQQQQQQQQQQLPSQPVPNGPPVFSGPIPPGAVNQSPQEYLTRPSILNNVQGSFENATNFKFVELTNQINLLRNDFFTMNNRYEILQNELKYQTADSMAVLEILEKLSNDNRIATDIRDLKNVVSQRMQRLNNQFIPQQSNFAPHIPGQQQQQQHGNSVSSNYHLESTNVSRNPSTTNLNVAPQPYPLNPHYTIYANNRASGSSEINNGVFRAREDSNNSKRNLSVYDPLQPVPSRNSSRILIEESTPTHPPTNFNPQQSQSQSQVQLGPAMPPQGFRNRAESTYSPLSHSSNKSQILNKAPTPVNHSPLVQQQQKEAKQELNDSSVAPPSQSSLPVTRPLSRQQQQQQQTLHHPSTTSSRTNSLPNPVAEHPAPQSSYFMQRNSFNTIYEHQKSLRVPSPKRVRYATPPRSIPEQPISSTAPTTMITSTSKTTSTSGAAISRSENHSVVSLTGGALPSVSELDKSIRTGSSVSLPPIKSIKDNDNKNDNGNSDDNGNDHKKRKLE", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFYTHVLMSKRGPLAKIWLAAHWEKKLTKAHVFECNLEITIQKIISPKVKIALRTSGHLLLGVVRIYNRKAKYLLADCSEAFLKMKMTFRPGLVDLPKENFEAAYNTITLPEEFHDFEIYNINEIDISEPLAQNQSRPEEITLREEYSNDLLFQAGSFGDEPEILRRHSFFDDNILMNSSGLVVEHSSGSFAEEKSLFFDNGDGFGDEGAAGEMIDNLLQDESTFLEEAYLNKEVSLPPELPSSIMVEPGNSDDQCIPEDEEINEITLLSNEDEGFTLDPIDDLDIADRRRRKKRRLLVDPVKEISSKAMHRQLASFMDTLMVLDLAPPTQRLMMWKKRGGVDMLLSTATQDLINDELKMLFTKCFLSSDYKLAKLTLKESVRKEVGNQQIAEPSVMGEPNSHSELDQPQDWKDVTDESVGSFQENVNMNVNSEQDILGMISPAVEGLSSMNGSLAQENCPAELESSGSKQNTEAEKWNQRLFQTLNVLREFNKMGMQSFSLKKLCRNSDRKQAAAKFYTLLILKKHRAIELSQSVPYADIIATVGPMFYKM", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MASVRTMNDYHKRIEAADDKLIVLDFYATWCGPCKEMESTVKSLARKYSSKAVVLKIDVDKFEELTERYKVRSMPTFVFLRQNRRLASFAGADEHKLTNMMAKLVKA", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MWNNHGGFDGGYGGSGMGGGGGYMQSPGGFGSPAPTQGEKKSRSRSQQIVPCTVSQLLSATQNDEVFRIGEAELSQVTIVGIVRHAEKAPTNILYKVDDMTAAPMDVRQWVDTDEASCENMVVPPGSYVKVAGHLRSFQNKKSVVAFKIAPVEDMNEFVSHMLEVVHAHMAMNSQGAPSGGGSTVALSTPGRVGDSGRAFSGGNDNPTNGLTPHQSQILSLIKSCKGNEGMAFEELKNRLHGMNVNTIRQAVEFLSNEGHIYSTIDDEHYKCTDGD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAKTKYGERHRKGLWSPEEDEKLRSFILSYGHSCWTTVPIKAGLQRNGKSCRLRWINYLRPGLKRDMISAEEEETILTFHSSLGNKWSQIAKFLPGRTDNEIKNYWHSHLKKKWLKSQSLQDAKSISPPSSSSSSLVACGKRNPETLISNHVFSFQRLLENKSSSPSQESNGNNSHQCSSAPEIPRLFFSEWLSSSYPHTDYSSEFTDSKHSQAPNVEETLSAYEEMGDVDQFHYNEMMINNSNWTLNDIVFGSKCKKQEHHIYREASDCNSSAEFFSPSTTT", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MIEVLTTDSQKLLHQLNTLLEQESRCQPKVCGLKLIESAHDNGLRMTARLRDFEVKDLLSLTQFFGFDTETFSLAVNLLDRFLSKMKVQAKHLGCVGLSCFYLAVKATEEERNVPLATDLIRISQYRFTVSDLMRMEKIVLEKVCWKVKATTAFQFLQLYYSLVHDTLPFERRNDLNFERLEAQLKACHCRIIFSKAKPSVLALSILALEIQALKYVELTEGVECIQKHSKISGRDLTFWQELVSKCLTEYSSNKCSKPNGQKLKWIVSGRTARQLKHSYYRITHLPTIPETIC", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MMSFSQPDAFSPSQFTSSQNAAADSTTPSKSRGASSTMPLTVKQISEAQQSGITGEKGAPFVVDGVETANVRLVGLVSGKTERNTDVSFTIDDGTGRLDFIRWVNDGADSAETAAVQNGMYVSVIGSLKGLQERKRATAFAIRPVTDYNEVTLHFIQCVRMHLENTKSQIGSPAKTYSAMGSSSSNGFSEMTTPTSVKSNPAPVLSVTNGSKTDLNTEVLNVFREPANVESEHGVHIDEIVKRFRLPEAKIKVAIDYLADIGHIYSTIDESHYKSAFNE", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNGERLLACIKQCIMQHFQPMVYDESRCVIETTRGTFPVPDNYKKYKTLAFAFVGHVLNTDDTPVIEKELDWPDPALVYNTIVDRIINHPELSQFISVAFISQLKATIGEGLDINVKGTLNRRGKGIRRPKGVFFRYMESPFVNTKVTAFFSYLRDYNKIASEYHNNTKFILTFSCQAYWASGPNFSALKNVIRCSIIHEYISKFVEREQDKGHIGDQELPPEEDPSRELNNVQHEVNSLTEQDAEADEGLWGEIDSLCEKWQSEAEDQTEAEIIADRIIGNSQRMANLKIRRTKFKSVLYHILKELIQSQGTVKVYRGSSFSHDSIKISLHYEEQHITAVWVYLTVKFEEHWKPVDVEVEFRCKFKERKVDG", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPQKPLKVTKKAKDPRRVTKKQKNLRKAAPLQLKSKKKSLQHLKKLKKSSSLTETTERLVASKVGHLELLRGTRKELEKGKKNSK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLSKRGLNPVQAESRKKKKREQIKVREERAKRHEERLSHRNMSQMERQLSELTQLESSQALNAHDKQLLQNLQRDMAIMKKKNIHGHRVGRVESDKTKEAERQHKPRKPFIPKNPKRSIYYDPIFNPYGVPPPGMPYREKEELSSETDESVIDIPLPSEEYPFEDPKPREKKNKSFKPKHHKKQDINASSAQPKSTTTTEAAANTKDIEEETMIEYSAQPVVRDLRQEAAQFLPAAFQRQKLAKGQKIGQPDRDVSSQVQEDKDEEIDNFYKEIGGYL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTASVSNTQNKLNELLDAIRQEFLQVSQEANTYRLQNQKDYDFKMNQQLAEMQQIRNTVYELELTHRKMKDAYEEEIKHLKLGLEQRDHQIASLTVQQQRQQQQQQQVQQHLQQQQQQLAAASASVPVAQQPPATTSATATPAANTTTGSPSAFPVQASRPNLVGSQLPTTTLPVVSSNAQQQLPQQQLQQQQLQQQQPPPQVSVAPLSNTAINGSPTSKETTTLPSVKAPESTLKETEPENNNTSKINDTGSATTATTTTATETEIKPKEEDATPASLHQDHYLVPYNQRANHSKPIPPFLLDLDSQSVPDALKKQTNDYYILYNPALPREIDVELHKSLDHTSVVCCVKFSNDGEYLATGCNKTTQVYRVSDGSLVARLSDDSAANNHRNSITENNTTTSTDNNTMTTTTTTTITTTAMTSAAELAKDVENLNTSSSPSSDLYIRSVCFSPDGKFLATGAEDRLIRIWDIENRKIVMILQGHEQDIYSLDYFPSGDKLVSGSGDRTVRIWDLRTGQCSLTLSIEDGVTTVAVSPGDGKYIAAGSLDRAVRVWDSETGFLVERLDSENESGTGHKDSVYSVVFTRDGQSVVSGSLDRSVKLWNLQNANNKSDSKTPNSGTCEVTYIGHKDFVLSVATTQNDEYILSGSKDRGVLFWDKKSGNPLLMLQGHRNSVISVAVANGSPLGPEYNVFATGSGDCKARIWKYKKIAPN", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSIVNILSVNVLNNPAKFSDPYKFEITFECLEPLKSDLEWKLTYVGSATSQSYDQILDTLLVGPIPIGINKFVFEADPPNIDLLPQLSDVLGVTVILLSCAYEDNEFVRVGYYVNNEMEGLNLQEMDDAEIKKVKVDISKVWRSILAEKPRVTRFNIQWDNPDFDDAPPVQPDADEEEEEEEADEMEEEFDEEGEGDEEEEEEDDGDGDGEGDGDGEGENDGKGSEEEEEEEIDIEEEEEESALANASAAEEKPEEKPETSQ", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQDWRAALEEYYSRYLDSALVLAIVNDSDDSNTAREILEALSIESQCDSHEEHWDNLRRDEQELLNLKTLDEEINKIDEEDFTWELKDSSLELDPDVYNFLRSMFSDLSAARVQLVQAKCQNDLVRSSDELLNHRAIQESEQIETAADALITSNIGHRSRQRKKKTKKATNSRKPLSKFQSNTEEVNEDPILKPSLSVWENNRLLIEKLTSILNIPSSQINHEFYKNSSAWPITIRNLIHRHQPLSNITNNELMKYQEEATQLAKDTGLSLKICTDVLICSNDYKNALWILCLIKETQHNEMGNIKLSSQTAKSNSSTQTKTCLNDQSSKLVEDDEALSAEDCNRLAEEYLELRNMQYSNSAKEYRRSKSNHLFGGSAMYHAQLGREYHEKALKYRSLAMRSLAHSGTSHSLDLHGATVREAKTIVRERVAAWWAKEADTSPNSIRPFVIVTGRGNHSIGLEARLLPAIVRLLQQDHWRFDAEHGQITVYGINRHSKLNSNA", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDSVSNLKSTNFQNNNDPKESVEEAVLRYVGVDLKNHIKKTKKKLKKQKKRKHGSKMSHEDEDTDMDWYLKTSGSKDLRKVDDIEPNSVAVAAVAAAYNSSMREKDKRSCHKKSSNSRSERKKHRKRKSSKERKAKIKMVLDPQLTTLDDGITTTAFLPDDLIAETAFDKYVDTEKAYLAKHPSKSLEVNEDDKENNFNNNSSTLVRIYTDLEGIPNDGSYIKRTPKIPEKDVKSDDLILAPEENNGDTALLRSDIVKASVIDGAITKSIGKKFTPSEENALDQFIEEYMKIRGLDRRQMCERIWSTDGVIRDGFWANISKVLPYRTRSSIYKHIRRKYHIFEQRGKWTPEEDQELARLCLEKEGHWTEVGKLLGRMPEDCRDRWRNYMKCGSKRGSKRWSKEEEELLTTVVNEMIEEAHQYQRMKALEAANKNDRYNQMYSRGPKGKRISDNPTFKDMINWTVVSERMSGTRSRIQCRYKWNKLVTDEAARSMLSIPVSERKWLLERLKQLPKTSYSNIDWNSIATYKPGYPRTGLELRLCYEQMREKIHDFKGRSTAEIIDSLLEQIN", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MFWRLGQGFGFQSSSAIEAILDKPEDEINLKELLEENGVLDECKSHNPKLLEYLCKPEVLSQLIDYILEVDETEIPSADGGYEEPEHTRLSYIASEILSSDVWSICEACVENKTLMVKLWSFLDSEGPLNPLQASYFAKVNEHFLDKKTEETVAFIQSIDNFVEKILRHAETSAIMDLLLKFISMDRCNTAIGIADWLYSQGLIQSLLRLLSPYVDPDVQFTVADVIKAIIAISANSNEPGVIGPNSLSRELVSRQTITTLTDYMTDSKAPHSATSLINGVSIVIELIRKNNSDYDVTPVLQMPLDTHPPTTRDPIYLGTMLRLFAEKIPVFQKILLKPSTESDLMPTSFGKIKPLGFERFRICELYAELLHCSNMSLLSDPNGEAMVMQRDHLRDYLFRHNSCARDLVMSDEDDDDSTFSDKNSKDFKETEDMNGAEDMHGRAPQITKDNLNLTTTDSPMSEAEPVSEEEYKDVMETAKALHHGDDDAASDTSYEPLPESVIEDAKKLPVIGDFLKIEFIQNNVIPTILDHFFDYPWNNFLHNVVYDVVQQVLNAPMDKDQNYALAVDMFKQGKITEKIVYGQELNDKKVAKPSGFRAGYMGHLTIIADEVVKFVEHYSSTFDQELLNLINDEKWQNFVNKTLVETRNRDNQLLGGLEPSMVGYLEDMDEGEMLDANNLPEMQFALEQELESNSSDDDVVEVHRELSHNSSSNDEDDGNDEDPLSREMSRRLSFESANDSDQDNRDHFAQYMSQQISDNNANQFSSSDEDDDDDDEVVEWVSRGNENKYPRSNFFINGSDREDFSDSEEEDGNDSSDDDRGFAEEEYSDGLVLNHGK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPPPAEVTDPSHAPAVLRQLNEQRLRGLFCDVTLIAGDTKFPAHRSVLAASSPFFREALLTSAPLPLPPATGGAAPNPATTTAASSSSSSSSSSSSSSSSASSSSSSSSSSPPPASPPASSPPRVLELPGVPAAAFSDVLNFIYSARLALPGGGGDGAAVAEIGALGRRLGISRLQGLGEGGDAWVPPTPAPMATSQPEEDSFGPGPRPAGEWEGDRAEAQAPDLQCSLPRRPLPCPQCGKSFIHPKRLQTHEAQCRRGASTRGSTGLGAGGAGPGGPAGVDASALPPPVGFRGGPEHVVKVVGGHVLYVCAACERSYVTLSSLKRHSNVHSWRRKYPCRYCEKVFALAEYRTKHEVWHTGERRYQCIFCWETFVTYYNLKTHQRAFHGISPGLLASEKTPNGGYKPKLNTLKLYRLLPMRAAKRPYKTYSQGAPEAPLSPTLNTPAPVAMPASPPPGPPPAPEPGPPPSVITFAHPAPSVIVHGGSSSGGGGSGTASTGGSQAASVITYTAPPRPPKKREYPPPPPEPAATPTSPATAVSPATAAGPAMATTTEEAKGRNPRAGRTLTYTAKPVGGIGGGGGPPTGAGRGPSQLQAPPPLCQITVRIGEEAIVKRRISETDLRPGELSGEEMEESEEDEEEEDEEEEEEDEEESKAGGEDQLWRPYYSYKPKRKAGAAGGASVGGSGLPRGRRPPRWRQKLERRSWEETPAAESPAGRARTERRHRCGDCAQTFTTLRKLRKHQEAHGGGSHSSRAGRRPSTRFTCPHCAKVCKTAAALSRHGQRHAAERPGGTPTPVIAYSKGSAGTRPGDVKEEAPQEMQVSSSSGEAGGGSTAAEEASETASLQDPIISGGEEPPVVASGGSYVYPPVQEFPLALIGGGREPGGGRGKSGSEGPVGAGEGDRMEGIGAAKVTFYPEPYPLVYGPQLLAAYPYNFSNLAALPVALNMVLPDEKGAGALPFLPGVFGYAVNPQAAPPAPPTPPPPTLPPPIPPKGEGERAGVERTQKGDVG", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPAVADCTVVFPLRHDPASTHPDVSGLVGKAFERVNWRDAFDTFLAEERSALWAAKTAFDNTDTSAYIAARDALFPQAVSGVHGAVAFRNRAGHKLHETMEAVGLWEYLKGGATRAKGTFTFVDVCGGPGAFSQALFAMGKEHKLRLRGFGLTLRNVKGLDWYTDLPSRSFFPCYGIDGTGDVFKLENIESLCSLTCKENVRLVVADGGFDVPTEVVNFQETISCRIVYGQWLSAVKLLRPGGCFVLKLFDCFSPFTRAILFLTTHLYESVQVVKPRHSRVVNSERYLVCIGFIGAPKQWLEHFERCYQEGFVDNDNIPTVLPTSLFSGDKIFGADVERMSATIASNQVSGLHAILEKLQSKPAMEEVKS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MISTAPLYSGVHNWTSSDRIRMCGINEERRAPLSDEESTTGDCQHFGSQEFCVSSSFSKVELTAVGSGSNARGADPDGSATEKLGHKSEDKPDDPQPKMDYAGNVAEAEGFLVPLSSPGDGLKLPASDSAEASNSRADCSWTPLNTQMSKQVDCSPAGVKALDSRQGVGEKNTFILATLGTGVPVEGTLPLVTTNFSPLPAPICPPAPGSASVPHSVPDAFQVPLSVPAPVPHSGLVPVQVATSVPAPSPPLAPVPALAPAPPSVPTLISDSNPLSVSASVLVPVPASAPPSGPVPLSAPAPAPLSVPVSAPPLALIQAPVPPSAPTLVLAPVPTPVLAPMPASTPPAAPAPPSVPMPTPTPSSGPPSTPTLIPAFAPTPVPAPTPAPIFTPAPTPMPAATPAAIPTSAPIPASFSLSRVCFPAAQAPAMQKVPLSFQPGTVLTPSQPLVYIPPPSCGQPLSVATLPTTLGVSSTLTLPVLPSYLQDRCLPGVLASPELRSYPYAFSVARPLTSDSKLVSLEVNRLPCTSPSGSTTTQPAPDGVPGPLADTSLVTASAKVLPTPQPLLPAPSGSSAPPHPAKMPSGTEQQTEGTSVTFSPLKSPPQLEREMASPPECSEMPLDLSSKSNRQKLPLPNQRKTPPMPVLTPVHTSSKALLSTVLSRSQRTTQAAGGNVTSCLGSTSSPFVIFPEIVRNGDPSTWVKNSTALISTIPGTYVGVANPVPASLLLNKDPNLGLNRDPRHLPKQEPISIIDQGEPKGTGATCGKKGSQAGAEGQPSTVKRYTPARIAPGLPGCQTKELSLWKPTGPANIYPRCSVNGKPTSTQVLPVGWSPYHQASLLSIGISSAGQLTPSQGAPIRPTSVVSEFSGVPSLSSSEAVHGLPEGQPRPGGSFVPEQDPVTKNKTCRIAAKPYEEQVNPVLLTLSPQTGTLALSVQPSGGDIRMNQGPEESESHLCSDSTPKMEGPQGACGLKLAGDTKPKNQVLATYMSHELVLATPQNLPKMPELPLLPHDSHPKELILDVVPSSRRGSSTERPQLGSQVDLGRVKMEKVDGDVVFNLATCFRADGLPVAPQRGQAEVRAKAGQARVKQESVGVFACKNKWQPDDVTESLPPKKMKCGKEKDSEEQQLQPQAKAVVRSSHRPKCRKLPSDPQESTKKSPRGASDSGKEHNGVRGKHKHRKPTKPESQSPGKRADSHEEGSLEKKAKSSFRDFIPVVLSTRTRSQSGSICSSFAGMADSDMGSQEVFPTEEEEEVTPTPAKRRKVRKTQRDTQYRSHHAQDKSLLSQGRRHLWRAREMPWRTEAARQMWDTNEEEEEEEEEGLLKRKKRRRQKSRKYQTGEYLTEQEDEQRRKGRADLKARKQKTSSSQSLEHRLRNRNLLLPNKVQGISDSPNGFLPNNLEEPACLENSEKPSGKRKCKTKHMATVSEEAKDVVLYCLQKDSEDVNHRDNAGYTALHEACSRGWTDILNILLEHGANVNCSAQDGTRPVHDAVVNDNLETIWLLLSYGADPTLATYSGQTAMKLASSDTMKRFLSDHLSDLQGRAEGDPGVSWDFYSSSVLEEKDGFACDLLHNPPGSSDQEGDDPMEEDDFMFELSDKPLLPCYNLQVSVSRGPCNWFLFSDVLKRLKLSSRIFQARFPHFEITTMPKAEFYRQVASSQLLTPAERPGGLDDRSPPGSSETVELVRYEPDLLRLLGSEVEFQSCNS", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAGVGPGGYAAEFVPPPECPVFEPSWEEFTDPLSFIGRIRPLAEKTGICKIRPPKDWQPPFACEVKSFRFTPRVQRLNELEAMTRVRLDFLDQLAKFWELQGSTLKIPVVERKILDLYALSKIVASKGGFEMVTKEKKWSKVGSRLGYLPGKGTGSLLKSHYERILYPYELFQSGVSLMGVQMPNLDLKEKVEPEVLSTDTQTSPEPGTRMNILPKRTRRVKTQSESGDVSRNTELKKLQIFGAGPKVVGLAMGTKDKEDEVTRRRKVTNRSDAFNMQMRQRKGTLSVNFVDLYVCMFCGRGNNEDKLLLCDGCDDSYHTFCLIPPLPDVPKGDWRCPKCVAEECSKPREAFGFEQAVREYTLQSFGEMADNFKSDYFNMPVHMVPTELVEKEFWRLVSSIEEDVIVEYGADISSKDFGSGFPVKDGRRKILPEEEEYALSGWNLNNMPVLEQSVLAHINVDISGMKVPWLYVGMCFSSFCWHIEDHWSYSINYLHWGEPKTWYGVPSHAAEQLEEVMRELAPELFESQPDLLHQLVTIMNPNVLMEHGVPVYRTNQCAGEFVVTFPRAYHSGFNQGYNFAEAVNFCTADWLPIGRQCVNHYRRLRRHCVFSHEELIFKMAADPECLDVGLAAMVCKELTLMTEEETRLRESVVQMGVLMSEEEVFELVPDDERQCSACRTTCFLSALTCSCNPERLVCLYHPTDLCPCPMQKKCLRYRYPLEDLPSLLYGVKVRAQSYDTWVSRVTEALSANFNHKKDLIELRVMLEDAEDRKYPENDLFRKLRDAVKEAETCASVAQLLLSKKQKHRQSPDSGRTRTKLTVEELKAFVQQLFSLPCVISQARQVKNLLDDVEEFHERAQEAMMDETPDSSKLQMLIDMGSSLYVELPELPRLKQELQQARWLDEVRLTLSDPQQVTLDVMKKLIDSGVGLAPHHAVEKAMAELQELLTVSERWEEKAKVCLQARPRHSVASLESIVNEAKNIPAFLPNVLSLKEALQKAREWTAKVEAIQSGSNYAYLEQLESLSAKGRPIPVRLEALPQVESQVAAARAWRERTGRTFLKKNSSHTLLQVLSPRTDIGVYGSGKNRRKKVKELIEKEKEKDLDLEPLSDLEEGLEETRDTAMVVAVFKEREQKEIEAMHSLRAANLAKMTMVDRIEEVKFCICRKTASGFMLQCELCKDWFHNSCVPLPKSSSQKKGSSWQAKEVKFLCPLCMRSRRPRLETILSLLVSLQKLPVRLPEGEALQCLTERAMSWQDRARQALATDELSSALAKLSVLSQRMVEQAAREKTEKIISAELQKAAANPDLQGHLPSFQQSAFNRVVSSVSSSPRQTMDYDDEETDSDEDIRETYGYDMKDTASVKSSSSLEPNLFCDEEIPIKSEEVVTHMWTAPSFCAEHAYSSASKSCSQGSSTPRKQPRKSPLVPRSLEPPVLELSPGAKAQLEELMMVGDLLEVSLDETQHIWRILQATHPPSEDRFLHIMEDDSMEEKPLKVKGKDSSEKKRKRKLEKVEQLFGEGKQKSKELKKMDKPRKKKLKLGADKSKELNKLAKKLAKEEERKKKKEKAAAAKVELVKESTEKKREKKVLDIPSKYDWSGAEESDDENAVCAAQNCQRPCKDKVDWVQCDGGCDEWFHQVCVGVSPEMAENEDYICINCAKKQGPVSPGPAPPPSFIMSYKLPMEDLKETS", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSKDSDYKRAEKHLSSIDNKWSSLVKKVGPCTLTPHPEHAPYEGIIRAITSQKLSDAATNSIINKFCTQCSDNDEFPTPKQIMETDVETLHECGFSKLKSQEIHIVAEAALNKQIPSKSEIEKMSEEELMESLSKIKGVKRWTIEMYSIFTLGRLDIMPADDSTLKNEAKEFFGLSSKPQTEEVEKLTKPCKPYRTIAAWYLWQIPKLHRKGQ", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEKRETFVQAVSKELVGEFLQFVQLDKEASDPFSLNELLDELSRKQKEELWQRLKNLLTDVLLESPVDGWQVVEAQGEDNMETEHGSKMRKSIEIIYAITSVILASVSVINESENYEALLECVIILNGILYALPESERKLQSSIQDLCVTWWEKGLPAKEDTGKTAFVMLLRRSLETKTGADVCRLWRIHQALYCFDYDLEESGEIKDMLLECFININYIKKEEGRRFLSCLFNWNINFIKMIHGTIKNQLQGLQKSLMVYIAEIYFRAWKKASGKILEAIENDCIQDFMFHGIHLPRRSPVHSKVREVLSYFHHQKKVRQGVEEMLYRLYKPILWRGLKARNSEVRSNAALLFVEAFPIRDPNLHAIEMDSEIQKQFEELYSLLEDPYPMVRSTGILGVCKITSKYWEMMPPTILIDLLKKVTGELAFDTSSADVRCSVFKCLPMILDNKLSHPLLEQLLPALRYSLHDNSEKVRVAFVDMLLKIKAVRAAKFWKICPMEHILVRLETDSRPVSRRLVSLIFNSFLPVNQPEEVWCERCVTLVQMNHAAARRFYQYAHEHTACTNIAKLIHVIRHCLNACIQRAVREPPEDEEEEDGREKENVTVLDKTLSVNDVACMAGLLEIIVILWKSIDRSMENNKEAKLYTINKFASVLPEYLKVFKDDRCKIPLFMLMSFMPASAVPPFSCGVISTLRSREEGAVDKSYCTLLDCLCSWGQVGHILELVDNWLPTEHAQAKSNTASKGRVQIHDTRPVKPELALVYIEYLLTHPKNRECLLSAPRKKLNHLLKALETSKADLESLLQTPGGKPRGFSEAAAPRAFGLHCRLSIHLQHKFCSEGKVYLSMLEDTGFWLESKILSFIQDQEEDYLKLHRVIYQQIIQTYLTVCKDVVMVGLGDHQFQMQLLQRSLGIMQTVKGFFYVSLLLDILKEITGSSLIQKTDSDEEVAMLLDTVQKVFQKMLECIARSFRKQPEEGLRLLYSVQRPLHEFITAVQSRHTDTPVHRGVLSTLIAGPVVEISHQLRKVSDVEELTPPEHLSDLPPFSRCLIGIIIKSSNVVRSFLDELKACVASNDIEGIVCLTAAVHIILVINAGKHKSSKVREVAATVHRKLKTFMEITLEEDSIERFLYESSSRTLGELLNS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVEKEEAGGGISEEEAAQYDRQIRLWGLEAQKRLRASRVLLVGLKGLGAEIAKNLILAGVKGLTMLDHEQVTPEDPGAQFLIRTGSVGRNRAEASLERAQNLNPMVDVKVDTEDIEKKPESFFTQFDAVCLTCCSRDVIVKVDQICHKNSIKFFTGDVFGYHGYTFANLGEHEFVEEKTKVAKVSQGVEDGPDTKRAKLDSSETTMVKKKVVFCPVKEALEVDWSSEKAKAALKRTTSDYFLLQVLLKFRTDKGRDPSSDTYEEDSELLLQIRNDVLDSLGISPDLLPEDFVRYCFSEMAPVCAVVGGILAQEIVKALSQRDPPHNNFFFFDGMKGNGIVECLGPK", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAVYSGISLKLKSKTTSWEDKLKLAHFAWISHQCFLPNKEQVLLDWARQSLVAFYKKKLELKEDIVERLWIYIDNILHSRKLQNLLKNGKTINLQISLVKIINERVAEFSLSGSQRNICAVLRCCQGILSTPALAVIYTAKQELMVALLSQLCWSACRQPEGAVVAQLFEVIHLALGHYLLILQQQVNPRRAFGDVTAHLLQPCLVLRHLLSGGTWTQAGQGQLRQVLSRDIRSQIEAMFRGGIFQPELLSSYKEGLLDQQQGDVKTGAMKNLLAPMDTVLNRLVDAGYCAASLHTSVVANSVALLYKLFLDSYFKEGNQLLCFQVLPRLFGCLKISHLQEEQSKALSTSDWTTELLVVEQLLNSVANNNIYNIAADRIRHEEAQFRFYRHVAELLINHAQAPIPAWFRCLKTLISLNHLILEPDLDDLLASAWIDAEVTEFRTKKAQEALIRTVFQTYAKLRQVPRLFEEVLGVICRPAAEALRQPVLASGPSTVLSACLLELPPSQILDTWSLVLEKFQSLVLPYLQSDADMALKSLSLSLLLHCIMFNMRSLDSSTPLPIVRRTQCMMERMMRELVQPLLALLPDTPGPEPELWLQKVSDSVLLLSYTWAQVDAMFSLNCSQYHSMSGPLIGVALEISNLPSLLPGVKTQHWKKIEKFTAQFSSLGTYCLEQLYLQKMKRTLMQTSFRSEGAIQSLRCDAAFIIGSGRKSLNQRTTASWDGQVGMVSGLTYPVAHWHLIVSNLTILISYLCPDDVGYLASVLLRTLPMGKAQEVSIDEEAYITLEKISKAFLHSPLFPEMQSLHSAFLTCVTTSCSSILCSGAQRDSGLVSQQLPWLFEKDHMVVGHWENRFAKAGPEGIEPRGEIAQNLLSLVKSDFPIQLEGEQLESILGLLEVISALQLDSLLPPYHVHYFLVLLSMAVTKLGCSCSSSLALKFLTTCYQLLGYLQKGKSARSVFKIMYGSDIFEVVLTSLFRASSRFLIEMDDPAWLEFLQVIGTFLEELMQMLIQMKLSLVLNFRKITAFLSSSKPYTEAASSKQLENQNPQGRQLLLVSLTRLCHVLGPFLKEQKLGQEAPAALSELLQQVVLQTGAVLQLCSVPGARGWRLPSVLISSVSTLLEADLGQHCRDGGADISQGSDRTLLSHVALYQGVYSQILLELPALAGHDQSFQAALQFLTLFFLAPELHPKKDSVFTSMFHSVRRVLADPEIPVQVTQDIEPHLGALFTQMLEVGTTEDLRLVMQCILQGLDVSNMWKADVQAVVSAVTLLRLLLNCPLSGEKASLLWRACPQIVTALTLLNREASQEQPVSLTVVGPVLDVLAALLRQGEEAIGNPHHVSLAFSILLTVPLDHLKPLEYGSVFPRLHNVLFSILQCHPKVMLKAIPSFLNSFNRLVFSVMREGRQKDKGSIDDLPTVLKCARLVERMYSHIAARAEEFAVFSPFMVAQYVLEVQKVTLYPAVKSLLQEGIYLILDLCIEPDVQFLRASLQPGMRDIFKELYNDYLKYHKAKHEGEKRYTA", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVLAQRRRGGCEKLRAGPQAVLASGSGFCDNMLADLGLIGTIGEDDEVPVEPESDSGDEEEEGPIVLGRRQKALGKNRSADFNPDFVFTEKEGTYDGSWALADVMSQLKKKRAATTLDEKIEKVRKKRKTEDKEAKSGKLEKEKEAKEGSEPKEQEDLQENDEEGSEDEASETDYSSADENILTKADTLKVKDRKKKKKKGQEAGGFFEDASQYDENLSFQDMNLSRPLLKAITAMGFKQPTPIQKACIPVGLLGKDICACAATGTGKTAAFALPVLERLIYKPRQAPVTRVLVLVPTRELGIQVHSVTRQLAQFCNITTCLAVGGLDVKSQEAALRAAPDILIATPGRLIDHLHNCPSFHLSSIEVLILDEADRMLDEYFEEQMKEIIRMCSHHRQTMLFSATMTDEVKDLASVSLKNPVRIFVNSNTDVAPFLRQEFIRIRPNREGDREAIVAALLTRTFTDHVMLFTQTKKQAHRMHILLGLMGLQVGELHGNLSQTQRLEALRRFKDEQIDILVATDVAARGLDIEGVKTVINFTMPNTIKHYVHRVGRTARAGRAGRSVSLVGEDERKMLKEIVKAAKAPVKARILPQDVILKFRDKIEKMEKDVYAVLQLEAEEKEMQQSEAQINTAKRLLEKGKEAVVQEPERSWFQTKEERKKEKIAKALQEFDLALRGKKKRKKFMKDAKKKGEMTAEERSQFEILKAQMFAERLAKRNRRAKRARAMPEEEPVRGPAKKQKQGKKSVFDEELTNTSKKALKQYRAGPSFEERKQLGLPHQRRGGNFKSKSRYKRRK", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSAQNYHAGLHQSSTQRPPKRPNTEHAQEPPKRALIGGQTTPSSSGGGQTPNGTNYELEVKQQLSNYQKLFDQATYPFIRDVSTYEKLNKIGQGTFGEVFKARCKNTGRMVALKKILMENEKEGFPITALREVKMLEQLKHPNITDLIEVCSAKSTGTTGSKDRATFYLVMALCAHDLAGLLSNPKIRMSLVHIKTMMKHLMSGLNKLHRSKILHRDMKAANVLISKDGILKLADFGLARPFVQRENGAGPRPLYTNRVVTLWYRPPELLLGDRQYGTKIDVWGAGCIMAEMWTRQPIMQGDTEQKQLQLISGLCGSINKDVWPNCVNMPLWSAMSSEPNSALPQGKYRILPNKMKNLMKFDAPDSKTDPFGKNVKQHDSATDDDALHLLEILLAIDPDKRPTSDEAEDDIWFFKDPVPMANVQDLMDTIPNSQFEYTVGKGAHANRGRHQNAQQRPNQQQARPSNAIPAGQYRDTIF", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MANSLDRLREHLKNGDKLVLKNNEGQSTDDITKATMVETLSSDGSTQDSFPLNEETEIEIDGSLVQLRIIVHCWMNKDSSAADYLADCQNKQLTNVSFLQRTDLINWLSGNTESSQYLKAPGQKGETSDKVDIENKTLAGELSTVKSTTSASLENDSEVSDPVVVETMKHERILVDHNSALRGAKPINFGYLIKDAELKLVQSIKGSLRGSKLPPGHKGAHGRISKTNGSSGGPRKDPIILIPSAASSILTVANIKQFLLESKYVNPRNLPSVPNGLVNIEKNFERISRPIRFIIVDNTRMFTKPEYWDRVVAIFTTGHTWQFNNYQWNSPQELFQRCKGYYFHFAGDSVPQHVQQWNVEKVELDKNKRFKDVEVVRYFWHSLEKELISRGYR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSFFQLLMKRKELIPLVVFMTVAAGGASSFAVYSLWKTDVILDRKKNPEPWETVDPTVPQKLITINQQWKPIEELQNVQRVTK", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MILKFGIERILSSPYPCPSPTISTPATSPSSISPTFASPNGTPNIASSMYPAWVFSTRYSDRPSAGPRHRKSRKRESTGSSGSSEEEKRPRTAFTGDQLDRLKTEFRESRYLTEKRRQELAHELGLNESQIKIWFQNKRAKLKKSTSSVPRDRCSSVTPNPHNHPSIHGGYQLMAQLAKVQARAYMP", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDQLASLAESVAMEEDSEKQSIKGESSLEPDSTPSSPKITARWNPSEACRPLVDDAPIFYPTNEDFDDPLGYIEKLRSKAESYGICRIVPPVAWRPPCPLKEKKIWENSKFPTRIQFIDLLQNREPIKKSTKTKKRKRRRISKIGYTRRKRDSGCDTASSGSSDSEGKFGFQTGPDFTLEEFQKYDEYFKECYFQSEDHPGSKASENKKFKPKVKDLEGEYWRIVEQATDEVEVYYGADLETKKFGSGFPKYKPGYPISEADQYSQCGWNLNNLSRLPGSVLAFESCDISGVIVPWLYVGMCFSTFCWHVEDHHLYSMNYLHTGDPKVWYGIPGNHAESFENVMKKRLPDLFEEQPDLLHQLVTQLSPRILKEEGVPVYRAVQRSGEFILTFPKAYHSGFNCGFNCAEAVNVAPVDWLVHGQNAVEGYSKQRRKSSLSHDKLLLGAAMEATYCLWELSLSKKKTPVIARWKRVCSEDGLLTKAVKKRVQMEEERLNHLQDGFSLRKMEGDFDNKRERECFLCFYDLHMSASSCKCSPNRFACLIHAKDLCSCESKDRYILIRHTLDELWALVRALEGDLDAIDLWASKCRDQYPSQHPRAREYAYLKSAPCIKSRGSSKVQQREQNNLQLVSERLQSDLTSNKEVQLKQDGDSDVNRHGHESERNHVHGITDKSAVTDVKLGVGGKFDEKKISVESQNPHSVSDVGCSELAKKVDGCLGGKDQNAATNRLSLSVELLSSGSLVVKKLWCSKQAIYPKGFKSRVKFLSVLDPTNLTNYISEVLDAGLLGPLFRVSVEDYPTENFSNVSAEKCWQMVTQRLKLEIIKKCDQPVSSLTSLQPLESINGLEMFGFLSPHVIKVVEALDPKHQLEEYWNQKAVKLFGAEPIKEGEKDDTEKGGASDPSLDRDTRLLRGLLKKATPEELVMMHGLLCGETRNTELKEELSTLVDKMEISP", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGVKELWGVLTPHCERKPINELRGKKVAIDLAGWVCESLNVVDYFVHPRHHLKNLFFRTCYLIWEQVTPVFVLEGVAPKLKSQVIAKRNELQFRGVKPKNSPECTQSQPSKGDKGRSRFNHVLKQCETLLLSMGIQCVQGPGEAEAYCAFLNKHGLVDGVISQDSDCFAYGAVRVYRNFSVSTQGAQAAAGGAVDIYDMREITSRMDFGQQKIIVMALLCGCDYCPDGIGGIGKDGVLKLFNKYKETEILDRMRSWRGETDKYNALEIRVDDKSICSNCGHIGKTQSHTKSGCSVCRTHKGCDESLWKEQRLSIKSELTLRRKALLSPDFPNEEIIAEFLSEPDTIPNLNLNWRQPNLVKFIKQIGHLLQWPEIYCFQKFFPILTRWQVQQSKQEKILIQPHEIIKKRTVKGVPSLELRWHDPSGIFKGLIPDKQIAEYEAEHPKGIEELYYTIEPLDMLETAYPDLVAAFLKSKEKPAKKTTRKKKTASEEENKENEPNSKPKRVVRKIKAQPEENQPLLHQFLGRKKEGTPVKAPAPQRQQCSTPITKFLPSDLESDCDAEEFDMSDIVKGIISNPNAKPALTNHDGHQLHYEPMAEDLSLRLAQMSLGNVNESPKVETKRDLSQVDQLPQSKRFSLEDSFDLLVKGDLQKLARTPVERFKMQHRISEKIPTPVKPLDNISYFFNQSSDNADVFEELMNSSLVPQDQEDNAEDEEEDDLVVISD", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSTTAAAPTGIPAVPGPVNPPPPEVSNPSKPGRKTNQLQYMQNVVVKTLWKHQFAWPFYQPVDAIKLNLPDYHKIIKNPMDMGTIKKRLENNYYWSASECMQDFNTMFTNCYIYNKPTDDIVLMAQALEKIFLQKVAQMPQEEVELLPPAPKGKGRKPAAGAQNAGSQQVAAVSSVSPATPFQNIPPTVSQTPVIAATPVPTITANVTSVPVPPPAAPPPPATPIVPVVPPTPPVVKKKGVKRKADTTTPTTSAITASRSESPPPLSEPKQAKVVARRESGGRPIKPPKKDLEDGEVPQHAGKKGKLSEHLRHCDSILREMLSKKHAAYAWPFYKPVDAEALELHDYHDIIKHPMDLSTVKRKMDSREYPDAQGFAADIRLMFSNCYKYNPPDHEVVAMARKLQDVFEMRFAKMPDEPMEAPALPAPTAPIVSKGAESSRSSEESSSDSGSSDSEEERATRLAELQEQLKAVHEQLAALSQAPVNKPKKKKEKKEKEKKKKDKDKDKEKEKHKAKSEEEKKAKAAPAAKQAQQKKAPTKKANSTTTASRQLKKGGKQASASYDSEEEEEGLPMSYDEKRQLSLDINRLPGEKLGRVVHIIQSREPSLRDSNPDEIEIDFETLKPTTLRELERYVKSCLQKKQRKPLSTSGKKQAAKSKEELAQEKKKELEKRLQDVSGQLNSKKPTKKEKSGSAPSGGPSRLSSSSSSESASSSSSGSSSDSSDSE", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MQNPPLIRPDMYNQGSSSMATYNASEKNLNEHPSPQIAQPSTSQKLPYRINPTTTNGDTDISVNSNPIQPPLPNLMHLSGPSDYRSMHQSPIHPSYIIPPHSNERKQSASYNRPQNAHVSIQPSVVFPPKSYSISYAPYQINPPLPNGLPNQSISLNKEYIAEEQLSTLPSRNTSVTTAPPSFQNSADTAKNSADNNDNNDNVTKPVPDKDTQLISSSGKTLRNTRRAAQNRTAQKAFRQRKEKYIKNLEQKSKIFDDLLAENNNFKSLNDSLRNDNNILIAQHEAIRNAITMLRSEYDVLCNENNMLKNENSIIKNEHNMSRNENENLKLENKRFHAEYIRMIEDIENTKRKEQEQRDEIEQLKKKIRSLEEIVGRHSDSAT", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKRTPTAEEREREAKKLRLLEELEDTWLPYLTPKDDEFYQQWQLKYPKLILREASSVSEELHKEVQEAFLTLHKHGCLFRDLVRIQGKDLLTPVSRILIGNPGCTYKYLNTRLFTVPWPVKGSNIKHTEAEIAAACETFLKLNDYLQIETIQALEELAAKEKANEDAVPLCMSADFPRVGMGSSYNGQDEVDIKSRAAYNVTLLNFMDPQKMPYLKEEPYFGMGKMAVSWHHDENLVDRSAVAVYSYSCEGPEEESEDDSHLEGRDPDIWHVGFKISWDIETPGLAIPLHQGDCYFMLDDLNATHQHCVLAGSQPRFSSTHRVAECSTGTLDYILQRCQLALQNVCDDVDNDDVSLKSFEPAVLKQGEEIHNEVEFEWLRQFWFQGNRYRKCTDWWCQPMAQLEALWKKMEGVTNAVLHEVKREGLPVEQRNEILTAILASLTARQNLRREWHARCQSRIARTLPADQKPECRPYWEKDDASMPLPFDLTDIVSELRGQLLEAKP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNPNTPADVYYGQMSQGSSMPVTTVPSHSHYASQQPPPLLQPGSTYAHQYGTPQYGYANALSSPASIPPSLPPSMNSMAGQSVLPLPGSGSMNPAVYASGGFDTTGQVAPPGMKPRVTATLWEDEGSLCFQVEARGICVARREDNAMINGTKLLNVAGMTRGRRDGILKSEKVRHVVKIGPMHLKGVWIPFERALDFANKEKITELLYPLFVHNIGALLYHPTNQSRTSQVMAAAEQRRKDSHGQLRGPPGLPSLQQHHHHHSMLPGPPSLPSHPSMGRPALDRAHTFPTPPTSASSVMGPMGNSDGYQWSQQSMSGTQGNSSLSLDTSLGSNARSMPSTPATTPPGSTIQSMQNYPPVSQSYESSRQMYQGQSAQQAQYQSQQHYSSQPQHQERPVYSQSSYIKNDMGPPSGRPTGQSNDASDSKPPTGMIHQGQGQSDPGTHAGSEEDDDANNEAEYTHDSGGYDANRGSYNYNTQAVNSLPHDHGLAPEIGGSPHQAGSGRATPRTAAAPSSYYSAQGYHTPPRGQPSSSLYNVMSNERTGSNGTQGNEMYAGQADMPSSLPNGYSAQPSVMNGSSGGLKRGRDDDDDGGRPTTSAPNLGPGMDMKRRKTMMDGGSLPSPTYTATIAQAAPSAIAAHRRR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSQRGKRGNQQHHQSHHPPPQQHQRKDVEPQPPPTKRRKGRPPNGATTAAVAEVTGSGPATGSERVPVLPLCKSKHEEPGAEAGGGGQGRAAAGATSTSKSKSTKLAKSASKCKSQGASSSSSWQARSVADIKMSSIYNRSSTEAPAELYRKDLISAMKLPDSEPLANYEYLIVTDPWKQEWEKGVQVPVNPDSLPEPCVYVLPEPVVSPAHDFKLPKNRYLRITKDEHYSPDLHYLTNVVALAENTCAYDIDPIDEAWLRLYNSDRAQCGAFPINATQFERVIEELEVRCWEQIQVILKLEEGLGIEFDENVICDVCRSPDSEEANEMVFCDNCNICVHQACYGITAIPSGQWLCRTCSMGIKPDCVLCPNKGGAMKSNKSGKHWAHVSCALWIPEVSIGCVDRMEPITKISSIPQSRWSLICVLCRKRVGSCIQCSVKPCKTAYHVTCAFQHGLEMRAIIEEGNAEDGVKLRSYCQKHSMSKGKKENAGSHGGGSASVASAMQKANRYGSGAGGGADDGNNACGTTGEDPRRRKNHRKTELTSEERNQARAQRLQEVEAEFDKHVNFNDISCHLFDVDDDAIVAIYNYWKLKRKSRHNRELIPPKSEDVEMIARKQEQQDMENHKLVVHLRQDLERVRNLCYMVSRREKLSRSLFKLREQVFYKQLGVLDEMRLEKQQTKQEQQQPVMDLNAVIYANDGPTLYDRFYSSVGGQTVPAQYQDLKYILEQLMGKLQSGKQGRGRASQSPNKRKQPAKASPNKKLNNGILSSRTSSPEKTVAGSKVGTTTSKVRSPPGKNPTGRRASKSSAAAATSTHNKSQFHSNIRSSTTSHSSSGTISSGNSSSANGTSSSDSSSGSDSGSESGSSSAGSGVSKRKSSSGSPLKKQSYARSVEQRQKQRQRRQNEAVAGASATYPDSRSASSSSDGEDERCRNRQEPERGARRGPIQSKSVPNRSQASRSKPTTEADVGEGTGASARRKLSTTTRGLAQMDKDADESVSSDESEELLPLRGERQRESTTTSGLATTGSAIGRNLGQHIYSDSESSSSEQEKDQEEQATVESNVSDSQNQQTIRTKAAMKEFVPGTAATTSSTSQAASSTSKAKNTREGKEGAASIGNSTKTKPNPNAKLYPADLLVVPQRQAAKKASENMRSTNLATTLQPDVSDRVREPDINSISGTAKSKVKDSSSRVSNEADKSSLEKVRPKEHLQKTVGKTSESAPAERGKRGRPPKVPKDARPPSITENDKPALPTHTQSKPPSVVATPVSAKSNFAVSLVPQRQAAKKAAEQLKSSKPVLESFSTGNDISDKETVTSATISGSGSSVPAASTPVKPTRRSSIKEAPITPKEPLSGRRKSKEDLLATPIKTTPLVKRRVVVPNLSSSSSGDSESSSSSSSSGSSSSSGGSDSDSESQASNSENPSSREPPVAPAKVPSDSSLVPKRSPRKSMDKPSALTIAPASVNVLNIPSTRSRQNSTTKSTKVALQKAVQSVEDDVKCTPKTNRLQGSMDECGKQVQLEQATKRATRGSKSRPPSPTAKSSPEKTVSRCKSRAEESPKKVANLEQEISQRKVASGKGTSSLDKLLNKKQQQMNHSAQATPPPISPTPPASETRIVKDQCDLKPDEVSIQQINLGADAQPEPDLDPESAAEAGELPMDIDEELTTAPTRTQLSASASKLADIIDDERPPAAPLPASPTPTPTSNDEMSDAGSDLSERRRMRWRSRRRRRRRSHEPDEEHTHHTQHLLNEMEMARELEEERKNELLANASKYSASTSSPAVTVIPPDPPEIIELDSNSAEQQQQHLHDQPLPPPLVVQSPAADVVPTVMQQQLLPSQRPLIEQLPVEHLPIVETILEMEDSKFANNFASNLASVLNPPNQMSLIGSSIDRSKQISEEDSIQATRNLLEKLRKTKRKAQDDCSSKEAVDLLPPTPAIPSVFPFHNAADPEDIIHAQKEQQHQQQQQLQSSQTCIYGNSSGPNSVASLTIKDSPMTANSGSYANSLTNTPNATPTNATMNNLGYQVNFPNSQPPPTLGLFLEKSPHQKGACPLSSNGGANVGQPAPTPDFVDLAAAAVKNTLGSFRGAATVPTQSGTGVNAKINDYDESTRMQSPFGGMPWNESDLIAERRSSSPSSVSESNDPPQPPPVVTATATTARSLAQLESCKNFFNSYPSGNAGPGTAANATAPFNHPPMVNGIDSIPMFNNTNTTQHQPTTPAHQQQQQRTPNNQYNGTIYPQLAGIMHPQTTPTEPPSSLYGNGGVGGAVQSTTLPPPAQVNQYPGTPYSATTLGMISVQQPALSTVPVQTATTPNNPFTLTSPIDGKMPTYPAQLLSSCAEAVVASMMPPTPPVTATAKDSPSKRTSVSGSNLSKKQTHKSPQLPQGKSPGKSPRQPLQPPTPPAPVPVVALPPTKYDPQTHTLQGKPRQRAPRGSGGSGAPGRGRGRGRGRGRGGGVTSGMAMVLPPPMSDYGSNTHIVNNLVGTPFEFNNEFDDMAGPGVENLQSLRDRRRSFELRAPRVQNKPTTTPTTATTTNPLLHPVLPGPVDMRTYNLGFEAPHSTASQEAYQNNLLGAFDSGTADQTLSEFNEEDERQFQSALRATGTGTSPSKQHSGPTALVAPPTGPNPTPAPNLLLHCTEANQMAPNVAATGAATHLVEGSLVEASLEATSEEVSIDSDSTIPHSKTSTSDARSQIKLKIKSPMAYPEHYNAMTNSSSLTLTSTLVQSSNVVQTTVSTSTVVSASSAVSGNSRRMRKKELLSLYVVQKDNHNDDSSCGLPAASDTLPLENLRKSEEEDELSGGNGTKRFKKNSSSRELRALDANLALVEEQLLSSGAGACGGGSSGDGRRRSACSSGSNNDNNGKTGAASSAGKRRGRSKTLESSEDDHQAPKLKIKIRGLTANETPSGVSSVDEGQNYSYEMTRRACPPKKRLTSNFSTLTLEEIKRDSMNYRKKVMQDFVKGEDSNKRGVVVKDGESLIMPQPPTKRPKSSKPKKEKKEKKRQKQQQLILSSSTTTMTTTLIENTASASPGDKPKLILRFGKRKAETTTRTASLEQPPTLEAPAPLRFKIARNSSGGGYIIGTKAEKKDESTADNTSPITELPLISPLREASPQGRLLNSFTPHSQNANTSPALLGKDTGTPSPPCLVIDSSKSADVHDSTSLPESGEAAMGVQSSLVNATTPLCVNVGNYENSNNSLPSASGTGSASSNSCNSNSINNNGSGGGRASGEGGLLPLKKDCEVR", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MFVSDLRKEFFDVIVTERVLLLVAPDVDALCACKILQALFQCDHVQYTLVPVSGWQELETLFLEHKEQFRYFVLINCGANIDLLETLQPQEEAIFYICDTHRPIDVVNIYNDSQVKLLIRQDDDLEIPAYDDIFNDDEEDGEDSGNESDGAEPSGKRRRFDEAAVERRIERRRQRREWEARRREIIFDYEQYEYHGTSSAMMMFELAWIMSKDSNDMLWWAIVGLTDQWVQDRITQMKYVTDVGTLQRHVSRHNHRNEDEENSLSIDCMRIAFEYDLRLSLYQHWSLYESICNSCYTSATLKLWSLQGQKKLQEFLADMGMPLKQVKQKFNSMDISLKENLREMLEESANKFGMKDVRVQTFSVQFGFKNKFLASDIVFAVLSLLENTERDEKGTDNFIKALDSLSRSNLDKLHTGLEMGKKLLCAIQQTVASCICTNLILSQGPFLYCYLMEGTPDVKMFSNPISLCLLCKYLLKSFVCSTKNKRCKLLPLVLAAPLDAEKGTVIMVGIPPEAESSDKKNFFGRAFEKAAESTSSRTLHNHFDMSIIELRTEDRSKFLDALISLLS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDANSKDKPPETKESAMNNAGNASFILGTGKIVTPQKHAELPPNPCTPDTFKSPLNFSTVTVEQLGITPESFVRNSAGKSSSYLKKCRRRSAVGARGSPETNHLIRFIARQQNIKNARKSPLAQDSPSQGSPALYRNVNTLRERISAFQSAFHSIKENEKMTGCLEFSEAGKESEMTDLTRKEGLSACQQSGFPAVLSSKRRRISYQRDSDENLTDAEGKVIGLQIFNIDTDRACAVETSVDLSEISSKLGSTQSGFLVEESLPLSELTETSNALKVADCVVGKGSSDAVSPDTFTAEVSSDAVPDVRSPATPACRRDLPTPKTFVLRSVLKKPSVKMCLESLQEHCNNLYDDDGTHPSLISNLPNCCKEKEAEDEENFEAPAFLNMRKRKRVTFGEDLSPEVFDESLPANTPLRKGGTPVCKKDFSGLSSLLLEQSPVPEPLPQPDFDDKGENLENIEPLQVSFAVLSSPNKSSISETLSGTDTFSSSNNHEKISSPKVGRITRTSNRRNQLVSVVEESVCNLLNTEVQPCKEKKINRRKSQETKCTKRALPKKSQVLKSCRKKKGKGKKSVQKSLYGERDIASKKPLLSPIPELPEVPEMTPSIPSIRRLGSGYFSSNGKLEEVKTPKNPVKRKDLLRHDPDLHMHQGYDKYDVSEFCSYIKSSSSLGNATSDEDPNTNIMNINENKNIPKAKNKSESENEPKAGTDSPVSCASVTEERVASDSPKPALTLQQGQEFSAGGQNAENLCQFFKISPDLNIKCERKDDFLGAAEGKLQCNRLMPNSQKDCHCLGDVLIENTKESKSQSEDLGRKPMESSSVVSCRDRKDRRRSMCYSDGRSLHLEKNGNHTPSSSVGSSVEISLENSELFKDLSDAIEQTFQRRNSETKVRRSTRLQKDLENEGLVWISLPLPSTSQKAKRRTICTFDSSGFESMSPIKETVSSRQKPQMAPPVSDPENSQGPAAGSSDEPGKRRKSFCISTLANTKATSQFKGYRRRSSLNGKGESSLTALERIEHNGERKQ", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAKSSQRKQRDCVNQCKSKPGLSTSIPLRMSSYTFKRPVTRITPHPGNEVRYHQWEESLEKPQQVCWQRRLQGLQAYSSAGELSSTLDLANTLQKLVPSYTGGSLLEDLASGLEHSCPMPHLACSSDAVEIIPAEGVGISQLLCKQFLVTEEDIRKQEGKVKTVRERLAIALIADGLANEAEKVRDQEGRPEKR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKAVKSERERGSRRRHRDGDVVLPAGVVVKQERLSPEVAPPAHRRPDHSGGSPSPPTSEPARSGHRGNRARGVSRSPPKKKNKASGRRSKSPRSKRNRSPHHSTVKVKQEREDHPRRGREDRQHREPSEQEHRRARNSDRDRHRGHSHQRRTSNERPGSGQGQGRDRDTQNLQAQEEEREFYNARRREHRQRNDVGGGGSESQELVPRPGGNNKEKEVPAKEKPSFELSGALLEDTNTFRGVVIKYSEPPEARIPKKRWRLYPFKNDEVLPVMYIHRQSAYLLGRHRRIADIPIDHPSCSKQHAVFQYRLVEYTRADGTVGRRVKPYIIDLGSGNGTFLNNKRIEPQRYYELKEKDVLKFGFSSREYVLLHESSDTSEIDRKDDEDEEEEEEVSDS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MESTAIVPKGPVVGSEDMEKAEVIASGSTDIISTTSTATTTAAIGSVQEESVKQEDVPMEGGEGEVEEEEGETRCICGELDTPDDSGFFIQCEQCSSWQHGYCVSITQDNAPDKYWCEQCRPELHQLFTTDTGEARSIYKPVQEKRRQSRRKARSAAASKSHAANEAEKSPRNTSNTDDNVDDIGDEEDEVEDEASAVALAKDGNTRSSRRRRRNSMDDASTDQYSLDPGDSDKKLLDRKRATFMAREEKQYQRMLEKALKESRRTSHQEDPESYENDADIYQGDTDNHNGTTRLQTDVMLTEGKPDSVTNDDMKESLRPSKEQSMEKTNDVEKEASQEKESSTGSAQDTEKTDEPILPLTSISSSEDDSRKASSRGSKRVSKPARKGNRTRRSNTSSDTNQNRRSADIGTDKPVKPRLPPQRTSLNEMRRRVSAILEFISRTQWELSEDQSDREEFVRFVENQHFVEKVDTIYNGYNESLSMMDDLTRELLLWEKKYSNNTNAIQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTPVQVPNLDVSVTSSLITTAPVTGNAATISTFTPGSPEPSMNGEEKESSYFPISENDDGTLDLFGDSELEKEQKGDNQETDYSSQYLHPTPPYTNFDDESPSSPTHPSVSNITVDGDSKKHSLQLQEEEKSSESLDSHTHPPKRVRNEDDSLTFSKTSPVSPSSLKDGASNTVTNDASNKIKSEASESASPSALQALDSTAAGSSKEHSSPHDETVKKEENDKDQYPPMTKEQHKYIHAMLRQLRRGRDSIPFRAPVDPVKQNIPDYPTIIKNPIDLGTMQKKFSSGVYSSAQHFIDDMNLMFSNCFLYNGTESPVGVMGKNLQATFERQLKQLPSAYVTSYSRPGRRPRSMTAPKGGARTRRQAAMYSNSSSGIRETMYDLKPHRRKDAAEMKFCQSVLKELLKKQHEAYAYPFYKPVNPTACGCPDYFKVIKHPMDLGTMQNKLNHNEYASMKAFEADMVLMFKNCYKFNSAGTPVHLMGKKLESIFQKLWANKPDFDSETYMGMSSVNTDYYYGDNEVFDSGDEFLEDDGEEFEAVNRQIHKLQSTLQAMKSRARSSSVSRRSRSRSLSVDIYPPITYEMQNELAEQCNYLSADQLSHVAEILRAALPHLRNTDEIEIDVSAMPPDVFYKVYYYVCKGDEIGAEALATASHTHQEKKKGRALSETEQAEKIRQLRAQLDRFSGIAQNKNTVTGNIAAYNTKSLGSDDSSSEDDGESSESSDSA", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSRSRPAKPSKSVKTKLQKKKDIQMKTKTSKQAVRHGASAKAVNPGKPKQLIKRRDGKKETEDKTPTPAPSFLTRAGAARMNRDRNQVLFQNPDSLTCNGFTMALRRTSLSWRLSQRPVVTPKPKKVPPSKKQCTHNIQDEPGVKHSENDSVPSQHATVSPGTENGEQNRCLVEGESQEITQSCPVFEERIEDTQSCISASGNLEAEISWPLEGTHCEELLSHQTSDNECTSPQECAPLPQRSTSEVTSQKNTSNQLADLSSQVESIKLSDPSPNPTGSDHNGFPDSSFRIVPELDLKTCMPLDESVYPTALIRFILAGSQPDVFDTKPQEKTLITTPEQVGSHPNQVLDATSVLGQAFSTLPLQWGFSGANLVQVEALGKGSDSPEDLGAITMLNQQETVAMDMDRNATPDLPIFLPKPPNTVATYSSPLLGPEPHSSTSCGLEVQGATPILTLDSGHTPQLPPNPESSSVPLVIAANGTRAEKQFGTSLFPAVPQGFTVAAENEVQHAPLDLTQGSQAAPSKLEGEISRVSITGSADVKATAMSMPVTQASTSSPPCNSTPPMVERRKRKACGVCEPCQQKANCGECTYCKNRKNSHQICKKRKCEVLKKKPEATSQAQVTKENKRPQREKKPKVLKTDFNNKPVNGPKSESMDCSRRGHGEEEQRLDLITHPLENVRKNAGGMTGIEVEKWAPNKKSHLAEGQVKGSCDANLTGVENPQPSEDDKQQTNPSPTFAQTIRNGMKNVHCLPTDTHLPLNKLNHEEFSKALGNNSSKLLTDPSNCKDAMSVTTSGGECDHLKGPRNTLLFQKPGLNCRSGAEPTIFNNHPNTHSAGSRPHPPEKVPNKEPKDGSPVQPSLLSLMKDRRLTLEQVVAIEALTQLSEAPSESSSPSKPEKDEEAHQKTASLLNSCKAILHSVRKDLQDPNVQGKGLHHDTVVFNGQNRTFKSPDSFATNQALIKSQGYPSSPTAEKKGAAGGRAPFDGFENSHPLPIESHNLENCSQVLSCDQNLSSHDPSCQDAPYSQIEEDVAAQLTQLASTINHINAEVRNAESTPESLVAKNTKQKHSQEKRMVHQKPPSSTQTKPSVPSAKPKKAQKKARATPHANKRKKKPPARSSQENDQKKQEQLAIEYSKMHDIWMSSKFQRFGQSSPRSFPVLLRNIPVFNQILKPVTQSKTPSQHNELFPPINQIKFTRNPELAKEKVKVEPSDSLPTCQFKTESGGQTFAEPADNSQGQPMVSVNQEAHPLPQSPPSNQCANIMAGAAQTQFHLGAQENLVHQIPPPTLPGTSPDTLLPDPASILRKGKVLHFDGITVVTEKREAQTSSNGPLGPTTDSAQSEFKESIMDLLSKPAKNLIAGLKEQEAAPCDCDGGTQKEKGPYYTHLGAGPSVAAVRELMETRFGQKGKAIRIEKIVFTGKEGKSSQGCPVAKWVIRRSGPEEKLICLVRERVDHHCSTAVIVVLILLWEGIPRLMADRLYKELTENLRSYSGHPTDRRCTLNKKRTCTCQGIDPKTCGASFSFGCSWSMYFNGCKFGRSENPRKFRLAPNYPLHEKQLEKNLQELATVLAPLYKQMAPVAYQNQVEYEEVAGDCRLGNEEGRPFSGVTCCMDFCAHSHKDIHNMHNGSTVVCTLIRADGRDTNCPEDEQLHVLPLYRLADTDEFGSVEGMKAKIKSGAIQVNGPTRKRRLRFTEPVPRCGKRAKMKQNHNKSGSHNTKSFSSASSTSHLVKDESTDFCPLQASSAETSTCTYSKTASGGFAETSSILHCTMPSGAHSGANAAAGECTGTVQPAEVAAHPHQSLPTADSPVHAEPLTSPSEQLTSNQSNQQLPLLSNSQKLASCQVEDERHPEADEPQHPEDDNLPQLDEFWSDSEEIYADPSFGGVAIAPIHGSVLIECARKELHATTSLRSPKRGVPFRVSLVFYQHKSLNKPNHGFDINKIKCKCKKVTKKKPADRECPDVSPEANLSHQIPSRVASTLTRDNVVTVSPYSLTHVAGPYNRWV", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGTSSDPIQDGSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAITSLLEQYPNRVEIVQLDESNGEIRSDPNLSFEHPYPPTKTIFIPDKECQRPDLLATSSDFLRLWRIADDHSRVELKSCLNSNKNSEFCGPLTSFDWNEAEPRRIGTSSTDTTCTIWDIEREAVDTQLIAHDKEVFDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPALPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDISSMGQHVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSCLDVMYQVYGPPQPYFAAAYTPYHQKLAYYSKMQEAQECASPGSSASGSSSFSNPTPASVKEEEGSPEKERPPEAEYINSRCVLFTYFQGDISSVVDEHFSRALSHPSSYTPSCTSSKAHRSSGPWRAEGTFPMSQRSFPASFWNSAYQAPVPAPLGSPLAAAHSELPFATDPYSPATLHGHLHQGAADWHHAHPHHAHPHHPYALGGALGAQASAYPRPAVHEVYAPHFDPRYGPLLMPAATGRPGRLAPASAPAPGSPPCELAAKGEPAGSAWAAPGGPFVSPTGDVAQSLGLSVDSGKRRRECSLPSAPPALYPTLG", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDRTKRRKIEKEASLLNERNNLLESDFANCYRAFQHQLKLDQQIWRGPEDELNRLKSTIYPVVFWVDGSEKLHAYSFTQRKISLAKNLIPLFSVDLNKDTYSALKAPLKIWSKLWEDNRRLKKIIKYTSYVTVKGSELILSFGISILDSFLAPQDAILSSGSSSSYTALLDYTFLPSEDEEYSCLDINTALFYDCARKLAKSLRFANVSRDPRLSSELLPFQMRVLEWMKRREEEKFLTSNDLPPLWYHCKSLFDDRMVYVNHVYGYMTFSKEKTYLLASGDIRGGILADEMGMGKTLEVLGLVLHHQLPISLTDTCTFDQVVGKNVKYSKATLIITPSTILDQWLSEIDLHVPSLKVFHYQGIRKSNGLKSAKIFLDCDIVVTSYSDLRFELLYTESHSRTLRHEKRHVSPKSPLIDVCWWRICVDEAQMVETSQSNVAQMIYRIPRVNCWTVSGTPVRSEVDDLFGLLFLLRYSPMYLYKKQAWMQIIEKKRVREFCDLFGSLVCRHSKQDVEEELKLPPQHRICMTTRLSVVEETNYQDLLSEAAKSLHFFKDRNLDLCDEESMRRWLVRLRQACCHPQVGFGNKSAFGGGPMKSINDVLVFMLEQTNSTFSSLNRKLYSDKIIVGQIYDHIKDYNKALAIWSEVRIPVELAVKELENVIYNSKYEDHGKNLPINYFGLDHFIHLRVWYVLLHKIYFFIASAYFSLKNEKFENEFYLLAQDLRRKIMSDVIIKTSKHLEEFSEKFIPKKLVKIPRLQKSYAKGLITGHGIIEDYNRLYKELNDQKEVLIKFRDRLIHLMKLPLLDQESDPTGDEYEESLNAQSEISYCIDVYRQMLSDRVAAVSGTINTFVSHETELEKYKLIESIKKSEKSLDKQAEERDKKYLLYFEEREEARPKADQYGSLINIVSRLLDASNRSTSSFETSKNMEEYERIDAMAKEQSRICQKLEKELSIIQLTYNSRIEYYKQLQEISDSLMPPPVSNISLNNYVKDDEKKQKFLNSVIIKASVILEKEISEKQDEASQTTNVAELVNQKISEMNIPGHIHLLRELEEEKSNTQRKIAHFESRRRYLTNLYEHIVLKAESHQICIICRDIIKQGFITTCGHLYCSFCLEAWLKHSSSCPMCKTKLNKNNAYYIGESRDIYSRQEFVTGFNKRDERLEILDDEAYRQISNMELKESFGSKIDTISKHLLYLKHNELYPKVVVFSQWLDVLDVLHKSFEANGIVFIRFDGKSKNTCLKRFKEERSLQVLTLHARSQSSGLTLTNATHVFMCEPLLNSGIEMQAISRVHRIGQTRPTFVYYYIVEDTVEGHILNLSLTKHEQLDKLGLDVPLVGNINRTTEASSGGEQVDAAEIKDCLKMALKRLTTEDS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTTVKRTVRLITDQNVLPGGEAAVLNDQSFPVREWSIKLVCLNPQGEETDASFVDRVTYKLHPTFQNPTRTIRKPPFQIKEQGWGEFEMEIIIYYADKGGEHRFLHYLHFQQEHYHEDIELNINATRPGLLKALTATGEVPGYSDEGEEARKDKRKNESEVGAGKKKAKAKPVDMDKLAEGLQKLQEDDLLQVVQMVNENKTPDMYVRNDIEGGEFHIDLYTLPDNLLLLLYSFCAKRVTM", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEPNQQRSCGSSSDSSATGTPQGSNVAVWRPWHDAPRTHVQNPPTAQQQFYSDNQSHMNDKGKPPSFQHPVKLFWPKSRCYDFMYQEAEELLRHFPVQATISLYQETDSDSDSEEEDIYEN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MADMEDLFGSDADSEAERKDSDSGSDSDSDQENAASGSNASGSESDQDERGDSGQPSNKELFGDDSEDEGASHHSGSDNHSERSDNRSEASERSDHEDNDPSDVDQHSGSEAPNDDEDEGHRSDGGSHHSEAEGSEKAHSDDEKWGREDKSDQSDDEKIQNSDDEERAQGSDEDKLQNSDDDEKMQNTDDEERPQLSDDERQQLSEEEKANSDDERPVASDNDDEKQNSDDEEQPQLSDEEKMQNSDDERPQASDEEHRHSDDEEEQDHKSESARGSDSEDEVLRMKRKNAIASDSEADSDTEVPKDNSGTMDLFGGADDISSGSDGEDKPPTPGQPVDENGLPQDQQEEEPIPETRIEVEIPKVNTDLGNDLYFVKLPNFLSVEPRPFDPQYYEDEFEDEEMLDEEGRTRLKLKVENTIRWRIRRDEEGNEIKESNARIVKWSDGSMSLHLGNEVFDVYKAPLQGDHNHLFIRQGTGLQGQAVFKTKLTFRPHSTDSATHRKMTLSLADRCSKTQKIRILPMAGRDPECQRTEMIKKEEERLRASIRRESQQRRMREKQHQRGLSASYLEPDRYDEEEEGEESISLAAIKNRYKGGIREERARIYSSDSDEGSEEDKAQRLLKAKKLTSDEEGEPSGKRKAEDDDKANKKHKKYVISDEEEEDDD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSSVMHYYPVHQAKVGSYSADPSEVKYSDLIYGHHHDVNPIGLPPNYNQMNSNPTTLNDHCSPQHVHQQHVSSDENLPSQPNHDSQRVKLKRSRTAFTSVQLVELENEFKSNMYLYRTRRIEIAQRLSLCERQVKIWFQNRRMKFKKDIQGHREPKSNAKLAQPQAEQSAHRGIVKRLMSYSQDPREGTAAAEKRPMMAVAPVNPKPDYQASQKMKTEASTNNGMCSSADLSEILEHLAQTTAAPQVSTATSSTGTSTNSASSSSSGHYSYNVDLVLQSIKQDLEAAAQAWSKSKSAPILATQSWHPSSQSQVPTSVHAAPSMNLSWGEPAAKSRKLSVNHMNPCVTSYNYPN", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNIPKVTTSLGAAEKAKPERVASVAAAAFNAVSLQKRSGDDTATPAEDPTRKKAKTELLLGTGTAAPSLPAKASSTAPQQLLYQRSGQQAKAQVKAASEPQDVETADGVWDARDQQIIVCNFGSGTEMGAIKAEDADKQSEYRISTPRNSQSNPLLHRNTAFTSFTKKEGASSSASSSSSTASVISIEPSGSGQDHAENSGKSEDLDYVLMPASGADSSTSVGNSTGTGTPAGTPIGATTSTIILNANNGTAGVSGAGTTTILTQKSGHTNYNIFNTTATGSQTPTTTLLNRVNLHPKMKTQLMVNAKKLSEVTQTTAKVSIGNKTISVPLLKPLMSASGAATAGGATIVESKQLLQPGGQVTTVMSAAQQSGGQQVHPHVHSHAHHNFTKLIKRGPKNSGTIVSFSGLQIKPANTKIVATKVVSKKMLQLQQHQQQIQQQQQLQQLQVTSGGGLAPPTGSIVTITTTNPSQTYAMVQDSATVGPAAHSEDDAPAPRKITAYSENLQKILNKSKSQESTGGPEEFTNINSVVIKPLDKNTLNCPPSFNIFKQQQHSQAAQSQSISAVGSGAGTPVTFTMASGNASDLATTSTVSVSAGTICINSPMMGTRPIISIQNKNISLVLSKTTMAQQKPKMITTTTLSSQAALQMHHALIQDSSADKAGSSANSGSATSGASMQLKLTTANTPTKLSVSLAPDVVKLEEVGSESKAKLLVKQEAVVKDSTGTPTSEERAEEIGTPEKRLNANATMTAINQVQNQSANQIQMATSTSTASNPSTPNPTVNATPMNNQRSAAEDNALLKQLLQNNSSSHSLNQISITSAHVGSASASAPLSARKVINVRAPSMGKVRSLEDQLARPVIPPVPTATQAAGSSSSSGSVATSTTTTTVASGGSSQQVATASATALPVSAVAITTPGVGGEAKLEQKSDQPAAIMQNQSQNQAPPPPPPPQQQQQQQLHQPQQLQPSPHQVKQTVQIVSKETSFISGPVAAKTLVTEATSKPAELLPPPPYEMATAPISNVTISISTKQAAPKELQMKPKAVAMSLPMEQGDESLPEQAEPPLHSEQGATAAGVAPHSGGPLVSAQWTNNHLEGGVATTKIPFKPGEPQKRKLPMHPQLDEKQIQQQAEIPISTSLPTTPTGQGTPDKVQLISAIATYVKKSGVPNEAQPIQNQSQGQVQMQAQMQATMQGHLSGQMSGQISGHAAGQIPAQMHLQVQHQLHMAVHPQQQQQQLHQNQPQNATIPLPVTGQGAVPIPVPTMESKAGDQRKRRKREVQKPRRTNLNAGQAGGALKDLTGPLPAGAMVQLAGMPPGTQYIQGAASGTGHVITSTGQGVTLGGVGASTGASSSPMLKKRVRKFSKVEEDHDAFTEKLLTHIRQMQPLQVLEPHLNRNFHFLIGSNETSGGGSPASMSSAASAGSSSAGGGKLKGGSRGWPLSRHLEGLEDCDGTVLGRYGRVNLPGIPSLYDSERFGGSRGLVGGSARTRSPSPAESPGAEKMLPMSSIQNDFYDQEFSTHMERNPRERLVRHIGAVKDCNLETVDLVESEGVAAWATLPRLTRYPGLILLNGNSRCHGRMSPVALPEDPLTMRFPVSPLLRSCGEELRKTQQMELGMGPLGNNNNNNYQQKNQNVILALPASASENIAGVLRDLANLLHLAPALTCKIIEDKIGNKLEDQFMNQDDEKHVDFKRPLSQVSHGHLRKILNGRRKLCRSCGNVVHATGLRVPRHSVPALEEQLPRLAQLMDMLPRKSVPPPFVYFCDRACFARFKWNGKDGQAEAASLLLQPAGGSAVKSSNGDSPGSFCASSTAPAEMVVKQEPEDEDEKTPSVPGNPTNIPAQRKCIVKCFSADCFTTDSAPSGLELDGTAGAGTGAGPVNNTVWETETSGLQLEDTRQCVFCNQRGDGQADGPSRLLNFDVDKWVHLNCALWSNGVYETVSGALMNFQTALQAGLSQACSACHQPGATIKCFKSRCNSLYHLPCAIREECVFYKNKSVHCSVHGHAHAGITMGAGAGATTGAGLGGSVADNELSSLVVHRRVFVDRDENRQVATVMHYSELSNLLRVGNMTFLNVGQLLPHQLEAFHTPHYIYPIGYKVSRYYWCVRRPNRRCRYICSIAEAGCKPEFRIQVQDAGDKEPEREFRGSSPSAVWQQILQPITRLRKVHKWLQLFPQHISGEDLFGLTEPAIVRILESLPGIETLTDYRFKYGRNPLLEFPLAINPSGAARTEPKQRQLLVWRKPHTQRTAGSCSTQRMANSAAIAGEVACPYSKQFVHSKSSQYKKMKQEWRNNVYLARSKIQGLGLYAARDIEKHTMIIEYIGEVIRTEVSEIREKQYESKNRGIYMFRLDEDRVVDATLSGGLARYINHSCNPNCVTEIVEVDRDVRIIIFAKRKIYRGEELSYDYKFDIEDESHKIPCACGAPNCRKWMN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKRPGGAGGGGGSPSLVTMANSSDDGYGGVGMEAEGDVEEEMMACGGGGEKKRRLSVEQVRALERSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDSLRLDHDALRRDKDALLAEIKELKAKLGDEEAAASFTSVKEEPAASDGPPAAGFGSSDSDSSAVLNDVDAAGAAPAATDALAPEACTFLGAPPAAGAGAGAAAAASHEEVFFHGNFLKVEEDETGFLDDDEPCGGFFADDQPPPLSSWWAEPTEHWN", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVSHYYNTLPYTQKHSAANLAYASAAGQPWNWTPNYHHTPPNHQFLGDVDSSHAAHHAAAAHQMYYNSHHMFHSAAAASAGEWHSPASSTADNFVQNVPTSAHQLMQQHHHHHAHASSSSASSGSSSSGGAPGAPQLNETNSSIGVGGAGGGGGVGGATDGGPGSAPPNHQQHIAEGLPSPPITVSGSEISSPGAPTSASSPHHHLAHHLSAVANNNNNNNNNNNSPSTHNNNNNNNSVSNNNRTSPSKPPYFDWMKKPAYPAQPQPGKTRTKDKYRVVYTDFQRLELEKEYCTSRYITIRRKSELAQTLSLSERQVKIWFQNRRAKERKQNKKGSDPNVMGVGVQHADYSQLLDAKAKLEPGLHLSHSLAHSMNPMAAMNIPAMRLHPHLAAHSHSLAAVAAHSHQLQQQHSAQMSAAAAVGTLSM", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTISLSNIKKRDHSKISDGTSGESSLVKRKQLESATGDQEEEYTDHEIIIEPLHFANNNNTVLTDSENYLRWQNTISNVVKSVVSIHFSQVAPFDCDSALVSEATGFVVDAKLGIILTNRHVVGPGPFVGYVVFDNHEECDVIPIYRDPVHDFGFLKFDPKNIKYSKIKALTLKPSLAKVGSEIRVVGNDAGEKLSILAGFISRIDRNAPEYGELTYNDFNTEYIQAAASASGGSSGSPVVNIDGYAVALQAGGSTEASTDFFLPLDRILRALICIQTNKPITRGTIQVQWLLKPYDECRRLGLTSERESEARAKFPENIGLLVAETVLREGPGYDKIKEGDTLISINGETISSFMQVDKIQDENVGKEIQLVIQRGGVECTVTCTVGDLHAITPHRYVEVCGATFHELSYQMARFYALPVRGVFLSSASGSFNFDSKERVGWIVDSIDNKETPDLDTFIEIMKTIPDRKRVTVRYHHLTDQHSPLVTSIYIDRHWCNEFRVYTRNDTTGIWDYKNVADPLPADALKPRSAKIIPIPVNNEKVAKLSSSLCTVATMAAVPLDSLSADILKTSGLIIDAEKGYVLVSRRVVPHDCLDTFVTIADSLVVPATVEFLHPTHNFAIVKYDPELVKAPLITPKLSTTRMKRGDKLQFIGFTQNDRIVTSETTVTDISSVSIPSNLIPRYRATNLEAISIDCNVSTRCNSGILTDNDGTVRGLWLPFLGERLENKEKVYLMGLDIMDCREVIDILKNGGKPRVSIVDAGFGSISVLQARIRGVPEEWIMRMEHESNNRLQFITVSRVSYTEDKIHLETGDVILSVNGKLVTEMNDLNGVVSSADGILPSAMLDFKVVRDGNIVDLKIKTVEVQETDRFVIFAGSILQKPHHAVLQAMVDVPKGVYCTFRGESSPALQYGISATNFITHVNEIETPDLDTFLKVVKTIPDNSYCKMRLMTFDNVPFAISLKTNYHYFPTAELKRDNITHKWIEKEFTGNSQSEK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRATPLAASADVSCRKKPLEFDDNIDAKCPVLKRVRDEPEPGPLPSLLPPSPPPASDLSPAVAPATRLGPYILLEREQGSCSYRALHCPTGTEYTCKVYPASEAQAVLAPYARLPTHQHVARPTEVLLGSRLLYIFFTKTHGDLHSLVRSRRGIPESEAAGLFRQMASAVAHCHKHGLVLRDLKLRRFVFSNCERTKLVLENLEDACVMTGSDDSLWDKHACPAYVGPEILSSRPSYSGKAADVWSLGVALFTMLAGRYPFHDSEPVLLFGKIRRGTFALPEGLSAPARCLIRCLLRKEPSERLVALGILLHPWLREDHGRVSPPQSDRREMDQVVPDGPQLEEAEEGEVGLYG", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSRHSTSSVTETTAKNMLWGSELNQEKQTCTFRGQGEKKDSCKLLLSTICLGEKAKEEVNRVEVLSQEGRKPPITIATLKASVLPMVTVSGIELSPPVTFRLRTGSGPVFLSGLECYETSDLTWEDDEEEEEEEEEEDEDEDADISLEEIPVKQVKRVAPQKQMSIAKKKKVEKEEDETVVRPSPQDKSPWKKEKSTPRAKKPVTKK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLIKVKTLTGKEIEIDIEPTDKVERIKERVEEKEGIPPQQQRLIYSGKQMNDEKTAADYKILGGSVLHLVLALRGGGGLGQ", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPSESSVSIYKLDQLEYQYHYLTKSLQKFEPRYPKTAKLYNCIGKKNKKKIEKLLNSLELKTLDKELDESYSKLLNNKIHYYETHLSKCIKEQIQKISKKNSSKVKDAQKNKSPSIDIEKMLATQLSLDDLALFMTRFRLIKILHQRIKQKSKKIEGDTNNKTWLNNNDYSGYINDKTSKWNPSNIWNEVITKLPSCEKLNALIGQSKIVQNLTESFDLSICLIFGFDVSAMKAKKYGAREKTANANQTHSNIDYDTDDGNEKNAIDSKSNAIGAQTQSNKETTSDNEDLLIKEYEGMLGSSGDEGEGGGYLNPNINYNEVTDEEPSEASSDEDDSDERFSDSEENEPRRKKPKLHNLPELMAGYYSGNDTEEESDEDNKNVKGKKKKRDTAEDRTAREQMSNEPKRKNRRGQRARRKIWEKKYGSQAKHVQRELEKEMEDRKQRQIEYEARVAKREAKAASLEASRSREREDRRTETNNKKEKESASTGEEHPSWIAKRLAEEKLQKAKFEGKKIKFD", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTEGQAVPGVGDWEIDVESLDLEEDSCGTPLRATPPQEPSPAAADGEEDEDEEEEDEDVEDEGDGEEPGVSSEVPGRPEQPGGLAPRPPPAAQALPAAAAAPERGATAGGGAEPRKLSRTPKCARCRNHGVVSCLKGHKRFCRWRDCQCANCLLVVERQRVMAAQVALRRQQATEDKKGLSGKQNNFDRKAVYQRQVRAPSLLAKSILEGYRPMTAETYLGGTLPLPPPVSDRMRKRRAFADKELENIMLEREYKEREMLETSQAAALFLPNRMVPGPEYSSYKGTYSPTAGELPSKDFCNFLPTCLDLTMQYSGSGNMELISSNVSVATTYRQYPLSSRFLVWPKCGPISDTLLYQQYLLNATTSVQALKPGTGWDLKGTRVQDGLSAEQDMMPPKLEGSLVLPHLPEVPASRTDLQVHQVVPERSAFSPPGRNFSPIVDMDCLAAQGHVLTKLSKENTRPSLPLKTNPFHSVFQQTLSDKSGPELNAPFVKEAFEETPKKHRECLVKESQKYTFTIDRCAKDLFVAKQVGTKLSANEPLSFSVESILKRPSSAVTHVSQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNSIRQIERLNEQELDKPFSSSWHQDYSDSAYIYIGNLDFDLNEDDILCVFSEFGEPVDINLVRDKETGKSKGFAFLKYEDQRSTVLAVDNMTNVKLLDRLVRVDHVASYKVPQKEKEPANLVPLGESGSSLSVSTINTSNLPDHDYKTIIQNEVEQTLSPKDEKDLLDPMRDYIHREKRRKLKHESSDRSDKSDSNRHSRHHRRHSRSRRHRDLDG", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKFCKKYEEYMQGQKEKKNLPGVGFKKLKKILKRCRRNHVPSRISFTDAINHNCSRECPVCDGTFFPELLKEMEDVVGWFNEHAQKLLELHLASGFTKCLTWLRGNSRKKDHHGLIQEGKDLVNYALINAVAIRKILKKYDKIHESRQGQAFKTQVQKMRIEILQSPWLCELMAFHINLKESKKESGATITSPPPPVHALFDGCALTFDDGKPLLSCELSDSVKVDIDLTCSICLDTVFDPISLTCGHIYCYMCACSAASVNVVDGLKTAEATEKCPLCREDGVYKGAVHLDELNILLKRSCRDYWEERRKTERAERLQQAKEYWDYQCRSFTGI", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEFSSQDDDFGGDDSAANATRASGNRRSFGDLEDDEDDIFGSTTVAPGVRTGMILSLRGSLKNCKDDLASCQNELESAKTEIQKWKSAFQNESFVPAGKSPEPRFLIDYIQNLKSSEKSLKEQLEIAKRKEASCIVQYAKREQEMAELKSAVRDLKSQLKPASMQARRLLLDPAIHEEFSRLKNLVEEKDKKIKELQDNIAAVTFTPQSKNGKMLMAKCRTLQEENEEIGHQAAEGKIHELAIKLAMQKSQNAELRSQFEGLYKHMEELTNDVERSNETVIILQEKLEEKEKEIERVKKGLEIVSELVGDKKDEVDEIDEDAKEEIAGGE", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTKAQESLTLEDVAVDFTWEEWQFLSPAQKDLYRDVMLENYSNLVSVGYQAGKPDALTKLEQGEPLWTLEDEIHSPAHPEIEKADDHLQQPLQNQKILKRTGQRYEHGRTLKSYLGLTNQSRRYNRKEPAEFNGDGAFLHDNHEQMPTEIEFPESRKPISTKSQFLKHQQTHNIEKAHECTDCGKAFLKKSQLTEHKRIHTGKKPHVCSLCGKAFYKKYRLTEHERAHRGEKPHGCSLCGKAFYKRYRLTEHERAHKGEKPYGCSECGKAFPRKSELTEHQRIHTGIKPHQCSECGRAFSRKSLLVVHQRTHTGEKPHTCSECGKGFIQKGNLNIHQRTHTGEKPYGCIDCGKAFSQKSCLVAHQRYHTGKTPFVCPECGQPCSQKSGLIRHQKIHSGEKPYKCSDCGKAFLTKTMLIVHHRTHTGERPYGCDECEKAYFYMSCLVKHKRIHSREKRGDSVKVENPSTASHSLSPSEHVQGKSPVNMVTVAMVAGQCEFAHILHS", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGVRGLQGFVGSTCPHICTIVNIHELAERHRNKYPGCTPTIVVDAMCCLRYWYTAESWVCGGQWREYYCALRNFVAAFTSAGIKLIFFFDGMVEPGKRDEWVKRRLKNNREISKIFHYIKSKRDQPGRNMFFIPSGLAIFTRFALKTLGQETFCSLQEADYEVASYGLQHNCLGILGEDTDYLIYDTCPYFSIGDLCLESLQTIMLCREKLCESLGLRVADLPLLACLLGNDITPESMFESFRYKCLSSYASVKENAGKKGNIILAVSDYISKVLHLYQGEKKIEEMLPLGPNKALFYKGVTSYLLPGQKSPWLVQKPKGMITDKQQMVSLNPESKQEVPMCIDPEFKQEVPVCTNPESMQEVPMCMDPEPNQEASMCTDPESKQEVPMCTDSESKPEVSQYTNPESKQKLPSGIDTEFNLEALMCTHPEFKQEDVMDMEPEIKQVTMVSESEILKVARMHHVHSESYLVYNILSSGEIECSNTLEDELDQALPSQAFIYRPVRQRVYALLLGDWKDGASTGPVVKEWFVYPGNSLKHPDLVRPLQMTVQGGTPSLEVLWLSQEPAVQAQRLDTLLACFNLSSSREELQAVESPLRALCCLLIYLFVQVDTLSLEDLHAFIAQALCLQGKSTSQLMHLQLDYINSRAVQLGSLLVRGLTTLVLVNSACGFPWTTSEFMPWNVFDGKLFHQKYLQSEKGYAVEVLLEQNRSWLTKFHNLKAVVCKACSKENRRIVGRTHWDSPYTGRQGRQGYSSYRTDSTHGHSGQSWRNQGSGGRQHERNHWRRY", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSTRPELKFSDVSEERNFYKKYTGLPKKPLKTIRLVDKGDYYTVIGSDAIFVADSVYHTQSVLKNCQLDPVTAKNFHEPTKYVTVSLQVLATLLKLCLLDLGYKVEIYDKGWKLIKSASPGNIEQVNELMNMNIDSSIIIASLKVQWNSQDGNCIIGVAFIDTTAYKVGMLDIVDNEVYSNLESFLIQLGVKECLVQDLTSNSNSNAEMQKVINVIDRCGCVVTLLKNSEFSEKDVELDLTKLLGDDLALSLPQKYSKLSMGACNALIGYLQLLSEQDQVGKYELVEHKLKEFMKLDASAIKALNLFPQGPQNPFGSNNLAVSGFTSAGNSGKVTSLFQLLNHCKTNAGVRLLNEWLKQPLTNIDEINKRHDLVDYLIDQIELRQMLTSEYLPMIPDIRRLTKKLNKRGNLEDVLKIYQFSKRIPEIVQVFTSFLEDDSPTEPVNELVRSVWLAPLSHHVEPLSKFEEMVETTVDLDAYEENNEFMIKVEFNEELGKIRSKLDTLRDEIHSIHLDSAEDLGFDPDKKLKLENHHLHGWCMRLTRNDAKELRKHKKYIELSTVKAGIFFSTKQLKSIANETNILQKEYDKQQSALVREIINITLTYTPVFEKLSLVLAHLDVIASFAHTSSYAPIPYIRPKLHPMDSERRTHLISSRHPVLEMQDDISFISNDVTLESGKGDFLIITGPNMGGKSTYIRQVGVISLMAQIGCFVPCEEAEIAIVDAILCRVGAGDSQLKGVSTFMVEILETASILKNASKNSLIIVDELGRGTSTYDGFGLAWAIAEHIASKIGCFALFATHFHELTELSEKLPNVKNMHVVAHIEKNLKEQKHDDEDITLLYKVEPGISDQSFGIHVAEVVQFPEKIVKMAKRKANELDDLKTNNEDLKKAKLSLQEVNEGNIRLKALLKEWIRKVKEEGLHDPSKITEEASQHKIQELLRAIANEPEKENDNYLKYIKALLL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKFCLYCCHCYIVICGKATHYYKSSKATSNLKSSNRVLMRNPMSPSEQHSQHNSTLAASPFVSNVSAARTQQSLPTDAQNDRLQQPWNRTNTATSPYQSLANSPLIQKLQANIMTPHQPSANSNSNSNSITGNVVNDNNLLASMSKNSMFGSTIPSTLRKVSLQREYKDSVDGVVRDEDNDEDVHNNGDAAANANNDRESKLGHNGPLTTTTLTTTTTATQLDVSELSAIERLRLWRFDALMQHMYRTAEYIADKVYNISNDPDDAFWLGQVYYNNNQYVRAVELITRNNLDGVNILCRYLLGLSFVKLQRFDDALDVIGEYNPFSEDPSTTAANTMSNNGNNSNTSQPVTDGGIKMESSLCFLRGKIYFAQNNFNKARDAFREAILVDIKNFEAFEMLLSKNLLTPQEEWDLFDSLDFKEFGEDKEIMKNLYKINLSKYINTEDITKSNEILAKDYKLADNVDVVRSKVDICYTQCKFNECLELCETVLENDEFNTNILPAYIGCLYELSNKNKLFLLSHRLAETFPKSAITWFSVATYYMSLDRISEAQKYYSKSSILDPSFAAAWLGFAHTYALEGEQDQALTAYSTASRFFPGMHLPKLFLGMQFMAMNSLNLAESYFVLAYDICPNDPLVLNEMGVMYFKKNEFVKAKKYLKKALEVVKDLDPSSRTTISIQLNLGHTYRKLNENEIAIKCFRCVLEKNDKNSEIHCSLGYLYLKTKKLQKAIDHLHKSLYLKPNNSSATALLKNALELNVTLSLDASHPLIDKSNLMSQASKDKASLNKKRSSLTYDPVNMAKRLRTQKEIFDQNNKALRKGGHDSKTGSNNADDDFDADMELE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAEKRRGSPCSMLSLKAHAFSVEALIGAEKQQQLQKKRRKLATEEAAGAVEDAGCSRSGGAGEHGCSEADEEAAAPPPAAGAASGPARSCADAERSCGSRGAAGSCEDGFLQGASPLASPGGSPKGSPVPGLARPGTPLPAPQAPRVDLQGAELWKRFHEIGTEMIITKAGRRMFPAMRVKISGLDPHQQYYIAMDIVPVDNKRYRYVYHSSKWMVAGNADSPVPPRVYIHPDSPASGETWMRQVISFDKLKLTNNELDDQGHIILHSMHKYQPRVHVIRKDCGDDLSPIKPVPSGEGVKAFSFPETVFTTVTAYQNQQITRLKIDRNPFAKGFRDSGRNRMGLEALVESYAFWRPSLRTLTFEDIPGIPKQGNTSSSALLQGTGNAVPATHPHLLSGSSCSSPAFHLGPNTSQLCSLTPADYSACARSGLALNRYSTSLAETYSRLTNQSSETFAPPRTPSYVSVSSNPSVNMSMGGTDGDTFSCPQTSLSMQISGMSPQLQYIMPSPSGNAFAANQTHQSSYNTFRLHSPCALYGYNFSTSPKLAASPEKIVSSQGSFLGSSPSGTMTDRQMLPPVEGVHLLSSGGQQSFFDSRTLGSLTLPSSQVSAHMV", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDPLEALQKHVQRPEEFPLREVTVSGISYVAFGDYAYKKDTETSLQIYGKSDEFYSLESLVVFLKYSHENHGVYVKEAAAAGVRAVTRIDRKNVTEYLQGDRTDFPALMNQVNPLSLRQLLHSSEPEAKKPRLDGEAAGEPMDTSTSDEPQESAVSAAKKEVEIRALNDNLTKDRIAEMRRKRQSHREKGIVTIDESLSTLTSASLPKTRIHKTRENVMLGARDLSNVLDIITSAQRQWDLNEKKEKVAAVHATNLSKDQSGAAGGQQQRSGYSRYAQEAFAHEKTKEIQTEGSFIGSNFSSIKQGHHAVQKAPDAPPGRPPLAKPIQLLTSSTATSSGSSAAQNGSKRTSRSPIIIVPSAMNTMINLYNVRDILQNFSYVPVDQRRKETNKKPVDLAIQRQKNGVTYNIRVIDNAEKLANDDWDRVIAVFVMGVAWQFKGWKWNGNPTDIFTHIPAFHFHVDQDKPVAQVMQWNVHKIPVSATKRHMDKARFSQVWETIENFVRKNKPHLTARLGL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGSGDLNLLKSWNPKLMKNRKKVWETEQDLITEQQKLNTRLKEIEKERELNELLNESSKDKPETLKNDLALKKSGLEWMYQDAKLSDEKEDYLLGKKKLDSSILNQPATPPVRAATTISASGAATSISSQKKKSKLLKDDPMSKFKVTKQQRRTPDSTKKRAMSQRGKPLSKPAPDLDY", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFRCRNMVRDNSRNICFGKLAETTTTQQQQQQQQFVVDSSTIINNNNNNNNNNNNQKLKRSTEEPPTNSFERNYYDRTTSRLVTQYQANNSTSLANSNSSPSSVSASASVFATAAGGSSERSRNRDRPYRNGSASVQGGGINSSNTTTTTAACTAGGSGSGAIGTGTGGLVGSGPGGVPQALGDRSSTQNIHQNHQSARVAPPQSWYEAATAATTAQLKSSGGSGNAGASAAVGFTMSSSPINHHPHQHPHLQNPQHPHYTSSPVVGAGSCPSAAQGQPQIQSQSQTTAVHRSVAYAGSAADDLLNTATSRNMLLHSSKLNKLLKGAGATGSGGERSGSESPGRAGGATPLTTTSTITNNSFSSNSLNNTITTATPTMPTIASGAAGSVGLGSGAEAGVCSNSGTASGDILNVAAVLAAAVDNGVPTHPIRTRHNLHGRSTTSSSRSHSRSPSSYSSSHSSSSSSHSSSHSHASSPVQSSGNCAMAEGRSSRTVNSVTVTSNSSNPSGTAVTVSSAGVGGGCGSSSSSSSSSSSSGSSCLTANPVVHSEDNRPLAIRVRNLPARSSDTSLKDGLFHEYKKHGKVTWVKVVGQNSERYALVCFKKPDDVEKALEVSHDKHFFGCKIEVEPYQGYDVEDNEFRPYEAELDEYHPKSTRTLFIGNLEKDITAGELRSHFEAFGEIIEIDIKKQGLNAYAFCQYSDIVSVVKAMRKMDGEHLGSNRIKLGFGKSMPTNCVWIDGVDEKVSESFLQSQFTRFGAVTKVSIDRNRQLALVLYDQVQNAQAAVKDMRGTILRRKKLQVDFASRECQDAFYDKQEKQQQQSSGSNPRFSRYESSASSLQSRSRASSFSRHQNNSNDDCSPINTPGGASSGISSASNLINQSTSINISNIGTNACSAMPAPSLASAVVSCNVNASGTVPASTSMPSGVSSSSSSLPMSPAALAQRHRMVRNARQTVDCDFNEVGRLRFRSSEEVSGGAGNSTQFEDVRCDSPVTARQGSAVNCFTGPTAAVGESIDGTLNNNQITGGAEGFTGSGGSILSRRRCGKTPKDLHPVHNQRIQLAEQVEECPSSGDEGVVSPRKRIKMDYHHHHHHSNASGVESTGEHSSINKPSPLLLSNCDVIHDPLNRKSEIRRVSETPSGSPSIKFPGHLPSAPQSLMLSCRRPSIDVGALSALSSSSAFRHGIVGASSMDQQHMMNASAAAKRRRVTTTMQQPSSSSTTNSSSGSGLGGISSLTPADEYHHHVSRGRGHQLHSHHSHEASGGESADGSRPGTPLCDERPEVLPTEPRRLPPPRERVRERTRDVMWLPLPKFGVLFFQQQQSRSSGGGGAGNSYLQQQLGGGSTGGLGCIGAASSSACSLNNSSLNASQGMGSCSGSTFLPSPSSRYWRSSSHHQNQQNNHQQQSQQLHGSSSSNTCLMASPARPRSLSSNSSDSDVPGQNAGGSPSLDERLRNFEENYERWSGGSSREHISGHTPSSATPSWQLSMHMNLSTGLNSHQTSSASGNSNSSSGTVSSSASNSRHKFLDIDELQPSDIVKSVLAKKSVFDDDFQRLNKNQWYDPSSSDFALGSSSNIVTGSSLVANVSRHPGGPCSGNTSPALPNLAATKATPIIGNCSGGLGNSTGSKSAGLLQRLSSLSPMNSPQASMSPYNSPSPSPSVGGVTACLGQLTKPAAPGTASAGLSGGTAASSSSPAANSGPTKGLQYPFPSHPPLPNTAAPPPAVQPAPPPLPEMGKQSRLTGQSSGNNLTKSLSVPDGPQSSPARVQLQKSASVPGSTNVGAPSSLSLDSTTASVETSASISSSTSNGNSSLTSAAIHVQKPQQSTFVEEEHTKKSGTSTSQSSSSSSKKISSTHDKLHSKHNNRSESDKKIKKSDKNASSSDKRKNSSTSQSSKSATPRIEDDSSEADDTADKAEKNQRHEKEKKERQEKREKDLRKQVEREEKDRKAQQEEREKEDRKAKEEEKEREREKKAQEDREKKEREERELREKEQRDKEQKEKEIREKDLREKEQRERDNREKELRDKDLREKEMREKEQREKELHREKDQREREHREKEQSRRAMDVEQEGRGGRMRELSSYQKSKMDIAGEASSLTAIDCQHNKENAMDTIAQGTPGASPSTPSDNTPKERSRKLSRNSPVRLHKRRLSSQESNHSAGGGGSCGGSSHQIHHEDYVKRIRMENSQNISVHSSNQRLNDRRDSKEHKSSSFKEDKNSSSHISRPHGCGGSSASSSKHHHRRDKHHQKGSASSIETNSSIEVVVDPISQTKHNLNTSEEELQSHQPKREKEREHFSSHANSSSSRHKSKRDHHHHREKKRHSVAESTNTDEEHTPQQHNPHRRISAAGSGSAGELSSAATNTSSGKLHHQHHRRSVERKSSRGSDEGHHSSSKSLRAKLMMLSSADSDDTDDASKKHSIFDIPDDCPNVSMYDKVKARSCKNMQRQAEEKKIKAKFSQLKQSRAKKKRSTSYDGDSDTEFEDRQHRNSGSSSFHGRYPGLSSSDDDDDEETHQRRISSDSDAEHGGQDNQGASTLADANRVRQMQQNLRRLCDGDDSSEDEIRRNVMKHSHFGKRNSNSTRIASDSESQSQPAPDLTIKQEHPIAPAQEIKREQLSDEEQKFKSRHDSNSSIEERKLKTEREIKTELGDFYNSSEYTYTGKLKEYSPETRKKHKKSKRRLKSSSTADTSAAQTPLVMTPLTPSIFDVHSSSECKTKFDNFDDLKTECSSIPLEISAGERRKHKERKEKKREKLRNMTEATVPNSPTTNDTSSEKLSKEERHRLKKSKKSKSMDNSCNTKIYNSSGAHPSTSPSLPATPTSAPSTAQTSKRGEDKMEFIFGIISDEEESQFPEQAETNKDIIPSSVSTTGPIVSAALQTYKQEPSTPNSKNEEAHIQLTVHEPEQQQQLERSRLSGGSSSSSHADRERHRREKREKKRREKSQREQQNQIHQKSSKVETKVDDDNSVDMDEAGRALEAQLMSDFDTKPISEEATPSTAATYRSDMTDVFRFSDNEDNNSVDMTKQGVKSEQQEQHKSKDKKKKKKRSKEEKQEKLLQQQRRESLPNVASTSSAPPTPGKLTVNVQAASKHADLQLDAKHISSPPVCKPSPSLPCLIGDDDDDALHTPKAKPTTPSSRGNDGLTPSREKPRLISPIPKTPTIANSSTLSTQSAETPVSSGTVISSSALATTPTSSTAAGVSAAPGLDNSPTSASAQCKKKESFIPGFDGQLDDRISESAVQSISAEFNSTSLLDNIADEPKIPVASPPRATKPLDKLEESKSRVTISQEETESAVSALLGESFGTSSTTDYSLDGMDEMSSVNELETPTLVIAEPDEEAALAAKAIETAGEPASILEEPEMEPEREAEPDPDPEAEIESEPVVEVLDPEELNKAVQSLKHEDMMDIKADTPQSERDLQIDTDTEENPDEADSSGPSLKIDETVQSSSSPEKSISNNSPTPRETANIDIPNVESQPKLSNESTPQPSVITKLPFLDTPKTVPAGLPPSPVKIEPPTISKLQQPLVQPVQTVLPAPHSTGSGISANSVINLDLSNVISSCSNTSAASATASASASISFGSPTASQNAMPQASTPKQGPITPQQAIRTQSLIMQPPTISIPEQTPHFAVPQMVLSPQSHHPQQPGTYMVGIRAPSPHSPLHSPGRGVAQSRLVGQLSPVGRPMVSQPSPQQQVQQTQQQHALITSPQSSNISPLASPTTRVLSSSNSPTTSKVNSYQPRNQQVPQQPSPKSVAEVQTTPQLMTIPLQKMTPIQVPHHPTIISKVVTVQPQQATQSQVASSPPLGSLPPHKNVHLNAHQNQQQPQVIAKMTAHQHQQHMQQFMHQQMIQRQQHMQQQQLHGQSQQITSAPQHQMHQQHQAQQQQQHHNQQHLNQQLHAQQHPTQKQHQAQQQFNQQIQQHQSQQQHQVQQQNQAQQQHLSQQQHQSQQQLNQQHQAQQQQLQQIQKLQQMHGPQQQQKSPQGVGHLGGSTSIFASQQHNSQLPARGVPQQQHPQQLSHSSPCKPNTLVSVNQGVQPPAILTRVGSHSQPNQQQQLPHQQSSSGHPHQKQLSSPGANLPLQTPLNVIQNTPKIIVQQHIVAQNQVPPPQTQGNAIHYPQNQGKDSTPPGHVEPTPAMSAQKTSESVSVIRTPTPTTGLAVISANTVGSLLTEENLIKISQPKQDELIEQDSKEVDSDYWSAKEVNIDSVIKKLDTPLASKDAKRAVEMQAIAPAPIPNPQPGNQSMAQETALPTTSMSVNNSNDHDTEDETETRQLPPAKPPIPTVGRPPGRGGSAKRGRQPRGAKKVGGFPLNSVTAAPPGVDSLVVQPGDNGVQTRLRKPVTAPVTRGRKGRPPRNLLLQQQQLQQQQLDIQRKGMEMVTSATSSTPLPTPIPTSSVLTAAEKKARNQALTQAQEQNQVASQVGTGQDIYEFHEDGGEEPKPKTISSVAPSAEDQRPRLILTINKTQPSIKNISEMEQTIQQQQQQQSEVISNTDPIGGDNSESCNTRKSRRLQEKEDRSTVDDIIEDVVRNTNTPTGTGPHLPKGAQTPPRRSGRNAQAKKTDAVQIINAVGRPRRSKDRKTIGEQTANLIEEVTASNATVAASHLAPPEGAGVESHVPQLDAKEVEPVSVVTPISTPAPVSVAAPVTVPVPAMVPVKPTMPQHPKKKAIAAAEIESYQAINSSIPSGGLPMHQTAAPATQKITGGVADAVSKALVDPVTGVITAGMPQGKEGNLPAATAAAPANSSNEDGQAAPPPQLQHQQQQQHPQQPPQQQANLQINTTLIPSGLPNPITALGKSVQLETSAAALLNKPVSVLVKGNASQVIQQQQPQIVAPAKQPIILQQNPLPTVLHHAQHTTVRPPQPLKAHVLNREKNIQQQLTPTKQAVAQPPQHAPHSGHMLLTDTAGNQQLVQPQIIARHLQQQQHLQVNVPPPTAHSPHSPRIPSQQQQLGPGASISPQQQQPQTVVIKQAASAAQPQILHVVSSKASVVPQPQQQQLPPTSSTGPHLQLAKPNYSYAPTVLTPTLPAVQQQQQQHLYKQNNQQKGAQIQMPPHGIIMPTHPGMLLQQKLPAHLQPQQHQLNPSPPPGKPNPVLHGLQSGQIMPGSVGSPPPVSAAVLKTAQQQVNSVVPVAGIRTAIPNISPQSQPRVSPLVLPPGISGVPPFDASLHDLGAYVSGRRTQSPPPAHQQASPITPNDSTYRGVTASRDFMLYQHHLMRGGDYDDKMGSSPPLELRRPGSGPPRTIAVPHSLQSPQDRTAADSPQMAQVYVHNTRIPPAHFSEIASRGLYDSGALQLEPPPAHRPTATISVVVPQQMPAVSSGSPFIGRDGSVQPGSHHHPGKAMDMQLDEMDRMSMIAAVVQQQQEHLPPALPAGMELASQQAPPAMAPPPGDSLVTLLQRYPVMWQGLLALKTDQAAVQMHFVHGNPNVARASLPSLVETNTPLLRIAQRMRLEQTQLEGVAKKMQVDKEHCMLLALPCGRDHADVLQHSRNLQTGFITYLQQKMAAGIVNIPIPGSEQAAYVVHIFPSCDFANENLERAAPDLKNRVAELAHLLIVIATV", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MWDQGGQPWQQWPLNQQQWMQSFQHQQDPSQIDWAALAQAWIAQREASGQQSMVEQPPGMMPNGQDMSTMESGPNNHGNFQGDSNFNRMWQPEWGMHQQPPHPPPDQPWMPPTPGPMDIVPPSEDSNSQDSGEFAPDNRHIFNQNNHNFGGPPDNFAVGPVNQFDYQHGAAFGPPQGGFHPPYWQPGPPGPPAPPQNRRERPSSFRDRQRSPIALPVKQEPPQIDAVKRRTLPAWIREGLEKMEREKQKKLEKERMEQQRSQLSKKEKKATEDAEGGDGPRLPQRSKFDSDEEEEDTENVEAASSGKVTRSPSPVPQEEHSDPEMTEEEKEYQMMLLTKMLLTEILLDVTDEEIYYVAKDAHRKATKAPAKQLAQSSALASLTGLGGLGGYGSGDSEDERSDRGSESSDTDDEELRHRIRQKQEAFWRKEKEQQLLHDKQMEEEKQQTERVTKEMNEFIHKEQNSLSLLEAREADGDVVNEKKRTPNETTSVLEPKKEHKEKEKQGRSRSGSSSSGSSSSNSRTSSTSSTVSSSSYSSSSGSSRTSSRSSSPKRKKRHSRSRSPTIKARRSRSRSYSRRIKIESNRARVKIRDRRRSNRNSIERERRRNRSPSRERRRSRSRSRDRRTNRASRSRSRDRRKIDDQRGNLSGNSHKHKGEAKEQERKKERSRSIDKDRKKKDKEREREQDKRKEKQKREEKDFKFSSQDDRLKRKRESERTFSRSGSISVKIIRHDSRQDSKKSTTKDSKKHSGSDSSGRSSSESPGSSKEKKAKKPKHSRSRSVEKSQRSGKKASRKHKSKSRSR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSRIIIKNIPRYYDKEKLSTYLKSLPQLDAEITDVSVAKTKEGVSRRFAFIGFKNEEDADKAIRYLNKSYVETSRIEVHRALDYRSANEKLRPYSKYASKNIELKLQKKEKEEELRNLEEEKAKKKKDANLKRKFLDTLDPKAREFLKLSSSISNSRSWENEEVFDTEITNPVIPADEDDDEYQDLPAAKRHEGDSIKSTEHDSTLDSGVVIDGREKSSSELHEEESEQAAEGDTAKNSGTDAQAPLSDDEWLRLHRTRIKEKQPEEEVSVVGDELKSFDKENNDEHLERVTNDKIADASMLQKAENNVSEQERNIQLISETKRLFLRNLTYSCAEDDLKSLFGPFGQLEQVHMPIDKKTNNPKGFAYIDFHDADDAVRAYLELDAKPFQGRLLHVLPAKARSSILLDDYALSKLPLKKQRELKRKNTAASSTFSWNSLYMNADAVVTSLASRLGVKKTDILDPTSSDSAVKQALTETHVIQETKNFFEEHGVDLDAFKNAARSDNVLLVKNFPYGTSAEELTSLFSPFGELGRILIPPAGTIAIIEFLNAPDCRQAFSKLAYTRIKSSILYLEKAPRDVFTTSFKQSGKPELAQKVNAVEATTSEKVGTEDIESLDTATIYVKNLNFSTKQEEFQKVFKPLEGYLSAVIRAKPDPKRPGKYLSMGFGFVEFKDKASAVAAMHAMNGFVLDGHKLEIKLSHQGVDAAAEVRKQDSSKPKGTKILIKNLPFEATKKDVQSLLGAYGQLRSVRVPKKFDRSARGFAFAEFVTAREAANAMRALKNTHLLGRHLVLQYASNATMDDMQHAIEKMAKEANAEAAAPSITGKRLIETD", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSKLSKKKLKSLEYRSKKFDKKSQSLEEHEKKVQQKNEELEKKAADKISRDELPEKQLAQSNDKDKHSVSNPPHKTLKSKRQKGKNNDRKVILFVGNLPKDSSVETLQLHFKRAGQVPSVRIPTDKTSGRQKGYAFVEFINPKTDVISKALKFHHTIYKERKINIELTAGGGGKTEARMNKIKEKNRKWKEEMRQRVASEEQQAGEEKMARKAVADEGLESGIHPDRLRLLQ", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPDLWSESILEGRKLSIYHLKSTLEKCPFLFGQSKKSKDFQFGSHLFLVEEQNVFIFGMECIVYEKNKEFIVFVSKADSTGFGSKGVSCNSLAFCCLVTLIDGLRKQGAENVTLTLFAIAQGQYLFPESVDNGQKHVLNDSGLLRWWVNCLEKLRKYYTDSEAPNDSEKQKNSTLLPKAYLFVPGLENIRSYLPNRHWIESNAITTGKAVEELPRFPDDPKCRYLCELQDEKSDMSVEEFWDTLTYRQECSSGKLVGFFTLQLQFYQTREFIAKDNFGDSGVMIPAKLYRVTYDTLLKHPFGSLSDAQSSTEKFLSNTLSAVQNLKDFHYKRYKLDICGLAKRDDRKNHNHSKPATQANILQPRKKVKK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MENGNGEGKGEFINQNNDFFLDSMSMLSSLPPCWDPSLPPPPPPPQSLFHALAVDAPFPDQFHHPQESGGPTMGSQEGLQPQGTVSTTSAPVVRQKPRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNTNKTDKASMLDEIIEYVRFLQLQVKVLSMSRLGGAGSVGPRLNGLSAEAGGRLNALTAPCNGLNGNGNATGSSNESLRSTEQRVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISSSTTHSRGSLFNPISSAVAAEDSNVTATAVAAPEASSTMDDVSASKA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDAGMNNTSSHYKTQARCPLQEHFLPRKPSKENLDRFIPNRSAMNFDYAHFALTEGRKGKDQTAAVSSPSKEAYRKQLAETMNLNHTRILAFRNKPQAPVELLPSNHSASLHQQPKSVKPRRYIPQTSERTLDAPDIVDDFYLNLLDWGSANVLAIALDHTVYLWDASTGSTSELVTIDEEKGPVTSINWAPDGRHVAVGLNNSEVQLWDSASNRQLRTLKGGHQSRVGSLAWNNHILTTGGMDGLIINNDVRIRSPIVETYRGHTQEVCGLKWSGSGQQLASGGNDNVVHIWDRSVASSNSTTQWLHRLEEHTSAVKALAWCPFQANLLATGGGGGDRTIKFWNTHTGACLNSVDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLYMAQSPDGCTVASAAGDETLRFWNVFGVPETAKKAAPKAVSEPFSHVNRIR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MHRTTRIKITELNPHLMCALCGGYFIDATTIVECLHSFCKTCIVRYLETNKYCPMCDVQVHKTRPLLSIRSDKTLQDIVYKLVPGLFKDEMKRRRDFYAAYPLTEVPNGSNEDRGEVLEQEKGALSDDEIVSLSIEFYEGARDRDEKKGPLENGDGDKEKTGVRFLRCPAAMTVMHLAKFLRNKMDVPSKYKVEVLYEDEPLKEYYTLMDIAYIYPWRRNGPLPLKYRVQPACKRLTLATVPTPSEGTNTSGASECESVSDKAPSPATLPATSSSLPSPATPSHGSPSSHGPPATHPTSPTPPSTASGATTAANGGSLNCLQTPSSTSRGRKMTVNGAPVPPLT", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAYTPGSRGGRGGSRGGRGGFNGGRGGFGGGRGGARGGGRGGARGGRGGRGGARGGRGGSSGGRGGAKGGAKVIIEPHRHAGVFIARGKEDLLVTRNLVPGESVYNEKRISVDSPDGTKVEYRVWNPFRSKLAAGILGGLDNIYIKPGARVLYLGAANGTSVSHVADVVGPEGLVYAVEFSHRSGRDLLNMAKKRTNVIPIVEDARHVQKYRMLVGMVDVVFADVAQPDQARIVALNAAAFLKNEGGVVISVKASCIDSTADAAVVFAREVKKMQEEKIKPQEQLTLEPYERDHCIIVGKYLRHQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLQQHGISFQMNADRQNKAATTSNRIVSAPATNEFDERHRKQGIDAARALASQYRSRITENRDANQRQAARELSRYVRSELKDEPNTFSDAFLNAIDGRTDIASQSAIYNCMKSNSNIDQKRAGIYLIVCLAETHSGNVIRYANYLLKMLNNGNGLDEDTVKMASKALAFLIATCKSYAAELVDRCLDHCHEWLGQNVPHSQQPKNQQEIDQIRRLAASHLSRELALATPTAFFLRVNLFFKYIFNAVRDKNPAVRIAGIDALHVVLTIVSQREAKNKTEWFKKCFDEALEGQPNPSQKDDLDRWHAVALILNELLRISDQRFELIRCESSQFIKQKFLKEDEEEGVEWLVLTKQQTIVESVTARKLVLERFPKILDCVRQIIPLANKTSSTKQQSSIYLNTVLMQLLPRICAFPQCDRTFQTISFDTSFTILQRNAVAAPAIGMMMLSNPDVHATHIEKTISFISAAIKKTTNSDVLDNYFTFLFLFVDAYHEQVTTQIKAIIPQLMDITLSRSLANVLKMIMMRIPKLRLNVQDGVMASVYQTLTGSLIPPKSEPIGRPASPKAILQKAETDPKELQRIVLAVDVLGEFYFSRGALQRIMQYVADYYLTADNVEIRLAAVSSCCEMVVPFVGVYKKVTSDKRNSLLQTIYGVLRAVCSVIVNDQDVRVRMQVISCFGQMPRPFLAHLAQPEMLEVQFMALHDEKLEMQQACVTLLGRLAELNPALVLPRLRLMLLETLSQMQQSGQARLEQHSAKMIAQLAKQSPKFMRPYVGSLMIAMIPKLRNDQKYAEVTAQVLNAVSEIAVIGGAEIVKNLKPLFEKLTHMINDSSSLHKREAALRAIGGICRSTAYVVDPYRDYPSLLDDLLRILKTVMSNTMRREAIKTLGILGAIDPYTHKVFTGSVQSSTAISTALSLPISETDSKDPRQDIIHWFNYEKCTLEEFYPAITIANLMLMMQDEDSQSYAEIAQAIVTIFRSLGDMAPLYTEQVIPRLIEVCRRATESSNRANLREFFLQQLANFVAIIRKHAAPYMPAIFTIIADAWKEDISVKMVVIEVLTDMGTAIGNDFSKYTGELIPYLLTVLQTDKTKERVLTVKVMESIQKLTHCIVQHLHLVLPPLLIILDDFSLKLSIRNTALSTVLHMTQQVDVSAYAPRMMQSWHHNISTAEMRDKLLLLLIEIIKQLGKFFDIFKRGVDQKLRDYNLDKSVHYEQYRKLAQRAQMSRDVLTSSVFAGSNGNIQYSSTQAGMRGQANNVYANNDLHERLMNGSIDSGASRQDNRDDYYRYGVEEKKEVPKVAPTTARPTSELVTVQITKQRLNKDALMPQWKNENLTSKDEWLQWLMKIRIGFLTYGSSPSLRAASSLGDQHPHLARDLFPAAFMSVWTELDSDVQNDLTSCLLRAISTGIPELIQTILNLAEFMDHSEKGPLPISHDVLGRWAEQTKAFAKACRYKEMSVLKKSGSMQTTFTRKVKLEPNDCQSLITYANKLNVQEEAAGVVRYAERNEMNFQMRGRWYEKLNEWEKALGAYELEEKKKSSCPNLQVYDEKDHLMTPEEAATAEEARMHEMRCLEALGRWDELNSKSVVWADQRGNRNDSVRDEINKKQLDHKMAVIAARGAWAVDNWERMADYVSVISENTQDGAMLRAVVAVHNDENTKAMGLIEKVREMIDSELTAMANESYERAYIPMVSVQQMAELEEAIEYKTRPERRPRIALLWSRRLQGCRRNVEQWQRLIMLRGLVLSPQEMHPLRVKFSSMCRKQGKNSMSRAVLRELLSLPANSDLVRAKAPFDKPLLVLALAKQLYQDDHKDEAIRALEDLANHWNKRINPIPKATGRELIPPSTKEPARICAKVLLKLGEWTELKSKTSNNMQVGELSFVRQQVSPQYRTKESRTPETIAFENTINYYQQATQYDPGWHKVWHKLASTHFYAVCRERPHPTTVISPPQQPQQPKKMHIPPVTRATSPPPPAQKSPQPAPFHSITEPLSVIIDYPVPPPLGSLVGLPPMPAYLSSNSSLPPQHHHVSPLSNDSPSNSAENKLYLKHAAHAVRCFAKALMCSPGSRLEDTLRLMQLWFDHGDDKDQDVYFALTETIFDLPVTTWLEAIPQLMARLDCPDDQKSVQLVLRVLCEIARHRPQAVIYALTVASRSKDVHRSKNAGTVLEKMMEYHSKLVREASLVTEELVRCAILWHEQWHDALDDASRVYFHRRLQDNNVQAMFDALRNMNDLMQKGAPTTMKEHSFQQTYSSDLKEAGRYVQAFESSGNVKDLNQAWEIYCSVFKKLRDQLATLNSLDLVYVSPNLVSAKDLELVVPGTYDPSAPIVSIQSFSSKMNVITSKQRPRKMVIRGSNGLDYQFLLKGHEDPRQDERVMQLFGLVNTLLANNSETCRRNLTIQRYSIVALSKDSGLIGWVPNCDTLHTLVKEYREKKAKIPLSIEHKTLQKLSLETEHLTLMQKLQLFESALSVTQGEDLRHVLWLKSPSSEVWFDRRTNYTRSVACMSMVGYILGLGDRHPSNLMLDRLTGKVVHIDFGDCFEVAMLREKFPERVPFRLTRMLINAMEVTGLDGVYNYTAERVLKMLRTNQESLLAVLEAFVYDPVINWRLVEGMKKDPKTRKDTGGRQNMAGAVLPSSSTTDSIMETIKRKLDGTEFVHTDGSTPPEPLQVTEQLAMLTEQATSPLNLCQSYIGWCPFW", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDILLANVSGLQFKAERDLIEQVGAIPLPFYGMDKSIAAVCNFITRNGQECDKGSACPFRHIRGDRTIVCKHWLRGLCKKGDQCEFLHEYDMTKMPECYFYSRFNACHNKECPFLHIDPQSKVKDCPWYKRGFCRHGPHCRHQHLRRVLCMDYLAGFCPEGPSCKHMHPHFELPPLAELGKDQLHKKLPTCHYCGELGHKANSCKQYVGSLEHRNNINAMDHSGGHSGGYSGHSGHIEGADDMQSNHHSQPHGPGFVKVPTPLEEITCYKCGNKGHYANKCPKGHLAFLSNQHSHK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPLSQKQIDQVRTKVHYSEVDTPFNKYLDILGKVTKLTGSIINGTLSNDDSKIEKLTEQNISQLKESAHLRFLDLQSSIDTKKVADENWETCQQETLAKLENLKDKLPDIKSIHSKLLLRIGKLQGLYDSVQVINREVEGLSEGRTSLVVTRAEWEKELGTDLVKFLIEKNYLKLVDPGLKKDSSEERYRIYDDFSKGPKELESINASMKSDIENVRQEVSSYKEKWLRDAEIFGKITSIFKEELLKRDGLLNEAEGDNIDEDYESDEDEERKERFKRQRSMVEVNTIENVDEKEESDHEYDDQEDEENEEEDDMEVDVEDIKEDNEVDGESSQQEDNSRQGNNEETDKETGVIEEPDAVNDAEEADSDHSSRKLGGTTSDFSASSSVEEVK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAGERWRAEGLGEGWAIYVTPRAPIREGRRRLATQNGDGSDAPAYETHPSRHGRREVRFSEEPPEVYGDFEPRAAKERSPGERRTPPEKFRSDSAKEEVRESAYNLRSRQRRQRGPQEAEEMKTRRSTRLEQHSQQAQQQLSPATSGRGLRDAQSLSEDRGEDEPSSQPVTSQTVSKKTVRTPETSVMSEDPISNLCRPPLRSPRPDASIVQHINPFEEGETEDDLESSYSDVTIRIRSRDSVESRDEAAVAAGHHPDSLWGLPHSRGDFTAHENQPSLLPTGCQKNPQEWVEQAVRMRTRMAYNNIQKSDFGNQSPSTSRQQAAVQPPDESSVKIKWWLLILVAALAMGIYWFFHTPVVETTAVQEFQNQMKQLQSKYQSQDEKLWKRGTTFLEKHLNSSLPRPQPAILLLTAAQDAAEVLKCLSEQIADAYSSFRSVRAIRIDGAGKAAQDSDLVKHEVDQELTDGFRNGQNAAVVHRFESLPAGSTLIFYKYCDHENAAFKDVALVLTVLLEEQTLEASLGLKEIEEKVRDFLKVKFTSSDTANSYNHMDPDKLNGLWSRISHLVLPVQPENALKAGSCL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASNKTTLQKMGKKQNGKSKKVEEAEPEEFVVEKVLDRRVVNGKVEYFLKWKGFTDADNTWEPEENLDCPELIEDFLNSQKAGKEKDGTKRKSLSDSESDDSKSKKKRDAADKPRGFARGLDPERIIGATDSSGELMFLMKWKDSDEADLVLAKEANMKCPQIVIAFYEERLTWHSCPEDEAQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPLLTQQIQDEDDQYSLVASLDNVRNLSTILKAIHFREHATCFATKNGIKVTVENAKCVQANAFIQAGIFQEFKVQEESVTFRINLTVLLDCLSIFGSSPMPGTLTALRMCYQGYGYPLMLFLEEGGVVTVCKINTQEPEETLDFDFCSTNVINKIILQSEGLREAFSELDMTSEVLQITMSPDKPYFRLSTFGNAGSSHLDYPKDSDLMEAFHCNQTQVNRYKISLLKPSTKALVLSCKVSIRTDNRGFLSLQYMIRNEDGQICFVEYYCCPDEEVPESES", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNNQPQGTNSVPNSIGNIFSNIGTPSFNMAQIPQQLYQSLTPQQLQMIQQRHQQLLRSRLQQQQQQQQQTSPPPQTHQSPPPPPQQSQPIANQSATSTPPPPPAPHNLHPQIGQVPLAPAPINLPPQIAQLPLATQQQVLNKLRQQAIAKNNPQVVNAITVAQQQVQRQIEQQKGQQTAQTQLEQQRQLLVQQQQQQQLRNQIQRQQQQQFRHHVQIQQQQQKQQQQQQQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQGQIPQSQQVPQVRSMSGQPPTNVQPTIGQLPQLPKLNLPKYQTIQYDPPETKLPYPTYWSDKKADTDTLLYEQIIQRDKINKYSLIRETNGYDPFSIYGFSNKEYISRLWHTLKYYQDLKNTRMKSITSTSQKIPSASIWGNGYSGYGNGITNTTTRVIPQVEVGNRKHYLEDKLKVYKQAMNETSEQLVPIRLEFDQDRDRFFLRDTLLWNKNDKLIKIEDFVDDMLRDYRFEDATREQHIDTICQSIQEQIQEFQGNPYIELNQDRLGGDDLRIRIKLDIVVGQNQLIDQFEWDISNSDNCPEEFAESMCQELELPGEFVTAIAHSIREQVHMYHKSLALLGYNFDGSAIEDDDIRSRMLPTITLDDVYRPAAESKIFTPNLLQISAAELERLDKDKDRDTRRKRRQGRSNRRGMLALSGTSASNTSMNGVHNTVAAGNASSLPPGEILLPDIADIPRTFRTPVPSTLMPGGVDVGPSVESYELRNTTTYKSRPDRPKPVSPPCYIIDHIPGHSLLLSIKLPGKVNTKEEFAAAPNDTSSGTNAMLPSPESLKTKLNSNIRAGVTIPSIPNPIANHTVTNSPNPTLQPVIPGGAASKSVPTPSLPIAPPVAPHDSEATLLTNSNNGSSNNNTQNT", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSHEGEEDLLEYSDNEQEIQIDASKAAEAGETGAATSATEGDNNNNTAAGDKKGSYVGIHSTGFKDFLLKPELSRAIIDCGFEHPSEVQQHTIPQSIHGTDVLCQAKSGLGKTAVFVLSTLQQLDPVPGEVAVVVICNARELAYQIRNEYLRFSKYMPDVKTAVFYGGTPISKDAELLKNKDTAPHIVVATPGRLKALVREKYIDLSHVKNFVIDECDKVLEELDMRRDVQEIFRATPRDKQVMMFSATLSQEIRPICRRFLQNPLEIFVDDEAKLTLHGLQQYYIKLEEREKNRKLAQLLDDLEFNQVIIFVKSTTRANELTKLLNASNFPAITVHGHMKQEERIARYKAFKDFEKRICVSTDVFGRGIDIERINLAINYDLTNEADQYLHRVGRAGRFGTKGLAISFVSSKEDEEVLAKIQERFDVKIAEFPEEGIDPSTYLNN", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSFEGQMAEYPTISIDRFDRENLRARAYFLSHCHKDHMKGLRAPTLKRRLECSLKVYLYCSPVTKELLLTSPKYRFWKKRIISIEIETPTQISLVDEASGEKEEIVVTLLPAGHCPGSVMFLFQGNNGTVLYTGDFRLAQGEAARMELLHSGGRVKDIQSVYLDTTFCDPRFYQIPSREECLSGVLELVRSWITRSPYHVVWLNCKAAYGYEYLFTNLSEELGVQVHVNKLDMFRNMPEILHHLTTDRNTQIHACRHPKAEEYFQWSKLPCGITSRNRIPLHIISIKPSTMWFGERSRKTNVIVRTGESSYRACFSFHSSYSEIKDFLSYLCPVNAYPNVIPVGTTMDKVVEILKPLCRSSQSTEPKYKPLGKLKRARTVHRDSEEEDDYLFDDPLPIPLRHKVPYPETFHPEVFSMTAVSEKQPEKLRQTPGCCRAECMQSSRFTNFVDCEESNSESEEEVGIPASLQGDLGSVLHLQKADGDVPQWEVFFKRNDEITDESLENFPSSTVAGGSQSPKLFSDSDGESTHISSQNSSQSTHITEQGSQGWDSQSDTVLLSSQERNSGDITSLDKADYRPTIKENIPASLMEQNVICPKDTYSDLKSRDKDVTIVPSTGEPTTLSSETHIPEEKSLLNLSTNADSQSSSDFEVPSTPEAELPKREHLQYLYEKLATGESIAVKKRKCSLLDT", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MESIFHEKQEGSLCAQHCLNNLLQGEYFSPVELSSIAHQLDEEERMRMAEGGVTSEDYRTFLQQPSGNMDDSGFFSIQVISNALKVWGLELILFNSPEYQRLRIDPINERSFICNYKEHWFTVRKLGKQWFNLNSLLTGPELISDTYLALFLAQLQQEGYSIFVVKGDLPDCEADQLLQMIRVQQMHRPKLIGEELAQLKEQRVHKTDLERVLEANDGSGMLDEDEEDLQRALALSRQEIDMEDEEADLRRAIQLSMQGSSRNISQDMTQTSGTNLTSEELRKRREAYFEKQQQKQQQQQQQQQQGDLSGQSSHPCERPATSSGALGSDLGKACSPFIMFATFTLYLTYELHVIFALHYSSFPL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEGESTSAVLSGFVLGALAFQHLNTDSDTEGFLLGEVKGEAKNSITDSQMDDVEVVYTIDIQKYIPCYQLFSFYNSSGEVNEQALKKILSNVKKNVVGWYKFRRHSDQIMTFRERLLHKNLQEHFSNQDLVFLLLTPSIITESCSTHRLEHSLYKPQKGLFHRVPLVVANLGMSEQLGYKTVSGSCMSTGFSRAVQTHSSKFFEEDGSLKEVHKINEMYASLQEELKSICKKVEDSEQAVDKLVKDVNRLKREIEKRRGAQIQAAREKNIQKDPQENIFLCQALRTFFPNSEFLHSCVMSLKNRHVSKSSCNYNHHLDVVDNLTLMVEHTDIPEASPASTPQIIKHKALDLDDRWQFKRSRLLDTQDKRSKADTGSSNQDKASKMSSPETDEEIEKMKGFGEYSRSPTF", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MWIQVRTIDGSKTCTIEDVSRKATIEELRERVWALFDVRPECQRLFYRGKQLENGYTLFDYDVGLNDIIQLLVRPDPDHLPGTSTQIEAKPCSNSPPKVKKAPRVGPSNQPSTSARARLIDPGFGIYKVNELVDARDVGLGAWFEAHIHSVTRASDGQSRGKTPLKNGSSCKRTNGNIKHKSKENTNKLDSVPSTSNSDCVAADEDVIYHIQYDEYPESGTLEMNVKDLRPRARTILKWNELNVGDVVMVNYNVESPGQRGFWFDAEITTLKTISRTKKELRVKIFLGGSEGTLNDCKIISVDEIFKIERPGAHPLSFADGKFLRRNDPECDLCGGDPEKKCHSCSCRVCGGKHEPNMQLLCDECNVAYHIYCLNPPLDKVPEEEYWYCPSCKTDSSEVVKAGERLKMSKKKAKMPSASTESRRDWGRGMACVGRTRECTIVPSNHYGPIPGIPVGSTWRFRVQVSEAGVHRPHVGGIHGRSNDGAYSLVLAGGFADEVDRGDEFTYTGSGGKNLAGNKRIGAPSADQTLTNMNRALALNCDAPLDDKIGAESRNWRAGKPVRVIRSFKGRKISKYAPEEGNRYDGIYKVVKYWPEISSSHGFLVWRYLLRRDDVEPAPWTSEGIERSRRLCLRLQYPAGYPSDKEGKKPKGQSKKQPSGTTKRPISDDDCPSASKVYKASDSAEAIEAFQLTPQQQHLIREDCQNQKLWDEVLSHLVEGPNFLKKLEQSFMCVCCQELVYQPVTTECFHNVCKDCLQRSFKAQVFSCPACRHDLGQNYIMIPNEILQTLLDLFFPGYSKGR", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MFEEPEWAEAAPVAAGLGPVISRPPPAASSQNKGSKRRQLLATLRALEAASLSQHPPSLCISDSEEEEEERKKKCPKKASFASASAEVGKKGKKKCQKQGPPCSDSEEEVERKKKCHKQALVGSDSAEDEKRKRKCQKHAPINSAQHLDNVDQTGPKAWKGSTTNDPPKQSPGSTSPKPPHTLSRKQWRNRQKNKRRCKNKFQPPQVPDQAPAEAPTEKTEVSPVPRTDSHEARAGALRARMAQRLDGARFRYLNEQLYSGPSSAAQRLFQEDPEAFLLYHRGFQSQVKKWPLQPVDRIARDLRQRPASLVVADFGCGDCRLASSIRNPVHCFDLASLDPRVTVCDMAQVPLEDESVDVAVFCLSLMGTNIRDFLEEANRVLKPGGLLKVAEVSSRFEDVRTFLRAVTKLGFKIVSKDLTNSHFFLFDFQKTGPPLVGPKAQLSGLQLQPCLYKRR", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEDVEARFAHLLQPIRDLTKNWEVDVAAQLGEYLEELDQICISFDEGKTTMNFIEAALLIQGSACVYSKKVEYLYSLVYQALDFISGKRRAKQLSSVQEDRANGVASSGVPQEAENEFLSLDDFPDSRTNVDLKNDQTPSEVLIIPLLPMALVAPDEMEKNNNPLYSRQGEVLASRKDFRMNTCVPHPRGAFMLEPEGMSPMEPAGVSPMPGTQKDTGRTEEQPMEVSVCRSPVPALGFSQEPGPSPEGPMPLGGGEDEDAEEAVELPEASAPKAALEPKESRSPQQSAALPRRYMLREREGAPEPASCVKETPDPWQSLDPFDSLESKPFKKGRPYSVPPCVEEALGQKRKRKGAAKLQDFHQWYLAAYADHADSRRLRRKGPSFADMEVLYWTHVKEQLETLRKLQRREVAEQWLRPAEEDHLEDSLEDLGAADDFLEPEEYMEPEGADPREAADLDAVPMSLSYEELVRRNVELFIATSQKFVQETELSQRIRDWEDTVQPLLQEQEQHVPFDIHTYGDQLVSRFPQLNEWCPFAELVAGQPAFEVCRSMLASLQLANDYTVEITQQPGLEMAVDTMSLRLLTHQRAHKRFQTYAAPSMAQP", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MANLIRTDHQQHIPKKRKRGESRVFRLKTFGESGHPAEMNELSFRDNLAKLLEFGHFESSGLMGSWSFQLEIQRNPNPLYVLLFVVEEPIEASLNLRCNHCQYVGWGNQMICNKKYHFVIPSKETMAAFLKLEGGGYAFPEKESFSHLVELQGHVLHGFFHSNGFGHLLSLNGIETGSDLTGHQVMDLWDRLCTGLKARKIGLNDASHKKGMELRLLHGVAKGEPWFGRWGYRFGSGTYGVTQKIYEKALESVRNIPLCLLNHHLTSLNRETPILLSKYQSLSTEPLITLSDLFRFMLHLHSRLPRDNYMSNSRNQIISIDSTNCRWSQKRIQMAIKVVIESLKRVEYRWISRQEVRDAARNYIGDTGLLDFVLKSLGNQVVGNYLVRRSLNPVKKVLEYSLEDISNLLPSSNNELITLQNQNSMGKMATNGHNKITRGQVMKDMFYFYKHILMDYKGVLGPIGGILNQIGMASRAILDAKYFIKEYHYIRDTSAKTLHLDRGEELGIFCTIAWKCHHHNNEIKVPPQECIVVKKDATLSEVYGEAERVFRDIYWELRDVVVESVVGGQIEITRVDEMALNGNKGLVLEGNVGMMMNIEVTKCYEDDDKKKDKRIECECGATEEDGERMVCCDICEVWQHTRCVGVQHNEEVPRIFLCQSCDQHLIPLSFLP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVEGDSYVETLDSMIELFKDYKPGSITLENITRLCQTLGLESFTEELSNELSRLSTASKIIVIDVDYNKKQDRIQDVKLVLASNFDNFDYFNQRDGEHEKSNILLNSLTKYPDLKAFHNNLKFLYLLDAYSHIESDSTSHNNGSSDKSLDSSNASFNNQGKLDLFKYFTELSHYIRQCFQDNCCDFKVRTNLNDKFGIYILTQGINGKEVPLAKIYLEENKSDSQYRFYEYIYSQETKSWINESAENFSNGISLVMEIVANAKESNYTDLIWFPEDFISPELIIDKVTCSSNSSSSPPIIDLFSNNNYNSRIQLMNDFTTKLINIKKFDISNDNLDLISEILKWVQWSRIVLQNVFKLVSTPSSNSNSSELEPDYQAPFSTSTKDKNSSTSNTEPIPRSNRHGSVVEASRRRRSSTNKSKRPSITEAMMLKEEGLQQFNLHEILSEPAIEEENGDSIKEHSTTMDGANDLGFTASVSNQENAGTDIVMEDHGVLQGTSQNYGTATADDADIEMKDVSSKPSKPESSVLQLIVSEDHIILDTISECNLYDDVKCWSKFIEKFQDIVS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAELDQLPDESSSAKALVSLKEGSLSNTWNEKYSSLQKTPVWKGRNTSSAVEMPFRNSKRSRLFSDEDDRQINTRSPKRNQRVAMVPQKFTATMSTPDKKASQKIGFRLRNLLKLPKAHKWCIYEWFYSNIDKPLFEGDNDFCVCLKESFPNLKTRKLTRVEWGKIRRLMGKPRRCSSAFFEEERSALKQKRQKIRLLQQRKVADVSQFKDLPDEIPLPLVIGTKVTARLRGVHDGLFTGQIDAVDTLNATYRVTFDRTGLGTHTIPDYEVLSNEPHETMPIAAFGQKQRPSRFFMTPPRLHYTPPLQSPIIDNDPLLGQSPWRSKISGSDTETLGGFPVEFLIQVTRLSKILMIKKEHIKKLREMNTEAEKLKSYSMPISIEFQRRYATIVLELEQLNKDLNKVLHKVQQYCYELAPDQGLQPADQPTDMRRRCEEEAQEIVRHANSSTGQPCVENENLTDLISRLTAILLQIKCLAEGGDLNSFEFKSLTDSLNDIKSTIDASNISCFQNNVEIHVAHIQSGLSQMGNLHAFAANNTNRD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSTAEQRMDTSEVELPNDNVNEQGNKTPRADQPESSASGARNHGEDEEVTEQVELAAMETEASDSIVDNVPKTPRRAGRPKKLPSTSTAAAESAVTPGKRGRKRKGSESVNTTINSTMNSTLNEPKTPGRKQAKLVAPLLTYDLFAPSIIGDRVLSCGEGEALGHPGRTTTKKPRKVDIFEEEGLKPLQAVAGGVHSAVLTSEGEVYMCGINEKGTVPAEGVEKEGSTDEFAKVKFEEDIEKEGKIVMLAAGASFTAALTDQGSVIAWGNLRNSNGNVDVHPLLHKMQEAPVVIVHQAKRKIVKIAAGENHLVMLDEKGCLLTFGDGEMGQLGRSSRTKTIRSKYMCDESGDHLLVPLRFKHKGKFFDVVAKNVFASGFWTIVHGEDGKYYAFGLNNYAQLGIKVDEADVGQDGQDNRELRVFLPAEAPAFGAERTFVNIEGVQHVVILGSDGKVFAMGKNTDNALGLGNWTGKDDQQHWLYDTIQEIEFDSKIVGVSAKLATSIAWSEDGTAYAWGFDTTGQLGLGLKDEDEKMVSKPEEISSAHLDGYSIIGASISDQHTLIIAKKN", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEYERRGGRGDRTGRYGATDRSQDDGGENRSRDHDYRDMDYRSYPREYGSQEGKHDYDDSSEEQSAEDSYEASPGSETQRRRRRRHRHSPTGPPGFPRDGDYRDQDYRTEQGEEEEEEEDEEEEEKASNIVMLRMLPQAATEDDIRGQLQSHGVQAREVRLMRNKSSGQSRGFAFVEFSHLQDATRWMEANQHSLNILGQKVSMHYSDPKPKINEDWLCNKCGVQNFKRREKCFKCGVPKSEAEQKLPLGTRLDQQTLPLGGRELSQGLLPLPQPYQAQGVLASQALSQGSEPSSENANDTIILRNLNPHSTMDSILGALAPYAVLSSSNVRVIKDKQTQLNRGFAFIQLSTIVEAAQLLQILQALHPPLTIDGKTINVEFAKGSKRDMASNEGSRISAASVASTAIAAAQWAISQASQGGEGTWATSEEPPVDYSYYQQDEGYGNSQGTESSLYAHGYLKGTKGPGITGTKGDPTGAGPEASLEPGADSVSMQAFSRAQPGAAPGIYQQSAEASSSQGTAANSQSYTIMSPAVLKSELQSPTHPSSALPPATSPTAQESYSQYPVPDVSTYQYDETSGYYYDPQTGLYYDPNSQYYYNAQSQQYLYWDGERRTYVPALEQSADGHKETGAPSKEGKEKKEKHKTKTAQQIAKDMERWARSLNKQKENFKNSFQPISSLRDDERRESATADAGYAILEKKGALAERQHTSMDLPKLASDDRPSPPRGLVAAYSGESDSEEEQERGGPEREEKLTDWQKLACLLCRRQFPSKEALIRHQQLSGLHKQNLEIHRRAHLSENELEALEKNDMEQMKYRDRAAERREKYGIPEPPEPKRRKYGGISTASVDFEQPTRDGLGSDNIGSRMLQAMGWKEGSGLGRKKQGIVTPIEAQTRVRGSGLGARGSSYGVTSTESYKETLHKTMVTRFNEAQ", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MHTLTAAIEMDKLDANLEQQFDLNLIEAVKLNPVIYDRSHYNYKHFVRKAQTWKQIAETLGVPEQKCTKRWKSLRDKFAREMKLCQESRWRYFKQMQFLVDSIRQYRESLLGKCANGSQSANQVADPSQQQQAQQQTVVDIFAQPFNGSATTSAQALTHPHEITVTSDAQLATAVGKDQKPYFYEPPLKRERSEEEHSDNMLNTIKIFQNNVSQAVSAEDQSFGMVVTDMLNTLGVRQKAEAKVHIIKYLTDMQLLAQHNKY", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGDEDDDESCAVELRITEANLTGHEEKVSVENFELLKVLGTGAYGKVFLVRKAGGHDAGKLYAMKVLRKAALVQRAKTQEHTRTERSVLELVRQAPFLVTLHYAFQTDAKLHLILDYVSGGEMFTHLYQRQYFKEAEVRVYGGEIVLALEHLHKLGIIYRDLKLENVLLDSEGHIVLTDFGLSKEFLTEEKERTFSFCGTIEYMAPEIIRSKTGHGKAVDWWSLGILLFELLTGASPFTLEGERNTQAEVSRRILKCSPPFPPRIGPVAQDLLQRLLCKDPKKRLGAGPQGAQEVRNHPFFQGLDWVALAARKIPAPFRPQIRSELDVGNFAEEFTRLEPVYSPPGSPPPGDPRIFQGYSFVAPSILFDHNNAVMTDGLEAPGAGDRPGRAAVARSAMMQDSPFFQQYELDLREPALGQGSFSVCRRCRQRQSGQEFAVKILSRRLEANTQREVAALRLCQSHPNVVNLHEVHHDQLHTYLVLELLRGGELLEHIRKKRHFSESEASQILRSLVSAVSFMHEEAGVVHRDLKPENILYADDTPGAPVKIIDFGFARLRPQSPGVPMQTPCFTLQYAAPELLAQQGYDESCDLWSLGVILYMMLSGQVPFQGASGQGGQSQAAEIMCKIREGRFSLDGEAWQGVSEEAKELVRGLLTVDPAKRLKLEGLRGSSWLQDGSARSSPPLRTPDVLESSGPAVRSGLNATFMAFNRGKREGFFLKSVENAPLAKRRKQKLRSATASRRGSPAPANPGRAPVASKGAPRRANGPLPPS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MINGVYYNEISRDLDISSSTQCLRFLKETVIPSLANNGNNSTSIQYHGISKNDNIKKSVNKLDKQINMADRSLGLQQVVCIFSYGPHIQKMLSILEIFKKGYIKNNKKIYQWNKLTSFDIKREGRNELQEERLKVPILVTLVSDSEIIDLNLHSFTKQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MATDTSQGELVHPKALPLIVGAQLIHADKLGEKVEDSTMPIRRTVNSTRETPPKSKLAEGEEEKPEPDISSEESVSTVEEQENETPPATSSEAEQPKGEPENEEKEENKSSEETKKDEKDQSKEKEKKVKKTIPSWATLSASQLARAQKQTPMASSPRPKMDAILTEAIKACFQKSGASVVAIRKYIIHKYPSLELERRGYLLKQALKRELNRGVIKQVKGKGASGSFVVVQKSRKTPQKSRNRKNRSSAVDPEPQVKLEDVLPLAFTRLCEPKEASYSLIRKYVSQYYPKLRVDIRPQLLKNALQRAVERGQLEQITGKGASGTFQLKKSGEKPLLGGSLMEYAILSAIAAMNEPKTCSTTALKKYVLENHPGTNSNYQMHLLKKTLQKCEKNGWMEQISGKGFSGTFQLCFPYYPSPGVLFPKKEPDDSRDEDEDEDESSEEDSEDEEPPPKRRLQKKTPAKSPGKAASVKQRGSKPAPKVSAAQRGKARPLPKKAPPKAKTPAKKTRPSSTVIKKPSGGSSKKPATSARKEVKLPGKGKSTMKKSFRVKK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKEVVVSETPKRIKGLEFSALSAADIVAQSEVEVSTRDLFDLEKDRAPKANGALDPKMGVSSSSLECATCHGNLASCHGHFGHLKLALPVFHIGYFKATIQILQGICKNCSAILLSETDKRQFLHELRRPGVDNLRRMGILKKILDQCKKQRRCLHCGALNGVVKKAAAGAGSAALKIIHDTFRWVGKKSAPEKDIWVGEWKEVLAHNPELERYVKRCMDDLNPLKTLNLFKQIKSADCELLGIDATVPSGRPETYIWRYLPAPPVCIRPSVMMQDSPASNEDDLTVKLTEIVWTSSLIKAGLDKGISINNMMEHWDYLQLTVAMYINSDSVNPAMLPGSSNGGGKVKPIRGFCQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLSIDEVAVPDRVAKVLTYPEKVTRYNRHKLQELIVNGPNVHPGANYLLKRNEDARRNLRYGDRMKLAKNLQIGDVVERHLEDGDVVLFNRQPSLHRLSILSHYAKIRPWRTFRLNECVCTPYNADFDGDEMNLHVPQTEEARAEAINLMGVKNNLLTPKSGEPIIAATQDFITGSYLISHKDSFYDRATLTQLLSMMSDGIEHFDIPPPAIMKPYYLWTGKQVFSLLIKPNHNSPVVINLDAKNKVFVPPKSKSLPNEMSQNDGFVIIRGSQILSGVMDKSVLGDGKKHSVFYTILRDYGPQEAANAMNRMAKLCARFLGNRGFSIGINDVTPADDLKQKKEELVEIAYHKCDELITLFNKGELETQPGCNEEQTLEAKIGGLLSKVREEVGDVCINELDNWNAPLIMATCGSKGSTLNVSQMVAVVGQQIISGNRVPDGFQDRSLPHFPKNSKTPQSKGFVRNSFFSGLSPPEFLFHAISGREGLVDTAVKTAETGYMSRRLMKSLEDLSCQYDNTVRTSANGIVQFTYGGDGLDPLEMEGNAQPVNFNRSWDHAYNITFNNQDKGLLPYAIMETANEILGPLEERLVRYDNSGCLVKREDLNKAEYVDQYDAERDFYHSLREYINGKATALANLRKSRGMLGLLEPPAKELQGIDPDETVPDNVKTSVSQLYRISEKSVRKFLEIALFKYRKARLEPGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINASKVISTPIINAVLVNDNDERAARVVKGRVEKTLLSDVAFYVQDVYKDNLSFIQVRIDLGTIDKLQLELTIEDIAVAITRASKLKIQASDVNIIGKDRIAINVFPEGYKAKSISTSAKEPSENDVFYRMQQLRRALPDVVVKGLPDISRAVINIRDDGKRELLVEGYGLRDVMCTDGVIGSRTTTNHVLEVFSVLGIEAARYSIIREINYTMSNHGMSVDPRHIQLLGDVMTYKGEVLGITRFGLSKMRDSVLQLASFEKTTDHLFDAAFYMKKDAVEGVSECIILGQTMSIGTGSFKVVKGTNISEKDLVPKRCLFESLSNEAALKAN", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MMSDQENENEHAKAFLGLAKCEEEVDAIEREVELYRLNKMKPVYEKRDAYIDEIAEFWKIVLSQHVSFANYIRASDFKYIDTIDKIKVEWLALESEMYDTRDFSITFHFHGIEGDFKEQQVTKVFQIKKGKDDQEDGILTSEPVPIEWPQSYDSINPDLIKDKRSPEGKKKYRQGMKTIFGWFRWTGLKPGKEFPHGDSLASLFSEEIYPFCVKYYAEAQRDLEDEEGESGLSADGDSEDDDGSLGEVDLPLSDEEPSSKKRKV", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSVKRSVSEIEIESVQDQPSVAVGSFFKGFRAPSDTTFDLYKKKKSEKDEFVLHGENERLEYEGYTDSSSQASNQYVVGLFNPEKKSIQLYKAPVLVSKVVSKSSKNLRGPKIKSKSDTRPSALRNALGEAFGTKKAKKAIADLERNRIDSDKLTDSAIDIVDSVRTASKDLPTRAQLDEITSNDRPTPLANIDATDVEQIYPIESIIPKKELQFIRVSSILKEADKEKKLELFPYQNNSKYVAKKLDSLTQPSQMTKLQLLYYLSLLLGVYENRRVNNKTKLLERLNSPPEILVDGILSRFTVIKPGQFGRSKDRSYFIDPQNEDKILCYILAIIMHLDNFIVEITPLAHELNLKPSKVVSLFRVLGAIVKGATVAQAEAFGIPKSTAASYKIATMKVPFKLPEMTRRGRGPRR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MFGDRRKTGGLNLNGRASIAITPTKRFTDYTGSTSVRKTDARPSLSQPRVSLFNTKNSSVAPRDVKSLVSLNGSKIYNFLVEYESSDAPSEQLIMKPRGKNDFIACFELIYQHLSKDYEFPRHERIEEEVSQIFKGLGYPYPLKNSYYQPMGSSHGYPHLLDALSWLIDIIRINSAVSEDTQNILFGDFMEQGKAQEKTLNYAWMTSTFRDYTNDRKAAENPSSSYWDDTKHRLRKYFEQSNEFEDMTKTAASALEMLNYECDEIEADKGNEASLKEEISRIRDDIRKAKDYLEQNLHVKQHMEKELAMVKSEQEEKISENEKVQKMVDDLKNKIELQKQIHGLTGKEVRQMNLDNNKDKEVVLEIQSELDRLSKETWKLKDEDFFKEQKSKFIHLAEQIMKILSGLNIQMNLEPLRAPTNERDLKDYWETLNKIWVPEISRQLHQRKLELETEQSRFSNKAVTAEERIQIQSETLCEAKKNEAREERIRRNERDSWKDARKHIEQRYEQLLNEKEVLLKQMKLDGSLEKEIEDETARMSATGEEHIQKRSQLEAGIRQILDLMVVEIAEIENKKIGFHVQCAGIEKAVL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDHTDNELQGTNSSGSLGGLDVRRRIPIKLISKQANKAKPAPRTQRTINRMPAKAPPGDEEGFDYNEEERYDCKGGELFANQRRFPGHLFWDFQINILGEKDDTPVHFCDKCGLPIKIYGRMIPCKHVFCYDCAILHEKKGDKMCPGCSDPVQRIEQCTRGSLFMCSIVQGCKRTYLSQRDLQAHINHRHMRAGKPVTRASLENVHPPIAPPPTEIPERFIMPPDKHHMSHIPPKQHIMMPPPPLQHVPHEHYNQPHEDIRAPPAELSMAPPPPRSVSQETFRISTRKHSNLITVPIQDDSNSGAREPPPPAPAPAHHHPEYQGQPVVSHPHHIMPPQQHYAPPPPPPPPISHPMPHPPQAAGTPHLVYSQAPPPPMTSAPPPITPPPGHIIAQMPPYMNHPPPGPPPPQHGGPPVTAPPPHHYNPNSLPQFTEDQGTLSPPFTQPGGMSPGIWPAPRGPPPPPRLQGPPSQTPLPGPHHPDQTRYRPYYQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAGGFRPYDQDSCPNLTNSEAPEFSMSVVCKTEPHYFHPQTAILPSVQYSHPYHHYLSQFAPNFVPYYYRLLSRPIMKQEEMDIENYINYEVAAQQTMMRQRTLKPLGQLQIQMPPPIIVNQPVKPVPVKAVPVRRSSPPKRRVINAQLVAVATASGGIKNIEPRVEPLPRLEESFKQQVAKIQKSQHHYEQLFGRLTSMLKTLNQRYDNDAEDVPAPPSKRPRHMSTSSSESHIPDTASEKDEKDTLVQYPHRVQKEDGSAVYVLGPNGTQITAHQYGEVFWTNAPVATRCLLCVVFSSDELATHTLTGKPSPAFYGRERPPKLQLDQRKVDDIVVCVRNRTGGKERVIRATITTKCADTAKKYKRRAKKAQKVAIKEEY", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDPSRYGRQQEWDNNSAPEGYGTQHDPNHRFGVSYDDGYPDERLMRDDVYNYPPGHNTLGDLPQSRKRNYEENYPSELRRQEKPYIDSNYAADYYHDSEAGSRNGHYRDHEHERSSRYDGCDDYSCNDNNYRSKNYHHSRDDGREKDYDYTRRSYDSEYERASVRDGSRKSRDPQDRERNSRDREWDSRDREWDKRCYSRERDESPHKRYEKSRSRSTGRGEFSRSRSPRGRSHGRSYREDSYEGDHWNESERRREYEDRHNQDHFSATPSATVVVKGLSMKSTEEDLYQILAEWGPLHHVRVIREQNSGISRGFAFIDFPTVDAARTMMDRIEHDGIVLDGRKLMFHYSQPTGRAGVSRRQEHASRRSYGGSRNMIVPTDWICTICGCINFARRTSCFQCNEPKTKDSPSADVGLSNSAAGKRISETGPTHVLVVRGLDEDADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNRTALERNGKILRVAYAKSVHGSGTGISAPSHSNNLAAAAIEAATFSQQYDGVGWAPKEYNTGEKQNTGGQAQGVGEIESQKGTSAPQSGYVWDEASGYYYDAASGYYYDGNSGLYYDSNSGLWYSYDQQTQQYVPCPDQNNESKVTENQPDSAKKEKSSQQKVIISAATTPNVEKVLSLPDAVQAAAAAAIASEKREKERVKEIKLASKTSLLASKKKMSNVLTMWKQRSHETQIQRPSPSLGDNPPTVSAEARSSFSTGQSMGKLKSDVIIAKERSTSNHGVSALTTAESSSSSTTGGTLMGVMRGSFGGTLGGASSSASVQMPPILPSASPASVSVSGSGRRRFSETPTAGPTHREQPQTSYRDRAAERRNLYGSSTSSGNDVIDSSEDLMGLRKGSSDPTPFPPGVGGRGITTSTEVSSFDVITEERAIDESNVGNRMLRNMGWHEGSGLGKDGSGMKEPVQAQGVDRRAGLGSQQKKVDAEFEVQPGDTYRTLLHKKALARFRDMSDNN", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSAQKLNLEDVNASTKKYSRGRKLNPKKIKDKKLRSNIQKIEERIENVESSLAKTEILHEDNPGLLEAEGLERTYKFRQDQLAPNVALETATKSFSLDLDKFGGYSFDYTRDGRMILLGGRKGHISAFDWRTGKLLTELHLRETVRDVKWFHNHQYFAVAQKKYVYVYDNMGTEIHCLKRHIEVNALDFLPYHLLLTSIGNAGYLKYQDVSTGQLVAEHRTGMGASHVLHQNPHNAVEHVGHANGQVTLWSPSSTTPLVKMLTHRGPVRDLAVNRDGRYMVTAGADSLLKVWDLRTYKELHSYYTPTPAQRLTLSDRGLLAVGWGPHATIWKDALRTKQNFPYMNHLLPSSSVVDLHYCPYEDILGIGHAKGFESIIVPGSGEPNYDSYENDPFASRKQRQETEVRQLLEKLRPEMISLNADFIGNVDRAAPSLRKAEAEEEKPPEEKWVPKAKARGKNSALRRYLRKHARNVVDQRRLKVEKSLEIEKKMRAQRVRREQKLPEEREKWGYALSRFVSKK", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKAPSNGFLPSSNEGEKKPINSQLWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKQTDFIPNYPNLPSKLICLLHSVTLHADTETDEVYAQMTLQPVNKYDREALLASDMGLKLNRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQEIVAKDLHDTTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFVRDEKSQLMLGIRRANRQTPTLSSSVISSDSMHIGILAAAAHANANSSPFTIFFNPRASPSEFVVPLAKYNKALYAQVSLGMRFRMMFETEDCGVRRYMGTVTGISDLDPVRWKGSQWRNLQVGWDESTAGDRPSRVSIWEIEPVITPFYICPPPFFRPKYPRQPGMPDDELDMENAFKRAMPWMGEDFGMKDAQSSMFPGLSLVQWMSMQQNNPLSGSATPQLPSALSSFNLPNNFASNDPSKLLNFQSPNLSSANSQFNKPNTVNHISQQMQAQPAMVKSQQQQQQQQQQHQHQQQQLQQQQQLQMSQQQVQQQGIYNNGTIAVANQVSCQSPNQPTGFSQSQLQQQSMLPTGAKMTHQNINSMGNKGLSQMTSFAQEMQFQQQLEMHNSSQLLRNQQEQSSLHSLQQNLSQNPQQLQMQQQSSKPSPSQQLQLQLLQKLQQQQQQQSIPPVSSSLQPQLSALQQTQSHQLQQLLSSQNQQPLAHGNNSFPASTFMQPPQIQVSPQQQGQMSNKNLVAAGRSHSGHTDGEAPSCSTSPSANNTGHDNVSPTNFLSRNQQQGQAASVSASDSVFERASNPVQELYTKTESRISQGMMNMKSAGEHFRFKSAVTDQIDVSTAGTTYCPDVVGPVQQQQTFPLPSFGFDGDCQSHHPRNNLAFPGNLEAVTSDPLYSQKDFQNLVPNYGNTPRDIETELSSAAISSQSFGIPSIPFKPGCSNEVGGINDSGIMNGGGLWPNQTQRMRTYTKVQKRGSVGRSIDVTRYSGYDELRHDLARMFGIEGQLEDPLTSDWKLVYTDHENDILLVGDDPWEEFVNCVQNIKILSSVEVQQMSLDGDLAAIPTTNQACSETDSGNAWKVHYEDTSAAASFNR", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSYSVSAAQLWSRKLAMQAEDMQQHQKSQSNQIASCLAEMNTKQEVVNQTIGQLGRSISEVQQQNSQLVLQSLNQINMSMQQVALGIQDYASRINKLEQTMSDMNLKFEALQKEQNSNTKTLADCTSQMTIITKKLDAELKKRYMTTKQTRTVQNQTMPRSNTTTKKRVLAIDFLADDDY", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSKKRTKRNRAGDEYKEHKGRSHPRRKFLPPEEPDVSRISKVAGVNQLEELCDSFDQPLHSTAVDACGEEHSENESSGYVPAPQRTNAERSEKMLLETPEGDVHEFSQSGSVREPLMENLNAPNTTRSEVKKKRPSKKSSSDSSVNSPSSVQLWCPNKLKRSSRDITELDVVLAEFEKIAANYRQSIESKACRKAVSAFCSAFEDQVTDLITEVQELKNTKKKNAKVVADIKKKRQRLMQVREKLSRTEPQLIKLQKEYAEVEERRSSLRQVVQFLTDLKELQQDYLDYREENPRKKVVYGASSLPALLVESRRILQAERHFQNINRKLEYALEVQRGKLAKEH", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASPQGGQIAIAMRLRNQLQSVYKMDPLRNEEEVRVKIKDLNEHIVCCLCAGYFVDATTITECLHTFCKSCIVKYLQTSKYCPMCNIKIHETQPLLNLKLDRVMQDIVYKLVPGLQDSEEKRIREFYQSRGLDRVTQPTGEEPALSNLGLPFSSFDHSKAHYYRYDEQLNLCLERLSSGKDKNKSVLQNKYVRCSVRAEVRHLRRVLCHRLMLNPQHVQLLFDNEVLPDHMTMKQIWLSRWFGKPSPLLLQYSVKEKRR", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASVMSNNNNNNNNNNASYMFTNPLSNTGGGLINEIKDAINEMEQLKVLELKQICKSLDLSITGKKAVLQDRIKQFLRKSCDIGHIDPWRPKAIKILIAKVRINSSLPKYSTLWETLKTGAFKHPVASGQLPVTALQSTALPPYSQQQALAYSFTSPFYKPIVQIPDANKKLKQSAGRGCTKMKFKVSKSNHDLLKSNKSYKLYLFSGFSIPFIYETVGHEAIDFPYPCELVFNGTKLEDNVKGLKKQNGTGNPANLTPYLKVPTEMNHLDLHYLNIDKEYSISCFIVEVFSPEALLGKILKRPKIIKQATTAYIKRTLNEQDDDDIITTSTVLSLQCPISCTRMKYPAKTDQCKHIQCFDALWFLHSQSQVPTWQCPICQHPIKFDQLKISEFVDNIIQNCNEDVEQVEISVDGSWKPIHNSSAVITDTVNQNHSVKNENQGTVKQEQDYDSRNAFDTNLRNGSNHNEPEIISLDSSDDEAFIPASKSFPTHVNPRNDQLRADIFPSESEGSSDYNPNHTSTPKGSPTMDQDNYQDAFQMRSFLNQGATTNINDTPTNNSSINSFVTATNGDSRIFYNRGPSTPLLPAVLQNLTNQTEAQRNPYGPNYNTTAQDRNLLGIEGDLPPIPPVDPNSEAETELPTRTTSAAHLPPYIHVSTSGHGDDGKIRKRRHSNVSIYIPKNPYATLMKRRPQANHAIMNKTLAQTNDFNTSAQDNSEVVDLTSD", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKRPCEETTSESDLDETIDVGSENNYPGHATSSVMRSNSPTTTSQIMARKKRRGIIEKRRRDRINNSLSELRRLVPTAFEKQGSAKLEKAEILQMTVDHLKMLQATGGKGYFDAHALATDFMSIGFRECLTEVARYLSSVEGLDPSDPLRVRLVSHLSTCASQREAAVMTSSMAHHHHPLHPHHWAAAFHHLPTALLQPNGLHTSESTPCRLSTSSEVPSAHGSALLTATFAHADSALRMPSGGTVAPCVPPLSTSLLSLSATVHAAAAAATAAAHSFPLSFAGAFPMLPSNAAAAAAVAAATAISPPLSVSAASSPQQTSTGTNNKPYQPWGTEVGAF", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSNPQEELLPPSAEDDELTLPRASINKIIKELVPTVRVANESRELILNCCSEFIHLISSEANEVCNMRNKKTINAEHVLEALERLGFHDYKQEAEAVLHDCKEVAAKRRRQSTRLENLGIPEEELLRQQQELFAKAREEQAREEQQQWMSMQAAAMVQRPPLADGSVASKPSEDDDDDDDDDY", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAGKRVLVIDNGSYECRVGWSDSKEPDLRFRNVLTKPRKDRKKEAAASEGSASQTTVEQSAEIQVGNDITNIEAVRAHLKSPFERNVITNWNHQEQIFDYIFTKMGFDGQDKIDHPIILTEALANPNFCRQQMNELLFECYGIPSVSYGIDALYSWKHHQQKQKNISDALIISFGYSTTHVIPVLDGKLQLEHVRRLNVGGYHIITYLFRLMQMKYPVHLNAITISRMEKLVHEHCHIAVDYKEELVQWAQMDYYDEHIMKIQLPYNAVTATNAMLTAEQKQEKRRELAHRLLDIKKNREQEKLREDEQQLFVYNKLRQLYEQKKLDKFERALQQQQIGTLEDLDSLIATINSRIKRAQERAQSGPRPSKQQERLNKMPKPPEGMSQADWLAELQGKREKILGRKQARQQQRSEQAKRHTHAAQERMRIISSLAKNEKRRKANGEEEDDGFGMNDNDWDVYKRINRYNDDSDSDADNEKLMQFDKILNHYDANTDGNSNVPPQSAAENYQLHFGVENIRVPEVLFQPSMIGCSEAGLAELIAFVLKLFPAAEQQRLVEHVYLTGGCAQFKGLKERLIKELMEMRPFQSKFAIYESDEPTLSAWLGACVHAGEPTFGQTLTTRQDHQEHGREFFREHTASNIFYPTPKD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSNSVNISVETTCENQIREIGYDGTELYQPPPTLSESLAKCAARIDFSKTSLDDLKKEEKSAAAAADEDKDATQFQESLWPWDAVRNKLKDALTEICVLSDVISIAKDKRYLVLDPLLEEADDTKPIVQVYSRKKAISQAAQVLLSGAERLRNAHSEQRNRNVSDFHIELLRLRQNWRLKKVSNAIIGDLSYRTAGSKFGMSGTFEVTKAEETGDEDTASSSNSSSSVSGNNGMQLKASSALRVIVPAELQGVAYIKVITQKDQEDLCTAQLNLMGHGPNITAQVGVWQKTLEFAQNVLFCKELFAQLAREAIQLQAPIPHVVIGNQIRATLLPNIQLIISLCHSTTFDSSQPAPINDHDHVLEHSLHQLLREVHYKNSHHPFPHPASAPLGPTKKRMLAGPMAADRETLLDMTKSQTILEQIIAQAQHIFMRKRTQYVLDTLARDVKDPQIVSHWNAMNSPTMSCVKINIVTHGYDAIGRTSLVIHVKERSLKCICRDGRVMRLSYEPQELRDLILCQINSHQISCLISLARCMSWTVLSNSNHLGIGKVEPLGNASSCLLASPNSDRMIAVQIRCDPQIDVKVYIARSPRQDFFPSPLVPEKLWENLGGTFKEVRFDKIEGKSFLNKMEFLMASLTSNTA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKPTQFGGSSSKMTEPIEYVTLISDDSDGEPTPKRNVNHPPSALSAPNPGQKQKHPDEDSNDAPATSDERRTSRRNRPKVDYSNRPSGSGDTASNDKSGSASMGPNNQQAERRSQSQTRKSEANATSSSVSGPSAGNSRPSQNGDSKDRDAGTPTVLSGQEGAVFQSRLPFNKMTPNEEACFPDISRSGILGHRVFLNIRNSLLHMWVDNPKIQLSFEIALKNLPPPFDSEPSLVRRVHSFLERHGFINFGIFKRLKPIPAKKLGKVIVIGAGISGLAVAHQLQQFGMDVIVLEARDRVGGRISTFRKNSYIADVGAMVVTGVYGNPMTILSKQIGMDLVPIQQTCPLYGPDGKPVPKEKDDVIEREFNRLLESASYLSHRLDFNYAGDCPVSLGDALEWIISMQEMQVMHKRGQHMQEIIATQTKIIEQRRRLKTLRDTIGKLKNEHLAMINQRKPKGTDGDLKYCYQEFNIRNTQIKMEETISTFHDLHAEEKQMLAKLHELEQNRPSDVYLSSRDRLILDWHFANLEFANATRLNNLSLKHWDQDDDFEFIGHHTTVRNGYSCVPVALTENLDIRVNSAVKEIKYGTKGVEVVAENLKTSNSQMTYKADLVVCTLTLGVLKVAVAHKESQQSNTVKFDPPLPDWKQQAIKRLGFGNLNKVVLCFDRIFWDPNANLFGHVGSTTASRGEMFLFWSISSSPVLLALVAGMAANLVESVTDDIIIGRCMSVLKNIFGNTSVPQPKETVVTRWRSDPWARGSYSYVSVGSSGSDYDLLAAPVIPPSSKDAEGLPRLFFAGEHTIRNYPATVHGAYLSGLREAGRIADYYLGYPEGTPPDIGYSVAEAANLVSVGNVVKLRDLSPNLSDSSPSSKKSEENSNSNTADSTELQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEGGKGEGKRMKEEAPSKKLPPKIYGGDAGTPTKAAHDEILSSLLRINNFDSISSIKDESLDIDLSACVTISSASLVNGNSLSSTDFWRVLDESAQNNTELNLSSDVCRDDLAATSSSTVPSTLTSDNHSSSEFSVTFLRPEPPNAFTNSPFKKTSSSGTSTPVKLSPEQLHQQHQLQMPQSQLLQRKPKLPAATAVRLKVFKEEPPEEKHPPEQVVTKVEVCESELLPPSFTIFQQAKSAESVADAASMPPPAASETKPLEVDPAPLHKCLDCNGLLLETPDEVAKHEAAAHRLRLTYRCSECQREFELLAGLKKHLKTHRTEGRKDTWKKCPDCGKCLKLGSMWMHRKIHSDNKKYQCDICGQKFVQKINLTHHARIHSSEKPYECPECQKRFQERSHLQRHQKYHAQTRSYRCEKCGKMYKTERCLKVHNLVHLEQRPFACTVCDKSFISNSKLKQHSNIHTGMRPFKCNYCPRDFTNFPNWLKHTRRRHKVDHKTGEHLENIPSYCSKKSTTNKAQKAAAAAAAAAAASSAVNPNELSASSELKAKANLTSTAAPAPAKQARKKKQPQQATLAALGITLPAGTALQQVHPVPLAQQHQQELTTVLVPLAPPAPKQTKAKRERKQLAPKQLQQKPQLLQQGQPQQSSLEPIPAVPQIKKEPVQTQGPFLDLHGLSLTSAEELIMEQALEMEECGLYDAPNANTEMGTSDNAISDSAAALHFQIKNELPDELLPDDDFLPCKPSDRLPCPSLESSPFSSPASMELTAVSCASSVAISTNALPVRSGNYYLPAFTLNAHGKLSSTGNGVQSVTTSLAQTPSVSMVNVPLLVRSNQMLPSVDTLLFTNQTGGSRFFAGKSATAATPHLT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MQRADSEQPSKRPRCDDSPRTPSNTPSAEADWSPGLELHPDYKTWGPEQVCSFLRRGGFEEPVLLKNIRENEITGALLPCLDESRFENLGVSSLGERKKLLSYIQRLVQIHVDTMKVINDPIHGHIELHPLLVRIIDTPQFQRLRYIKQLGGGYYVFPGASHNRFEHSLGVGYLAGCLVHALGEKQPELQISERDVLCVQIAGLCHDLGHGPFSHMFDGRFIPLARPEVKWTHEQGSVMMFEHLINSNGIKPVMEQYGLIPEEDICFIKEQIVGPLESPVEDSLWPYKGRPENKSFLYEIVSNKRNGIDVDKWDYFARDCHHLGIQNNFDYKRFIKFARVCEVDNELRICARDKEVGNLYDMFHTRNSLHRRAYQHKVGNIIDTMITDAFLKADDYIEITGAGGKKYRISTAIDDMEAYTKLTDNIFLEILYSTDPKLKDAREILKQIEYRNLFKYVGETQPTGQIKIKREDYESLPKEVASAKPKVLLDVKLKAEDFIVDVINMDYGMQEKNPIDHVSFYCKTAPNRAIRITKNQVSQLLPEKFAEQLIRVYCKKVDRKSLYAARQYFVQWCADRNFTKPQDGDVIAPLITPQKKEWNDSTSVQNPTRLREASKSRVQLFKDDPM", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEAKIVKVLDSSRCEDGFGKKRKRAASYAAYVTGVSCAKLQNVPPPNGQCQIPDKRRRLEGENKLSAYENRSGKALVRYYTYFKKTGIAKRVMMYENGEWNDLPEHVICAIQNELEEKSAAIEFKLCGHSFILDFLHMQRLDMETGAKTPLAWIDNAGKCFFPEIYESDERTNYCHHKCVEDPKQNAPHDIKLRLEIDVNGGETPRLNLEECSDESGDNMMDDVPLAQRSSNEHYDEATEDSCSRKLEAAVSKWDETDAIVVSGAKLTGSEVLDKDAVKKMFAVGTASLGHVPVLDVGRFSSEIAEARLALFQKQVEITKKHRGDANVRYAWLPAKREVLSAVMMQGLGVGGAFIRKSIYGVGIHLTAADCPYFSARYCDVDENGVRYMVLCRVIMGNMELLRGDKAQFFSGGEEYDNGVDDIESPKNYIVWNINMNTHIFPEFVVRFKLSNLPNAEGNLIAKRDNSGVTLEGPKDLPPQLESNQGARGSGSANSVGSSTTRPKSPWMPFPTLFAAISHKVAENDMLLINADYQQLRDKKMTRAEFVRKLRVIVGDDLLRSTITTLQNQPKSKEIPGSIRDHEEGAGGL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQTSETGSDTGSTVTLQTSVASQAAVPTQVVQQVPVQQQVQQVQTVQQVQHVYPAQVQYVEGSDTVYTNGAIRTTTYPYTETQMYSQNTGGNYFDTQGSSAQVTTVVSSHSMVGTGGIQMGVTGGQLISSSGGTYLIGNSMENSGHSVTHTTRASPATIEMAIETLQKSDGLSTHRSSLLNSHLQWLLDNYETAEGVSLPRSTLYNHYLRHCQEHKLDPVNAASFGKLIRSIFMGLRTRRLGTRGNSKYHYYGIRVKPDSPLNRLQEDMQYMAMRQQPMQQKQRYKPMQKVDGVADGFTGSGQQTGTSVEQTVIAQSQHHQQFLDASRALPEFGEVEISSLPDGTTFEDIKSLQSLYREHCEAILDVVVNLQFSLIEKLWQTFWRYSPSTPADGTTITESSNLSEIESRLPKAKLITLCKHESILKWMCNCDHGMYQALVEILIPDVLRPIPSALTQAIRNFAKSLEGWLSNAMNNIPQRMIQTKVAAVSAFAQTLRRYTSLNHLAQAARAVLQNTSQINQMLSDLNRVDFANVQEQASWVCQCDDNMVQRLETDFKMTLQQQSTLEQWAAWLDNVMMQALKPYEGRPSFPKAARQFLLKWSFYSSMVIRDLTLRSAASFGSFHLIRLLYDEYMFYLVEHRVAQVTGETPIAVMGEFGDLNAVSPGNLDKDEGSEVESETDEDLDDSSEPRAKREKTELSQAFPVGCMQPVLESAVQPSLLNPLHSEHIVTSTQTIRQCSATGNTYTAV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MYEGKHIHFSEVDNKPLCSYSPKLCKQRRLNGYAFCIRHVLEDKTAPFKQCEYVAKYNSQRCTNPIPKSEDRRYCNSHLQVLGFIPKKERKKKNDPIDEVKVRHQMDTMAFSLTVPTLALKMPNGLDGMSLSPPGARVPLHYLETELEDPFAFNEEDDDLKKGATVRKKLQSKLAQNRQRQRETEILKVRQEHFSPPPAPSQQQPPQQHSHLSPLSTSLKPPAPPQGSVCKSPQPQNTSLPMQGVAPTTHTIAQARQLSHKRPLPLLPSSRAPTVDPPRTDRILMKATAFSPHFSCISRLQRLVKLCTQKHQLDTDLFPHLGLDWSEESGEEPEDSEQASPYQVAWSIRETLRYQRHASDDDDAESRSSRVTQLCTYFQQKYKHLCRLERAESRQKKCRHTFRKALLQAASKEPECTGQLIQELRRAACSRTSISRTKLREVEPAACSGTVKGEQCANKALPFTRHCFQHILLNHSQQLFSSCTAKFADGQQCSVPVFDITHQTPLCEEHAKKMDNFLRGDNSRKVQHQQQRKPRKKTKPPALTKKHKKKRRRGPRRPQKPIPPAVPQGNLSMPASVSLPVEASHIRSPSTPELSADELPDDIANEITDIPHDLELNQEDFSDVLPRLPDDLQDFDFFEGKNGDLLPTTEEAEELERALQAVTSLECLSTIGVLAQSDGVPVQELSDRGIGVFSTGTGASGIQSLSREVNTDLGELLNGRIVHDNFSSLELDENLLRSATLSNPPTPLAGQIQGQFSAPANVGLTSATLISQSALGERAFPGQFHGLHDGSHASQRPHPAQLLSKADDLITSRQQYSSDHSHSSPHGSHYDSEHVPSPYSDHITSPHTTSYSGDNMAAPVCFRGYHRPASVAWGLLLN", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEPVGRKRSRKAAKAQLEAQVTAAQGATKEGSGIASNFPGQPTMEPVGRKRSRKAAKAQLEAQVRAAPAKKHTGKDPVRDECEERNPFTETREEDVTDEHGEREPFAEKDEHTGIHTMKLEHIAADIKKGLAAKREMIKIDKAAYRKTKNTIERALKKKQLKRQKRDYRHTRKLLNVLKEYIAEKQKDDEAEEAEAAAAAAEAAAAAEAAAAAAEVIVVEDEEEEEKEEEEEKEEEEEEGEEEGGGEEGEEGGGGGEGEETEEEEEEEEEEEEEEQIKAFQEKQKRWQQPTGVRSWRLREMKPLLEQLLKAAKDTKDNYCIISSSEESELDN", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEDIEKIKPYVRSFSKALDELKPEIEKLTSKSLDEQLLLLSDERAKLELINRYAYVLSSLMFANMKVLGVKDMSPILGELKRVKSYMDKAKQYDNRITKSNEKSQAEQEKAKNIISNVLDGNKNQFEPSISRSNFQGKHTKFENDELAESTTTKIIDSTDHIRKASSKKSKRLDKVGKKKGGKK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MATKTQLELFTKLTSNDKAIRLSSAAQLIDSLSNEEELKYSLNRLTKGLSSGRESARIGFAVALTELLTRTKDIRATHVLDLLVKHNTASGNLKGQDERDFYFGLLFGLQSIVYSGILTHKESTIEDFQRVVDLLLQLSGKKNWLQDVCFYVIYKLVEQIPEISFSSNAFLAVNKLLQTPAVSKSTEGVGLFLCLTRVPDNVKSEEVAMANWEPAHPLHKSNLVTLSKIMRQADASETGGQNSAWKQKIPMVWKYIFEEYQRKTYSGLAPFHDFWAVVVDEGIFSSTSSLERKFWGFQIMELALDYVSSDNIGDIFSKNFLHCLINHLSDEDRYLYRAAKRVTSKLEKVSKQNPTLVYPIAIHLLGERGSLNFDRVTNTKLVEHILPLADEQGILQLFQLLLSYVKRCPEDIASDTKAVEWRRQWATDTMLSILRSKRSIKQEPWVRELLEIFIAYGYFEVPESEEVIPKFSEGTQNMFRLRLMSALSYLSSSAFQQSQTDHQLGDKNWPYVALNYLLELEKSPKNNLLISMDESVIEIVQKSLSVLHKVTKKIDKKAQHLQQLNAFQLLYSLVLLQVYAGDTDSIDVLEDIDNCYSKVFNKKSKRESTSNEPTAMEILTEVMLSLLSRPSLLLRKLVDMLFTSFSEDMNRESIHLICDVLKAKESVKDSEGMFAGEEVEEDAFGETEMDEDDFEEIDTDEIEEQSDWEMISNQDASDNEELERKLDKVLEDADAKVKDEESSEEELMNDEQMLALDEKLAEVFRERKKASNKEKKKNAQETKQQIVQFKVKVIDLIDNYYKTQPNNGLGFEFLIPLLEMILKTKHKVLEEKGQAVFRNRLSKLKWTEEKPSSKNVLEALKKVHVLCGKKASLGSTGSSISQLLLKLLADTPYLKEGVEVYLKSFLLWIQEPSKSHYNANIFHDFINWGAQQRLKHQQTSTAASSPQKTGHHENEKTNH", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "METNFEDSKSLDEPVLHDIAIALLKNDYWSLYLSPEQKRKYISILNDTLLWNRFINVIEWDKLCDEKDSNGSNDDEEDDLDITTLFRCRCMIFDAKINPALFDLSSTSSGSIEHVDHQNISLEASLAEEEERKKGDAKKSEATGRQLFDDDDFDESDAEDSSKATITLDLQKDKSLRKSIIDLKSVDIDDMDTSGFAAIESNKALSNISFNYVYYTLENDSENINEVKKFEDEEDTSTPNTSSFQNNSSSLDLSDNLSLNSKFGSLTSSFKYLLQYLEGNRSKINATDADVKQLLSDVKKNKSKWANDQRIGQEELYEAAEKVVLELRSYTEHSLAFLTKVSKRDAPDYYTVIKEPMDLGTILRNLKNLHYNSKKEFVHDLMLIWSNCFLYNSHPDHPLRVHAQFMKDKSLELINLIPDIVIQSRKDYDDSLIEAELESDEESTAETSKHVTSKKTSSRGGQTQQAVEVHTDANSPEENNTPVTKKEVETSKPPAVSGSTPPVNEAAVIESSNTLEKEPLSDVATEYWKIKTKDIRESHILNNRRILKSLQFIETELPMIRKPTAMSAFIDREVAYGSIDCLPMDKGDFEPIMKLDTTPLLEYDVGSGVPMTAGSVLETESEEDLYFRDYSLFEINRNTPGVPSLMYKNIAKMQEIRKLCNKIQTVRQLQLPQPFYYEHHKSHVPFANNEPILLDIPQNYDNMSSFKPLAHDVLKKLCTIILFHAGFESFQMGALDALTEIAADYMAKMGAVMDQYLIYGKDKSQQEIVGQTLGELGVDDVNDLISYVYHDVERQSVKLLEIHQRLQRHFVELLRPALSERNDEEAIFNQNGESFVTGNFSYETGDDFFGLRELGLDRELGLDSLSVPLHLLQSRLRSNMSWQPEATIKGDQEYAPPPKYPPITAESISNEIGLIQGFLKKNLEEFGLDELLEDEDIRPRSKPPRPRLPPNGKITTGRKRIASSVFLNQSLRKKRCLKENEQGTEVTTLPEE", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHQQQPSPPSSTVPPAPTAAAAGAVVQVHPAVMQLHHHHHHHHPYAAAAAAQSHHLQQQQQQQAEWPAAVDYCSTASASASATAADMAIPPCCRPLKTLELFPTKSTSGGLKEDCCSSSKSSSCSTSTN", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKKQRKILWRKGIHLAFSEKWNTGFGGFKKFYFHQHLCILKAKLGRPVTWNRQLRHFQGRKKALQIQKTWIKDEPLCAKTKFNVATQNVSTLSSKVKRKDAKHFISSSKTLLRLQAEKLLSSAKNSDHEYCREKNLLKAVTDFPSNSALGQANGHRPRTDPQPSDFPMKFNGESQSPGESGTIVVTLNNHKRKGFCYGCCQGPEHHRNGGPLIPKKFQLNQHRRIKLSPLMMYEKLSMIRFRYRILRSQHFRTKSKVCKLRKAQRSWVQKVTGDHQETRRENGEGGSCSPFPSPEPKDPSCRHQPYFPDMDSSAVVKGTNSHVPDCHTKGSSFLGKELSLDEAFPDQQNGSATNAWDQSSCSSPKWECTELIHDIPLPEHRSNTMFISETEREIMTLGQENQTSSVSDDRVKLSVSGADTSVSSVDGPVSQKAVQNENSYQMEEDGSLKQSILSSELLDHPYCKSPLEAPLVCSGLKLENQVGGGKNSQKASPVDDEQLSVCLSGFLDEVMKKYGSLVPLSEKEVLGRLKDVFNEDFSNRKPFINREITNYRARHQKCNFRIFYNKHMLDMDDLATLDGQNWLNDQVINMYGELIMDAVPDKVHFFNSFFHRQLVTKGYNGVKRWTKKVDLFKKSLLLIPIHLEVHWSLITVTLSNRIISFYDSQGIHFKFCVENIRKYLLTEAREKNRPEFLQGWQTAVTKCIPQQKNDSDCGVFVLQYCKCLALEQPFQFSQEDMPRVRKRIYKELCECRLMD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRVRKRQSRRTSTKLKEGIKKKASAHRKKEKKMAKKDVTWRSRSKKDPGIPSNFPYKAKILEEIEAKKMKDLEERELAKQQRLEARKAAKEQGVDAMDEDMIEDDENGLAALVESAQQAAAEYEGTPSNDADVRDDELDVIDYNIDFYGEDVEGESELEKSRKAYDKIFKSVIDASDVILYVLDARDPESTRSRKVEEAVLQSQGKRLILILNKVDLIPPHVLEQWLNYLKSSFPTIPLRASSGAVNGTSFNRKLSQTTTASALLESLKTYSNNSNLKRSIVVGVIGYPNVGKSSVINALLARRGGQSKACPVGNEAGVTTSLREIKIDNKLKILDSPGICFPSENKKRSKVEHEAELALLNALPAKHIVDPYPAVLMLVKRLAKSDEMTESFKKLYEIPPIPANDADTFTKHFLIHVARKRGRLGKGGIPNLASAGLSVLNDWRDGKILGWVLPNTSAAASQQDKQNLSTINTGTKQAPIAANESTIVSEWSKEFDLDGLFSSLDKAIDASKDQDTMME", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSDEAKEKRELESQKESSHNKSEKSVEPKPKRRRRRNYDDYDAEVAKEETKAKNGLTKSENNGTVEDSESDMDDAKLDALMGNEGEEEEDDLAEIDTSNIITSGRRTRGKVIDYKKTAEELDKKEPSTGSKDDVGYGEKEEDDEDEEDDDFKE", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPAPTTEIEPIEAQSLKKLSLKSLKRSLELFSPVHGQFPPPDPEAKQIRLSHKMKVAFGGVEPVVSQPPRQPDRINEQPGPSNALSLAAPEGSKSTQKGATESAIVVGPTLLRPILPKGLNYTGSSGKSTTIIPANVSSYQRNLSTAALMERIPSRWPRPEWHAPWKNYRVIQGHLGWVRSVAFDPSNEWFCTGSADRTIKIWDVATGVLKLTLTGHIEQVRGLAVSNRHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTLDVLLTGGRDSVCRVWDIRTKMQIFALSGHDNTVCSVFTRPTDPQVVTGSHDTTIKFWDLRYGKTMSTLTHHKKSVRAMTLHPKENAFASASADNTKKFSLPKGEFCHNMLSQQKTIINAMAVNEDGVMVTGGDNGSIWFWDWKSGHSFQQSETIVQPGSLESEAGIYAACYDNTGSRLVTCEADKTIKMWKEDENATPETHPINFKPPKEIRRF", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSSSSSLSSSTTTTATTTSARIRLPSISELTSRSTISGGSNNGNGSALKSQISPRLSDTSRILPSILKNTSGSSTPTSSSTPFKCPPIKSTIGGTLSSGNTQSNYVLGNTKINNLPRLSSPTLPAKVQPQQQPHLPPASSLSPVTRVINTPPQQPQSVSASTSPNTQYQYYQYQQQSSPIQQQQQQQQATPAATPTVMQMAQNQPSHPAPLQYATQQYYPQPVYYQSPAGVPPPPPSVTHQGHIIAVHQHPGHLPQVGVNGMPPNVGYTIVQPEIVNKSTNRCHRCGTTETPEWRRGPKGVRTLCNACGLFHAKLVKRKGAALAAEEVLNNKVTKGKNGRRISMKKHLLNESLKQQQQINGVGIPINGFNHQILPPSFKPQQGGIATLPPLMHGQYPNNVNNLVIHQPPPPQQQQQQQPHPQQQQQHMLAGGIPVAYPTQIPLIRH", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTDEKRAFPKGNNHIRSETFNGSVSHKISESIKDIASLRPHGKYTVQDIDNIIASTSSHENRGQSGDSNGCINHDEEGDIPMCDLNDESDVEMISEYLSSQREMEAQSVANYMPKINDDLPLLNPPTLKTAFVVDTNFIISHLNTLEKLRSLSSTYHHLIIVPTTVIQELDGLKKSPDIARDNDDTTNQEHDRTIGTLARWGNDWIYKNLANLDSGLIGQKLKQSLNPGSLKDDSILDCCLYFKEILNCFVILLSNDKNLCTKALTEDILTVSFRKNMDAKIIAMRAYEENQLRFANLRDSTVNNFDQNVTSYAHIPGIETPPLQFDKVSQNVFEQVKETIFFAIDHTLRKEYGEDIGFIDYNPDKLTTIENASNYIYLFWVSVFSELFTCSKIKKNEWKSLPTVLKSKPTNLNDLRTFEQFWETVLHFLFSKFTNEEKQSLEKQIHEWKTSINAIST", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAASQQQASAASSAAGVSGPSSAGGPGPQQQPQPPAQLVGPAQSGLLQQQQQDFDPVQRYKMLIPQLKESLQTLMKVAAQNLIQNTNIDNGQKSSDGPIQRFDKCLEEFYALCDQLELCLRLAHECLSQSCDSAKHSPTLVPTATKPDAVQPDSLPYPQYLAVIKAQISCAKDIHTALLDCANKVTGKTPAPPAGPGGTL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNLFNLDRFRFEKRSKIEEAPEAAPQPSQARPSSPISLSAEEENAEGEGSRANTPDSDVTEKTEDSSVPEPPDNERKASLSCFQNQRAIQEYIDLSSDTEDVSPNCSSTVQEKKFSKDTVIIVSEPSEDEESHDLPSVTRRNDSSELEDLSELEDLKDAKLQTLKELFPQRSDSDLLKLIESTSTMDGAIAAALLMFGDAGGGPRKRKLSSSSEEDDVNDDQSVKQPRGDRGEESNESAEASSNWEKQESIVLKLQKEFPNFDKQELREVLKEHEWMYTEALESLKVFAEDQDVQCASQSEVTNGKEVARNQNYSKNATKIKMKQKISVKPQNGFNKKRKKNVFNPKKAVEDSEYDSGSDAGSSLDEDYSSCEEVMEDGYKGKILHFLQVSSIAELTLIPKCSQKKAQKITELRPFNNWEALFTKMSKINGLSEDLIWNCKTVIQERDVVIRLMNKCEDISNKLTKQVTMLTGNGGGWNREQPSLLNQSLSLKPYQKVGLNWLALVHKHGLNGILADEMGLGKTIQAIAFLAYLFQEGNKGPHLIVVPASTIDNWLREVNLWCPSLNVLCYYGSQEERKQIRFNIHNKYEDYNVIVTTYNCAISSSDDRSLFRRLKLNYAIFDEGHMLKNMGSIRYQHLMTINARNRLLLTGTPVQNNLLELMSLLNFVMPHMFSSSTSEIRRMFSSKTKPADEQSIYEKERIAHAKQIIKPFILRRVKEEVLKLLPPKKDRIELCAMSEKQEQLYSGLFNRLKKSINNLEKNTEMCNVMMQLRKMANHPLLHRQYYTPEKLKEMSQLMLKEPTHCEANPDLIFEDMEVMTDFELHVLCKQYQHINSYQLDMDLILDSGKFRALGCILSELKQKGDRVVLFSQFTMMLDILEVLLKHHQHRYLRLDGKTQISERIHLIDEFNTDMDIFVFLLSTKAGGLGINLTSANVVILHDIDCNPYNDKQAEDRCHRVGQTKEVLVIKLISQGTIEESMLKINQQKLKLEQDMTTVDEADEGSMPADIATLLKTSMGL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MMASYPEPEDAAGALLAPETGRTVKEPEGPPPSPGKGGGGGGGTAPEKPDPAQKPPYSYVALIAMAIRESAEKRLTLSGIYQYIIAKFPFYEKNKKGWQNSIRHNLSLNECFIKVPREGGGERKGNYWTLDPACEDMFEKGNYRRRRRMKRPFRPPPAHFQPGKGLFGAGGAAGGCGVAGAGADGYGYLAPPKYLQSGFLNNSWPLPQPPSPMPYASCQMAAAAAAAAAAAAAAGPGSPGAAAVVKGLAGPAASYGPYTRVQSMALPPGVVNSYNGLGGPPAAPPPPPHPHPHPHAHHLHAAAAPPPAPPHHGAAAPPPGQLSPASPATAAPPAPAPTSAPGLQFACARQPELAMMHCSYWDHDSKTGALHSRLDL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTESVGGNKLVDFLVNVQSILNAASVKCHVVDESFPAKFFEKNPDKIYESYCKFIKNRSNSEGLIRNEDKLVLTTINKRFENGEYEPIQGGFYKLYHDIKLVCTILIHFYPQGTRNYQLVDKFYKFSSELLLRECCRIGIALTQTNNIKSRSGKLLSGNEMDEYDDDDATELDKIISYDFIKISMNYTVPISQTYQIRTKDMDLFSSIISKSNLDKRPHELPNTNFKINNVLPQTDIENEAPRLGFVGANTSNIPDPTLPPTEMMTRFLHPNWYALPTTVWLKYGNYNSWAPSFNENGTVVDSTTRGLIWLERIGYMDLYEKNEKKVKQEELLNTNEEGINRKQNDENNKNVDGKSNGVQDDGGDNDNDATIASANSESTENKEQFIIKLQNLYNWTPSNYIGDDEIENFRNGTPDKLVSDSLLKLKRLRKERILNKVLKPTTEERELYFKVKRILKEVILAKKVSKVPINNVRAFPVLQTNYNGSIPVVRAQPGRKRKHKK", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSGGVYGGDEVGALVFDIGSFSVRAGYAGEDCPKADFPTTVGLLAAEEGGGLELEGEKEKKGKIFHIDTNALHVPRDGAEVMSPLKNGMIEDWECFRAILDHTYSKHVKSEPNLHPVLMSEAPWNTRAKREKLTELMFEQYNIPAFFLCKTAVLTAFANGRSTGLVLDSGATHTTAIPVHDGYVLQQGIVKSPLAGDFISMQCRELFQEMAIDIIPPYMIAAKEPVREGAPPNWKKKEKLPQVSKSWHNYMCNEVIQDFQASVLQVSDSPYDEQVAAQMPTVHYEMPNGYNTDYGAERLRIPEGLFDPSNVKGLSGNTMLGVGHVVTTSIGMCDIDIRPGLYGSVIVTGGNTLLQGFTDRLNRELSQKTPPSMRLKLIASNSTMERKFSPWIGGSILASLGTFQQMWISKQEYEEGGKQCVERKCP", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MCDRNGGRRLRQWLIEQIDSSMYPGLIWENDEKTMFRIPWKHAGKQDYNQEVDASIFKAWAVFKGKFKEGDKAEPATWKTRLRCALNKSPDFEEVTDRSQLDISEPYKVYRIVPEEEQKCKLGVAPAGCMSEVPEMECGRSEIEELIKEPSVDEYMGMTKRSPSPPEACRSQILPDWWVQQPSAGLPLVTGYAAYDTHHSAFSQMVISFYYGGKLVGQATTTCLEGCRLSLSQPGLPKLYGPDGLEPVCFPTADTIPSERQRQVTRKLFGHLERGVLLHSNRKGVFVKRLCQGRVFCSGNAVVCKGRPNKLERDEVVQVFDTNQFIRELQQFYATQSRLPDSRVVLCFGEEFPDTVPLRSKLILVQVEQLYARQLVEEAGKSCGAGSLMPALEEPQPDQAFRMFPDICTSHQRPFFRENQQITV", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSMSPKHTTPFSVSDILSPLEESYKKVGMEGGGLGAPLAAYRQGQAAPPAAAMQQHAVGHHGAVTAAYHMTAAGVPQLSHSAVGGYCNGNLGNMSELPPYQDTMRNSASGPGWYGANPDPRFPAISRFMGPASGMNMSGMGGLGSLGDVSKNMAPLPSAPRRKRRVLFSQAQVYELERRFKQQKYLSAPEREHLASMIHLTPTQVKIWFQNHRYKMKRQAKDKAAQQQLQQDSGGGGGGGGGAGCPQQQQAQQQSPRRVAVPVLVKDGKPCQAGAPAPGAASLQSHAQQQAQQQAQAAQAAAAAISVGSGGAGLGAHPGHQPGSAGQSPDLAHHAASPAGLQGQVSSLSHLNSSGSDYGAMSCSTLLYGRTW", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTKSRKQKQKKQDFLRKKLKVGKPKEKARNATDTSFVSKTISIRNQHLDQNPHDLTKRLTLLKHHNINVRKETLTTFQKSIPSIIKSRLMTPLLTQSIPLICDESQQVRQGLIDLVDEIGSHDAEILKLHCNIFVLYINMAMTHIVTQIQADSTKFLSHLLKYCGDEVVRKSWVKLLNGVFGVLGWGQVGKNDSASIVQTKKRNAKYVTIHLNALYTLVEYGCQDERARSDGDTAETTEDSGTLRNPYLIPDYPQPFEHLKLFTRELKVQDATSSGVNATLLSLATQDIDTRKAVFIEQFLPIVRKKIEVIIKEGGECGKSANKLKTLLAKIFD", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNSSTSSENVFINSFSYLNQTSQAVISGNSTFANVINFPYRLGLSFIGAVNLQYEQTVKSEEIPPTLRSVFDTIGFFFSPYAIFCFVIAIVLNRFVVFYAVLNNGSRRTLPLWLSNVFHVSAVVVLAMVSLGPLTLGKDFKILGDPAFAQEKFLLNIFYAFAYSYCVETIFTIMRNSSPLEGTDYSLFELSIQFYTMTNNNTKFLDSPDYIIDCSMAILSRILIHLVEIFRLRNYRLLFSTIMNLCHICYLGIRVKQGGWKSLPFSVKFRHFPKLFSVSIICLSLLIFKLSCLIRWDPFGKSRNSCELLQFYPLSRNWKKYLNYTGEEDFSAMATKFALLLCSGTELMEKGIRREFPAINIPDNVNEKFFISGYLNELSKPYKENTSISFPKKNSSILKQRFFLMFPKSIIWIMKKLVGQVFFGFRDNKDEDIPDNDPSKMLKITKTNSLNNSAGHKEDIELELLNTSDDEYSEDYEPSEVESLGDSDEENLEEDSLIFNETRDALLDLFSSEDNEVHTDYNWIMSTSRILQQKLLSDKTLTRASILDTKLSEVDETFGTESDFDLSCAVCKVNERNTVLWPCRCFAICEDCRISLGLRGFSTCVCCRSKVHGYCKVHPVSDSK", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDFYSVKSQPFVRSPLVDQNPSIQNINEEVKRDIQNPLSYKTETSDKELCQTAACATSCSDWYPQQQTHMPHQNAFDSAKATAKMALPPTAFSNYCVKPSLTRNKDIPRTSIRVSKLRYWQRDYRLAFPNFIFYFDNVDEEIKRRVTQKINNLGAKVATLFTFEVTHFITTRTTDPEMCQPNDVLYLSKTANMKIWLLDKLLNRILFTLLNSDSLVNTSASCLQSLLDGEKVYGTSDKDFYVPSKNVEYFREYFLCIRDLSQYYKPIAVREWEKTLDSGEILWPSLAITAQGRCPFNTGRRRELKITKHNHPAHEIRKQLLSCTNQTNQNNVVKNSASVLVRQIMGDYNITESAVDGAKQMPTEFPKPENLLPVEKRAAMSPLNLLEPRLINKQNTLANQSPRQPPNAFDADPLAHKKVKIETKSGYCENCCERYKDLERHLGGKHHRRFAEKDENFQGLDDLFLLIRRPIRTN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNCHSVPLQGPVSFKDVTVDFTQEEWQRLDPAQKALYRDVMLENYCHFISVGFHITKPDMIRKLEQGEELWTERMFPSQSYLEDEEVLVKFRDYQDKPPTSIVIINHKKLIKERNNVYEKTLGNNHIISKTLFEYKSDGKVLKNISDFISRDINPVMGTLGDSSEWEESVLTSEQEKTHPVPTLYKQIGRNLSSSLELAQHQKTQIPEQRFECDECDSSFLMTEVAFPHDRAHRGVRDFNCSKDEIAFFEKSDLGIHPHNLMEKKCSTYNKYGKLLCRKSVFVMHPRSQVDERPFQCPYCGNSFRRKSYLIEHQRIHTGEKPYICSQCGKAFRQKTALTLHEKTHTDGKPYLCVDCGKSFRQKATLTRHHKTHTGEKAYECTQCGSAFGKKSYLIDHQRTHTGEKPYQCAECGKAFIQKTTLTVHQRTHTGEKPYMCSECGKSFCQKTTLTLHQRIHTGEKPYVCSDCGKSFRQKAILTVHYRIHTGEKSNGCPQCGKAFSRKSNLIRHQKTHTGEKPYECHECGKFFSCKSNLVAHQKTHKAETVRFQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKIPASSPQDTTNNNNNTNSTDSNHLSMDEHVMRSMDWDSIMKELELDDDSAPNSLKTGFTTTTTDSTILPLYAVDSNLPGFPDQIQPSDFESSSDVYPGQNQTTGYGFNSLDSVDNGGFDFIEDLIRVVDCVESDELQLAQVVLSRLNQRLRSPAGRPLQRAAFYFKEALGSFLTGSNRNPIRLSSWSEIVQRIRAIKEYSGISPIPLFSHFTANQAILDSLSSQSSSPFVHVVDFEIGFGGQYASLMREITEKSVSGGFLRVTAVVAEECAVETRLVKENLTQFAAEMKIRFQIEFVLMKTFEMLSFKAIRFVEGERTVVLISPAIFRRLSGITDFVNNLRRVSPKVVVFVDSEGWTEIAGSGSFRREFVSALEFYTMVLESLDAAAPPGDLVKKIVEAFVLRPKISAAVETAADRRHTGEMTWREAFCAAGMRPIQLSQFADFQAECLLEKAQVRGFHVAKRQGELVLCWHGRALVATSAWRF", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVESNDIIKSGLAEKALKALILQCEENPSLKNDKDIHIIINTGKKMGINRDNIPRIIPLTKYKLFKPRDLNILLITKDPSALYRETLTKDEHTSELFKEIISVKNLRRRFKGSKLTQLYKDFDLVVADYRVHHLLPEVLGSRFYHGSKKLPYMIRMSKEVKLKRQQMVEKCDPIYVRAQLRSICKNTSYIPNNDNCLSVRVGYIQKHSIPEILQNIQDTINFLTDKSKRPQGGVIKGGIISIFVKTSNSTSLPIYQFSEARENQKNEDLSDIKL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKWLGESKIMVVNGRRNGGKLSNDHQQNQSKLQHTGKDTLKAGKNAVERRSNRCNGNSGFEGQSRYVPSSGMSAKELCENDDLATSLVLDPYLGFQTHKMNTSAFPSRSSRHFSKSDSFSHNNPVRFRPIKGRQEELKEVIERFKKDEHLEKAFKCLTSGEWARHYFLNKNKMQEKLFKEHVFIYLRMFATDSGFEILPCNRYSSEQNGAKIVATKEWKRNDKIELLVGCIAELSEIEENMLLRHGENDFSVMYSTRKNCAQLWLGPAAFINHDCRPNCKFVSTGRDTACVKALRDIEPGEEISCYYGDGFFGENNEFCECYTCERRGTGAFKSRVGLPAPAPVINSKYGLRETDKRLNRLKKLGDSSKNSDSQSVSSNTDADTTQEKNNATSNRKSSVGVKKNSKSRTLTRQSMSRIPASSNSTSSKLTHINNSRVPKKLKKPAKPLLSKIKLRNHCKRLEQKNASRKLEMGNLVLKEPKVVLYKNLPIKKDKEPEGPAQAAVASGCLTRHAAREHRQNPVRGAHSQGESSPCTYITRRSVRTRTNLKEASDIKLEPNTLNGYKSSVTEPCPDSGEQLQPAPVLQEEELAHETAQKGEAKCHKSDTGMSKKKSRQGKLVKQFAKIEESTPVHDSPGKDDAVPDLMGPHSDQGEHSGTVGVPVSYTDCAPSPVGCSVVTSDSFKTKDSFRTAKSKKKRRITRYDAQLILENNSGIPKLTLRRRHDSSSKTNDQENDGMNSSKISIKLSKDHDNDNNLYVAKLNNGFNSGSGSSSTKLKIQLKRDEENRGSYTEGLHENGVCCSDPLSLLESRMEVDDYSQYEEESTDDSSSSEGDEEEDDYDDDFEDDFIPLPPAKRLRLIVGKDSIDIDISSRRREDQSLRLNA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MYQDYPGNFDTSSRGSSGSPAHAESYSSGGGGQQKFRVDMPGSGSAFIPTINAITTSQDLQWMVQPTVITSMSNPYPRSHPYSPLPGLASVPGHMALPRPGVIKTIGTTVGRRRRDEQLSPEEEEKRRIRRERNKLAAAKCRNRRRELTEKLQAETEELEEEKSGLQKEIAELQKEKEKLEFMLVAHGPVCKISPEERRSPPTSGLQSLRGTGSAVGPVVVKQEPPEEDSPSSSAGMDKTQRSVIKPISIAGGGFYGEEPLHTPIVVTSTPAITPGTSNLVFTYPSVLEQESPASPSESCSKAHRRSSSSGDQSSDSLNSPTLLAL", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDLNTLPFHSINSVNVSSAPTVNERSYPFLLDASAGVSGFNPVVSSPEKKARMKYKKNSTSPNMDVKSRKKVSRACDFCRQKKIRCDMDQSPRPGNACINCRKHHLDCNFTRTPLKRGPAKGFNRNADEKQKRASGSAKSSSPAVNGSVFSGNEASPSSRAPSITPVDSVNTTTSAIQVPSVTLTAPAPLGVDQKISQDQKPDSWLTYNAQFAQNSPQLAPSIPSPMKLSPANQQAMPPYPQMLGPGSISSYTNSNLGPSAGFRPPTFFSSPSPQPYSGPILASTAPTLDGSYLSNPSNSNPAVMSLSSNFPSPPKPNNPVYLPPRGNPTVNDRVSNVLPSITSFDSSVTTVPSNSPATLNSYTTSVPSGMSRHPMLMNPSTPEPSLGVNSPSLRPLQSLNNVQNSYRVASTQAPPPHPLRNYTSDAESISMRSKSTQASDAATFREVEQLYQENVEWDDAAIDRYYLLIHSTLPILHHSKARLKSELEKAPINLRSSCLHAIYSLVNRPPFATLGHVFHNTPMKAIGLLNLICSNVQDLSNRILHLQTMILLAIESDQRGPTTITGRNGLPQGMWLGAAIGLACNMRLHIQSHLSLQSINEDMDSDEALCRRAWWVLVVLDRWHSMSTCSPLFLPETFINLTIQDQKLLGTFPSQLVRLSLIVGHISDVFQSPDPTDRQSPIVTQQLRSEIDAFRQSVDVVWGQMNLLTLAVTHVKVLLELCINARPSTVLVPAMKMATILSSSSTPMTPLNHHFFSLATCVLIGVFDLPELQNEARRGLEHIRECIEKRRDIVSREDHEDWDYIVLKLINAKMQGMPINSDPSIPPHVPPSSAFAYSNQEMDSATFKDAYLYTRLCNLGYLGFLI", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MCCTEGSLRKRDSQRAPEAVLCLQLWQRTVPLDTLKGLGTCFPSGPELRGAGIAAAMERASERRTASALFAGFRALGLFSNDIPHVVRFSALKRRFYVTTCVGKSFHTYDVQKLSLVAVSNSVPQDICCMAADGRLVFAAYGNVFSAFARNKEIVHTFKGHKAEIHFLQPFGDHIISVDTDGILIIWHIYSEEEYLQLTFDKSVFKISAILHPSTYLNKILLGSEQGSLQLWNVKSNKLLYTFPGWKVGVTALQQAPAVDVVAIGLMSGQVIIHNIKFNETLMKFRQDWGPITSISFRTDGHPVMAAGSPCGHIGLWDLEDKKLINQMRNAHSTAIAGLTFLHREPLLVTNGADNALRIWIFDGPTGEGRLLRFRMGHSAPLTNIRYYGQNGQQILSASQDGTLQSFSTVHEKFNKSLGHGLINKKRVKRKGLQNTMSVRLPPITKFAAEEARESDWDGIIACHQGKLSCSTWNYQKSTIGAYFLKPKELKKDDITATAVDITSCGNFAVIGLSSGTVDVYNMQSGIHRGSFGKDQAHKGSVRGVAVDGLNQLTVTTGSEGLLKFWNFKNKILIHSVSLSSSPNIMLLHRDSGILGLALDDFSISVLDIETRKIVREFSGHQGQINDMAFSPDGRWLISAAMDCSIRTWDLPSGCLIDCFLLDSAPLNVSMSPTGDFLATSHVDHLGIYLWSNISLYSVVSLRPLPADYVPSIVMLPGTCQTQDVEVSEETVEPSDELIEYDSPEQLNEQLVTLSLLPESRWKNLLNLDVIKKKNKPKEPPKVPKSAPFFIPTIPGLVPRYAAPEQNNDPQQSKVVNLGVLAQKSDFCLKLEEGLVNNKYDTALNLLKESGPSGIETELRSLSPDCGGSIEVMQSFLKMIGMMLDRKRDFELAQAYLALFLKLHLKMLPSEPVLLEEITNLSSQVEENWTHLQSLFNQSMCILNYLKSALL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSGRGKGGKGLGKGARKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVRKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSGNSGASESISIEETNRIRISLGLKPLDISEEKPQKELSDASVKSSYVDQEQQAYENWKKQEQEEINRKKEEELKSKFEKLRQKNERRRRTQGKTLAETLAEEDDQIDANDTRAWILKMRNSSLNKNGNGLNFEAKNDAPRRSTLHSQNAGPNVNSSLEGMKIAHGFQDLNKNDGLVLTLKDADILDEDNHDLLENVEMVQRKTKNERKEDNPYKPYEDENDFLQQSEADQPSEDTFTTIGPQNSLTVNSTIEKHKSDNKKTFGTLVSFVEPTITGSEQSDYRQIKIKKSKKKKSKSDRRKRLVELDAENENENDPSDFVLPNGQSNSDLSVEQDSAIFKEQKKMKIQKRMRELETQSFADDDDLQQSIAMQRRLAQKRAKILKPEDVAEQLQNAEEVTDMTDSDTASGLIFDDTRAFVNSIKETENREALGSINEQAFEDSKDLNDTNSITGSSPTEESNALVEDTSVDISATLEEANTQQENAEDEPLVSDNVGAVLSLLRNKGVIKVSDEAKEKIQKEEEYNKWFARKQQARVELEEQRRKKKEQDRLSGKFEKMTQKEREQYAKKENERWDKKIAEIELEQFHDYKPQVDIKYVDEFGVELGPKEAYKYLLSHQFHGKGSGKAKTEKRLRRIVEKEREERKPIF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGEHGLELASMIPALRELGSATPEEYNTVVQKPRQILCQFIDRILTDVNVVAVELVKKTDSQPTSVMLLDFIQHIMKSSPLMFVNVSGSHEAKGSCIEFSNWIITRLLRIAATPSCHLLHKKICEVICSLLFLFKSKSPAIFGVLTKELLQLFEDLVYLHRRNVMGHAVEWPVVMSRFLSQLDEHMGYLQSAPLQLMSMQNLEFIEVTLLMVLTRIIAIVFFRRQELLLWQIGCVLLEYGSPKIKSLAISFLTELFQLGGLPAQPASTFFSSFLELLKHLVEMDTDQLKLYEEPLSKLIKTLFPFEAEAYRNIEPVYLNMLLEKLCVMFEDGVLMRLKSDLLKAALCHLLQYFLKFVPAGYESALQVRKVYVRNICKALLDVLGIEVDAEYLLGPLYAALKMESMEIIEEIQCQTQQENLSSNSDGISPKRRRLSSSLNPSKRAPKQTEEIKHVDMNQKSILWSALKQKAESLQISLEYSGLKNPVIEMLEGIAVVLQLTALCTVHCSHQNMNCRTFKDCQHKSKKKPSVVITWMSLDFYTKVLKSCRSLLESVQKLDLEATIDKVVKIYDALIYMQVNSSFEDHILEDLCGMLSLPWIYSHSDDGCLKLTTFAANLLTLSCRISDSYSPQAQSRCVFLLTLFPRRIFLEWRTAVYNWALQSSHEVIRASCVSGFFILLQQQNSCNRVPKILIDKVKDDSDIVKKEFASILGQLVCTLHGMFYLTSSLTEPFSEHGHVDLFCRNLKATSQHECSSSQLKASVCKPFLFLLKKKIPSPVKLAFIDNLHHLCKHLDFREDETDVKAVLGTLLNLMEDPDKDVRVAFSGNIKHILESLDSEDGFIKELFVLRMKEAYTHAQISRNNELKDTLILTTGDIGRAAKGDLVPFALLHLLHCLLSKSASVSGAAYTEIRALVAAKSVKLQSFFSQYKKPICQFLVESLHSSQMTALPNTPCQNADVRKQDVAHQREMALNTLSEIANVFDFPDLNRFLTRTLQVLLPDLAAKASPAASALIRTLGKQLNVNRREILINNFKYIFSHLVCSCSKDELERALHYLKNETEIELGSLLRQDFQGLHNELLLRIGEHYQQVFNGLSILASFASSDDPYQGPRDIISPELMADYLQPKLLGILAFFNMQLLSSSVGIEDKKMALNSLMSLMKLMGPKHVSSVRVKMMTTLRTGLRFKDDFPELCCRAWDCFVRCLDHACLGSLLSHVIVALLPLIHIQPKETAAIFHYLIIENRDAVQDFLHEIYFLPDHPELKKIKAVLQEYRKETSESTDLQTTLQLSMKAIQHENVDVRIHALTSLKETLYKNQEKLIKYATDSETVEPIISQLVTVLLKGCQDANSQARLLCGECLGELGAIDPGRLDFSTTETQGKDFTFVTGVEDSSFAYGLLMELTRAYLAYADNSRAQDSAAYAIQELLSIYDCREMETNGPGHQLWRRFPEHVREILEPHLNTRYKSSQKSTDWSGVKKPIYLSKLGSNFAEWSASWAGYLITKVRHDLASKIFTCCSIMMKHDFKVTIYLLPHILVYVLLGCNQEDQQEVYAEIMAVLKHDDQHTINTQDIASDLCQLSTQTVFSMLDHLTQWARHKFQALKAEKCPHSKSNRNKVDSMVSTVDYEDYQSVTRFLDLIPQDTLAVASFRSKAYTRAVMHFESFITEKKQNIQEHLGFLQKLYAAMHEPDGVAGVSAIRKAEPSLKEQILEHESLGLLRDATACYDRAIQLEPDQIIHYHGVVKSMLGLGQLSTVITQVNGVHANRSEWTDELNTYRVEAAWKLSQWDLVENYLAADGKSTTWSVRLGQLLLSAKKRDITAFYDSLKLVRAEQIVPLSAASFERGSYQRGYEYIVRLHMLCELEHSIKPLFQHSPGDSSQEDSLNWVARLEMTQNSYRAKEPILALRRALLSLNKRPDYNEMVGECWLQSARVARKAGHHQTAYNALLNAGESRLAELYVERAKWLWSKGDVHQALIVLQKGVELCFPENETPPEGKNMLIHGRAMLLVGRFMEETANFESNAIMKKYKDVTACLPEWEDGHFYLAKYYDKLMPMVTDNKMEKQGDLIRYIVLHFGRSLQYGNQFIYQSMPRMLTLWLDYGTKAYEWEKAGRSDRVQMRNDLGKINKVITEHTNYLAPYQFLTAFSQLISRICHSHDEVFVVLMEIIAKVFLAYPQQAMWMMTAVSKSSYPMRVNRCKEILNKAIHMKKSLEKFVGDATRLTDKLLELCNKPVDGSSSTLSMSTHFKMLKKLVEEATFSEILIPLQSVMIPTLPSILGTHANHASHEPFPGHWAYIAGFDDMVEILASLQKPKKISLKGSDGKFYIMMCKPKDDLRKDCRLMEFNSLINKCLRKDAESRRRELHIRTYAVIPLNDECGIIEWVNNTAGLRPILTKLYKEKGVYMTGKELRQCMLPKSAALSEKLKVFREFLLPRHPPIFHEWFLRTFPDPTSWYSSRSAYCRSTAVMSMVGYILGLGDRHGENILFDSLTGECVHVDFNCLFNKGETFEVPEIVPFRLTHNMVNGMGPMGTEGLFRRACEVTMRLMRDQREPLMSVLKTFLHDPLVEWSKPVKGHSKAPLNETGEVVNEKAKTHVLDIEQRLQGVIKTRNRVTGLPLSIEGHVHYLIQEATDENLLCQMYLGWTPYM", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MADGPRCKRRKQANPRRNNVTNYNTVVEANSDSDDEDKLHIVEEESITDAADCEGGMPDDELPADQTVLPGGSDRGGGAKNCWQDNVKDNECDSDAENEQNHDPNVEEFLQQQDTAVIYPEAPEEDQRQGTPEASSHDENGTPDAFSQLLTCPYCDRGYKRFTSLKEHIKYRHEKNEDNFSCSLCSYTFAYRTQLERHMTSHKSGREQRHVTQSGGNRKFKCTECGKAFKYKHHLKEHLRIHSGEKPYECPNCKKRFSHSGSYSSHISSKKCISLMPVNGRPRSGLKTSQCSSPSLSTSPGSPTRPQIRQKIENKPLQEPLSVNQIKTEPVDYEFKPIVVASGINCSTPLQNGVFSSGGQLQATSSPQGVVQAVVLPTVGLVSPISINLSDIQNVLKVAVDGNVIRQVLETNQASLASKEQEAVSASPIQQGGHSVISAISLPLVDQDGTTKIIINYSLEQPSQLQVVPQNLKKEIPAPTNSCKSEKLPEDLTVKSETDKSFEGARDDSTCLLCEDCPGDLNALPELKHYDPECPAQPPPPAPATEKPESSASSAGNGDLSPSQPPLKNLLSLLKAYYALNAQPSTEELSKIADSVNLPLDGVKKWFEKMQAGQIPGQSPDPPSPGTGSVNIPTKTDEQPQPADGNEPQEDSTRGQSPVKIRSSPVLPVGSAMNGSRSCTSSPSPLNLCSARNPQGYSCVAEGAQEEPQVEPLDLSLPKQQGELLERSTVSSVYQNSVYSVQEEPLNLSCAKKEPQKDSCVTDSEPVVNVVPPSANPINIAIPTVTAQLPTIVAIADQNSVPCLRALAANKQTILIPQVAYTYSATVSPAVQEPPVKVIQPNGNQDERQDTSSEGVSTVEDQNDSDSTPPKKKTRKTENGMYACDLCDKIFQKSSSLLRHKYEHTGKRPHECGICRKAFKHKHHLIEHMRLHSGEKPYQCDKCGKRFSHSGSYSQHMNHRYSYCKRGAEDRDAMEQEDAGPEVLPEVLATEHVGARASPSQADSDERESLTREEDEDSEKEEEEEDKEMEELQEGKECENPQGEEEEEEEEEEEEEEEEEEEVEADEAEHEAAAKTDGTVEVGAAQQAGSLEQKASESEMESESESEQLSEEKTNEA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSVVNESRKRRRLIHSEKETRRLDLGEEDDLELFQKEAAWRRSREYEHLTVLEKKLYEYWESLVLKLDHYVAAALENHEQSFEELEKITASLYQPDDNLQTLDLSLAEFSLIKDAQNYLNKYASYFQAHEPTLQKLAKFGSFKLKETPNVHEWIDQRQVHFTSMINYRTSQLKLALLKRKLSFFREALNSAELEWKRVQQDQLTSASERSIENIADDIPASEPKAILENGEGCLNDNDNISKLKNNFQSQADLMQANINSKLDELSQKSTRAAQLYVDIMGITDERVSREPHYLELKGVLSSNEEKIESINRDLSSLFEDIQFFISQRTKRQKDIIDLKLACVKEKQQLIKTLESSLTQIRNERDSLVAKQQMQYTNNLFFDDMMLLLSNLSNARVAILEGYSNRLCIWDRIERSKTGEMNNVLDEKEEISASSALEKLIKNNSCLEAELPSMYAAFDQSQSRLLKKYEELETKEKKALEMHYEKARATQKYFAAMKARDILMTEKKTLKLAENKEHDYIGKLQEREHALTKYESSLKAELEVYKQIKEIYGKHSVEVLTEDKHLQVKQTKLTQKLEDLIESVQKSGEKLMIMHQKLFHLQEEHTILSIKASYNKKESHLINQAYETQEAQVYKGMLKCSVCNFSNWKSKLIPNCGHAFCSNCMEPFYEHKTSTCPQCETPFSVSDILTIHL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSDGNPELLSTSQTYNSQGESNEDYEIPPITPPNLPEPSLLHLGDHEAGYHSLCHGLAPNGLLPAYSYQAMDLPAIMVSNMLAQDGHLLSGQLPTIQEMVHSEVAAYDSGRPGPLLGRPAMLASHMSALSQSQLISQMGLRSGIAHSSPSPPGSKSATPSPSSSTQEEESDAHFKISGEKRPSTDPGKKAKNPKKKKKKDPNEPQKPVSAYALFFRDTQAAIKGQNPSATFGDVSKIVASMWDSLGEEQKQAYKRKTEAAKKEYLKALAAYRASLVSKSPPDQGEAKNAQANPPAKMLPPKQPMYAMPGLASFLTPSDLQAFRSAASPASLARTLGSKALLPGLSTSPPPPSFPLSPSLHQQLPLPPHAQGTLLSPPLSMSPAPQPPVLPAPMALQVQLAMSPSPPGPQDFPHISDFPSGSGSRSPGPSNPSSSGDWDGSYPSGERGLGTCRLCRSSPPPTTSPKNLQEPSAR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MMMMGEGVSSVPPWSHLPVSGVDVLGGGGGGGDEMTPYVIAALRDYLPANDVGVGADEEEEAAAMAAAVDAYACDEFRMYEFKVRRCARGRSHDWTECPFAHPGEKARRRDPRKYHYSGTACPDFRKGGCKRGDACEYAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPAQQSSPRSVASSPLAESYDGSPLRRQAFESYLTKTIMSSSPTSTLMSPPKSPPSESPPLSPDGAAAIRRGSWPGVGSPVNDVLASFRQLRLNKVKSSPSGGWSYPSSSAVYGSPKAATGLYSLPTTPLASTATVTTASSFMPNLEPLDLGLIGDEEPVQRVESGRALREKVFERLSRDGAISGDATAFATAGVGLDVDWVSDLIN", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MANSKYEYVKSFEVEDEVMFPNLIIIRIDGRDFSRFSQVHKFEKPNDETSLNLMNSCASSVLVEYPDIVFAYGYSDEYSFVFKKASRFYQRRASKILSLVASFFAAVYVTKWKEFFPHTKLEYAPSFASKVVSCASVEVLQAYLAWRQHDCHISNQYDTCLWMLVKSGKTLSETQEILKDTQKQQRNELLFQQFGINYKMLPVLFRQGSCLFKTKVIFSIISFFYFLLEETVKHDENGKPVKRLRRRETLVHSENVAGRSFWNEHSSLHKDLGHFAKDIGKIEPDYVKSFQFESRLLPLTWVVVRIDGCHFHRFSEVHEFEKPNDEQALKLMNSCAVAVLEEFQDIAFAYGVSDEFSFVLKNKSELYKRQSSKIISAVVSFFTSTYMMRWGDFFPHKKLKYPPSFDGRAVCYPTSDILLDYLAWRQVDCHINNQYNTCFWMLVKSGKSKIQAQDYLKGTQTREKNELLSQQFGIEYNSLPVIFRMGSSVFRLKTQEGVTEENGEVSGKQVEAEVGVDYSNIIDQCFWQQHPHILSFS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSSQFPSSPYRTVDPYSPPNYKQQPNCPSSNYEKAGKTASESIGNFGKGDYPTPFPSSSIGRVSSPVRSNKVDAIPSSPAFPGQLAETSPKFSSKLSSPSRHTRVINAELDPSKISTITVGRNSSQCDVALCKNKFISRVHASITYLPQTNEVKIHCFSMNGLIVTYRKQFDCYQLKDTMNNNNRAYRLVPRFSNEKCVKEIQDEGGFINFTLEEGDTVYMTYYKGIMLDFRQVLLRISLKEKNSSSEPLRFEKKAEFESESETKHMGSIRKHPLIFTDTSMDRPKKILKDSNKISIGSDSGVAERMLNHFLNSKSSPLSSVSSVDHEEQTLRQDSLSSDKNPMTMKKPKLNKRVLPSKPKKSVKENLDELSRRNIDVMHLQHILTNHLAFANVQQTPLFQLQQVNSQISELSRDELRSILSDAKCVGVIYRHGKDAAGKPLDEEYFYDLENDDDYERRNLVSSLKGGRTGLRSCRRTHKQYFWKKPAK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSASAPAAEGEGTPTQPASEKEPEMPGPREESEEEEDEDDEEEEEEEKEKSLIVEGKREKKKVERLTMQVSSLQREPFTIAQGKGQKLCEIERIHFFLSKKKTDELRNLHKLLYNRPGTVSSLKKNVGQFSGFPFEKGSVQYKKKEEMLKKFRNAMLKSICEVLDLERSGVNSELVKRILNFLMHPKPSGKPLPKSKKTCSKGSKKERNSSGMARKAKRTKCPEILSDESSSDEDEKKNKEESSDDEDKESEEEPPKKTAKREKPKQKATSKSKKSVKSANVKKADSSTTKKNQNSSKKESESEDSSDDEPLIKKLKKPPTDEELKETIKKLLASANLEEVTMKQICKKVYENYPTYDLTERKDFIKTTVKELIS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTMESGAENQQSGDAAVTEAENQQMTVQAQPQIATLAQVSMPAAHATSSAPTVTLVQLPNGQTVQVHGVIQAAQPSVIQSPQVQTVQSSCKDLKRLFSGTQISTIAESEDSQESVDSVTDSQKRREILSRRPSYRKILNDLSSDAPGVPRIEEEKSEEETSAPAITTVTVPTPIYQTSSGQYIAITQGGAIQLANNGTDGVQGLQTLTMTNAAATQPGTTILQYAQTTDGQQILVPSNQVVVQAASGDVQTYQIRTAPTSTIAPGVVMASSPALPTQPAEEAARKREVRLMKNREAARECRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLYCHKSD", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKFGKSLSNQIEQTLPEWQDKFLSYKELKKRLKLIGSKTADRPVKRLRLDEFSVGISKEEINFIQLLEDELEKFNNFFVEKEEEYIIRLKEFRDRIAKAKDSMEKMIKIRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGDLMRLPFIQKVLQQPFYTTDLLFKLVKESEAMLDQIFPANETESEIIQAELSEHKFMESLHMKSTIAALRVLKEIRSGSSTVSVFSLPPLQLNGLDETWKKIPLLEQEAK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFAEAAKTTRPCGMAEFKEKPEAPTEQLDVACGQENLPVGAWPPGAAPAPFQYTPDHVVGPGADIDPTQITFPGCICVKTPCLPGTCSCLRHGENYDDNSCLRDIGSGGKYAEPVFECNVLCRCSDHCRNRVVQKGLQFHFQVFKTHKKGWGLRTLEFIPKGRFVCEYAGEVLGFSEVQRRIHLQTKSDSNYIIAIREHVYNGQVMETFVDPTYIGNIGRFLNHSCEPNLLMIPVRIDSMVPKLALFAAKDIVPEEELSYDYSGRYLNLTVSEDKERLDHGKLRKPCYCGAKSCTAFLPFDSSLYCPVEKSNISCGNEKEPSMCGSAPSVFPSCKRLTLETMKMMLDKKQIRAIFLFEFKMGRKAAETTRNINNAFGPGTANERTVQWWFKKFCKGDESLEDEERSGRPSEVDNDQLRAIIEADPLTTTREVAEELNVNHSTVVRHLKQIGKVKKLDKWVPHELTENQKNRRFEVSSSLILRNHNEPFLDRIVTCDEKWILYDNRRRSAQWLDQEEAPKHFPKPILHPKKVMVTIWWSAAGLIHYSFLNPGETITSEKYAQEIDEMNQKLQRLQLALVNRKGPILLHDNARPHVAQPTLQKLNELGYEVLPHPPYSPDLLPTNYHVFKHLNNFLQGKRFHNQQDAENAFQEFVESQSTDFYATGINQLISRWQKCVDCNGSYFD", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEPSTPPMRGLCTPSTPESPGSFKGVIDASLEGNSSIMIDEIPESDLPAPQVSTFPPTPAKTPKKQLLPNLMLQDRSNSLERCMEEDREHNPFLSSSDNQLLSRKKRKPTPPPSDGLYYVFRGKRIKKSFRPGTDLSTFKPKLLFADSAPSSSSDNPTSSVDLNDYSQIGILPPNLNSIGNKMFSLKSRVPSSSSGSFVAPPPQMRLPAYSSPQKSRSNTKDENRHNLLR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVNMETCAMDCCVLKALLAERAHKCLILDCRSFFSFSSCSIVGSSNVRLSTIVKRRAKGSMGLEHIVPNEEQRCRLVAGMYEAVVLLDERTSELDMLRKDSTMMLAVNALCRDSRGSSIYFLKGGYETFSAQCPEFCTKNSPPVGLSLPLCANNVPGSADSNCTPCGTPLYDQGGPVEILPFLYLGSAYHASRKDMLDTLGITALINVSANCPNHFEGHFQYKSIPVEDSHKADISSWFNEAIDFIDSVKNSGGRVFVHCQAGISRSATICLAYLMRTNRVKLDEAFEFVKQRRSIISPNFSFMGQLLQFESQVLAPSCSAEAGSPTISVLDRGTSTTTVFNFPVSIPVHSGANSLSYLQNPITTSPSC", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSAQIENSIPLDFDLGNMAAFDISPLDETKLSGSEKESFLFSLSRDNVQQLVNKMISLPKERTSDGVLLQLPETVTPLPRAKPLPKPKPETKWQRFARIKGIAPKKREGRLVFDEASGEWVPKWGYKGKNKELETQWLVEEGEKEKKLTSKQVRNTSKKIKRSRRH", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MENELPVPHTSSSACATSSTSGASSSSGCNNSSSGGSGRPTGPQISVYSGIPDRQTVQVIQQALHRQPSTAAQYLQQMYAAQQQHLMLQTAALQQQHLSSAQLQSLAAVQQASLVSNRQGSTSGSNVSAQAPAQSSSINLAASPAAAQLLNRAQSVNSAAASGIAQQAVLLGNTSSPALTASQAQMYLRAQMLIFTPTATVATVQPELGTGSPARPPTPAQVQNLTLRTQQTPAAAASGPTPTQPVLPSLALKPTPGGSQPLPTPAQSRNTAQASPAGAKPGIADSVMEPHKKGDGNSSVPGSMEGRAGLSRTVPAVAAHPLIAPAYAQLQPHQLLPQPSSKHLQPQFVIQQQPQPQQQQPPPQQSRPVLQAEPHPQLASVSPSVALQPSSEAHAMPLGPVTPALPLQCPTANLHKPGGSQQCHPPTPDTGPQNGHPEGVPHTPQRRFQHTSAVILQLQPASPPQQCVPDDWKEVAPGEKSVPETRSGPSPHQQAIVTAMPGGLPVPTSPNIQPSPAHETGQGIVHALTDLSSPGMTSGNGNSASSIAGTAPQNGENKPPQAIVKPQILTHVIEGFVIQEGAEPFPVGRSSLLVGNLKKKYAQGFLPEKLPQQDHTTTTDSEMEEPYLQESKEEGAPLKLKCELCGRVDFAYKFKRSKRFCSMACAKRYNVGCTKRVGLFHSDRSKLQKAGAATHNRRRASKASLPPLTKDTKKQPTGTVPLSVTAALQLTHSQEDSSRCSDNSSYEEPLSPISASSSTSRRRQGQRDLELPDMHMRDLVGMGHHFLPSEPTKWNVEDVYEFIRSLPGCQEIAEEFRAQEIDGQALLLLKEDHLMSAMNIKLGPALKIYARISMLKDS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEELSKQPPPPPLTQPPPPSSSVSIEEPLPNGKGGGAVVVNSIAKLPEEELLGSVTMHNCPGTRASARVIQKMKQDQTRPMTPPPSEREPNKKEEKAAQKTPSQLKTGSGKTTWTNVERNCFFDALNEFGKDFEAVANCINAKLKRRNANSDYSFKTKDQVRQHYYQTHHKICKYVRFSEELKKPAQELYTLINYGEMRRKLQFLTEKHFMKLKQLVYQGQITVRCKGKNIRIKTPSCKALRRLNQLDDSLEDIRLPSKVEVLVTPANMEAFGRVQSLAQNPRGRIIVPLHKKLISFIKTFEYKWRSANQRLHEEKSAYFSSSLPSAASNNNNNNNETEPLQPSVASLDPSMCFQPRPGVAIHRPLLSITAYLSSISICLTAYEERMGFKVRSETLGNLAGMSVAANKRLRTESGSEKRSPETKKPKSSASPPLEKSLDDGPLEGNLMKMENSSGDELGEEIHEFLGDILEAMQHPQAATIPALSATTGDTTNVAVALETSHDPVQQAYPANADLSNAMATSVLQTSCAAAPAPSTPVTGSLAAPSVARSKRKEAKEAAAAAQARNFKPLLSDDILKRIRKGWTQANAADITIGDLYVVFGQDSKLELEYYWCEVDSSTAMASSILTINTVTPSSSSVGTQTGSAASNANQTGASSNCYVSASSNSSTSSTSLPYNPNDCDSVERVRAVTTSSVSNKLKHLLLVANLSERVRKRQCNCGHTCDRKRDLMTKAQQLAEATATGGVGGMVEGNFRTPMLPVRRPISNIDPVRQLSALTRQKISRQVLVQRRLLPPTSAGDRPYDLLSVRQLHSGLFEPIDRVDGTSSAGISTSGSKPDCSMNAMTASQDQEPGDQGALEFLNDEATQVSARDMPNLDICVATNRTDVSSSLNEAAQDGSTTQSFFQGSMSPMHLLRDSTSNARWLEDNINDFSLTSLLGHLDEIDATRDILDPSSSMSVISESSVDFRHKFQEIAALLQQQEKD", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAASRSAGEAGPGGSQGRVVRMKRRGGRGPRRGPAGGGEKALKRLKLAVEEFVHATSEGEAPGGCEGRGAPVSFRPGGRKSRKELRKEKRHLRKARRLQRTAGPEQGPGLGGRSGAEEASGHRQDTEERARPAPSRDPSPPRKPRPSRVKAKATAATAKTRPSAAATAAARKRALLAANEEEDREIRKLERCLGLNKRKKKDGSSSVPLSFARDGLDYILGALESGKNSGLYDSSGEEEEDAGQTLPESDLESDSQDESEEEEEGDVEKEKKAQEAEAQSEDDDEDTEEEQGEEKEKGAQEKRRGKRVRFAEDEEKSENSSEDGDITDKSLCGSGEKYIPPHVRQAEETVDFKKKEELERLKKHVKGLLNRLSEPNMASISGQLEELYMAHSRKDMNDTLTSALMGACVTASAMPSRLMMEHVLLVSILHHTVGIEVGAHFLEAVVRKFDAIYKYGSEGKECDNLFTVIAHLYNFHVVQSLLIFDILKKLIGTFTEKDIELILLMLKNVGFSLRKDDALSLKELITEAQTKASGAGSEFQDQTRIRFMLETMLALKNNDMRKIPGYDPEPVEKLRKLQRALVRNAGSGSETQLRVSWDSVLSAEQTGRWWIVGSAWSGAPMIDNSHHTHLQKQLVGTVSSKILELARKQRMNTDIRRNIFCTIMTSEDFLDAFEKLLKLGLKDQQEREIIHVLMDCCLQEKTYNPFYAFLASKFCEYERRFQMTFQFSIWDKFRDLENLPATNFSNLVHLVAHLLKTKSLSLSILKVVEFSELDKPRVRFLRKVLSILLMETEVEDLSLIFTRVSDNPKLGVLREGLKLFISHFLLKNAQAHRSADEANVLREKADLATKCLQGKASLRM", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPRSLDNFQNEDSSHPNEQGAWADSGSGFPNPNSNDVSNSQRNHHRHMFPLARIRSELSEQDSSISFTHDPLHIPLPNPSNNNDNIFHPQVHSSFHSRSASRQRRRSGLSRSNATRYSRRSLSDWLETIRENNYDEASIPSFFSPHTERLVGRVLRLNRYLQNSELLDRNSSTFGSNPNSVFSAQPTEPSVEPPTSSFPIQPPLPPSRSISISNPQSLSFPSSFDQSNYNFQAASTPQFNPLIEHLRRSNSPLNPSHDSAGASTFNTYFPNSTYQNILNSLDNNPAVLDLNGPPNQESSSSASSYGSRTQTPNARSCSLNIVFHKHKKVCTYYMIRHYAKRRLFITPTWWLRSGSVFRGLQFGGVQSISGLPPLTNPKERWIVDVSIHVVDYKRRALEGQLNAQARSSDPSSTISTAWTGEILDFSEKLNFATEKWSAPLEIDVCYWRKLAPFQNMDTNTFLETITNPKKLYKICQKYIFMRWKDMLILKDQTDTSESRITGFYFCCLCRENGYIQGYYYDPKHAFCSQPLNLFPEQPSLSPSYHFV", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDTNTSGEELLAKARKPYTITKQRERWTEDEHERFLEALRLYGRAWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEAEVKGIPVCQALDIEIPPPRPKRKPNTPYPRKPGNNGTSSSQVSSAKDAKLVSSASSSQLNQAFLDLEKMPFSEKTSTGKENQDENCSGVSTVNKYPLPTKQVSGDIETSKTSTVDNAVQDVPKKNKDKDGNDGTTVHSMQNYPWHFHADIVNGNIAKCPQNHPSGMVSQDFMFHPMREETHGHANLQATTASATTTASHQAFPACHSQDDYRSFLQISSTFSNLIMSTLLQNPAAHAAATFAASVWPYASVGNSGDSSTPMSSSPPSITAIAAATVAAATAWWASHGLLPVCAPAPITCVPFSTVAVPTPAMTEMDTVENTQPFEKQNTALQDQNLASKSPASSSDDSDETGVTKLNADSKTNDDKIEEVVVTAAVHDSNTAQKKNLVDRSSCGSNTPSGSDAETDALDKMEKDKEDVKETDENQPDVIELNNRKIKMRDNNSNNNATTDSWKEVSEEGRIAFQALFARERLPQSFSPPQVAENVNRKQSDTSMPLAPNFKSQDSCAADQEGVVMIGVGTCKSLKTRQTGFKPYKRCSMEVKESQVGNINNQSDEKVCKRLRLEGEAST", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAKRRQKKRTHAQLTPEQEQGIPKSMVIRVGQTSLANHSLNQLVKDFRQIMQPHTAIKLKERKSNKLKDFVVMCGPLGVTHLFMFTQSEKTGNVSLKIARTPQGPTVTFQVLDYSLGRDIKKFLKRPKSLNNDDVLNPPLLVLNGFSTSKRSGEDDQDVNVEKVIVSMFQNIFPPLNPARTSLNSIKRVFMINKDRETGEISMRHYFIDIREVEISRNLKRLYKAKNNLSKTVPNLHRKEDISSLILDHDLGAYTSESEIEDDAIVRVVDNQDVKAKHSQSLKSQRTPVEKKDNKEREKETEEEDVEMEEPKPSENLQPTPRKKAIKLTELGPRLTLKLVKIEEGICSGKVLHHEFVQKSSEEIKALEKRHAAKMRLKEQRKKEQEENIAKKKAVKDAKKQRKLERRKARAAEGGEGQGKDDAMSDDESSSSDSEHYGSVPEDLDSDLFSEVE", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSLTANDESPKPKKNALLKNLEIDDLIHSQFVRSDTNGHRTTRRLFNSDASISHRIRGSVRSDKGLNKIKKGLISQQSKLASENSSQNIVNRDNKMGAVSFPIIEPNIEVSEELKVRIKYDSIKFFNFERLISKSSVIAPLVNKNITSSGPLIGFQRRVNRLKQTWDLATENMEYPYSSDNTPFRDNDSWQWYVPYGGTIKKMKDFSTKRTLPTWEDKIKFLTFLENSKSATYINGNVSLCNHNETDQENEDRKKRKGKVPRIKNKVWFSQIEYIVLRNYEIKPWYTSPFPEHINQNKMVFICEFCLKYMTSRYTFYRHQLKCLTFKPPGNEIYRDGKLSVWEIDGRENVLYCQNLCLLAKCFINSKTLYYDVEPFIFYILTEREDTENHPYQNAAKFHFVGYFSKEKFNSNDYNLSCILTLPIYQRKGYGQFLMEFSYLLSRKESKFGTPEKPLSDLGLLTYRTFWKIKCAEVLLKLRDSARRRSNNKNEDTFQQVSLNDIAKLTGMIPTDVVFGLEQLQVLYRHKTRSLSSLDDFNYIIKIDSWNRIENIYKTWSSKNYPRVKYDKLLWEPIILGPSFGINGMMNLEPTALADEALTNETMAPVISNNTHIENYNNSRAHNKRRRRRRRSSEHKTSKLHVNNIIEPEVPATDFFEDTVSSLTEYMCDYKNTNNDRLIYQAEKRVLESIHDRKGIPRSKFSTETHWELCFTIKNSETPLGNHAARRNDTGISSLEQDEVENDVDTELYVGENAKEDEDEDEDFTLDDDIEDEQISEENDEEEDTYEEDSDDDEDGKRKGQEQDENDIESHIRKERVRKRRKITLIEDDEE", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNVTPLDELQWKSPEWIQVFGLRTENVLDYFAESPFFDKTSNNQVIKMQRQFSQLNDPNAAVNMTQNIMTLPDGKNGNLEEEFAYVDPARRQILFKYPMYMQLEEELMKLDGTEYVLSSVREPDFWVIRKQRRTNNSGVGSAKGPEIIPLQDYYIIGANIYQSPTIFKIVQSRLMSTSYHLNSTLESLYDLIEFQPSQGVHYKVPTDTSTTATAATNGNNAGGGSNKSSVRPTGGANMATVPSTTNVNMTVNTMGTGGQTIDNGTGRTGNGNMGITTEMLDKLMVTSIRSTPNYI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MMNKRKRVEELGETKHRQVRQRILQEHKNDILENLAFELSDKVRRLRSNASLLASTIRMRGEMRIAAIPRAQRNMHLRDLKNHLSCNVSATPWRTKIKEFYNLDELSSQKSARQPTKTVASSSSSSSKSTTVSKSSSKSQV", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSQGLPAAGSVLQRSVAAPGNQPQPQPQQQSPEDDDRKVRRREKNRVAAQRSRKKQTQKADKLHEEYESLEQENTMLRREIGKLTEELKHLTEALKEHEKMCPLLLCPMNFVPVPPRPDPVAGCLPR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MMDMQVRKVRKPPACTQCRKRKIGCDRAKPICGNCVKYNKPDCFYPDGPGKMVAVPSASGMSTHGNGQGSNHFSQGNGVNQKNVMIQTQYPIMQTSIEAFNFSFNPSVDTAMQWTKAASYQNNNTNNNTAPRQNSSTVSSNVHGNTIVRSDSPDVPSMDQIREYNTRLQLVNAQSFDYTDNPYSFNVGINQDSAVFDLMTSPFTQEEVLIKEIDFLKNKLLDLQSLQLKSLKEKSNLNADNTTANKINKTGENSKKGKVDGKRAGFDHQTSRTSQSSQKYFTALTITDVQSLVQVKPLKDTPNYLFTKNFIIFRDHYLFKFYNILHDICHINQFKVSPPNNKNHQQYMEVCKVNFPPKAIIIETLNSESLNNLNIEEFLPIFDKTLLLEFVHNSFPNGDTCPSFSTVDLPLSQLTKLGELTVLLLLLNDSMTLFNKQAINNHVSALMNNLRLIRSQITLINLEYYDQETIKFIAITKFYESLYMHDDHKSSLDEDLSCLLSFQIKDFKLFHFLKKMYYSRHSLLGQSSFMVPAAENLSPIPASIDTNDIPLIANDLKLLETQAKLINILQGVPFYLPVNLTKIESLLETLTMGVSNTVDLYFHDNEVRKEWKDTLNFINTIVYTNFFLFVQNESSLSMAVQHSSNNNKTSNSERCAKDLMKIISNMHIFYSITFNFIFPIKSIKSFSSGNNRFHSNGKEFLFANHFIEILQNFIAITFAIFQRCEVILYDEFYKNLSNEEINVQLLLIHDKILEILKKIEIIVSFLRDEMNSNGSFKSIKGFNKVLNLIKYMLRFSKKKQNFARNSDNNNVTDYSQSAKNKNVLLKFPVSELNRIYLKFKEISDFLMEREVVQRSIIIDKDLESDNLGITTANFNDFYDAFYN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLVDLNVPWPQNSYADKVTSQAVNNLIKTLSTLHMLGYTHIAINFTVNHSEKFPNDVKLLNPIDIKRRFGELMDRTGLKLYSRITLIIDDPSKGQSLSKISQAFDIVAALPISEKGLTLSTTNLDIDLLTFQYGSRLPTFLKHKSICSCVNRGVKLEIVYGYALRDVQARRQFVSNVRSVIRSSRSRGIVIGSGAMSPLECRNILGVTSLIKNLGLPSDRCSKAMGDLASLVLLNGRLRNKSHKQTIVTGGGSGNGDDVVNDVQGIDDVQTIKVVKRSMDAEQLGHASKRHKP", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVLLISEIKDIAKRLTAAGDRKQYNSIIKLINELVIPENVTQLEEDETEKNLRFLVMSLFQIFRKLFSRGDLTLPSSKKSTLEKEQFVNWCRKVYEAFKTKLLAIISDIPFETSLGLDSLDVYLQLAELESTHFASEKGAPFFPNKTFRKLIIALWSSNMGEIEDVKSSGASENLIIVEFTEKYYTKFADIQYYFQSEFNQLLEDPAYQDLLLKNVGKWLALVNHDKHCSSVDADLEIFVPNPPQAIENESKFKSNFEKNWLSLLNGQLSLQQYKSILLILHKRIIPHFHTPTKLMDFLTDSYNLQSSNKNAGVVPILALNGLFELMKRFNLEYPNFYMKLYQIINPDLMHVKYRARFFRLMDVFLSSTHLSAHLVASFIKKLARLTLESPPSAIVTVIPFIYNLIRKHPNCMIMLHNPAFISNPFQTPDQVANLKTLKENYVDPFDVHESDPELTHALDSSLWELASLMEHYHPNVATLAKIFAQPFKKLSYNMEDFLDWNYDSLLNAESSRKLKTLPTLEFEAFTNVFDNEDGDSEASSQGNVYLPGVAW", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MADSVPGHVAGGGLQGFSVDAECIKQRVDEVLQWVDSLEHKLKEVEEFYSSIGVSNSGSIGKDTEKGRHVVGIRKIQQEAARREAVAAKRMQDLMRQFGTIFRQITQHKCAWPFMHPVNVEGLGLHDYFEVIDKPMDFSTIKNQMEAKDGTGYKHVMQIYADMRLVFENAMNYNEETSDVYSMAKKLLEKFEEKWAHFLPKVQEEEKIREEEEKQAAKEALLAKEASHIKTTRELGNEICHANDELEKLMRKVVERCRKITIEEKRNIGLALLKLSPDDLQKVLGIVAQANPSFQPRAEEVSIEMDILDEPTLWRLKFFVKDALDNAMKKKKEEETKTRELSGAQKKEVSKKRNATTKLAERKTKRSRI", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSYAEKPDEITKDEWMEKLNNLHVQRADMNRLIMNYLVTEGFKEAAEKFRMESGIEPSVDLETLDERIKIREMILKGQIQEAIALINSLHPELLDTNRYLYFHLQQQHLIELIRQRETEAALEFAQTQLAEQGEESRECLTEMERTLALLAFDSPEESPFGDLLHTMQRQKVWSEVNQAVLDYENRESTPKLAKLLKLLLWAQNELDQKKVKYPKMTDLSKGVIEEPK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDYEASRIVEMVEDEEHIDLPPGFRFHPTDEELITHYLKPKVFNTFFSATAIGEVDLNKIEPWDLPWKAKMGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIFKGKSLVGMKKTLVFYKGRAPKGVKTNWVMHEYRLEGKYCIENLPQTAKNEWVICRVFQKRADGTKVPMSMLDPHINRMEPAGLPSLMDCSQRDSFTGSSSHVTCFSDQETEDKRLVHESKDGFGSLFYSDPLFLQDNYSLMKLLLDGQETQFSGKPFDGRDSSGTEELDCVWNF", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MADFEELRNMVSSFRVSELQVLLGFAGRNKSGRKHDLLMRALHLLKSGCTPAVQIKIRELYRRRYPRTLEGLSDLSTIKSSVFSLDGSSSPVEPDLAVAGIHSLPSTSIAPHSPSSPVASVLLQDTKPTFEMQQPSPPIPPVHPDVQLKTLPFYDVLDVLIKPTSLVQSSIQRFQEKFFIFALTPQQVREICISRDFLPGGRRDYTVQVQLRLCLAETSCPQEDNYPNSLCIKVNGKLFPLPGYAPPPKNGIEQKRPGRPLNITSLVRLSSAVPNQISISWASEIGKNYSMSVYLVRQLTSAMLLQRLKMKGIRNPDHSRALIKEKLTADPDSEIATTSLRVSLMCPLGKMRLTIPCRAVTCTHLQCFDAALYLQMNEKKPTWICPVCDKKAAYESLILDGLFMEILNDCSDVDEIKFQEDGSWCPMRPKKEAMKVTSQPCTKVESSSVFSKPCSVTVASDASKKKIDVIDLTIESSSDEEEDPPAKRKCIFMSETQSSPTKGVLMYQPSSVRVPSVTSVDPAAIPPSLTDYSVPFHHTPVSSMSSDLPGEQRRNDINNEVQLGTSSDTVQQ", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDELPNGNGAALLKRGRGRRRRHPQSQPRGASVLALPLRPRKIRRHRKSAAASRVAALRARALRSEDSDSKVAVASVRGKRKRPAELLEASRSAEPRPVSARPRSASATLPSRVEGWAALSRNLGTAAPPPPGSHADEPGRPRASPLQQVLTELNGIPSSRRRAARLFEWLLAPLPPDHFYRRLWEREAVLVRRQDRSYYEGLFSTADLDSMLRYEDVQFGQHLDAARYVDGRRETLNPPGRALPAAAWSLYRAGCSLRLLCPQAFSPTVWQFLAVLQEQFGSMAGSNVYLTPPDSQGFAPHYDDIEAFVLQLEGRKLWRVYRPRDPSEELALTSSPNFSQEDLGEPVLQTVLEPGDLLYFPRGFIHQAECQDGVHSLHLTLSTYQRNTWGDFLEAVLPLAVQAAIEENVEFRRGLPRDFMDYMGAQHSDSKDPRRTAFMEKVRVLVARLGHFAPVDAVADQRAKDFIHDSLPPVLTDRERALSVHGLPVRWEAGEPVNVGAQLTTETQVHMLQDGVARLVGEGGRLFLYHTVENSRVYHLEEPKCLEIHPQQADAMELLLRSYPEFVRVGDLPCDSVEDQLSLATMLYDKGLLLTKTPLVPS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MATPDAGLPGAEGVEPAPWAQLEAPARLLLQALQAGPEGARRGLGVLRALGSRGWEPFDWGRLLEALCREEPVVQGPDGRLELKPLLLRLPRICQRNLMSLLMAVRPSLPESGLLSVLQIAQQDLAPDPDAWLRALGELLRRDLGVGTSMEGASPLSERCQRQLQSLCRGLGLGGRRLKSPQAPDPEEEENRDSQQPGKRRKDSEEEAASPEGKRVPKRLRCWEEEEDHEKERPEHKSLESLADGGSASPIKDQPVMAVKTGEDGSNLDDAKGLAESLELPKAIQDQLPRLQQLLKTLEEGLEGLEDAPPVELQLLHECSPSQMDLLCAQLQLPQLSDLGLLRLCTWLLALSPDLSLSNATVLTRSLFLGRILSLTSSASRLLTTALTSFCAKYTYPVCSALLDPVLQAPGTGPAQTELLCCLVKMESLEPDAQVLMLGQILELPWKEETFLVLQSLLERQVEMTPEKFSVLMEKLCKKGLAATTSMAYAKLMLTVMTKYQANITETQRLGLAMALEPNTTFLRKSLKAALKHLGP", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAASQCLCCSKFLFQRQNLACFLTNPHCGSLVNADGHGEVWTDWNNMSKFFQYGWRCTTNENTYSNRTLMGNWNQERYDLRNIVQPKPLPSQFGHYFETTYDTSYNNKMPLSTHRFKREPHWFPGHQPELDPPRYKCTEKSTYMNSYSKP", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSGRSKRESRGSTRGKRESESRGSSGRVKRERDREREPEAASSRGSPVRVKREFEPASAREAPASVVPFVRVKREREVDEDSEPEREVRAKNGRVDSEDRRSRHCPYLDTINRSVLDFDFEKLCSISLSHINAYACLVCGKYFQGRGLKSHAYIHSVQFSHHVFLNLHTLKFYCLPDNYEIIDSSLEDITYVLKPTFTKQQIANLDKQAKLSRAYDGTTYLPGIVGLNNIKANDYANAVLQALSNVPPLRNYFLEEDNYKNIKRPPGDIMFLLVQRFGELMRKLWNPRNFKAHVSPHEMLQAVVLCSKKTFQITKQGDGVDFLSWFLNALHSALGGTKKKKKTIVTDVFQGSMRIFTKKLPHPDLPAEEKEQLLHNDEYQETMVESTFMYLTLDLPTAPLYKDEKEQLIIPQVPLFNILAKFNGITEKEYKTYKENFLKRFQLTKLPPYLIFCIKRFTKNNFFVEKNPTIVNFPITNVDLREYLSEEVQAVHKNTTYDLIANIVHDGKPSEGSYRIHVLHHGTGKWYELQDLQVTDILPQMITLSEAYIQIWKRRDNDETNQQGA", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDNLDEDDLAFFSKPIKKPPLNYAKQLIASSSDSEEESELDTNKQALEHINAQKNITHNENKSAEPLSRQSTILDADEGNQDVSDTTPNACLNEGRHSPKSAISCVTQPVSPVYNTRAAANLRNNSINSEAALSTTSSLLDDDFARRLEEIDRQVQEFEKSSSDMDVQIHTHKREIEEDDDNTSADVPLLKHSKSDHSTLYHSKSEFSTNEPVISVVLQLAVIGQRIPNSNISLPRDWEAPLFFKVKSNQQFRRVRIAYSERKKVDNVVLVFQNQRLWDYGTPKGAGMLKVDTRLVVHAYCHSDFISLKRIKELEVEKLSSVTEDSTAQTCKLITLLLRSSKSEDLRLSIPVDFTVKDLIKRYCTEVKISFHERIRLEFEGEWLDPNDQVQSTELEDEDQVSVVLD", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSPTSTPSRRKSKRGRGSNPPTPRGEEVQSPPSQKRRTEDSTSIGELLPMPTSPSGDIQSPLFSSPAPSRHSAHQSELDLSSPLTYGTPSSRVEGTPRSGIRGTPARQRADLGSARKVKQVDLHSDQPAAEELVTSEQSLGQKLVIWGTDVNVAICKEKFQRFVQRFIDPLAKEEENVGLDLNEPIYMQRLEEINVVGEPFLNIDCDHLRSFDQDLYRQLVCYPQEVIPTFDMAANEIFFERYPDSILEHQIQVRPYNALKTRNMRSLNPEDIDQLITISGMVIRTSQIIPEMQESFFKCQVCAFTTRVEIDRGRIAEPSVCKHCNTTHSMALIHNRSMFSDKQMIKLQESPEDMPAGQTPHTTILYAHNDLVDKVQPGDRVNVTGIYRAVPIRVNPRVRNVKSVYKTHIDVIHYRKTDSKRLHGIDEDTEQKMFTEERVAVLKELAAKPDIYERLAAALAPSIYEHEDIKKGILLQLFGGTRKDFSHTGRGKFRAEVNILLCGDPGTSKSQLLQYVYNLVPRGQYTSGKGSSAVGLTAYVMKDPETRQLVLQTGALVLSDNGICCIDEFDKMNESTRSVLHEVMEQQTLSIAKAGIICQLNARTSVLAAANPVESQWNPKKTTIENIQLPHTLLSRFDLIFLMLDPQDETYDRRLAHHLVALYYQSEEQLKEEHLDMAVLKDYIAYARTYVNPRLGEEASQALIEAYVDMRKIGSGRGMVSAYPRQLESLIRLSEAHAKVRFSSKVETIDVEEAKRLHREALKQSATDPRTGIVDISILTTGMSATARKRKEELAQVLKKLIQSKGKTPAFKYQQLFEDLRGQSDAAITKDMFDEALHALADEDYLTVTGKTVRLL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MALVGVDFQAPLRIVSRVQFGILGPEEIKRMSVAHVEFPEVYENGKPKLGGLMDPRQGVIDRRGRCMTCAGNLTDCPGHFGHLELAKPVFHIGFLTKTLKILRCVCFYCGRLLIDKSAPRVLEILKKTGTNSKKRLTMIYDLCKAKSVCEGAAEKEEGMPDDPDDPMNDGKKVAGGCGRYQPSYRRVGIDINAEWKKNVNEDTQERKIMLTAERVLEVFQQITDEDILVIGMDPQFARPEWMICTVLPVPPLAVRPAVVTFGSAKNQDDLTHKLSDIIKTNQQLQRNEANGAAAHVLTDDVRLLQFHVATLVDNCIPGLPTATQKGGRPLKSIKQRLKGKEGRIRGNLMGKRVDFSARTVITADPNLPIDTVGVPRTIAQNLTFPEIVTPFNVDKLQELVNRGDTQYPGAKYIIRENGARVDLRYHPRAADLHLQPGYRVERHMKDGDIIVFNRQPTLHKMSMMGHRVKILPWSTFRMNLSVTSPYNADFDGDEMNLHLPQSLETRAEIEEIAMVPRQLITPQANKPVMGIVQDTLCAVRMMTKRDVFIDWPFMMDLLMYLPTWDGKVPQPAILKPKPLWTGKQVFSLIIPGNVNVLRTHSTHPDSEDSGPYKWISPGDTKVIIEHGELLSGIVCSKTVGKSAGNLLHVVTLELGYEIAANFYSHIQTVINAWLIREGHTIGIGDTIADQATYLDIQNTIRKAKQDVVDVIEKAHNDDLEPTPGNTLRQTFENKVNQILNDARDRTGSSAQKSLSEFNNFKSMVVSGSKGSKINISQVIACVGQQNVEGKRIPFGFRHRTLPHFIKDDYGPESKGFVENSYLAGLTPSEFFFHAMGGREGLIDTAVKTAETGYIQRRLIKAMESVMVNYDGTVRNSLAQMVQLRYGEDGLDGMWVENQNMPTMKPNNAVFERDFRMDLTDNKFLRKNYSEDVVREIQESEDGISLVESEWSQLEEDRRLLRKIFPRGDAKIVLPCNLQRLIWNAQKIFKVDLRKPVNLSPLHVISGVRELSKKLIIVSGNDEISKQAQYNATLLMNILLRSTLCTKNMCTKSKLNSEAFDWLLGEIESRFQQAIAQPGEMVGALAAQSLGEPATQMTLNTFHYAGVSAKNVTLGVPRLKEIINVSKTLKTPSLTVFLTGAAAKDPEKAKDVLCKLEHTTLKKVTCNTAIYYDPDPKNTVIAEDEEWVSIFYEMPDHDLSRTSPWLLRIELDRKRMVDKKLTMEMIADRIHGGFGNDVHTIYTDDNAEKLVFRLRIAGEDKGEAQEEQVDKMEDDVFLRCIEANMLSDLTLQGIPAISKVYMNQPNTDDKKRIIITPEGGFKSVADWILETDGTALLRVLSERQIDPVRTTSNDICEIFEVLGIEAVRKAIEREMDNVISFDGSYVNYRHLALLCDVMTAKGHLMAITRHGINRQEVGALMRCSFEETVDILMEAAVHAEEDPVKGVSENIMLGQLARCGTGCFDLVLDVEKCKYGMEIPQNVVMGGGFYGSFAGSPSNREFSPAHSPWNSGVTPTYAGAAWSPTTGGMSPGAGFSPAGNTDGGASPFNEGGWSPASPGDPLGALSPRTPSYGGMSPGVYSPSSPQFSMTSPHYSPTSPSYSPTSPAAGQSPVSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPSSPSYSPSSPSYSPSSPRYSPTSPTYSPTSPTYSPTSPTYSPTSPTYSPTSPSYESGGGYSPSSPKYSPSSPTYSPTSPSYSPTSPQYSPTSPQYSPSSPTYTPSSPTYNPTSPRGFSSPQYSPTSPTYSPTSPSYTPSSPQYSPTSPTYTPSPSEQPGTSAQYSPTSPTYSPSSPTYSPASPSYSPSSPTYDPNS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDSPCQPQPLSQALPQLPGSSSEPLEPEPGRARMGVESYLPCPLLPSYHCPGVPSEASAGSGTPRATATSTTASPLRDGFGGQDGGELRPLQSEGAAALVTKGCQRLAAQGARPEAPKRKWAEDGGDAPSPSKRPWARQENQEAEREGGMSCSCSSGSGEASAGLMEEALPSAPERLALDYIVPCMRYYGICVKDSFLGAALGGRVLAEVEALKRGGRLRDGQLVSQRAIPPRSIRGDQIAWVEGHEPGCRSIGALMAHVDAVIRHCAGRLGSYVINGRTKAMVACYPGNGLGYVRHVDNPHGDGRCITCIYYLNQNWDVKVHGGLLQIFPEGRPVVANIEPLFDRLLIFWSDRRNPHEVKPAYATRYAITVWYFDAKERAAAKDKYQLASGQKGVQVPVSQPPTPT", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSRRKQAKPRSLKDPNCKLEDKIEDGEAVDCKKRPEDGEELEEDAVHSCDSCLQVFESLSDITEHKIHQCQLTDGVDVEDDPSCSWPASSPSSKDQTSPSHGEGCDFGEEEGGPGLPYPCQFCDKSFSRLSYLKHHEQSHSDKLPFKCTYCSRLFKHKRSRDRHIKLHTGDKKYHCSECDAAFSRSDHLKIHLKTHTSNKPYKCAVCRRGFLSSSSLHGHMQVHERNKDGSQSGSRMEDWKMKDTQKCSQCEEGFDFPEDLQKHIAECHPECSPNEDRAALQCMYCHELFVEETSLMNHIEQVHGGEKKNSCSICSESFLTVEELYSHMDSHQQPESCNHSNSPSLVTVGYTSVSSTTPDSNLSVDSSTMVEAAPPIPKSRGRKRAAQQTSDMTGPSSKQAKVTYSCIYCNKQLFSSLAVLQIHLKTMHLDKPEQAHICQYCLEVLPSLYNLNEHLKQVHEAQDPGLIVSAMPAIVYQCNFCSEVVNDLNTLQEHIRCSHGFANPAAKDSNAFFCPHCYMGFLTDSSLEEHIRQVHCDLSGSRFGSPVLGTPKEPVVEVYSCSYCTNSPIFNSVLKLNKHIKENHKNIPLALNYIHNGKKSRALSPLSPVAIEQTTLKMMQTVGGGPARASGEYICNQCGAKYTSLDSFQTHLKTHLDTVLPKLTCPQCNKEFPNQESLLKHVTIHFMITSTYYICESCDKQFTSVDDLQKHLLDMHTFVFFRCTLCQEVFDSKVSIQLHLAVKHSNEKKVYRCTSCNWDFRNETDLQLHVKHNHLENQGKVHKCIFCGESFGTEVELQCHITTHSKKYNCRFCSKAFHAVILLEKHLREKHCVFETKTPNCGTNGASEQVQKEEAELQTLLTNSQESHNSHDGSEEDVDSSEPMYGCDICGAAYTMETLLQNHQLRDHNIRPGESAIVKKKAELIKGNYKCNVCSRTFFSENGLREHMQTHLGPVKHYMCPICGERFPSLLTLTEHKVTHSKSLDTGNCRICKMPLQSEEEFLEHCQMHPDLRNSLTGFRCVVCMQTVTSTLELKIHGTFHMQKTGNGSSVQTTGRGQHVQKLYKCASCLKEFRSKQDLVKLDINGLPYGLCAGCVNLSKSSSPGLSLPPGASRPGLGQNESLSAMEGKGKAGGLKTRCSSCNVKFESESELQNHIQTVHRELVPDANSTQLKTPQVSPMPRISPSQSDEKKTYQCIKCQMVFYNEWDIQVHVANHMIDEGLNHECKLCSQTFDSPAKLQCHLIEHSFEGMGGTFKCPVCFTVFVQANKLQQHIFSAHGQEDKIYDCTQCPQKFFFQTELQNHTMTQHSS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASEMEPEVQAIDRSLLECSAEEIAGRWLQATDLNREVYQHLAHCVPKIYCRGPNPFPQKEDTLAQHILLGPMEWYICAEDPALGFPKLEQANKPSHLCGRVFKVGEPTYSCRDCAVDPTCVLCMECFLGSIHRDHRYRMTTSGGGGFCDCGDTEAWKEGPYCQKHKLSSSEVVEEEDPLVHLSEDVIARTYNIFAIMFRYAVDILTWEKESELPEDLEVAEKSDTYYCMLFNDEVHTYEQVIYTLQKAVNCTQKEAIGFATTVDRDGRRSVRYGDFQYCDQAKTVIVRNTSRQTKPLKVQVMHSSVAAHQNFGLKALSWLGSVIGYSDGLRRILCQVGLQEGPDGENSSLVDRLMLNDSKLWKGARSVYHQLFMSSLLMDLKYKKLFALRFAKNYRQLQRDFMEDDHERAVSVTALSVQFFTAPTLARMLLTEENLMTVIIKAFMDHLKHRDAQGRFQFERYTALQAFKFRRVQSLILDLKYVLISKPTEWSDELRQKFLQGFDAFLELLKCMQGMDPITRQVGQHIEMEPEWEAAFTLQMKLTHVISMVQDWCALDEKVLIEAYKKCLAVLTQCHGGFTDGEQPITLSICGHSVETIRYCVSQEKVSIHLPISRLLAGLHVLLSKSEVAYKFPELLPLSELSPPMLIEHPLRCLVLCAQVHAGMWRRNGFSLVNQIYYYHNVKCRREMFDKDIVMLQTGVSMMDPNHFLMIMLSRFELYQLFSTPDYGKRFSSEVTHKDVVQQNNTLIEEMLYLIIMLVGERFNPGVGQVAATDEIKREIIHQLSIKPMAHSELVKSLPEDENKETGMESVIESVAHFKKPGLTGRGMYELKPECAKEFNLYFYHFSRAEQSKAEEAQRKLKRENKEDTALPPPALPPFCPLFASLVNILQCDVMLYIMGTILQWAVEHHGSAWSESMLQRVLHLIGMALQEEKHHLENAVEGHVQTFTFTQKISKPGDAPHNSPSILAMLETLQNAPSLEAHKDMIRWLLKMFNAIKKIRECSSSSPVAEAEGTIMEESSRDKDKAERKRKAEIARLRREKIMAQMSEMQRHFIDENKELFQQTLELDTSASATLDSSPPVSDAALTALGPAQTQVPEPRQFVTCILCQEEQEVTVGSRAMVLAAFVQRSTVLSKDRTKTIADPEKYDPLFMHPDLSCGTHTGSCGHVMHAHCWQRYFDSVQAKEQRRQQRLRLHTSYDVENGEFLCPLCECLSNTVIPLLLPPRSILSRRLNFSDQPDLAQWTRAVTQQIKVVQMLRRKHNAADTSSSEDTEAMNIIPIPEGFRPDFYPRNPYSDSIKEMLTTFGTAAYKVGLKVHPNEGDPRVPILCWGTCAYTIQSIERILSDEEKPVFGPLPCRLDDCLRSLTRFAAAHWTVALLPVVQGHFCKLFASLVPSDSYEDLPCILDIDMFHLLVGLVLAFPALQCQDFSGSSLATGDLHIFHLVTMAHIVQILLTSCTEENGMDQENPTGEEELAILSLHKTLHQYTGSALKEAPSGWHLWRSVRAAIMPFLKCSALFFHYLNGVPAPPDLQVSGTSHFEHLCNYLSLPTNLIHLFQENSDIMNSLIESWCQNSEVKRYLNGERGAISYPRGANKLIDLPEDYSSLINQASNFSCPKSGGDKSRAPTLCLVCGSLLCSQSYCCQAELEGEDVGACTAHTYSCGSGAGIFLRVRECQVLFLAGKTKGCFYSPPYLDDYGETDQGLRRGNPLHLCQERFRKIQKLWQQHSITEEIGHAQEANQTLVGIDWQHL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MMSQSSGSGDGNDDEATTSKDGGFSSPSPSAAAAAQEVRSATDGNTSTTPPTSAKKRKLNSSSSSSSNSSNEREDFDSTSSSSSTPPLQPRDSASPSTSSFCLGVSVAASSHVPIQKKLRFEDTLEFVGFDAKMAEESSSSSSSSSPTAATSQQQQLKNKSILISSVASVHHANGLAKSSTTVSSFANSKPGSAKKLVIKNFKDKPKLPENYTDETWQKLKEAVEAIQNSTSIKYNLEELYQAVENLCSYKISANLYKQLRQICEDHIKAQIHQFREDSLDSVLFLKKIDRCWQNHCRQMIMIRSIFLFLDRTYVLQNSMLPSIWDMGLELFRAHIISDQKVQNKTIDGILLLIERERNGEAIDRSLLRSLLSMLSDLQIYQDSFEQRFLEETNRLYAAEGQKLMQEREVPEYLHHVNKRLEEEADRLITYLDQTTQKSLIATVEKQLLGEHLTAILQKGLNNLLDENRIQDLSLLYQLFSRVRGGVQVLLQQWIEYIKAFGSTIVINPEKDKTMVQELLDFKDKVDHIIDICFLKNEKFINAMKEAFETFINKRPNKPAELIAKYVDSKLRAGNKEATDEELEKMLDKIMIIFRFIYGKDVFEAFYKKDLAKRLLVGKSASVDAEKSMLSKLKHECGAAFTSKLEGMFKDMELSKDIMIQFKQYMQNQNVPGNIELTVNILTMGYWPTYVPMEVHLPPEMVKLQEIFKTFYLGKHSGRKLQWQSTLGHCVLKAEFKEGKKELQVSLFQTLVLLMFNEGEEFSLEEIKQATGIEDGELRRTLQSLACGKARVLAKNPKGKDIEDGDKFICNDDFKHKLFRIKINQIQMKETVEEQASTTERVFQDRQYQIDAAIVRIMKMRKTLSHNLLVSEVYNQLKFPVKPADLKKRIESLIDRDYMERDKENPNQYNYIA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDRSLQSTHAKLVARDIQRLTQSPTESNSFSLLGGACVSRVEIVGTIVSRDLTPKFLKFGVDDGTGCVTCVMWLNQLTSSYFSRWDPATILLLASAARKQAAQIRIGAVARVRGRVGSYRGVMQITANVAVAERDPNAEILHWLECLKLGQSCYRVRIQS", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MIATQAKLVYQLNKYYNERCQARKAAIAKTIREVCKVVSDVLKEVEVQEPRFISSLSEIDARYEGMEVIAPNEFEVVLYLNQMGVFNFVDDGSLPGCAVLKLSDGRKRSMSLWVEFITASGYLSARKIRSRFQTLVAQAVDKCSYRDVVKMVADTSEVKLRIRERYVVQITPAFKCTGIWPRSAAQWPMPHIPWPGPNRVAEVKAEGFNLLSKECYSLTGKQSSAESDAWVLQFAEAENRLLMSGCRKKCLSVLKTLRDRHLELPGQPLNNYHMKTLLLYECEKHPRETDWDESCLGDRLNGILLQLISCLQCRRCPHYFLPNLDLFQGKPHSALETAAKQTWRLAREILTNAKSLDKL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAACICQPNLLEINVSDGPLDMIRKKRKIQQPQLRPPLRENKCQPHFSVRKVNQSYIISLHKEITCQLIAEIVKQKLSRIWEKVYIPSYELISDKDGNQIYVEQSVDENRLTSEIMEKLDPNNIDIEAIEILFDDYHLELSRLTNGIIISSANDHFYREFSFNNIIDDNFKICGTSMSADSFDKIYGVMWIEVPFNGNGLQNDSAVNRVSTSHNQIEELNDIEQEIRAFNISRSNQESIIKKEVSRRLNGR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKEEASEHGGSADETQELSPVSDSSDEMPNNAKRRRRSQSMIANKRIHQAFQEDEGDEDWEEEEHKPKAKRRYNTRSNESFSEGDDEPFEVSESSALEDELSDSEDSFIRSVRSKPKYKPGTRRSTRLRNRRSQDEEESEEEHRPILRERTSRINYSVPLAFPPVDEMDGDPSSQVNQSRSRKTHSELAITKLLRQQVSSFMPYIDSSGSESESDNTRIKKSSAKTIKALTDPANSGGPPDFGRIREKSDLADSDPLGVDSSLSFESVGGLDNYINQLKEMVMLPLLYPEIFQRFNMQPPRGVLFHGPPGTGKTLMARALAAACSSENKKVSFYMRKGADCLSKWVGEAERQLRLLFEEAKSTQPSIIFFDEIDGLAPVRSSKQEQIHASIVSTLLALMDGMESRGQVIIIGATNRPDAVDPALRRPGRFDREFYFPLPDRDARKKIIEIHTRNWDPPVPEWLCSMLAEKSKGYGGADLRALCTEAALNSIKRTYPQLYRSTKRLQIDPKTIKVKVKDFVMSMKRMIPSSERSSISPSKPLSPELKPLLNEAFQDIEKTLQKLMPVASKLNPLEEVMYDDPKENDFEYQQRLETFETLRIYKPRFLICGRKGLGQTALGPAILQQYEGVHVQSFDMSTLLQDSTQSIETSIIHLFLEVRRHTPSIIYIPDIDNWLNVLPLTAITTFSSMLERLDFSDQILFLALSSSPLSELHPQLREWFSSKQSVYSLQYPTRDSIIAFFQPILELIKASPTELPGGIPRKRRVLPELPLAPDPPPFTSQKITLKQTKQADMRLLNKLKIKLNALLGSLRARYRKFKKPLIDFNDIYCVDPETGHSYRSREECHYEFVDDVVKQIGSDQKFSMMSLEEIEKRTWDNCYCTPKQFVHDIKLILRDALQLEDSETIKRAQEMYANVLLGVEDMEDDQFSQRCERMALREAERRKLRHGKLQKHLDETKADMQFTSEKPSVDESITEVDDAIKDGPPVLAETLTNSLMEDVGPENVDMDIEDNEIFTNQSTMSVPSMLVENEESPKPDEYIDQKDKVQSPLLNGKSPVGVPSEAALRVSTDVSTNISSNGRADIPVDTLITSPADVPNNAPTDAHNITSADGHIENIEQEVVFPDLVFDEDRLTPLKQLLIDSTTGFTVDQLLHLHSFLYQIIWNTKSEWNRNSVVDECERAVKEFMINALQ", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDSLALAPQVYSRKDKSLGVLVANFLTLYNRPDVDLFGLDDAAAKLGVERRRIYDVVNILESIGLVARSGKNQYSWKGFGAVPRALSELKEEGMKEKFAIVPFVAKSEMVVYEKEGEESFMLSPDDQEFSPSPRPDNRKERTLWLLAQNFVKLFLCSDDDLVTFDSATKALLNESQDMNMRKKVRRLYDIANVFSSMKLIEKTHVPETKKPAYRWLGSKTIFENRFIDGSASLCDRNVPKKRAFGTELTNVNAKRNKSGCSKEDSKRNGNQNTSIVIKQEQCDDVKPDVKNFASGSSTPAGTSESNDMGNNIRPRGRLGVIEALSTLYQPSYCNPELLGLFAHYNETFRSYQEEFGREK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPQNEYIEESIRKHGRRFDHEERKRKKAAREAHDASLYAQKTRGIKAKLYQEKRRKEKIQMKKTIKQHEERNATQRGSDAQTQGAVPTYLLDREQESQAKMLSSAVKQKRKEKAAKYSVPLPQVRGVAEEEMFKVIRTGKSKKNSWKRMITKATFVGDGFTRRPVKYERFIRPMALRQKKANVTHKELGVTMQLPIIGVKKNPQSPTYTQLGVLTKGTVIEVNVSELGLVTSGGKVVWGKYAQITNNPELDGCVNALLLT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVQLRPRASRAPASAEAMVDEGQLASEEEEAEHGLLLGQPSSGAAAEPLEEDEEGDDEFDDEAPEELTFASAQAEAREEERRVRETVRRDKTLLKEKRKRREELFIEQKKRKLLPDTILEKLTTASQTNIKKSPGKVKEVNLQKKNEDCEKGNDSKKVKVQKVQSVSQNKSYLAVRLKDQDLRDSRQQAAQAFIHNSLYGPGTNRTTVNKFLSLANKRLPVKRAAVQFLNNAWGIQKKQNAKRFKRRWMVRKMKTKK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAPDLASQRHSESFPSVNSRPNVILPGREGRREGLPPGGGTRGSLVPTRPVPPSPAPLGTSPYSWSRSGPGRGGGAGSSRVPRGVPGPAVCAPGSLLHHASPTQTMAAADGSLFDNPRTFSRRPPAQASRQAKATKRKYQASSEAPPAKRRNETSFLPAKKTSVKETQRTFKGNAQKMFSPKKHSVSTSDRNQEERQCIKTSSLFKNNPDIPELHRPVVKQVQEKVFTSAAFHELGLHPHLISTINTVLKMSSMTSVQKQSIPVLLEGRDALVRSQTGSGKTLAYCIPVVQSLQAMESKIQRSDGPYALVLVPTRELALQSFDTVQKLLKPFTWIVPGVLMGGEKRKSEKARLRKGINILISTPGRLVDHIKSTKNIHFSRLRWLVFDEADRILDLGFEKDITVILNAVNAECQKRQNVLLSATLTEGVTRLADISLHDPVSISVLDKSHDQLNPKDKAVQEVCPPPAGDKLDSFAIPESLKQHVTVVPSKLRLVCLAAFILQKCKFEEDQKMVVFFSSCELVEFHYSLFLQTLLSSSGAPASGQLPSASMRLKFLRLHGGMEQEERTAVFQEFSHSRRGVLLCTDVAARGLDLPQVTWIVQYNAPSSPAEYIHRIGRTARIGCHGSSLLILAPSEAEYVNSLASHKINVSEIKMEDILCVLTRDDCFKGKRWGAQKSHAVGPQEIRERATVLQTVFEDYVHSSERRVSWAKKALQSFIQAYATYPRELKHIFHVRSLHLGHVAKSFGLRDAPRNLSALTRKKRKAHVKRPDLHKKTQSKHSLAEILRSEYSSGMEADIAKVKKQNAPGEPGGRPLQHSLQPTPCFGRGKTLKWRKTQKGVQRDSKTSQKV", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSVGCACPGCSSKSFKLYSPKEPPNGNAFPPFHPGTMLDRDVGPTPMYPPTYLEPGIGRHTPYGNQTDYRIFELNKRLQNWTEECDNLWWDAFTTEFFEDDAMLTITFCLEDGPKRYTIGRTLIPRYFRSIFEGGATELYYVLKHPKEAFHSNFVSLDCDQGSMVTQHGKPMFTQVCVEGRLYLEFMFDDMMRIKTWHFSIRQHRELIPRSILAMHAQDPQMLDQLSKNITRCGLSNSTLNYLRLCVILEPMQELMSRHKTYSLSPRDCLKTCLFQKWQRMVAPPAEPARQQPSKRRKRKMSGGSTMSSGGGNTNNSNSKKKSPASTFALSSQVPDVMVVGEPTLMGGEFGDEDERLITRLENTQFDAANGIDDEDSFNNSPALGANSPWNSKPPSSQESKSENPTSQASQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDTQIAITGVAVGKEINNDNSKTDQKVSLPKADVPCIDKATQTIIEGCSKDDPRLSYPTKLETTEKGKTKRNSFACVCCHSLKQKCEPSDVNDIYRKPCRRCLKHKKLCKFDLSKRTRKRKPRSRSPTPFESPMVNVSTKSKGPTDSEESSLKDGTSYLASFPSDPNAKQFPNSRTVLPGLQQSLSDLWSTLSQPPSYGAREAETTSTGEITTNNHTKSNGSVPTNPAVLASNDEHTNISDAPVIYSTYNSPVPISSAPTSINSEALFKHRPKIVGDEETQNVKVKRQKKSYSRHMTRSFRKQLQSLIISQKGKIRDISMKLDTWSKQWNDLVEKSMFLPTIADPVSVGIISHEEATLRLHLYKTEISYLSKLPFIKVEENVSVDELRKKKPILFSVIMSCVSIVLTPKQTTRGTIMKLDSFVLNLITNQIFKANNKSIEIIESLSTLCLWYNFFEWSSKTRYHIFNYICCCLTRDLGPTYVNRSFGMFSDEDPKRFKSPLELYSNGASLTLLVYISALNISIFLRQSIQARWSHVTEKACEDLVKETKKSRHYDNDKLLLDSADDPILVQFAKMNHVLENIHTHLHERDLNDDEFDDPIFTKKYLNKLMEKYHKQLQEIFTKLDRNRPRVIAFYYSVEAYLYQYKLAVFIGEMSHTINEKVELPREIMDDFVKCYHCCKSALEEFSKLEPILITSLPLFHTSRIIYTVGMLLLKLRYSVVAIPSFHDLMPLTDDAIALVIGVNNLLEKTSELYPFNNSLYKFRYVIALFCQTYANKVIDVADRYNAEREKLKEKQVIDEVSNGHDGTKPINAYVTESQKMPTEEDPIIDNNTNQNITAVPDEMLPVYSRVRDDTAAMNLNINSTSYMNESPHEHRESMTGTTLLPPPFISNDVTNSADSTNIKPSPSSSVDNLNDYLTDINSLAWGVNSLNDEFWTDLFMNDI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPPKKRMKNGSSLKSTSKKGEKSRNIITIQDLFSKREAQLTDTPNKLLTDHDQSASDYAYALKLQQLFDSENQATAPEKLPKDVIIPEEEYHTDTFNVVKESNDKPKENLVTSEECKASFFSTDSVNKDSTIDYDALQKDPLTYVKSCRARFVSKDTKSFSYSSLANTFSLISSTKSRIRIVTLLTNFLLTLLYADPDSLIATVWLCTNSIAPNFYGKNLGVGPAMYSKALKEVCGITASALKNLWNKYGDPGDVAFEAKVSVRTLSRPEPLTIKKVYSTLLKIADSNGNGAQNRKLELTKFLLISSNAEEVRYIGRSIMQNLRIGAVQNTMLASLSKAFFIFDNQNEIFNFNSDSLQQQFRQGEEIVKQSFFQVPDYNILVATLLREGIENLKDNMSIRPGIPVKPMLGSITKNLQHMLERLTDHNFSCEFKYDGQRAQIHCDRLGNIKIFSRHLEEITGRFPDVIEVAQLALKHSCDFIIEGELVAIDKSNGQILDFQKLSTRERKKVTVADITIDVCVFVFDIMFCDGKSCLQMPLIERRRMFFEHFNLIPNRFQFVSSLETNEEQSIQEFFSLAITNKCEGLMVKVLNGTNSKFPSTYEPDKRGEGWIKVKQDYDDEFESLDLVPIGAWYGNGRKAGWFSPILLAVYNPDTGAYEAVCKCMSGFSDQFYKELTQKYSLESGNSSLKPIYNFCETGKVTPQIYFAPQEVWEIKGAQITSSPAYKAALGLIQDDRGLSIRFPRFIRVRSDKGPEDASTNSILADMYMKQLNT", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTLRDHHFYNVTYTAYRLSPLFGFEYSNLTEIGKKLTRFLRYGTDRTGYFTNSTRFADLIIEKATFTEFGNTSSFPKFLKLDISYETSSDLEVKRKGQMFFFESFRKFSHAEADRTRLSLEGNSVFFSLALVRMDGALWMAVEQFLQQEFDTQILPCLIAPEILLEFLKIWQNHVNSQTALPLELTWTTGNPNLSSVTISIRPEDLKKIFRSSSFFYPILMEHIKRCTSLDLTNSVFSLSKVNTDCAILTSSGKLKIFSKAQNIVFDVLLALEPMQLPEY", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MATLLPPKSKRQKKESLNPTTIEIPEKFPLVNVQFRASDDSNELASLLVPGNSSVRQLEALLNQLLENSDDPVPYNFALHHEDETIEIQDNLYTSVFHNGLMKTEDHLTLLYTPQAVFRVRAVTRCTASMNGHDGTIISAQFSPSTSSRLVTGSGDFTARLWDCDTQTPIATMKGHTNWVSCVAWAPDASIIATGSMDNTIRFWDPKKGSPIGDALRRHTKPIMALCWQPLHLAPDSGPYLLASGSKDNTVRIWNVKLRTLLFTLSGHTAPITCVRWGGQNWIYSSSYDKTIRIWDAKDGKCLHILKGHAARVNHLSLSTEHVLRSGAYDHTDFKPKSFSDERRKAKERYEACLKQSGERLVSASDDLQLILWDPQKSTKPITKMHGHQKVVNHASFSPDGRCIATASFDSSVRLWDGKTGKFLATLRGHVAAVYQCAWSTDSRLLVSSSQDTTLKVWDVRSKKMKFDLPGHEDQVFAVDWSPDGQRVASGGADKAVRIWSH", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSAGDISAINIKSVKKNRRRKKRRTADVSSSDSSSSDPSSESEKEEIQNGAIEEHVGENGKSDHVFSKGNDEDKQEDIAIEVSDVELTDEESKDLKLNSKEVIDDLTKISLSKIPEPTKSQNKEGFMNASKIAENIKLAREEYNELAENFVPKGKDKTKLREEYLNLLFENYGDDINRLRAAPDFTNKSLSILADALQEGIGMFDIGELELVLKNKEMEN", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNESENSPQHNEVTVPMVEDTSSNADIPMEQIQREDNKNYDKHDNECFDMNGNHNNNSDNLQFDSVPSSATKDLKNIKSVTNQNVKIEESSSTNSVIEESSEPKISKLENVNLAATVGGSQTRKYLNTNVTPHLLAGMRLIAVQQPEDPLRVLGEYLIEQSNILKSGEKESNASK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRVCVPSSVLVLSCVCWCWGVLLPVPLPNLPFLACLSMSTLESVTYLPEKGLYCQRLPSSRTHGGTESLKGKNTENMGFYGTLKMIFYKMKRKLDHGSEVRSFSLGKKPCKVSDYTSTTGLVPCSATPTTFGDLRAANGQGQQRRRITSVQPPTGLQEWLKMFQSWSGPEKLLALDELIDSCEPTQVKHMMQVIEPQFQRDFISLLPKELALYVLSFLEPKDLLQAAQTCRYWRILAEDNLLWREKCKEEGIDEPLHIKRRKIIKPGFIHSPWKSAYIRQHRIDTNWRRGELKSPKVLKGHDDHVITCLQFCGNRIVSGSDDNTLKVWSAVTGKCLRTLVGHTGGVWSSQMRDNIIISGSTDRTLKVWNAETGECIHTLYGHTSTVRCMHLHEKRVVSGSRDATLRVWDIETGQCLHVLMGHVAAVRCVQYDGRRVVSGAYDFMVKVWDPETETCLHTLQGHTNRVYSLQFDGIHVVSGSLDTSIRVWDVETGNCIHTLTGHQSLTSGMELKDNILVSGNADSTVKIWDIKTGQCLQTLQGPSKHQSAVTCLQFNKNFVITSSDDGTVKLWDLKTGEFIRNLVTLESGGSGGVVWRIRASNTKLVCAVGSRNGTEETKLLVLDFDVDMK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAPIEYLLFEEPTGYAVFKVKLQQDDIGSRLKEVQEQINDFGAFTKLIELVSFAPFKGAAEALENANDISEGLVSESLKAILDLNLPKASSKKKNITLAISDKNLGPSIKEEFPYVDCISNELAQDLIRGVRLHGEKLFKGLQSGDLERAQLGLGHAYSRAKVKFSVQKNDNHIIQAIALLDQLDKDINTFAMRVKEWYGWHFPELAKLVPDNYTFAKLVLFIKDKASLNDDSLHDLAALLNEDSGIAQRVIDNARISMGQDISETDMENVCVFAQRVASLADYRRQLYDYLCEKMHTVAPNLSELIGEVIGARLISHAGSLTNLSKQAASTVQILGAEKALFRALKTKGNTPKYGLIYHSGFISKASAKNKGRISRYLANKCSMASRIDNYSEEPSNVFGSVLKKQVEQRLEFYNTGKPTLKNELAIQEAMELYNKDKPAAEVEETKEKESSKKRKLEDDDEEKKEKKEKKSKKEKKEKKEKKDKKEKKDKKEKKDKKKKSKD", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSQKVFGITGPVSTVGATAAENKLNDSLIQELKKEGSFETEQETANRVQVLKILQELAQRFVYEVSKKKNMSDGMARDAGGKIFTYGSYRLGVHGPGSDIDTLVVVPKHVTREDFFTVFDSLLRERKELDEIAPVPDAFVPIIKIKFSGISIDLICARLDQPQVPLSLTLSDKNLLRNLDEKDLRALNGTRVTDEILELVPKPNVFRIALRAIKLWAQRRAVYANIFGFPGGVAWAMLVARICQLYPNACSAVILNRFFIILSEWNWPQPVILKPIEDGPLQVRVWNPKIYAQDRSHRMPVITPAYPSMCATHNITESTKKVILQEFVRGVQITNDIFSNKKSWANLFEKNDFFFRYKFYLEITAYTRGSDEQHLKWSGLVESKVRLLVMKLEVLAGIKIAHPFTKPFESSYCCPTEDDYEMIQDKYGSHKTETALNALKLVTDENKEEESIKDAPKAYLSTMYIGLDFNIENKKEKVDIHIPCTEFVNLCRSFNEDYGDHKVFNLALRFVKGYDLPDEVFDENEKRPSKKSKRKNLDARHETVKRSKSDAASGDNINGTTAAVDVN", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MMNVPSAAAASSCDDFGYNATPPPPPSLLPIMDQDGGGGSIQRDHHQHHNHQQLGYNLEPSSLALLPPSNAAAAAAHHATIAHASPHDLLQFYPTSHYLAAAGGAGGGGNPYSHFTAAAAAGSTFQSYYQQPPQDAPEYYFPTLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSSAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSDGEHSGEKRRAQANKSAATKDKAGSSSKNAGIGDGFETQLLGGAHMSKDQDQAMDLGEVVKEAVDPKGKASMQQQQQQAHHGIHQQSHQQHGFPFPSSSGSCLFPQSQGAVSSTDTSNIAQVQEPSLAFHQQHHQHSNILQLGQAMFDLDFDH", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MQKPCKENEGKPKCSVPKREEKRPYGEFERQQTEGNFRQRLLQSLEEFKEDIDYRHFKDEEMTREGDEMERCLEEIRGLRKKFRALHSNHRHSRDRPYPI", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MMHIKSLPHAHAAATAMSSNCDIVIVAAQPQTTIANNNNNETVTQATHPAHMAAVQQQQQQQQQQQQQHHQQQQQQSSGPPSVPPPPTELPLPFQMHLSGISAEAHSAAQAAAMAAAQAAAAQAAAAEQQQPPPPTSHLTHLTTHSPTTIHSEHYLANGHSEHPGEGNAAVGVGGAVREPEKPFHCTVCDRRFRQLSTLTNHVKIHTGEKPYKCNVCDKTFRQSSTLTNHLKIHTGEKPYNCNFCPKHFRQLSTLANHVKIHTGEKPFECVICKKQFRQSSTLNNHIKIHVMDKVYVPVKIKTEEDEG", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MALPRELEISFSPEEMEFLAGNEYINIVPSETMDQLPLVSATIPIMKPPKKCRVPLWLALELKKQNLARIVPPEWMEIGKLENIRDDELENETFSELPFRWLETAHLLLNFCADDIEDVEDIRRILLDIREARQSKARTGLEAINEVQLTLDNLGAMEINEIRPIFREVMDRMRKIVQVSQEE", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTPPPPPPPPPGPDPAADPAADPCPWPGSLVVLFGATAGALGRDLGSDETDLILLVWQVVEPRSRQVGTLHKSLVRAEAAALSTQCREASGLSADSLARAEPLDKVLQQFSQLVNGDVALLGGGPYMLCTDGQQLLRQVLHPEASRKNLVLPDMFFSFYDLRREFHMQHPSTCPARDLTVATMAQGLGLETDATEDDFGVWEVKTMVAVILHLLKEPSSQLFSKPEVIKQKYETGPCSDSTVPCPYSSKADVVDSETVVRARGLPWQSSDQDVARFFKGLNVARGGVALCLNAQGRRNGEALIRFVDSEQRDLALQRHKHHMGVRYIEVYKATGEEFVKIAGGTSLEVARFLSREDQVILRLRGLPFSAGPTDVLGFLGPECPVTGGTEGLLFVRHPDGRPTGDAFALFACEELAQAALRRHKGMLGKRYIELFRSTAAEVQQVLNRYASGPLLPTLTAPLLPIPFPLAPGTGRDCVRLRGLPYTATIEDILSFLGEAAADIRPHGVHMVLNQQGRPSGDAFIQMTSAERALAAAQRCHKKVMKERYVEVVPCSTEEMSRVLMGGTLGRSGMSPPPCKLPCLSPPTYTTFQATPTLIPTETAALYPSSALLPAARVPAAPTPVAYYPGPATQLYLNYTAYYPSPPVSPTTVGYLTTPTAALASAPTSVLSQSGALVRMQGVPYTAGMKDLLSVFQAYQLPADDYTSLMPVGDPPRTVLQAPKEWVCL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLDRDVGPTPMYPPTYLEPGIGRHTPYGNQTDYRIFELNKRLQNWTEECDNLWWDAFTTEFFEDDAMLTITFCLEDGPKRYTIGRTLIPRYFRSIFEGGATELYYVLKHPKESFHNNFVSLDCDQCTMVTQHGKPMFTQVCVEGRLYLEFMFDDMMRIKTWHFSIRQHRELIPRSILAMHAQDPQMLDQLSKNITRCGLSNSTLNYLRLCVILEPMQELMSRHKTYSLSPRDCLKTCLFQKWQRMVAPPAEPARQAPSKRRKRKMSGGSTMSSGGGNTNNSNSKKKSPASTFALSSQVPDVMVVGEPTLMGGEFGDEDERLITRLENTQFDAANGIDDEDSFNNSPALGANSPWNSKPPSSQESKSENPTSQASQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKSSSLENGTLANAGVYEDDLDSDEELVSEEEFENFNNEDSEDDEDSNNESKTAKSQLAEIPFDTLLNAQRDLLKEQQKTKIDRKNMKHTEKVDKKFLAKERNTPLKNCQVKNQFLVFAKFDSLSGNLSKDKVKKNYGFLNEYRVSEIQQLRDELKICKDQERAESIRQTLKSLLSKMERHLEEERAERVMHEFRAQEKERVKEGKKPFYLKRNEQKKLIQMDKYKSMEGTKALDKYIEKKRRRRAQKEKKHLPRARPSANSQNK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAQSRVTDFYACRRPGLTTPRAKSICLTPSPGGLVAPAFTRSSSRKRARPPAEPGSDQPAPLARRRLRLPGLDSCPSSLPEPSSPAEPSPPADPSPPADPGSPVCPSPVKRTKSTTVYVGQQPGKIPSEDSVSELQSCLRRARKLGAQARALRARVQENAVEPSTPDAKVPTEQPCVEKAPAYQRFHALAQPGLPGLVLPYKYQVLVEMFRSMDTIVSMLHNRSETVTFAKVKQGVQEMMRKRFEERNVGQIKTVYPTSYRFRQECNVPTFKDSIKRSDYQLTIEPLLGQEAGGATQLTATCLLQRRQVFRQNLVERVKEQHKVFLASLNPPMAVPDDQLTRWHPRFNVDEVPDIEPAELPQPPVTEKLTTAQEVLARARSLMTPKMEKALSNLALRSAEPGSPGTSTPPLPATPPATPPAASPSALKGVSQALLERIRAKEVQKQLARMTRCPEQELRLQRLERLPELARVLRNVFVSERKPALTMEVVCARMVDSCQTALSPGEMEKHLVLLAELLPDWLSLHRIRTDTYVKLDKAVDLAGLTARLAHHVHAEGL", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASVEDATKVQEMLDALKAEYNALAHHSFASKARGNDYESSMIQSQIQEIEAFRKTVDDMYEKQKSIRETYEKDINKLKRELEELGVEANTASYRNRGERSELAASNNQVTHIDQEHPSQTKSTSQPPSNHLPAFQQIPPIHQSAYPQNNVAEVLMPPIPPSVEASSGQNFNQGIASQNPAISTSNLPSTTPLYIPPVNYGANQVSQQPNPQLPGVSNYYNPSATSKPAVNVQPPRIPTKATPSAEPSMTASANAGSISQAGPDGEYQGREQIAPVSDTEAARKTTSQSWYVTYNPACKRVFNINLVHTLEHPSVVCCVKFSNNGKYLATGCNQAANVFDVQTGKKLFTLHEESPDPSRDLYVRTIAFSPDGKYLVTGTEDRQIKLWDLSTQKVRYVFSGHEQDIYSLDFSHNGRFIVSGSGDRTARLWDVETGQCILKLEIENGVTAIAISPNDQFIAVGSLDQIIRVWSVSGTLVERLEGHKESVYSIAFSPDSSILLSGSLDKTIKVWELQATRSVGLSAIKPEGICKATYTGHTDFVLSVAVSPDSRWGLSGSKDRSMQFWDLQTGQSYLTCQGHKNSVISVCFSPDGRQFASGSGDLRARIWSIDPASP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNSDSSSVSSRASSPDMDEMYLRDHHHRHHHHHQESRLNSVSSTQGDMVQKMPGESLSRAGAKAAGESSKYKIKKQLSEQDLQQLRLKINGRERKRMHDLNLAMDGLREVMPYAHGPSVRKLSKIATLLLARNYILMLTSSLEEMKRLVGEIYGGHHSAFHCGTVGHSAGHPAHAANAVHPVHPILGGALSSGNASSPLSATSLPTIGTIRPPHSLLKAPSTPPALQLGSGFQHWAGLPCPCTICQMPPPPHLSALSTANMARLSAESKDLLK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSRGTRSSTAAEQKRQEDVNKESNMNDSVTEWSVLEETLLLKAICRGLRPVGIEKNFYMIGILREIRDGCKRSTIKAQDVWNKLGTLYNLKEFEELEAPGNEEVKAKEKRIKSPDVKDFKLPKDILKVKEKSEKPLETSQKVEIETVETKPGEPEVKQETNLQKEKKESKVKLESKEEKISRNLRSSSRSISPVTEQPQSPKIQPVIPEKKEKSEKKESSMTLRKRSVSPSSQNTARSPKRMATEPIEPASSPAASNQAIRRSSRSRRPPT", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEGGTAHYSPRTVEEVFRDFKGRRAGIIQALTTDVEDFFQQCDPEKQNLCLYGFPNEVWEVNLPAEEVPPELPEPALGINFARDGMQERNWLSLVAVHSDAWLLSVSFYFGSRFGFDRADRKRLFSMINEVPTVYEVVTGNAEKQTKEMPSSANQNGNRSKSNSKMRGLESKSSKTIHAKDEEEGLELEEGEEEEDEDEDEHGETLCGACGDNYASDEFWICCDMCEKWFHGECVKITPARAEHIKHYKCPTCSNKRARP", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MATAAAAAAVMAPPGCPGSCPNFAVVCSFLERYGPLLDLPELPFPELERVLQAPPPDVGNGEVPKELVELHLKLMRKIGKSVTADRWEKYLIKICQEFNSTWAWEMEKKGYLEMSVECKLALLKYLCECQFDDNLKFKNIINEEDADTMRLQPIGRDKDGLMYWYQLDQDHNVRMYIEEQDDQDGSSWKCIVRNRNELAETLALLKAQIDPVLLKNSSQQDNSSRESPSLEDEETKKEEETPKQEEQKESEKMKSEEQPMDLENRSTANVLEETTVKKEKEDEKELVKLPVIVKLEKPLPENEEKKIIKEESDSFKENVKPIKVEVKECRADPKDTKSSMEKPVAQEPERIEFGGNIKSSHEITEKSTEETEKLKNDQQAKIPLKKREIKLSDDFDSPVKGPLCKSVTPTKEFLKDEIKQEEETCKRISTITALGHEGKQLVNGEVSDERVAPNFKTEPIETKFYETKEESYSPSKDRNIITEGNGTESLNSVITSMKTGELEKETAPLRKDADSSISVLEIHSQKAQIEEPDPPEMETSLDSSEMAKDLSSKTALSSTESCTMKGEEKSPKTKKDKRPPILECLEKLEKSKKTFLDKDAQRLSPIPEEVPKSTLESEKPGSPEAAETSPPSNIIDHCEKLASEKEVVECQSTSTVGGQSVKKVDLETLKEDSEFTKVEMDNLDNAQTSGIEEPSETKGSMQKSKFKYKLVPEEETTASENTEITSERQKEGIKLTIRISSRKKKPDSPPKVLEPENKQEKTEKEEEKTNVGRTLRRSPRISRPTAKVAEIRDQKADKKRGEGEDEVEEESTALQKTDKKEILKKSEKDTNSKVSKVKPKGKVRWTGSRTRGRWKYSSNDESEGSGSEKSSAASEEEEEKESEEAILADDDEPCKKCGLPNHPELILLCDSCDSGYHTACLRPPLMIIPDGEWFCPPCQHKLLCEKLEEQLQDLDVALKKKERAERRKERLVYVGISIENIIPPQEPDFSEDQEEKKKDSKKSKANLLERRSTRTRKCISYRFDEFDEAIDEAIEDDIKEADGGGVGRGKDISTITGHRGKDISTILDEERKENKRPQRAAAARRKKRRRLNDLDSDSNLDEEESEDEFKISDGSQDEFVVSDENPDESEEDPPSNDDSDTDFCSRRLRRHPSRPMRQSRRLRRKTPKKKYSDDDEEEESEENSRDSESDFSDDFSDDFVETRRRRSRRNQKRQINYKEDSESDGSQKSLRRGKEIRRVHKRRLSSSESEESYLSKNSEDDELAKESKRSVRKRGRSTDEYSEADEEEEEEEGKPSRKRLHRIETDEEESCDNAHGDANQPARDSQPRVLPSEQESTKKPYRIESDEEEDFENVGKVGSPLDYSLVDLPSTNGQSPGKAIENLIGKPTEKSQTPKDNSTASASLASNGTSGGQEAGAPEEEEDELLRVTDLVDYVCNSEQL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSLIRRSNVFDPFSLDLWDPFDGFPFGSGGSSSGSIFPSFPRGASSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVDDGNILQISGERNKEQEEKTDQWHRVERSSGKFLRRFRLPDNAKPEQIKASMENGVLTVTVPKEEAKKPDVKSIQISG", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDMTSTAEAAARSWYDSPRLGGGGSSGGGNGGGVSPQTNGLGSAGSSLAHSHHSLSSGASSAGSSVGVGSALGGGGGSGLDTSDMSAFYALESNGHHRRYYPSYHQHTSRMPSTHASPQVCRPHFHTPLSPWLTSEHKSFAPASAWSMGQFACPQEPQVEHKLGQMGQSHQTTAAGQHSFPFPPTPPKDSTPDSVQTGPSEYQAVMNAFMHQQATGSTSLTDASCALDIKPSIQNGSASGSSGSGTTHTSTPKQREEGRECVNCGATSTPLWRRDGTGHYLCNACGLYYKMNGQNRPLIKPKRRLTLQSLQSAAKRAGTSCANCKTTTTTLWRRNASGEPVCNACGLYYKLHNVNRPLTMKKEGIQTRNRKLSSKSKKKKGLGGGCLPIGGHLGMGDFKPLDPSKGFGGGFSASMAQHGHLSSGLHPAHAHMHGSWYTGGMGALGASSGLQGGFSTAGSLSGAVVPHSQPYHLGLSSMGTWRTDYT", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAGGGGSCDPLAPAGVPCAFSPHSQAYFALASTDGHLRVWETANNRLHQEYVPSAHLSGTCTCLAWAPARLQAKESPQRKKRKSEAVGMSNQTDLLALGTAVGSILLYSTVKGELHSKLISGGHDNRVNCIQWHQDSGCLYSCSDDKHIVEWNVQTCKVKCKWKGDNSSVSSLCISPDGKMLLSAGRTIKLWVLETKEVYRHFTGHATPVSSLMFTTIRPPNESQPFDGITGLYFLSGAVHDRLLNVWQVRSENKEKSAVMSFTVTDEPVYIDLTLSENKEEPVKLAVVCRDGQVHLFEHILNGYCKKPLTSNCTIQIATPGKGKKSTPKPIPILAAGFCSDKMSLLLVYGSWFQPTIERVALNSREPHMCLVRDISNCWAPKVETAITKVRTPVMNSEAKVLVPGIPGHHAAIKPAPPQTEQVESKRKSGGNEVSIEERLGAMDIDTHKKGKEDLQTNSFPVLLTQGLESNDFEMLNKVLQTRNVNLIKKTVLRMPLHTIIPLLQELTKRLQGHPNSAVLMVQWLKCVLTVHASYLSTLPDLVPQLGTLYQLMESRVKTFQKLSHLHGKLILLITQVTASEKTKGATSPGQKAKLVYEEESSEEESDDEIADKDSEDNWDEDEEESESEKDEDVEEEDEDAEGKDEENGEDRDTASEKELNGDSDLDPENESEEE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRGGSSLDSFPGKGTLHTLEIENFKSYKGKHTIGPFTRFTAIIGPNGSGKSNLMDAISFVLGEKPSSLRVRKYADLIHGAPINKPVAKKCRVTMNYKYSDGKVKAFTRGVNNGTSEHLLDGQTVTSAAYSQEMESINIFIKARNFLVYQGAIENIAMKTPKERTQLFEELSRSHEFQAEYERLKVEMTKAEDDTQHNMNKRRGIAQEKREAKMEKDEAEKYQTMKNELAAKSTMLFLHQLFHCERTIDESKEEINAQKKTIASLEATRSKEEAKIAAVHQEHRKALREVQKMTRKLDQKETDLAEKQQNMLTLKVSVAHEHKKLEIAKKMLAAAESKAENNSTQLADLKKSKKELEKKKAAYEAEIQDMMQRGELNLSDEQVREYGQLKDQAQRESAMVQRELLMAEQVFEGDKSSLNHELRRQKEHQERVKAKEGDVRRIETQIATLAQRIKETEEETKILKADLKKIENDVVIDKSAAAEYNKELVAVVRQLSEASGDSAEGERNQRRTEALEGLKKNFPESVYGRLVDLCQPSHKRFNIATTKILQKHMNSIVCDTEETAAKAIVYLKDHRYPPETFLPNDALVVNPLNEKLREIKKPAGVKLVFDVINPQHQAARKALQFVCGNALVCESQEDAKQLAYGGGELKDRFKAVSMDGTLFQQSGVMSGGSADLRQKSKKWDEKVVKQLREKRNQLNEKIADLQKHRRRELEVESVRSKINGNEQRLAMMKRDLKNMREMQLERLQNELEGMTAEMNMLPPRISNCQEKLERSESTLKSLQTKSNEVADRIFADFCTRVGIASIRDYENREMRIKQEMEDKLRSFDDDIQKLAYEIDFVTEQDGNRKVEVEKEKVSQIDRQYKDMKKKEKTAAAALKEHTESMEQDKEVLEEKKALSHKLETEWNEVKKIAQVAMKDFTKAEKELLRLESLLTKKQYERHSLLHSVKLGQIALPLKSGSMADVEYEEDDGDDTASQSSQSATDGPSVSEEQIQREQHIKINYDSLPREYKDVDDDDGVRQMSNRLNVEIDELQKNVSKMNAPNLKANQRMAEVKEREAESTEELENARKKAKRIRQQFEKVKTDRYRRFQDFFDPVANTIDDIYKQLSRNTSAQAFLGADNMEEPYLDGIQYNCVAPGKRFRPMDNLSGGEKTIAALALLFAVHGRNPAPFFVLDEIDAALDNTNIGKVASYICESAREHMQIIVISLKEEFYNKADSLIGIFPYPAACTTSGVLTFDLTRFKQIGLNEMTENPPTPSIAT", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPSNHNTSVPKFSSFNSVKAKKNPITKSNKKYRSSHDQVSSNHAKSSFPSHRSIQSNFAVDTKGEKQNLLYGINKRPVPKYHRSSSSVYGSAPLLRIVKESKEGITLNKKKSLEIKYDEERSFDEKENDESEFEDGQQGFIPLLVNRNSDPSEKSTFSLNILKAIKETDEEIKKNPGKARLWIKMCEYQERLLFDEFRRSNSDDIKGKLKIENNSRSVKLSILEKALKEVKGCDHEILVSYYLQLGSEEWSKEETNQKFEEVLIEHPGYLNLWMKYAEYFTGISEFTFNDCLNMFSKCFKFLKQKLSDRKSCKERESTDVTSNFEVEEAILHLLIRLCDFLKNCGYYELAWSIFQANMELCYFYPRYLEKKLDSTFFESFSKFWNSDTPKFSEENARGWCNVLDDESSQQNQNFSSEIGIFQTVKLWYLNESKFDTNPPPRSTMSCRKLSGIDDPFRYIVFNDIQDFIVCFESETIAFAFKYKFFAFCGVPLFPPGISTNSWFASYDKGIYNLLFGMASSESFINGQIAEKNSFQFPCSILPSYIDLFISLMSFKNLNFKLFDYNLAHHVKESMERAFHQLVFSADDEYLASVYLIYLKQMETKNLSEEKPQVNKIVKKILKKYDSSVSVWNTYAQLEHLSGAFTMAETIFKTIFQIHASQLRYIDNLNVYKNWAFRKLLINDTEGCLVIIKCLLFPGDKSLTSDNNRASEMLFGMLENCASKEELLYVCLIYTIWTHCTDMDSMDNCVYLCIQKFESYGWGASSEMECYFSYCSLIFYYQATTLQFYNLPKVRPFFEKGVTLFSANTAIWEVYIFFESKLRQENKPKIRAMKILKSASNAVVTACWYLFYVAVQQIEPTNSQYFLRTLDITLNNEKLKSVAKFWRIYLKILNLRLNGTEWVSAITTKALASCPCNKGVCMDVIDLLLKKEMESRAIICYIIMLEKGFRVHNEIRRDVLKFERGDELILSPN", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTFQASHRSAWGKSRKKNWQYEGPTQKLFLKRNNVSAPDGPSDPSISVSSEQSGAQQPPALQVERIVDKRKNKKGKTEYLVRWKGYDSEDDTWEPEQHLVNCEEYIHDFNRRHTEKQKESTLTRTNRTSPNNARKQISRSTNSNFSKTSPKALVIGKDHESKNSQLFAASQKFRKNTAPSLSSRKNMDLAKSGIKILVPKSPVKSRTAVDGFQSESPEKLDPVEQGQEDTVAPEVAAEKPVGALLGPGAERARMGSRPRIHPLVPQVPGPVTAAMATGLAVNGKGTSPFMDALTANGTTNIQTSVTGVTASKRKFIDDRRDQPFDKRLRFSVRQTESAYRYRDIVVRKQDGFTHILLSTKSSENNSLNPEVMREVQSALSTAAADDSKLVLLSAVGSVFCCGLDFIYFIRRLTDDRKRESTKMAEAIRNFVNTFIQFKKPIIVAVNGPAIGLGASILPLCDVVWANEKAWFQTPYTTFGQSPDGCSTVMFPKIMGGASANEMLLSGRKLTAQEACGKGLVSQVFWPGTFTQEVMVRIKELASCNPVVLEESKALVRCNMKMELEQANERECEVLKKIWGSAQGMDSMLKYLQRKIDEF", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPLTGVEPARMNRKKGDKGFESPRPYKLTHQVVCINNINFQRKSVVGFVELTIFPTVANLNRIKLNSKQCRIYRVRINDLEAAFIYNDPTLEVCHSESKQRNLNYFSNAYAAAVSAVDPDAGNGELCIKVPSELWKHVDELKVLKIHINFSLDQPKGGLHFVVPSVEGSMAERGAHVFSCGYQNSTRFWFPCVDSYSELCTWKLEFTVDAAMVAVSNGDLVETVYTHDMRKKTFHYMLTIPTAASNISLAIGPFEILVDPYMHEVTHFCLPQLLPLLKHTTSYLHEVFEFYEEILTCRYPYSCFKTVFIDEAYVEVAAYASMSIFSTNLLHSAMIIDETPLTRRCLAQSLAQQFFGCFISRMSWSDEWVLKGISGYIYGLWMKKTFGVNEYRHWIKEELDKIVAYELKTGGVLLHPIFGGGKEKDNPASHLHFSIKHPHTLSWEYYSMFQCKAHLVMRLIENRISMEFMLQVFNKLLSLASTASSQKFQSHMWSQMLVSTSGFLKSISNVSGKDIQPLIKQWVDQSGVVKFYGSFAFNRKRNVLELEIKQDYTSPGTQKYVGPLKVTVQELDGSFNHTLQIEENSLKHDIPCHSKSRRNKKKKIPLMNGEEVDMDLSAMDADSPLLWIRIDPDMSVLRKVEFEQADFMWQYQLRYERDVVAQQESILALEKFPTPASRLALTDILEQEQCFYRVRMSACFCLAKIANSMVSTWTGPPAMKSLFTRMFCCKSCPNIVKTNNFMSFQSYFLQKTMPVAMALLRDVHNLCPKEVLTFILDLIKYNDNRKNKFSDNYYRAEMIDALANSVTPAVSVNNEVRTLDNLNPDVRLILEEITRFLNMEKLLPSYRHTITVSCLRAIRVLQKNGHVPSDPALFKSYAEYGHFVDIRIAALEAVVDYTKVDRSYEELQWLLNMIQNDPVPYVRHKILNMLTKNPPFTKNMESPLCNEALVDQLWKLMNSGTSHDWRLRCGAVDLYFTLFGLSRPSCLPLPELGLVLNLKEKKAVLNPTIIPESVAGNQEAANNPSSHPQLVGFQNPFSSSQDEEEIDMDTVHDSQAFISHHLNMLERPSTPGLSKYRPASSRSALIPQHSAGCDSTPTTKPQWSLELARKGTGKEQAPLEMSMHPAASAPLSVFTKESTASKHSDHHHHHHHEHKKKKKKHKHKHKHKHKHDSKEKDKEPFTFSSPASGRSIRSPSLSD", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MERGGYGGGSGQGYNNFAVPPPNYQQMPNKTGNYNEPPPNYGKQGGGYDSGSGHRGSGGSGNGGGGGGSWNDRGGNSYGNGGASKDSYNKGHGGYSGGGGGGGGGGGGGSGGNDMITQEDTIFVSGMDPSTTEQDIETHFGAIGIIKKDKRTMKPKIWLYKNKETGASKGEATVTYDDTNAAQSAIEWFDGRDFNGNAIKVSLAQRQNNWNKGGGGGGGGGGRGGFGGRRGGGGGGGGGGGGGGRFDRGGGGGGGRYDRGGGGGGGGGGGNVQPRDGDWKCNSCNNTNFAWRNECNRCKTPKGDDEGSSGGGGGGGYGGGGGGGGYDRGNDRGSGGGGYHNRDRGGNSQGGGGGGGGGGGYSRFNDNNGGGRGGRGGGGGNRRDGGPMRNDGGMRSRPY", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSKYGPLGITNFITPYDLCILILIHAHCSQDNGISVPTAVFLRLISPTRPSLEWNPLLKDNSNLRSSSIVPPPVLPILDNIIRILLDDKDGNKIALTLMGYLEAINGLDSINRLMMDLEKNCLVNNYRSMKMRTTSTRRQMTRASFLGTFLSTCIRKYQIGDFEMRETIWINLQNFKTVFKHTPLWLRFKDNVHIQKVKNCLLANDEISVEDQQMVEFFQHFNNGNDADSKTMNEENYGTLISIQHLQSIVNRQIVNWLDNTEFNLMGQEETSSTYEEQSGLVFDLLDTLSLNDATKFPLIFILKYLEAIKENSYQTALDSLHNYFDYKSTGNSQNYFHISLLSLATFHSSFNECDAAINSFEEATRIARENKDMETLNLIMIWIINFIEVHPEYANRFYITVEQIIKYLKNSSDVEDANIFSNAYKFETLLSMVKESKTAEVSSSLLKFMAITLQNVPSQNFDLFQSLVSYEVKFWKELGYESISDVYEKFLSKTSSSSLRNYDSSIINQDIKVAFKALEEDDFLKVKQYLLKSESLELDYDQKINLKYLRVKYLVKIGDYDLSMRLINQYVKECCEEVADSNWRFKFEIESINVLLLSDVGIRSLPKIIKLIDEYKEIGNPLRCVILLLKLCEVLIQVGKSMEAECLISCNLSTILEFPFVRKKTDELLESLSVEEDRDVQMT", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTIRSSMKNNAELESKSVLANESNIISTFTRRIIKEKSGNYQVLKRSLDGKLIYPEATGISSNRGNKLLQRSEVVTRRDLNNSKPMIEQTVFYNGSEHRLLQTNIVTDSRRKRIKFTPDINVEPVLVGDENDIDGSEKEDENITDEYYGEEDDDDLSKLVNVKEILTPILSLGDIINHKTISRTFSSPILKNLALQIILMIEKEQMSVVRYSQFLEVFLGDHPEPIYESNLNLPSYNHNLTLPEDRGASDEDDINNKNNINEVNSNSLSTEAGHINNGMEEFGEEDPFFALPRLEQSNALLSLLPSSSGSASISTLTAAEQQQLNEEIESARQLSQIALQRNKEFIRNLQKIRKSVIKANRIRGRILNWSREYLGISDDDITIPVALRVVKRGLISATTNKTTNFEEEIENTMEDGVVDDNEPDEEANRA", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAPGRKRGANKAMAIGEMRLGDLVLAKVKGFPAWPAKIGQPEDWNQAPDPKKHFVQFYGTGEIGFVTPPDIQPFTSETKKKLSARCQGKTVKYFSQAVEEISAAFEESQKQKSDIVGNEALLNAVEPSVTKPKYLNQASSDGKSDKFSSRADPCLGKLVENNGAEINPDVGEQDSSISNNRNTSPSSEPVEHGSPDPILKVAVDDKIDNVTCTDHSDGTGNNLVNDQRIIRKTTDDSNKRCKDEVRAKRVPDSRAATDNHILGPNQKLKGSIKGQDHGSKKGQDHGCRKESSDSKVVTDLNIASSKKPKELLKEKKKRFENELGKSASGADESKRAAKRPRSEDAKDQKQCKSKRLVPVGEGKAEISDSTGVVSIFKREIVLGISALGGKNQFDKDMVAYTKRRKQTVEHTSVSSFPGSLVKEGANHPEQKISSSSDSDIKVQAAQLPKRRRAVCIYDDDDDDEDPKTPVHGGLSNIPIASTDAPKSANASHNTSIKAKLLAGSTDSVKTGKVPLYKHNKDASLALPDSVEGYNSRMGKPFKALLQKNIKPILRSPKNSYQLVSFKKQVTGQNKTAKVAGAGMPDSVEGPSNSSYMGKPVIKLPPQNVKQTLRSPKKSPQLFSTKELVAVQNKIAKVSGAGIPKKYHGDSSKDVVAGSDRVSSSHSQTANQRSKPAFGEKPTSTPKVATRLDVEVSRDTFVNLSADVIDVNQENGNAPLFSFGMSDSSSSCMKDLIAAAQAKRKQAHSQFSPFVNLDHNSLNIDSMQTSKSPFMVQNVSSPAADATLIVAQEHQEVLTPSNHGRQSSSSNQAGTEENEERRFSSGHRSVGGSLSGATEAAISRDTFEGMIETLSRTKESIRRATRVAIDCAKYGIANEVVELLIRKLEIEPHFPRKVDLFFLLDSIIQSSHSQKGRARSLYIPTVQAALPRLLGAAAPPGTGARENRHQCRKVLRLWLKRKIFPDFLLRRYIGDLGASGDDKTVGFSLRRPSRSERAVDDPLRDMEGMLVDEYGSNANFQLPGYLASLTFGDDEEEDLPSTSQEVKNTHMEVKITHMEEPVLALGKLEAHDSSSDKPHCVVDVNGGLEMEDASCQLKDDVCGIEAKEDSPATTCATELPSFPAGSPPLPHESPPSPPPQPPSSPPPPSSPPQLAPAPPPSDHCLPPPTAPLAPAQSIALPPSSITRPSMPSHPSLPLQPGFAPPAYPLLQHEYQISMQRDHSSIATSNQIAPVPVNAAHGRHADGGVKSEYLMPQSSSFAPVGMCSYGEPLPFISSKQLEYGNSDVLFKQEASSQNQQLRPINTSFLQRPMIRNLAPAPSSHFPLPCRIVQSEPQRSSFPHPYHFPSQPVDGRQHMNEEWRMPPNGCSADPQYGAWIGVRNPFPGSRTVTDGVFQPPPERPPSGTVRYQLAANNLQGGSTISGNIASQMLLSRPDVPSAAQYRPS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEDHGIVETLNFLSSTKIKERNNALDELTTILKEDPERIPTKALSTTAEALVELLASEHTKYCDLLRNLTVSTTNKLSLSENRLSTISYVLRLFVEKSCERFKVKTLKLLLAVVPELMVKDGSKSLLDAVSVHLSFALDALIKSDPFKLKFMIHQWISLVDKICEYFQSQMKLSMVDKTLTNFISILLNLLALDTVGIFQVTRTITWTVIDFLRLSKKENGNTRLIMSLINQLILKCHCFSVIDTLMLIKEAWSYNLTIGCTSNELVQDQLSLFDVMSSELMNHKLPYMIGQENYVEELRSESLVSLYREYILLRLSNYKPQLFTVNHVEFSYIRGSRDKNSWFALPDFRLRDRGGRSVWLKILGITKSLLTYFALNRKNENYSLLFKRRKCDSDIPSILRISDDMDTFLIHLLEENSSHEFEVLGLQLCSFYGTLQDFTKSFAEQLKELLFSKFEKIQCFNWVCFSFIPLLSQKECELSNGDMARLFKVCLPLVKSNESCQLSCLLLANSIKFSKQLLSDEKTINQIYDLYELSDILGPILVTNESFMLWGYLQYVGKDFQSMNGISSADRIFEWLKSKWNQLRGTDAKQDQFCNFISWLGNKYDPENPFNDKKGEGANPVSLCWDESHKIWQHFQEQREFLLGVKPEEKSECFNTPFFNLPKVSLDLTRYNEILYRLLENIESDAFSSPLQKFTWVAKLIQIVDNLCGDSTFSEFIAAYKRTTLITIPQLSFDSQNSYQSFFEEVLSIRTINVDHLVLDKINMKEIVNDFIRMQKNKSQTGTSAINYFEASSEDTTQNNSPYTIGGRFQKPLHSTIDKAVRAYLWSSRNKSISERLVAILEFSDCVSTDVFISYLGTVCQWLKQAIGEKSSYNKILEEFTEVLGEKLLCNHYSSSNQAMLLLTSYIEAIRPQWLSYPEQPLNSDCNDILDWIISRFEDNSFTGVAPTVNLSMLLLSLLQNHDLSHGSIRGGKQRVFATFIKCLQKLDSSNIINIMNSISSYMAQVSYKNQSIIFYEIKSLFGPPQQSIEKSAFYSLAMSMLSLVSYPSLVFSLEDMMTYSGFNHTRAFIQQALNKITVAFRYQNLTELFEYCKFDLIMYWFNRTKVPTSKLEKEWDISLFGFADIHEFLGRYFVEISAIYFSQGFNQKWILDMLHAITGNGDAYLVDNSYYLCIPLAFISGGVNELIFDILPQISGKTTVKYHKKYRLLMLKWIIRFTDLGSLTELRSTVEKLFPTSYLSPYLFENSSVSMRYQYPLHIPLALGATLVQTQFAHEKNNTHEFKLLFLSVITDLEKTSTYIGKLRCARELKYLFVLYENVLVKSSTLNFIIIRLSKFLIDTQIHDEVITIFSSLLNLADKNTFEIEPSLPNLFCKIFIYLRENKQLSPSFQQAIKLLEHRDLIKIKTWKYCLDAIFGNIVQDDIYENTELLDASDCGVDDVVLVSLLFSYARRPVASKIGCSLSKAAAINILKHHVPKEYLSKNFKLWFAALSRRILQQEVQRERSTNFNNEVHLKNFEMVFRHPEQPHMIYQRISTFNKEAELYDSTEVFFISECILTYLVGYSIGNSESEFCFRDNIMNENKDKVAPLDKDVLNAIYPLANNFGMESFICDTYLSVNEPYNCWLSKFARSLIHQISFNIPPIVCLYPLCKGSTAFCELVLTDLFFLSTTYDPKSCLNWSNRIFTQIAMLLHVKDSEIKLKMLFNVIKMIRMGSRCKERNCLRIYSSLDLQEICQISLKIKEFKFGYLLFEEMNMPNIREMNINTLQKIYECINDGDFLAGLPVPHSIEGVLNSINRIDSDTWKRFLFNNADFDANYTTSLEEEKESLIKATEDSGFYGLTSLLESRLSGSSDVYKWNLELGDWKLLTPKVVDSKAKGLYYAIKNLPQDVGFAEKSLEKSLLTIFDSRQHFISQTEWMDTLNAIIEFIKIAAIPQDVTSFPQTLMSIMKADKERLNTIDFYDHKTTLKSRHTLMNVLSRNSLDENVKCSKYLRLGSIIQLANYVQLAIANGAPQDALRNATLMSKTVKNIAKLYDDPSVVSQIEKLASFTSANALWESREYKAPVMIMRDLLAQNEKNISESILYDDFKLLINVPMDQIKARLVKWSSESRLEPAAAIYEKIIVNWDINVEDHESCSDVFYTLGSFLDEQAQKLRSNGEIEDREHRSYTGKSTLKALELIYKNTKLPENERKDAKRHYNRVLLQYNRDSEVLKALLLQKEKFLWHALHFYLNTLVFSNRYDNDIIDKFCGLWFENDDNSKINQLLYKEIGTIPSWKFLPWVNQIASKISMEENEFQKPLQLTMKRLLYKLPYDSLYSVMSILLYEKQSNKDTNISQKIQAVKKILLELQGYDRGAFAKKYLLPVQEFCEMSVELANLKFVQNTKTLRLANLKIGQYWLKQLNMEKLPLPTSNFTVKSSADGRKARPYIVSVNETVGITTTGLSLPKIVTFNISDGTTQKALMKGSNDDLRQDAIMEQVFQQVNKVLQNDKVLRNLDLGIRTYKVVPLGPKAGIIEFVANSTSLHQILSKLHTNDKITFDQARKGMKAVQTKSNEERLKAYLKITNEIKPQLRNFFFDSFPDPLDWFEAKKTYTKGVAASSIVGYILGLGDRHLNNILLDCSTGEPIHIDLGIAFDQGKLLPIPELVPFRLTRDIVDGFGVTGVDGLFRRSCERVYAVLRKDYVKVMCVLNILKWDPLYSWVMSPVKKYEHLFEEEHEITNFDNVSKFISNNDRNENQESYRALKGVEEKLMGNGLSVESSVQDLIQQATDPSNLSVIYMGWSPFY", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPVTKSLSKLQKNLSKKGKNITVHPKGRKYEKLVRATMREDKIAAKKKLHQDKRVHELARVKFMQDVVNSDTFKGQPIFDHAHTREFIQSFIERDDTELDELKKKRRSNRPPSNRQVLLQQRRDQELKEFKAGFLCPDLSDAKNMEFLRNWNGTFGLLNTLRLIRINDKGEQVVGGNE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MHSMISSVDVKSEVPMGLEPISPLDLRTDLRMMMPVVDPVVREKQLQQELLLIQQQQQIQKQLLIAEFQKQHENLTRQHQAQLQEHIKELLAIKQQQELLEKEQKLEQQRQEQEVERHRREQQLPPLRGKDRGRERAVASTEVKQKLQEFLLSKSATKDTPTNGKNHSVGRHPKLWYTAAHHTSLDQSSPPLSGTSPSYKYTLPGAQDSKDDFPLRKTASEPNLKVRSRLKQKVAERRSSPLLRRKDGNLVTSFKKRVFEVAESSVSSSSPGSGPSSPNNGPAGNVTENEASALPPTPHPEQLVPQQRILIHEDSMNLLSLYTSPSLPNITLGLPAVPSPLNASNSLKDKQKCETQMLRQGVPLPSQYGSSIAASSSHVHVAMEGKPNSSHQALLQHLLLKEQMRQQKLLVAGGVPLHPQSPLATKERISPGIRGTHKLPRHRPLNRTQSAPLPQSTLAQLVIQQQHQQFLEKQKQYQQQIHMNKLLSKSIEQLKQPGSHLEEAEEELQGDQSMEDRAASKDNSARSDSSACVEDTLGQVGAVKVKEEPVDSDEDAQIQEMECGEQAAFMQQVIGKDLAPGFVIKVII", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSSTSQKHRDFVAEPMGEKSVQCLAGIGEALGHRLEEKGFDKAYVVLGQFLVLKKDEELFKEWLKDICSANAKQSRDCYGCLKEWCDAFL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTGVFDRRVPSIRSGDFQAPFPTSAAMHHPSQESPTLPESSATDSDYYSPAGAAPHGYCSPTSASYGKALNPYQYQYHGVNGSAAGYPAKAYADYGYASPYHQYGGAYNRVPSATSQPEKEVAEPEVRMVNGKPKKVRKPRTIYSSFQLAALQRRFQKTQYLALPERAELAASLGLTQTQVKIWFQNKRSKIKKIMKNGEMPPEHSPSSSDPMACNSPQSPAVWEPQGSSRSLSHHPHAHPPTSNQSPASSYLENSASWYPSAASSINSHLPPPGSLQHPLALASGTLY", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDPTGSQLDSDFSQQDTPCLIIEDSQPESQVLEEDAGSHFSVLSRHLPNLQMHKENPVLDIVSNPEQSAVEQGDSNSSFNEHLKEKKASDPVESSHLGTSGSISQVIERLPQPNRTSSALAVTVEAASLPEEEKEEEELEEKEGVGANAPGADSLAAEDSASSQLGFGVLELSQSQDVEEHTVPYDVNQEHLQLVTTNSGSSPLSDVDASTAIKCEEQPTEDIAMIEQPSKDIPVTVQPGKGIHVVEEQNLPLVRSEDRPSSPQVSVAAVETKEQVPARELLEEGPQVQPSSEPEVSSTQEDLFDQSSKTASDGCSTPSREEGGCSPVSTPATTLQLLQLSGQKPLVQESLSTNSSDLVAPSPDAFRSTPFIVPSSPTEQGGRKDEPMDMSVIPAGGEPFQKLHDDEAMETEKPLLPSQPTVSPQASTPVSRSTPVFTPGSLPIPSQPEFSHDIFIPSPSLEEPSDDVKKGGGLHSSSLTVECSKTSESEPKNFTDDLGLSMTGDSCKLMLSTSEYSQSSKMESLGSPRTEEDRENTQIDDTEPLSPVSNSKLPADSENVLVTPSQDDQVEMSQNVDKAKEDETEDRGDCKGREDAVAEDVCIDLTCDSGSQAVPSPATRSEALSSVLDQEEAMDTKEHHPEEGFSGSEVEEVPETPCGSHREEPKEEAMESIPLHLSLTETQSEALCLQKEAPKEECPEAMEVETSVISIDSPQKLQVLDQELEHKDPDTWEEATSEDSSVVIVDVKEPSPRADVSCEPLEEVEKCSDSQSWEGVAPEEEPCAENRLDTPEEKRIECDGDSKAETTEKDAVTEDSPQPPLPSVRDEPVRPDQETQQPQVQEKESPVTVDAEVADDKQLGPEGACQQLEKAPACASQSFCESSSETPFHFTLPKEGDIIPPLTGATPPLIGHLKLEPKRHSTPIGISNYPESTIATSDVTSESMVEINDPLLGNEKGDSESAPEMDGKLSLKMKLVSPETEASEESLQFSLEKPTTAERKNGSTAIAEPVASLQKPVPVFGCIYEAQQEKEAQSEAPPSAPDRANLLHFPSAQEEDKERPDVTPKLRQSEQPVKPVGPVMDDAAPEDSASPVSQQRASQEPFSPAEDVMETDLLEGLAANQDRPSKMLMDRPTQSNIGIQTVDHSLCAPETVSAATQTVKSVCEQGTSTAEQNSGKQDATVQTERGSGEKPASAPVDDTESLHSQGEEEFEMPQPPHGHVLHRHMRTIREVRTLVTRVITDVYYVDGTEVERKVTEETEEPIVECQECETEVSPSQTGGSSGDLGDISSFSSKASSSHHTSSGTSLSAIHSSGSSGRGAGPLKGKASGTEAADFALPSSRGGPGKLSPRKGISQTGAPVCEEDGDAGLGIRQGGKAPVTPRGRGRRGRPPSRTTGTRETVVSGPLGVEDISPSMSPDDKSFTRIMPRVPDSTKRTDASSSTLRRSDSPEIPFQAATGSSDGLDSSSSGNSFVGLRVVAKWSSNGYFYSGKITRDVGAGKYKLLFDDGYECDVLGKDILLCDPIPLDTEVTALSEDEYFSAGVVKGHRKESGELYYSIEKEGQRKWYKRMAVILSLEQGNRLREQYGLGPYEAVTPLTKAADISLDNLVEGKRKRRSNISSPVTPTAASSSSTTPTRKATESPRASTGVPSGKRKLPTSEEERSPAKRGRKSATVKPGTVGAAEFVSPCETGDNIGEPSVLEEPRGPLPLNKTLFLGYAFLLTMATTSDKLASRSKLLDGPTGSSEEEEEFLEIPPFNKQYTECQLRAGAGYILEDFNEAQCNTAYQCLLIADQHCRTRKYFLCLASGIPCVSHVWVHDSCHANQLQNYRNYLLPAGYSLEEQRILDWQPRENPFQNLKVLLVSDQQQNFLELWSEILMTGGAASVKQHHSSAHNKDIALGVFDVVVTDPSCPASVLKCAEALQLPVVSQEWVIQCLIVGERIGFKQHPKYKHDYVSH", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRAYGKRGPVLRTPFRSNKGLPSSSDVEFSDDDVNSVIPDVSSTISSSIADHPIEGLLDEPRKAQDSSSSFDGANEKPSSQLDSKRNDQNVKIITSSDTSMAFMKDEKLSAFNFLDGSKASKRKRRRTYQKHDANITSSIEPDVQDEDSITMHNEFESIRKIYNDINEFILKLPRADDDILNKMLENEMKMDDSIENNSIRTSKDKKYGKFRTILINKNKENEIMGEEVDQKANTLSLNNADNSNAEKEGLTSTNHYNELKNMGDTIKYQDDIEFLLSNSKSNDNTTVPINEYFKKLLNLSLMIINDEEFFQYAKRYFKKEIIKLSFAQFRSDFPELILLQGYLLHKVSESQSDFPPSFDNFSIELSKDDGKIRTKKNKHIKKLSHLNFEDFLRKTQFKTGLYYSLSLWEMHGNLSLDIIKRISILASNKDLFSRHVKTFIPLLEKLITASEFCHMYIEQPEMFDSLISNLNNQFKDMLDDDSLIKILILLTNMEVHNYTLWKEADMIFQSSMNTILESIHPLTDAKVDNILLHLGLCLNICSRENSRLKLDGKLWYDMKTIFVKMIRDGSDTENRLVQGLFYLNFSFLIKQRKENSNLDPGELNLLLVELEAFKSETSQFNEGISNKIEIALNYLKSIYTSERITI", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDRRRMALRPGSRRPTAFFFHSRWLVPNLLAFFLGLSGAGPIHLPMPWPNGRRHRVLDPHTQLSTHEAPGRWKPVAPRTMKACPQVLLEW", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALWFVLLWVSSLQYAEVEAFFDWLKKADPAPTPPPAESIVPILLHGEAPAFEMSVVDEKFLAEAKQMELSPLDSCHFRVVAQLKATCSGLSEEQLAKLGVALFNCQSEVEGRRTYPCTEEMSIKECTADMDSDTWNAYHIVSNRARSVCYATRQQHFRKRAELTVNALISTATSQLDAMKDLKEGQKELRDMTAASLDKLLEGHGALQIQQGALKEGQEQLDASISENLQRLAQEKALISTGQQLVAQLIQGITQRMENVSGQLKDQTAEVQEGHQAILEDLAVVRGSAQDIYEKMELNLNGFLQQQNTTAHFYTELMRKLELMNGTLGYMLTYLDNMQTRLEDRLHMIQGYLGWAGLSLRALWTCVMHAGYFLLCAVLLSFLQCTTFSRVTLLLSVPINAIAEINQQAALDLISLTLLLFTLSLGRWFVLQLLWALSKIKGRTCSRPPHLSIYPPKEKTPEKQHEFGEKCPASSSTPVQSDPVCDLEVESFMMGDPCVLGVSPSRCPPKFSHHHLGGTPNHSTPRLKSRHSIAATELDNIPQRNLGVFLETVNRSRSSSPNQSLASSSSFSGRSLCSGITRLGQPCKKRAVVGQDYCRVHEGGHTSYSRL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDSRLQEIRERQKLRRQLLAQQLGAESADSIGAVLNSKDEQREIAETRETCRASYDTSAPNAKRKYLDEGETDEDKMEEYKDELEMQQDEENLPYEEEIYKDSSTFLKGTQSLNPHNDYCQHFVDTGHRPQNFIRDVGLADRFEEYPKLRELIRLKDELIAKSNTPPMYLQADIEAFDIRELTPKFDVILLEPPLEEYYRETGITANEKCWTWDDIMKLEIDEIAAPRSFIFLWCGSGEGLDLGRVCLRKWGYRRCEDICWIKTNKNNPGKTKTLDPKAVFQRTKEHCLMGIKGTVKRSTDGDFIHANVDIDLIITEEPEIGNIEKPVEIFHIIEHFCLGRRRLHLFGRDSTIRPGWLTVGPTLTNSNYNAETYASYFSAPNSYLTGCTEEIERLRPKSPPPKSKSDRGGGAPRGGGRGGTSAGRGRERNRSNFRGERGGFRGGRGGAHRGGFPPR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSDSEGGLASEVEHEKRSRSTSNRPNYAIDTEDLDIDENDENEDDDYREEEANEGVNEEEISDEEEQINKSGRNKRRHVDEEEDLSEDKGVTRSRNRSKFKKPVFPGIDDAEENLNPLKVVNEEYVLPDDPEGETKITADGDLLGGREFLVRTFTLTEKGNRKFMLATEPARIVGFRDSYLFFQTHPNLYKFILNQTQKNDLIDRGVLPYSYRNRQIALVTARGVFKEFGAKIIRGGKHITDDYYASELRTKGNVIEGKLAGDPIDKSARALETMMYPASENGINPAKNQVEFFEHRPHGHMSNSNIIASGSKLSSTNWLYQHSAACSRFNSDLFYDRVKVLLVDQQGLRDAYTNILHIPESTQSTTVLGWRRSKNDSPSDTSIVYETVIHDNDLNKPKTGLSEIPKEIYEDVVDEDVLRAITEQQNFEKCNEYI", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVVNLCLPQFRPRIHCNKVSADGYEVENLISEDLIKRSHGFRTEYFIRPPIYVTVSFPFNVEICRVNIDLTTGGYQNVSGLELYTSALSSRVSQDAQDCWTTGPVETSVPDKEAFTLVGKVLLKNQNHVVFSHRGFKARPPFSPMEVTLLSPAVVAQELWNKGALSLSHVAHLKIGITHVTGSGISCIKRLEVWGQPARTCSQEVINSVLLIASESLPQDLDLHAPALPMESDCDPGGQSESQHSPCTLQDMSEVESDVPEEFLDPITLEIMPCPMLLPSGKVIDQSTLEKCNLSEAAWGRVPSDPFTGLAFTPQSQPLPHPSLKARIDRFLLQHSISGCRLLGRAQTPSAMTPSVITLPSRKRKTEQAEHSSHYSLGMSASSSATSPLFSPTTSEPTAKKMKATSELGLTDMDCSAGPVSHEQKLAQSLEIALTSTLGSMPSFTARLTKGQLQLGTRGSSACRRPASSSEHPRSVSGPECASCKQAFSSYSTNEPVYQLPCGHLLCRPCLSEKQRSQPMMCTACRQPVTSQDVLRVHF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSDNGSPAVLPKTEFNKYKIGKVKSTPAIQRDAKTNLTYIKLRKRSSEKVYGCTVFQNHYREDEKLGQGTFGEVYKGIHLETQRQVAMKKIIVSVEKDLFPITAQREITILKRLNHKNIIKLIEMVYDHSPDITNAASSNLHKSFYMILPYMVADLSGVLHNPRINLEMCDIKNMMLQILEGLNYIHCAKFMHRDIKTANILIDHNGVLKLADFGLARLYYGCPPNLKYPGGAGSGAKYTSVVVTRWYRAPELVLGDKQYTTAVDIWGVGCVFAEFFEKKPILQGKTDIDQGHVIFKLLGTPTEEDWAVARYLPGAELTTTNYKPTLRERFGKYLSETGLDFLGQLLALDPYKRLTAMSAKHHPWFKEDPLPSEKITLPTEESHEADIKRYKEEMHQSLSQRVPTAPRGHIVEKGESPVVKNLGAIPRGPKKDDASFLPPSKNVLAKPPPSKIRELHQNPRPYHVNSGYAKTAIPPPAAPAGVNRYGPNNSSRNNRFSGNSTAPNNSRNPVNRFHPETNVSSKYNKVPLPLGPQSRYQGNSNESRYKNSPNDSRYHNPRYVNKPETNFNRQPQKYSRQESNAPINKNYNPSNGSRNMAGDHHQGSRPSHPQFPISPSQGQHQLTSKPIEKKNGSFKDERAKPDESKEFQNSDIADLY", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGRESRHYRKRSASRGRSGSRSRSRSPSDKRSKRGDDRRSRSRDRDRRRERSRSRDKRRSRSRDRKRLRRSRSRERDRSRERRRSRSRDRRRSRSRSRGRRSRSSSPGNKSKKTENRSRSKEKTDGGESSKEKKKDKDDKEDEKEKDAGNFDQNKLEEEMRKRKERVEKWREEQRKKAMENIGELKKEIEEMKQGKKWSLEDDDDDEDDPAEAEKEGNEMEGEELDPLDAYMEEVKEEVKKFNMRSVKGGGGNEKKSGPTVTKVVTVVTTKKAVVDSDKKKGELMENDQDAMEYSSEEEEVDLQTALTGYQTKQRKLLEPVDHGKIEYEPFRKNFYVEVPELAKMSQEEVNVFRLEMEGITVKGKGCPKPIKSWVQCGISMKILNSLKKHGYEKPTPIQTQAIPAIMSGRDLIGIAKTGSGKTIAFLLPMFRHIMDQRSLEEGEGPIAVIMTPTRELALQITKECKKFSKTLGLRVVCVYGGTGISEQIAELKRGAEIIVCTPGRMIDMLAANSGRVTNLRRVTYVVLDEADRMFDMGFEPQVMRIVDNVRPDRQTVMFSATFPRAMEALARRILSKPIEVQVGGRSVVCSDVEQQVIVIEEEKKFLKLLELLGHYQESGSVIIFVDKQEHADGLLKDLMRASYPCMSLHGGIDQYDRDSIINDFKNGTCKLLVATSVAARGLDVKHLILVVNYSCPNHYEDYVHRAGRTGRAGNKGYAYTFITEDQARYAGDIIKALELSGTAVPPDLEKLWSDFKDQQKAEGKIIKKSSGFSGKGFKFDETEQALANERKKLQKAALGLQDSDDEDAAVDIDEQIESMFNSKKRVKDMAAPGTSSVPAPTAGNAEKLEIAKRLALRINAQKNLGIESQDVMQQATNAILRGGTILAPTVSAKTIAEQLAEKINAKLNYVPLEKQEEERQDGGQNESFKRYEEELEINDFPQTARWKVTSKEALQRISEYSEAAITIRGTYFPPGKEPKEGERKIYLAIESANELAVQKAKAEITRLIKEELIRLQNSYQPTNKGRYKVL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSETVPPAPAASAAPEKPLAGKKAKKPAKAAAASKKKPAGPSVSELIVQAASSSKERGGVSLAALKKALAAAGYDVEKNNSRIKLGIKSLVSKGTLVQTKGTGASGSFKLNKKASSVETKPGASKVATKTKATGASKKLKKATGASKKSVKTPKKAKKPAATRKSSKNPKKPKTVKPKKVAKSPAKAKAVKPKAAKARVTKPKTAKPKKAAPKKK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDGNEIAHAKKLVYDLYSGSLSPSAIAATEKELQKAQRSQQGWNIGLFMLQSKDVYEQFFGALTLQMKINTQLETLSDKDLVQLFVQLLQKLLWDDGLPALVERKVICTLASLTIKYELEKKEEASLKVIYCLFCNKLEFFNADMNAASVLNNLFPPASSRNAQLTASYINELLLELSFSIYTKENEDALFNNVFRPCSNIIVSVLVFIFTNYSLDLSKEKNVAALEEALNCMIAISSYLAKASVSVQSVLPAFTECMDLTVNCIALDEVSEKAMNCLADLLANYSNFITQPTIERLWTILTGPWGETHLQQELEDPDSGEENDYSFLNIVIGFAEAMLPQIIDHIQEEKSIRLLYILASLLSFPGYAIVEEKVSWRTLEFWTTLIEDFSMSKAATDPSKDEIFKQIAFSVVEKAWWKMLLPSPEQWNSWPSSSRDSFNSYRRDLGDLLESSYSIFGERLYAMYITTIENFFSDGTGSPQSLEVSFYCLCCILEYDTNDSDTLDAWLTRLFETSFAIKASAFQNPQLLKTCSQLLSSCSCFLQNHPQYLNISLPVLFDALHISETSIQMTVSRSIHTLCTTCASHLLTEIDGFMAVVEELTPKLVYVPSVLEKIYSSVGYVTQRIEDIELRISYLMRLLNCILAQLQPSLYPNLEIFENVLKSCLQSVAGVALSQSPIGESPIIDVEQSTQETTFWQQSCIAEFQAKLISFLTHSESMALQYSDVVGLICKIMIAGLNEVEPSPFSLPIVTTIQYFCDRFTEFPAAVLLTLGSAILTCPYGQTDIIDKVLIDMCSSIQNSVVIINEESFMNNIDITVELYHFFSIILQKHPSFLETMYPDFTQLILNRAINLLGKPERLLESAAGQFIISFITSEKSDLLNTHTDFVNAIRSPLIAKILLGFGGNASRSSLPLLSDILGKLKAQNFSATRACLTQSLEEEGFPSRNVSNEIKRRFLTDLLKARIKDKVKQFWILCKGLESTPYGNSSWTF", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MADVLSVLRQYNIQKKEIVVKGDEVIFGEFSWPKNVKTNYVVWGTGKEGQPREYYTLDSILFLLNNVHLSHPVYVRRAATENIPVVRRPDRKDLLGYLNGEASTSASIDRSAPLEIGLQRSTQVKRAADEVLAEAKKPRIEDEECVRLDKERLAARLEGHKEGIVQTEQIRSLSEAMSVEKIAAIKAKIMAKKRSTIKTDLDDDITALKQRSFVDAEVDVTRDIVSRERVWRTRTTILQSTGKNFSKNIFAILQSVKAREEGRAPEQRPAPNAAPVDPTLRTKQPIPAAYNRYDQERFKGKEETEGFKIDTMGTYHGMTLKSVTEGASARKTQTPAAQPVPRPVSQARPPPNQKKGSRTPIIIIPAATTSLITMLNAKDLLQDLKFVPSDEKKKQGCQRENETLIQRRKDQMQPGGTAISVTVPYRVVDQPLKLMPQDWDRVVAVFVQGPAWQFKGWPWLLPDGSPVDIFAKIKAFHLKYDEVRLDPNVQKWDVTVLELSYHKRHLDRPVFLRFWETLDRYMVKHKSHLRF", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKRRQKRKHLENEESQETAEKGGGMSKSQEDALQPGSTRVAKGWSQGVGEVTSTSEYCSCVSSSRKLIHSGIQRIHRDSPQPQSPLAQVQERGETPPRSQHVSLSSYSSYKTCVSSLCVNKEERGMKIYYMQVQMNKGVAVSWETEETLESLEKQPRMEEVTLSEVVRVGTPPSDVSTRNLLSDSEPSGEEKEHEERTESDSLPGSPTVEDTPRAKTPDWLVTMENGFRCMACCRVFTTMEALQEHVQFGIREGFSCHVFHLTMAQLTGNMESESTQDEQEEENGNEKEEEEKPEAKEEEGQPTEEDLGLRRSWSQCPGCVFHSPKDRNS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDCGNPLFLQWIQEWMEESTRRFPKSYQTWRKAYDSMKSCPITFHRPSQALALKGIGPTICAKLEKKWNAYCLENNIPISTHNEQNDSHVNANKSSSETSSEKPRSVKKPTTRKRKVYVPSYRSGAYSILCALYMLNKHEFATKPQIVTMAQPYCDSSFGSATDRNMRYTAWSAMKTLITKNLVYQTGHPSKYCLTDDGEEVCIRLAKVDDSFQRKHTVSNFSVSKSDDHDSSLCQPPNFVTSINKAGSSSDHGGELHVTYCPVDHNEVSDGVETDIDVDQVDSLTGIHDHHIINNEQLIDLTEQEKKQPNESNLSNLKIETVLFSNCTVFLLIDTREIRSPLDRNLIIDKLTNDFGVNCQVRSLELGDALWVARDMESGQEVVLDFVVERKRYDDLVASIKDGRFHEQKARLKKSGIRSVTYILEESSYDESFTESIRTAVSNTQVDQLFHVRHTRSLEHSVSLLAEMTKQINLFYEKRKTLAVIPDLSIEAKTYESLREQLLKIDPSTPYHISYHAFSSVLSKSSTLTVGDIFIRMLMTIKGISASKAIEIQKKYPTFMHLFEAYEKSSSSQERNLLLNKTCQGYGFQTIGPALSAKVASVFFPES", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSQKNFFDEGKSYGVNDYAGFHFENGADSSLPQVSAQGVVRETDSSNFDASPVASGSGISDVGPFGADFHQLQQHVQTPYGGMTMPASSSSGATSVPPEQDPSLSVSFNRLPKSASTKTKNGRIRSSRREDDNRIPFYDLDVAEGAEDDLQEDFHVEGMKTKSGRKIQRPVAYNPNATALKRKSRKVDMVTLCSVCQRGHSPLSNRIVFCDGCNSPYHQLCHHPPIDDATVQDVDAEWFCMKCQYRRAKQPLETGMTAQDLGLSESDKKMYLSSLPTPHLADLILFCEKSYPSLPIYNPRTRELLGEIRHQLLVSSERQQISLQERLHAKQDEAPSDEPAPVPYTASYVANSGTLYDYPTLIRLAIRNTLSPSKDEIFNWLAQNVPLLPTFHDSASEAIRWMVNKGQLVRSGSIYQIATVEEYPHLQPSLLPTFQRNRKVPKLVPVSFPTDDPQNLCATVL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MQACEGSAAGRRAFDSICPNRMLDLSRRTLGKPGKPERKFVPSWKSFSGCGGGSPVAVYEDPPDAEPAPLPALTTIDLQDLADCTSLLGTEASPSGDSSASQNPSLQTEEDFNLQNFRDAMDDLIADSSSLMSPPLTNSDFPFSPCDVSSFGSCLSPSLDPPALGSPDLPPPPTEQYWKEVADQNQRALGTALIENNQLHVTLTQKQEEIASLRERNVQLKELASRTRHLASVLDKLMITQSPAEPFQIKATTKRSLEELFCAAGQAGQGCAEVDAILRDISQRCEEALHNRDPKRPRLQPEPDSKDCSSRNLHGAFRGLRTDCSASSVNLSHSELEEGGSFSTPIRSHSTIRTLAFPQGKAFTIRTVTGGYKFRWVPS", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTLEEFSAGEQKTERMDKVGDALEEVLSKALSQRTITVGVYEAAKLLNVDPDNVVLCLLAADEDDDRDVALQIHFTLIQAFCCENDINILRVSNPGRLAELLLLETDAGPAASEGAEQPPDLHCVLVTNPHSSQWKDPALSQLICFCRESRYMDQWVPVINLPER", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGRKQKSKQGIPPTLEENHNSSHKVTENAKKRKHSKEKPQNSRKRQLAEEKKSLFENSDSENEKDLIDADEFEEAETLSDLEHDEEPQTFADEFIDDEAKECEGEEEDSVFDSDEEHEVKPMFSDDSGDEEDLELANMEAMSRKLDEEAELEEKEAEEELHTNIHPEAPTVLPPIDGFTDSQPISTLPQDLSQIQLRIQEIVRVLNDFKNLCEPGRNRSEYVDQLLNDICAYYGYSRFLAEKLFELFSVSEAVEFFEANEMPRPVTIRTNTLKTQRRELAQALINRGVNLEPIGKWSKVGLQVFESQVPIGATPEYLAGHYILQAASSFLPVMALAPQPNERILDMSSAPGGKVTYVAALQKNTGIIFANDSNKARTKALSANIHRLGVRNAIVCNYDGRKFPNEVIGGFDRVLLDAPCSGTGVIYKDQSVKTNKSERDFDTLSHLQRQLLLSAIDSVNADSKTGGFIVYSTCSITVDEDEAVIQYALKKRPNVKLVSTGLEFGREGFTRFREKRFHPSLKLTRRYYPHVHNIDGFFVAKLKKISDKIPTVNVADDMKDGTNNDVEIEKNSTEIDNITFNDEADKEIIEQNRRKWLKSKGYKVAKKKD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRSAAKPWNPAIRAGGHGPDRVRPLPAASSGMKSSKSSTSLAFESRLSRLKRASSEDTLNKPGSTAASGVVRLKKTATAGAISELTESRLRSGTGAFTTTKRTGIPAPREFSVTVSRERSVPRGPSNPRKSVSSPTSSNTPTPTKHLRTPSTKPKQENEGGEKAALESQVRELLAEAKAKDSEINRLRSELKKYKEKRTLNAEGTDALGPNVDGTSVSPGDTEPMIRALEEKNKNFQKELSDLEEENRVLKEKLIYLEHSPNSEGAASHTGDSSCPTSITQESSFGSPTGNQMSSDIDEYKKNIHGNALRTSGSSSSDVTKASLSPDASDFEHITAETPSRPLSSTSNPFKSSKCSTAGSSPNSVSELSLASLTEKIQKMEENHHSTAEELQATLQELSDQQQMVQELTAENEKLVDEKTILETSFHQHRERAEQLSQENEKLMNLLQERVKNEEPTTQEGKIIELEQKCTGILEQGRFEREKLLNIQQQLTCSLRKVEEENQGALEMIKRLKEENEKLNEFLELERHNNNMMAKTLEECRVTLEGLKMENGSLKSHLQGEKQKATEASAVEQTAESCEVQEMLKVARAEKDLLELSCNELRQELLKANGEIKHVSSLLAKVEKDYSYLKEICDHQAEQLSRTSLKLQEKASESDAEIKDMKETIFELEDQVEQHRAVKLHNNQLISELESSVIKLEEQKSDLERQLKTLTKQMKEETEEWRRFQADLQTAVVVANDIKCEAQQELRTVKRKLLEEEEKNARLQKELGDVQGHGRVVTSRAAPPPVDEEPESSEVDAAGRWPGVCVSRTSPTPPESATTVKSLIKSFDLGRPGGAGQNISVHKTPRSPLSGIPVRTAPAAAVSPMQRHSTYSSVRPASRGVTQRLDLPDLPLSDILKGRTETLKPDPHLRKSPSLESLSRPPSLGFGDTRLLSASTRAWKPQSKLSVERKDPLAALAREYGGSKRNALLKWCQKKTQGYANIDITNFSSSWSDGLAFCALLHTYLPAHIPYQELNSQEKKRNLLLAFEAAESVGIKPSLELSEMLYTDRPDWQSVMQYVAQIYKYFET", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGNHSGRPEDPEPGAFTTTKRTGIPAPREFSVTVSRERSVPRGPSNPRKSVSSPTSSNTPTPTKHLRTPSTKPKQENEGGEKAALESQVRELLAEAKAKDSEINRLRSELKKYKEKRTLNAEGTDALGPNVDGTSVSPGDTEPMIRALEEKNKNFQKELSDLEEENRVLKEKLIYLEHSPNSEGAASHTGDSSCPTSITQESSFGSPTGNQMSSDIDEYKKNIHGNALRTSGSSSSDVTKASLSPDASDFEHITAETPSRPLSSTSNPFKSSKCSTAGSSPNSVSELSLASLTEKIQKMEENHHSTAEELQATLQELSDQQQMVQELTAENEKLVDEKTILETSFHQHRERAEQLSQENEKLMNLLQERVKNEEPTTQEGKIIELEQKCTGILEQGRFEREKLLNIQQQLTCSLRKVEEENQGALEMIKRLKEENEKLNEFLELERHNNNMMAKTLEECRVTLEGLKMENGSLKSHLQGEKQKATEASAVEQTAESCEVQEMLKVARAEKDLLELSCNELRQELLKANGEIKHVSSLLAKVEKDYSYLKEICDHQAEQLSRTSLKLQEKASESDAEIKDMKETIFELEDQVEQHRAVKLHNNQLISELESSVIKLEEQKSDLERQLKTLTKQMKEETEEWRRFQADLQTAVVVANDIKCEAQQELRTVKRKLLEEEEKNARLQKELGDVQGHGRVVTSRAAPPSLGSVS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGNHSGRPEDPEPGAFTTTKRTGIPAPREFSVTVSRERSVPRGPSNPRKSVSSPTSSNTPTPTKHLRTPSTKPKQENEGGEKAALESQVRELLAEAKAKDSEINRLRSELKKYKEKRTLNAEGTDALGPNVDGTSVSPGDTEPMIRALEEKNKNFQKELSDLEEENRVLKEKLIYLEHSPNSEGAASHTGDSSCPTSITQESSFGSPTGNQMSSDIDEYKKNIHGNALRTSGSSSSDVTKASLSPDASDFEHITAETPSRPLSSTSNPFKSSKCSTAGSSPNSVSELSLASLTEKIQKMEENHHSTAEELQATLQELSDQQQMVQELTAENEKLVDEKTILETSFHQHRERAEQLSQENEKLMNLLQERVKNEEPTTQEGKIIELEQKCTGILEQGRFEREKLLNIQQQLTCSLRKVEEENQGALEMIKRLKEENEKLNEFLELERHNNNMMAKTLEECRVTLEGLKMENGSLKSHLQGEKQKATEASAVEQTAESCEVQEMLKVARAEKDLLELSCNELRQELLKANGEIKHVSSLLAKVEKDYSYLKEICDHQAEQLSRTSLKLQEKASESDAEIKDMKETIFELEDQVEQHRAVKLHNNQLISELESSVIKLEEQKSDLERQLKTLTKQMKEETEEWRRFQADLQTAVVVANDIKCEAQQELRTVKRKLLEEEEKNARLQKELGDVQGHGRVVTSRAAPPPVDEEPESSEVDAAGRWPGVCVSRTSPTPPESATTVKSLIKSFDLGRPGGAGQNISVHKTPRSPLSGIPVRTAPAAAVSPMQRHSTYSSVRPASRGVTQRLDLPDLPLSDILKGRTETLKPDPHLRKSPSLESLSRPPSLGFGDTRLLSASTRAWKPQSKLSVERKDPLAALAREYGGSKRNALLKWCQKKTQGYANIDITNFSSSWSDGLAFCALLHTYLPAHIPYQELNSQEKKRNLLLAFEAAESVGIKPSLELSEMLYTDRPDWQSVMQYVAQIYKYFET", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGNHSGRPEDPEPGAFTTTKRTGIPAPREFSVTVSRERSVPRGPSNPRKSVSSPTSSNTPTPTKHLRTPSTKPKQENEGGEKAALESQVRELLAEAKAKDSEINRLRSELKKYKEKRTLNAEGTDALGPNVDGTSVSPGDTEPMIRALEEKNKNFQKELSDLEEENRVLKEKLIYLEHSPNSEGAASHTGDSSCPTSITQESSFGSPTGNQMSSDIDEYKKNIHGNALRTSGSSSSDVTKASLSPDASDFEHITAETPSRPLSSTSNPFKSSKCSTAGSSPNSVSELSLASLTEKIQKMEENHHSTAEELQATLQELSDQQQMVQELTAENEKLVDEKTILETSFHQHRERAEQLSQENEKLMNLLQERVKNEEPTTQEGKIIELEQKCTGILEQGRFEREKLLNIQQQLTCSLRKVEEENQGALEMIKRLKEENEKLNEFLELERHNNNMMAKTLEECRVTLEGLKMENGSLKSHLQGEKQKATEASAVEQTAESCEVQEMLKVARAEKDLLELSCNELRQELLKANGEIKHVSSLLAKVEKDYSYLKEICDHQAEQLSRTSLKLQEKASESDAEIKDMKETIFELEDQVEQHRAVKLHNNQLISELESSVIKLEEQKSDLERQLKTLTKQMKEETEEWRRFQADLQTAVVVANDIKCEAQQELRTVKRKLLEEEEKNARLQKELGDVQGHGRVVTSRAAPP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "ARRRHSMKKKRKSVRRRKTRKNQRKRKNSLGRSFKAHGFLKQPPRFRP", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSGVIYYKFKSQKDPSRITFDGTIGMSVFDVKREIIMQKKLGNGLDFDLLLYNANSNEEYDDDTFIIPRSTSVIVRRVPAQKSGKGTAARYVSGAPKTTGARSDSVKRPVPMLQKKAPITSGESNINKSPSSSEDAAIQQMFQVSSDQWRETQDKMASATPIYKPNQRRIAASVPDKPPPPGYICYRCGQKGHWIQACPTNADPNYDGKPRVKRTTGIPRSFLKNVERPAEGDAANIMINAEGDYVVVQPDVASWETYQSRKAALTANDVYKMQPPNISLACTLCKKLARNACRTPCCDKLFCEECIQTALLDSDFECPNCHRKDVLLDTLNPDYQKQREIEAVVKSVLGSNSKNSDKVGTSDDNNTPMSEKRKREDDDANGPNKFAARSSAVFSKATAEPAFKSAMAIPDMPSMPHVQGFPAPFPPFMMPGLPQMPPMMMNAIAGQVYHNNRNPPRTNSRPSNASVPPPSSLHKNPPTKTN", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLEKGEHIEYPNTPPLHSPPESHTFSSQTDDSYFHKPSSTGLFATLVADTNSSVPSASRSPESIASSQSNDSAAIPSYRRKRRKVRKPEIVKPTLRKRGRKPKNISTLEHDKSKPVISSLIDEDANLSQIKARKSVLESRFSRLEEAFRDFYIKNLEKTEDLIRTDSHFVLNKELLSFRNDYEHRRKHYEKYSQCLNKQLDHFFSYKVTTVHKSYQRFATLLRRHLLDKTAKRYHDLCEKRPYKYITTDLLSPSLTCFASDILQTVPEYTSSQSSPVLLPATPSIVTNKQMMDDLTLCNV", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDICRLCLRGVSGAQMCLQIFDVDSGESKVAEVLRQHFWFEVLPNDEISKVICNVCWTQVSEFHQFYVSIQEAQVIYATTSKFKQDPEMVNTSWPEEVLMPADVLAVDNDVGAQINVNPLDELDLSQPMSPEDSKVGIKTERQSPDMELLFEDANNEQDEDYEDDEDDDTDDLIVTRSGRKRKRDVAKPAKTKRGTVSVGRKGKEKMVVKRGPPKRIFKMERLPPFCKEDEELIKRYIVMGCELCIFLAEDFDGIREHFKDKHPDERPYIKCCGRKLNKRCLIQEHARRHENPEYIKCKDCGKVFANSSVLRAHWLVHHVPDEECDFQCEDCGKRFSRRNLLELHKGSHVPVNERKFICPQCPKHNAFATEYHMQVHISMQHRKAANICHVCGKKIKDKAVFEKHVRLHFEESGPRIKCPRPDCESWLKDEDNLKQHLRRHNDEGKLFICSECGKSCKNSRALIGHKRYSHSNVIYTCEQCGKTFKKDISLKEHMAQHTGEPLYKCPFCPRTFNSNANMHSHKKKMHPVEWDIWRKTKTGSSQKVLPSAQVAQMFRDDADVAAIANDYSG", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKSQEEVEVAGIKLCKAMSLGSVTFTDVAIDFSQDEWEWLNLAQRSLYKKVMLENYRNLVSVGLCISKPDVISLLEQEKDPWVIKGGMNRGLCPDLECVWVTKSLSLNQDIYEEKLPPAIIMERLKSYDLECSTLGKNWKCEDLFERELVNQKTHFRQETITHIDTLIEKRDHSNKSGTVFHLNTLSYIKQIFPMEERIFNFHTDKKSLKTHSVVKKHKQDRGEKKLLKCNDCEKIFSKISTLTLHQRIHTGEKPYECIECGKAFSQSAHLAQHQRIHTGEKPFECTECGKAFSQNAHLVQHQRVHTGEKPYQCKQCNKAFSQLAHLAQHQRVHTGEKPYECIECGKAFSDCSSLAHHRRIHTGKRPYECIDCGKAFRQNASLIRHRRYYHTGEKPFDCIDCGKAFTDHIGLIQHKRTHTGERPYKCNVCGKAFSHGSSLTVHQRIHTGEKPYECNICEKAFSHRGSLTLHQRVHTGEKPYECKECGKAFRQSTHLAHHQRIHTGEKPYECKECSKTFSQNAHLAQHQKIHTGEKPYECKECGKAFSQIAHLVQHQRVHTGEKPYECIECGKAFSDGSYLVQHQRLHSGKRPYECLECGKAFRQRASLICHQRCHTGEKPYECNVCGKAFSHRKSLTLHQRIHTGEKPYECKECSKAFSQVAHLTLHKRIHTGERPYECKECGKAFRQSVHLAHHQRIHTGESSVILSSALPYHQVL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNSTEFTEDVEEVLKSITVKVETEAEDAALDCSVNSRTSEKHSVDSVLTALQDSSKRKQLVSDGLLDSVPGVKRRRLIPEALLAGMRNRENSSPCQGNGEQAGRGRSLGNVWPGEEEPCNDATTPSYKKPLYGISHKIMEKKNPPSGDLLNVYELFEKANASNSPSSLRLLNEPQKRDCGSTGAGTDNDPNIYFLIQKMFYMLNTLTSNMSQLHSKVDLLSLEVSRIKKQVSPTEMVAKFQPPPEYQLTAAELKQIVDQSLSGGDLACRLLVQLFPELFSDVDFSRGCSACGFAAKRKLESLHLQLIRNYVEVYYPSVKDTAVWQAECLPQLNDFFSRFWAQREMEDSQPSGQVASFFEAEQVDPGHFLDNKDQEEALSLDRSSTIASDHVVDTQDLTEFLDEASSPGEFAVFLLHRLFPELFDHRKLGEQYSCYGDGGKQELDPQRLQIIRNYTEIYFPDMQEEEAWLQQCAQRINDELEGLGLDAGSEGDPPRDDCYDSSSLPDDISVVKVEDSFEGERPGRRSKKIWLVPIDFDKLEIPQPDFEVPGADCLLSKEQLRSIYESSLSIGNFASRLLVHLFPELFTHENLRKQYNCSGSLGKKQLDPSRIKLIRHYVQLLYPRAKNDRVWTLEFVGKLDERCRRRDTEQRRSYQQQRKVHVPGPECRDLTSYAINPERFREEFEGPPLPPERSSKDFCKIPLDELVVPSPDFPVPSPYLLSDKEVREIVQQSLSVGNFAARLLVRLFPELFTAENLRLQYNHSGACNKKQLDPTRLRLIRHYVEAVYPVEKMEEVWHYECIPSIDERCRRPNRKKCDILKKAKKVEK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKLSVNEAQLGFYLGSLSHLSACPGIDPRSSEDQPESLKTGQMMDESDEDFKELCASFFQRVKKHGIKEVSGERKTQKAASNGTQIRSKLKRTKQTATKTKTLQGPAEKKPPSGSQAPRTKKQRVTKWQASEPAHSVNGEGGVLASAPDPPVLRETAQNTQTGNQQEPSPNLSREKTRENVPNSDSQPPPSCLTTAVPSPSKPRTAQLVLQRMQQFKRADPERLRHASEECSLEAAREENVPKDPQEEMMAGNVYGLGPPAPESDAAVALTLQQEFARVGASAHDDSLEEKGLFFCQICQKNLSAMNVTRREQHVNRCLDEAEKTLRPSVPQIPECPICGKPFLTLKSRTSHLKQCAVKMEVGPQLLLQAVRLQTAQPEGSSSPPMFSFSDHSRGLKRRGPTSKKEPRKRRKVDEAPSEDLLVAMALSRSEMEPGAAVPALRLESAFSERIRPEAENKSRKKKPPVSPPLLLVQDSETTGRQIEDRVALLLSEEVELSSTPPLPASRILKEGWERAGQCPPPPERKQSFLWEGSALTGAWAMEDFYTARLVPPLVPQRPAQGLMQEPVPPLVPPEHSELSERRSPALHGTPTAGCGSRGPSPSASQREHQALQDLVDLAREGLSASPWPGSGGLAGSEGTAGLDVVPGGLPLTGFVVPSQDKHPDRGGRTLLSLGLLVADFGAMVNNPHLSDVQFQTDSGEVLYAHKFVLYARCPLLIQYVNNEGFSAVEDGVLTQRVLLGDVSTEAARTFLHYLYTADTGLPPGLSSELSSLAHRFGVSELVHLCEQVPIATDSEGKPWEEKEAENCESRAENFQELLRSMWADEEEEAETLLKSKDHEEDQENVNEAEMEEIYEFAATQRKLLQEERAAGAGEDADWLEGGSPVSGQLLAGVQVQKQWDKVEEMEPLEPGRDEAATTWEKMGQCALPPPQGQHSGARGAEAPEQEAPEEALGHSSCSSPSRDCQAERKEGSLPHSDDAGDYEQLFSSTQGEISEPSQITSEPEEQSGAVRERGLEVSHRLAPWQASPPHPCRFLLGPPQGGSPRGSHHTSGSSLSTPRSRGGTSQVGSPTLLSPAVPSKQKRDRSILTLSKEPGHQKGKERRSVLECRNKGVLMFPEKSPSIDLTQSNPDHSSSRSQKSSSKLNEEDEVILLLDSDEELELEQTKMKSISSDPLEEKKALEISPRSCELFSIIDVDADQEPSQSPPRSEAVLQQEDEGALPENRGSLGRRGAPWLFCDRESSPSEASTTDTSWLVPATPLASRSRDCSSQTQISSLRSGLAVQAVTQHTPRASVGNREGNEVAQKFSVIRPQTPPPQTPSSCLTPVSPGTSDGRRQGHRSPSRPHPGGHPHSSPLAPHPISGDRAHFSRRFLKHSPPGPSFLNQTPAGEVVEVGDSDDEQEVASHQANRSPPLDSDPPIPIDDCCWHMEPLSPIPIDHWNLERTGPLSTSSPSRRMNEAADSRDCRSPGLLDTTPIRGSCTTQRKLQEKSSGAGSLGNSRPSFLNSALWDVWDGEEQRPPETPPPAQMPSAGGAQKPEGLETPKGANRKKNLPPKVPITPMPQYSIMETPVLKKELDRFGVRPLPKRQMVLKLKEIFQYTHQTLDSDSEDESQSSQPLLQAPHCQTLASQTYKPSRAGVHAQQEATTGPGAHRPKGPAKTKGPRHQRKHHESITPPSRSPTKEAPPGLNDDAQIPASQESVATSVDGSDSSLSSQSSSSCEFGAAFESAGEEEGEGEVSASQAAVQAADTDEALRCYIRSKPALYQKVLLYQPFELRELQAELRQNGLRVSSRRLLDFLDTHCITFTTAATRREKLQGRRRQPRGKKKVERN", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLLIPISSSSSSSISPPPNSYPSNHHSLFFSNLTFPIQHGSRKLKTLRLRANFWESIRSGFVKNNNSTQLVEPPSIVNDEEEETEPLLPVEFTLVERNLEDGLVEEIIFSSGGEIDVYDLQGLCDKVGWPRRPLVKLAAALKNSYMVATLHSVMKSSSDSDSSEGGDGEKQQEKKLIGMARATSDHAFNATIWDVLVDPEYQGQGLGKALVEKLVRALLQRDIGNISLFADSQVVDFYQNLGFEADPEGIKGMFWYPK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAIDCLVLGAGQEIGKSCVVVTINGKKIMFDCGMHMGCDDHNRYPNFSLISKSGDFDNAISCIIITHFHMDHVGALPYFTEVCGYNGPIYMSYPTKALSPLMLEDYRRVMVDRRGEEELFTTTHIANCMKKVIAIDLKQTIQVDEDLQIRAYYAGHVLGAVMVYAKMGDAAIVYTGDYNMTTDRHLGAAKIDRLQLDLLISESTYATTIRGSKYPREREFLQAVHKCVAGGGKALIPSFALGRAQELCMLLDDYWERMNIKVPIYFSSGLTIQANMYYKMLISWTSQNVKEKHNTHNPFDFKNVKDFDRSLIHAPGPCVLFATPGMLCAGFSLEVFKHWAPSPLNLVALPGYSVAGTVGHKLMAGKPTTVDLYNGTKVDVRCKVHQVAFSPHTDAKGIMDLTKFLSPKNVVLVHGEKPSMMILKEKITSELDIPCFVPANGETVSFASTTYIKANASDMFLKSCSNPNFKFSNSTQLRVTDHRTADGVLVIEKSKKAKIVHQDEISEVLHEKNHVVSLAHCCPVKVKGESEDDDVDLIKQLSAKILKTVSGAQIHESENCLQVASFKGSLCLKDKCMHRSSSSSSEAVFLCCNWSIADLELGWEIINAIKLNH", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MENSDSNDKGSDQSAAQRRSQMDRLDREEAFYQFVNNLSEEDYRLMRDNNLLGTPGESTEEELLRRLQQIKEGPPPQSPDENRAGESSDDVTNSDSIIDWLNSVRQTGNTTRSGQRGNQSWRAVSRTNPNSGDFRFSLEINVNRNNGSQTSENESEPSTRRLSVENMESSSQRQMENSASESASARPSRAERNSAEAVTEVPTTRAQRRARSRSPEHRRTRARAERSRSPLQPTSEIPRRAPTLEQSSENEPEGSSRTRHHVTLRQQISGPELLGRGLFAASGSRNPSQGTSSSDTGSNSESSGSGQRPPTIVLDLQVRRVRPGEYRQRDSIASRTRSRSQAPNNTVTYESERGGFRRTFSRSERAGVRTYVSTIRIPIRRILNTGLSETTSVAIQTMLRQIMTGFGELSYFMYSDSDSEPSASVSSRNVERVESRNGRGSSGGGNSSGSSSSSSPSPSSSGESSESSSEMFEGSSEGGSSGPSRRDGRHRAPVTFDESGSLPFLSLAQFFLLNEDDEDQPRGLTKEQIDNLAMRSFGENDALKTCSVCITEYTEGNKLRKLPCSHEYHVHCIDRWLSENSTCPICRRAVLSSGNRESVV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSRFDSQCSSEDVNKEDECVPSSSEDSQDGVSSPMENDDEPEFSQKHYDIEPCYYSLTGKSDRNCRGIVYRYRQDSDLKGFQSHDGTLYRLRDSVFVEVSQNEPYVIAAICGFKYTKRDHVVVKLTRYFRADDIPEISLNLMKQERAELEINPHLCPQSLNRELFNSELQITQPVSCLRGKCIVEYVKDVRHARTVADFSLDNDTFFFCLHYNQDSTKLASTHYAIRVGTSFQATLPPMAECSVGDDSDRDELLYRPNSIESGEEEDYIKLARCYRTYTLSGNHMLDSQKNARSLQVSDLLMDEAIIQLHRSGYKIDDALSELNANDIILTTDVDNMTQDDAKKFAKGIKQLGKNFSRIHRELLPHHSREQLVSYYYLWKKTPEATKPKQAARRVNPTSIKRPTKEKVKASRPTSTEYLDFDSASESDVENNGPSGRACHHCYGAESKDWHHANGLLLCTDCRLHYKKYGQLRQIANRPSQVPACLFKRSNSDEEESGVRTRAGKKEQRRRTPSSMSETPDRRSPSTVSNGAPNLTAEETPTKKLNGSVKRAPKRPLHNGVINNVEKSNSSEEPASPTTPPPTLTNGLTNGHGPESSTPNGETISKRMKVEPSYDDDDDEEEGKMTIDEGDDDPMPVLNGFKKEESVEEIKLELNGTIKKENGVETDPTTPTCSMEAENEVCETPAVVSVEIRDETNGETNSDLKDDENVEPDSPEDTFELGSNVEFETKNAMFVRSIVRSCGPRCARTDLIFKIKVGGVWEKSIKEKEERKKVHLQNQRIQDSEKVAIQQNQIKKEQQQSQPTPQQIHQQQAQQNAQHLQQLQQAVMLGHLPPEVLRQMMPPQFGVDPTAILMQQMMAGQQSQGVNAAFQHQMALQQQLEAHQVQFQLMMAHQHQQKMIAEQQQQQRHAAAQQLREREQREQRERERERQHQQQAQQALHQQQQQHAAAAANQLNPAMMQMMALMANSAASQQDIARLMEMAAQQQQQQQQAAQAQAQRDQERERREREAREREAAREREREQAAREAAARDQAAREHAQAVQAAAAAAQQAQALTPDMQHMHLLQQLMLNPALMMQLQQAQAQQQQQQPQVTNPLQMLQHGMAAQSANQAEMMRRIHPEPAMRPQHQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKQKFVLPITPPSTAEKKPQTENRCNENLKPRRLLPQLKKSVRNRKPRLSYRPELNSVFDLDAYVDSTHLSKSQRHHMDRDAGFISYALNYSKRMVVVSGAGISVAAGIPDFRSSEGIFSTVNGGSGKDLFDYNRVYGDESMSLKFNQLMVSLFRLSKNCQPTKFHEMLNEFARDGRLLRLYTQNIDGLDTQLPHLSTNVPLAKPIPSTVQLHGSIKHMECNKCLNIKPFDPELFKCDDKFDSRTEIIPSCPQCEEYETVRKMAGLRSTGVGKLRPRVILYNEVHPEGDFIGEIANNDLKKRIDCLIIVGTSLKIPGVKNICRQFAAKVHANRGIVLYLNTSMPPKNVLDSLKFVDLVVLGDCQHVTSLL", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAKKTVEGEHGTPKTNFTKKETSKNHDDFKKIIGHKVVEEHYVEYEVELTSGKTITATEFDFKGDDSLLSTYKKKVTKQSDDSSGEYAVERVLAHRKVKGSPLYLVQWKGYPHPVWNSEMWEEDLDNCKDLLAAYKKHQEDLKIAQTPKKTPSKTPKKTPKSLKRRALTPSDDEEEAGPIAPEPKKTPKQSTKKLKRTTSPETNLVEKSKKKAIPDLENHTLDQEKNDVIERVEEIQEDEDDDDEQREEVVTTAPVETKSRWGFGSWKWF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNILLMQRIVSFILVVSQGRYFHVGELTMTMLKRPQEEESDNNATKKLKTRLTYPCILGKDKVTGKFIFPAITKDDVMNARLFLKDNDLKTFLEYFLPVEVNSIYIYFMIKLLGFDVKDKELFMALNSNITSNKERSSAELSSIHAKAEDEDELTDPLEKKHAVKLIKDLQKAINKVLSTRLRLPNFNTIDHFTATLRNAKKILVLTGAGVSTSLGIPDFRSSEGFYSKIRHLGLEDPQDVFNLDIFLQDPSVFYNIAHMVLPPENMYSPLHSFIKMLQDKGKLLRNYTQNIDNLESYAGIDPDKLVQCHGSFATASCVTCHWQIPGEKIFENIRNLELPLCPYCYQKRKQYFPMSNGNNTVQTNINFNSPILKSYGVLKPDMTFFGEALPSRFHKTIRKDILECDLLICIGTSLKVAPVSEIVNMVPSHVPQILINRDMVTHAEFDLNLLGFCDDVASLVAKKCHWDIPHKKWQDLKKIDYNCTEIDKGTYKIKKQPRKKQQ", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSTKPEKPIWMSQEDYDRQYGSITGDESSTVSKKDSKVTANAPGDGNGSLPVLQSSSILTSKVSDLPIEAESGFKIQKRRHERYDQEERLRKQRAQKLREEQLKRHEIEMTANRSINVDQIVREHYNERTIIANRAKRNLSPIIKLRNFNNAIKYMLIDKYTKPGDVVLELGCGKGGDLRKYGAAGISQFIGIDISNASIQEAHKRYRSMRNLDYQVVLITGDCFGESLGVAVEPFPDCRFPCDIVSTQFCLHYAFETEEKARRALLNVAKSLKIGGHFFGTIPDSEFIRYKLNKFPKEVEKPSWGNSIYKVTFENNSYQKNDYEFTSPYGQMYTYWLEDAIDNVPEYVVPFETLRSLADEYGLELVSQMPFNKFFVQEIPKWIERFSPKMREGLQRSDGRYGVEGDEKEAASYFYTMFAFRKVKQYIEPESVKPN", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPAVLRTRSKESSIEQKPASRTRTRSRRGKRGRDDDDDDDDEESDDAYDEVGNDYDEYASRAKLATNRPFEIVAGLPASVELPNYNSSLTHPQSIKNSGVLYDSLVSSRRTWVQGEMFELYWRRPKKIVSESTPAATESPTSGTIPLIRDKMQKMCDCVMSGGPHTFKVRLFILKNDKIEQKWQDEQELKKKEKELKRKNDAEAKRLRMEERKRQQMQKKIAKEQKLQLQKENKAKQKLEQEALKLKRKEEMKKLKEQNKNKQGSPSSSMHDPRMIMNLNLMAQEDPKLNTLMETVAKGLANNSQLEEFKKFIEIAKKRSLEENPVNKRPSVTTTRPAPPSKAKDVAEDHRLNSITLVKSSKTAATEPEPKKADDENAEKQQSKEAKTTAESTQVDVKKEEEDVKEKGVKSEDTQKKEDNQVVPKRKRRKNAIKEDKDMQLTAFQQKYVQGAEIILEYLEFTHSRYYLPKKSVVEFLEDTDEIIISWIVIHNSKEIEKFKTKKIKAKLKADQKLNKEDAKPGSDVEKEVSFNPLFEADCPTPLYTPMTMKLSGIHKRFNQIIRNSVSPMEEVVKEMEKILQIGTRLSGYNLWYQLDGYDDEALSESLRFELNEWEHAMRSRRHKR", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEGPNSMEVGSLEVKKNDKDPWSKTAYLGGRLVSKIPAVYSNDNKFVFLTYDTFIGIFSLITGDCINRIFFPNNLANLLPVAVLLSPENAFELYVIFQSGYVCVHDWSNSELLRTMEISTRVHAASFSGKLLFAVTDTPASDSASSQDRFTLYALSPSTSKEGSSILIPTFVSKFNEFLALDSSLRDNNLATVAVITTDKAIFSLNVPKKKRSQRWIHREHLFNMPQKLTNVSLCGSACAVSDDEGKIHVINDISNEKFNPQILHWHANPLNGLSWALNGEYLLSGGQEGVLVLWQMETSHRQFLPRLGSSILSIATSHDSDSYALHLGDNSLVVIRAVDLAEQIHVSGINSFESKYLTSTGPKNTSKQLQGLVQFSSVSPNGELLLMSSSSFNGHSVSVQEYDLTKDSTIRKFEAARYSYSSVSKNSDDATSLDNGHVGSVAVTSSRNGLYIATIDTWCTNIIDEQQRNVKQTALKFWQFDSVQKTWVLMTRIDNPHGNLEVVTALKMMTSSNRFITVGTDATLRIWALLPGSSAWKCVAIHHFANTHSQASIKQRYGFSKALTCSLDDSIIGFGYGSCMHFINSETLEEISTVDLPHGGQLENAQFLNAEHCVIISQRRLLVWNVISASVQWTLASKFTGLLASSSSGNDFAVIDFNSSYSRLIIFSPDSPKIQSIHIFKTLPVALHYLHGGFVVLDNKSIIHVYAGDLTTKIPSAQLSIDNTSRSLLGDFQKRNVPLLNLENPISGSQGLHYKRLTTDMIHNLFNVPSNSPVNMQAIYNTFSKMAVGEPMESLGTQIATLNTE", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKELQDIARLSDRFISVELVDESLFDWNVKLHQVDKDSVLWQDMKETNTEFILLNLTFPDNFPFSPPFMRVLSPRLENGYVLDGGAICMELLTPRGWSSAYTVEAVMRQFAASLVKGQGRICRKAGKSKKSFSRKEAEATFKSLVKTHEKYGWVTPPVSDG", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTVVDAESRFHVLAVDDSLIDRKLIEMLLKNSSYQVTTVDSGSKALELLGLRDEGDDSSSSPSSSSPDHQEIDVNLIITDYCMPGMTGYDLLKRVKGSSSLKDIPVVIMSSENVPARINRCLEDGAEEFFLKPVKLADMKKLKSHLLKRKQQLPMAAAAPDKPPHKPDEAAASAAAIAEAATAQTDGIISDCSCSGSSKRKAAAMEQEVISSPDQRTKPRLSSTSSGLAVET", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MYSPRGSQGRGTAEATANSPSPPIAPSHSRVTFSLSTLHTLSPPPRPFPSVSRAAAQKPHHLHPHILLAGSAAVPPRVLKAEMNNTAASPMSTATSSSGRSTGKSISFATELQSMMYSLGDARRPLHETAVLVEDVVHTQLINLLQQAAEVSQLRGARVITPEDLLFLMRKDKKKLRRLLKYMFIRDYKSKIVKGIDEDDLLEDKLSGSNNANKRQKIAQDFLNSIDQTGELLAMFEDDEIDEVKQERMERAERQTRIMDSAQYAEFCESRQLSFSKKASKFRDWLDCSSMEIKPNVVAMEILAYLAYETVAQLVDLALLVRQDMVTKAGDPFSHAISATFIQYHNSAESTAACGVEAHSDAIQPCHIREAIRRYSHRIGPLSPFTNAYRRNGMAFLAC", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MWNSGFESYGSSSYGGAGGYTQSPGGFGSPAPSQAEKKSRARAQHIVPCTISQLLSATLVDEVFRIGNVEISQVTIVGIIRHAEKAPTNIVYKIDDMTAAPMDVRQWVDTDDTSSENTVVPPETYVKVAGHLRSFQNKKSLVAFKIMPLEDMNEFTTHILEVINAHMVLSKANSQPSAGRAPISNPGMSEAGNFGGNSFMPANGLTVAQNQVLNLIKACPRPEGLNFQDLKNQLKHMSVSSIKQAVDFLSNEGHIYSTVDDDHFKSTDAE", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSESEAEETKISTEPVDNAWSMKIPAFRQEDNPHGMVEESSFATLFPKYRERYLKEVWPLVEQCLAEHHLKAELDLMEGSMVVKTSRKTWDPYIIIKARDMIKLMARSVPFEQAKRVLQDDIGCDIIKIGNLVHKKEKFVKRRQRLIGPNGATLKSIELLTDCYVLVQGNTVSALGPYKGLQQVRDIVLETMNNVHPIYNIKALMIKRELMKDPRLANEDWSRFLPKFKNKNISKRKQPKVKKQKKEYTPFPPSQPESKVDKQLASGEYFLNQEQKQAKRNQERTEKQKEAAKRQDERRNKDFVPPTEESAASSRKKEDGSSSSKVDVKALKAKLIKANKKARSS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MYDADEDMQYDEDDDEITPDLWQEACWIVISSYFDEKGLVRQQLDSFDEFIQMSVQRIVEDAPPIDLQAEAQHASGEVEEPPRYLLKFEQIYLSKPTHWERDGAPSPMMPNEARLRNLTYSAPLYVDITKTVIKEGEEQLQTQHQKTFIGKIPIMLRSTYCLLNGLTDRDLCELNECPLDPGGYFIINGSEKVLIAQEKMATNTVYVFAKKDSKYAYTGECRSCLENSSRPTSTIWVSMLARGGQGAKKSAIGQRIVATLPYIKQEVPIIIVFRALGFVSDRDILEHIIYDFEDPEMMEMVKPSLDEAFVIQEQNVALNFIGSRGAKPGVTKEKRIKYAKEVLQKEMLPHVGVSDFCETKKAYFLGYMVHRLLLAALGRRELDDRDHYGNKRLDLAGPLLAFLFRGMFKNLLKEVRIYAQKFIDRGKDFNLELAIKTRIISDGLKYSLATGNWGDQKKAHQARAGVSQVLNRLTFASTLSHLRRLNSPIGRDGKLAKPRQLHNTLWGMVCPAETPEGHAVGLVKNLALMAYISVGSQPSPILEFLEEWSMENLEEISPAAIADATKIFVNGCWVGIHKDPEQLMNTLRKLRRQMDIIVSEVSMIRDIREREIRIYTDAGRICRPLLIVEKQKLLLKKRHIDQLKEREYNNYSWQDLVASGVVEYIDTLEEETVMLAMTPDDLQEKEVAYCSTYTHCEIHPSMILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGVYITNFHVRMDTLAHVLYYPQKPLVTTRSMEYLRFRELPAGINSIVAIASYTGYNQEDSVIMNRSAVDRGFFRSVFYRSYKEQESKKGFDQEEVFEKPTRETCQGMRHAIYDKLDDDGLIAPGVRVSGDDVIIGKTVTLPENEDELESTNRRYTKRDCSTFLRTSETGIVDQVMVTLNQEGYKFCKIRVRSVRIPQIGDKFASRHGQKGTCGIQYRQEDMPFTCEGITPDIIINPHAIPSRMTIGHLIECLQGKVSANKGEIGDATPFNDAVNVQKISNLLSDYGYHLRGNEVLYNGFTGRKITSQIFIGPTYYQRLKHMVDDKIHSRARGPIQILNRQPMEGRSRDGGLRFGEMERDCQIAHGAAQFLRERLFEASDPYQVHVCNLCGIMAIANTRTHTYECRGCRNKTQISLVRMPYACKLLFQELMSMSIAPRMMSV", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPSISMMSDAITPETLKKEKKMKSETLDSDDTVVKKEKSSSKKKEKSSSSGKKDKEEKEKKKKRKAVDLDDSSDKSDNSSELVQADDLKPKKAKVMEEAVVEAEDPNSLSNFRISKPLKDVLISKGIKALFPIQAMTFDNVIDGCDLVGRARTGQGKTLAFVLPIVESLVNGRTKDLRRSGHGRLPSVLVLLPTRELATQVLADFQVYGGAVGLTACSVYGGAPFHSQISSLTRGVDIVVGTPGRVKDLLEKGVLKLGSLLFRVLDEADEMLKMGFVDDVELILGKVDHVSKVQTLLFSATLPSWVKQISTRFLKSAKKTVDLVSDQKMKASISVRHIVIPCSASARPDLIPDIIRCYGSGGRSIIFTETKESASQLAGLLTGARPLHGDIQQTQREVTLKGFRTGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEDYIHRSGRTGRAGNTGVAVMLYDPKRSSVTKIERESGVKFEHLSAPQPVDVAKAVGIEAAAAILQISDSVIPAFKDAAEELLSTSGLSAVDILSKALAKAAGYSDIKERSLLTGMEGYVTLLLDAGRPFYGQSFAYTVLKRFLPATKADSIMGVALTADKSGAVFDVPVDDLETFLVGAENAAGVNLDVVKALPPLEEKVQISRRFGGGGRGGRGGGYGGRGGGYGGGGYGGGGGYGGRGGGYGRR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSTPTAADRAKALERKNEGNVFVKEKHFLKAIEKYTEAIDLDSTQSIYFSNRAFAHFKVDNFQSALNDCDEAIKLDPKNIKAYHRRALSCMALLEFKKARKDLNVLLKAKPNDPAATKALLTCDRFIREERFRKAIGGAENEAKISLCQTLNLSSFDANADLANYEGPKLEFEQLYDDKNAFKGAKIKNMSQEFISKMVNDLFLKGKYLPKKYVAAIISHADTLFRQEPSMVELENNSTPDVKISVCGDTHGQFYDVLNLFRKFGKVGPKHTYLFNGDFVDRGSWSCEVALLFYCLKILHPNNFFLNRGNHESDNMNKIYGFEDECKYKYSQRIFNMFAQSFESLPLATLINNDYLVMHGGLPSDPSATLSDFKNIDRFAQPPRDGAFMELLWADPQEANGMGPSQRGLGHAFGPDITDRFLRNNKLRKIFRSHELRMGGVQFEQKGKLMTVFSAPNYCDSQGNLGGVIHVVPGHGILQAGRNDDQNLIIETFEAVEHPDIKPMAYSNGGFGL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDSPVLQSAYDPSGQYLCYVTVALDKQRVGVQPTQRATSSGVDTVWNENFLYLEDSKLKVTCLKWVNLASSDTVAIILGMNNGEIWLYSVLANEVTYKFTTGNSYEIKDIDLMGNQLWCIDSSDAFYQFDLLQFKLLQHFRINNCVQLNKLTIVPAGDSVAQLLVASHSISLIDIEEKKVVMTFPGHVSPVSTLQVITNEFFISGAEGDRFLNVYDIHSGMTKCVLVAESDIKELSHSGQADSIAVTTEDGSLEIFVDPLVSSSTKKRGNKSKKSSKKIQIVSKDGRKVPIYNAFINKDLLNVSWLQNATMPYFKNLQWREIPNEYTVEISLNWNNKNKSADRDLHGKDLASATNYVEGNARVTSGDNFKHVDDAIKSWERELTSLEQEQAKPPQANELLTETFGDKLESSTVARISGKKTNLKGSNLKTATTTGTVTVILSQALQSNDHSLLETVLNNRDERVIRDTIFRLKPALAVILLERLAERIARQTHRQGPLNVWVKWCLIIHGGYLVSIPNLMSTLSSLHSTLKRRSDLLPRLLALDARLDCTINKFKTLNYEAGDIHSSEPVVEEDEDDVEYNEELDDAGLIEDGEESYGSEEEEEGDSDNEEEQKHTSSKQDGRLETEQSDGEEEAGYSDVEME", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNRVNDPLIFIRDIKPGLKNLNVVFIVLEIGRVTKTKDGHEVRSCKVADKTGSITISVWDEIGGLIQPGDIIRLTRGYASMWKGCLTLYTGRGGELQKIGEFCMVYSEVPNFSEPNPDYRGQQNKGAQSEQKNNSMNSNMGTGTFGPVGNGVHTGPESREHQFSHAGRSNGRGLINPQLQGTASNQTVMTTISNGRDPRRAFKR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSASSRFIPEHRRQNYKGKGTFQADELRRRRETQQIEIRKQKREENLNKRRNLVDVQEPAEETIPLEQDKENDLELELQLPDLLKALYSDDIEAQIQATAKFRKALSKETNPPIQKVIDAGVVPRFVEFLSHENNLLKFEASWALTNVASGSSNQTHVVVEANAVPVFVSLLSSSEQDVREQAVWALGNIAGDSPMCRDHVLQCGVLEPLLNIIESNRRLSMLRNSTWTLSNMCRGKNPQPDWNSISQVIPVLSKLIYTLDEDVLVDALWAISYLSDGANEKIQAIIDAGIPRRLVELLMHPSAQVQTPALRSVGNIVTGDDVQTQVIINCGALSALLSLLSSPRDGVRKEACWTISNITAGNSSQIQYVIEANIIPPLIHLLTTADFKIQKEACWAISNATSGGARRPDQIRYLVEQGAIKPLCNLLACQDNKIIQVALDGIENILRVGELDRANNPDKINLYAVYVEDAGGMDLIHECQNSSNSEIYQKAYNIIEKFFGEEDEIEELEPETVGDTFTFGTTQEPAGDFQFSATNAEDMAM", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDNVKLVKNGVLRLPPGFRFHPTDEELVVQYLKRKVCSSPLPASIIPEFDVCRADPWDLPGNLEKERYFFSTREAKYPNGNRSNRATGSGYWKATGIDKRVVTSRGNQIVGLKKTLVFYKGKPPHGSRTDWIMHEYRLSSSPPSSMGPTQNWVLCRIFLKKRAGNKNDDDDGDSRNLRHNNNNNSSDQIEIITTDQTDDKTKPIFFDFMRKERTTDLNLLPSSPSSDHASSGVTTEIFSSSDEETSSCNSFR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLKPGDPGGSAFLKVDPAYLQHWQQLFPHGGGGGGPLKASGAALALGAPQPLQPPPPPPPPPPERAEPPPDGLRPRPASLSSTPAPSSTSASSASSCAAAAAAAALAGLSALPVAQMPVFAPLAAAAVAAEPLPPKDLCLGASAGPGPAKCGGGGGSVGDGRGVPRFRCSAEELDYYLYGQQRMEIIPLNQHTSDPNNRCDMCADNRNGECPMHGPLHSLRRLVGTSSAAAAAPPPELPEWLRDLPREVCLCTSTVPGLAYGICAAQRIQQGTWIGPFQGVLLSPEKVQTGVVRNTQHLWEIYDQDGTLQHFIDGGEPSKSSWMRYIRCARHCGEQNLTVVQYRSNIFYRACIDIPRGTELLVWYNDSYTSFFGIPLQCIAQDENLNVPSTVMEAMCRQDALQPFNKSSKLSPSGQQRSVVFPQTPCSRNFSLLDKSGPMEAGFNQINVKNQRVLASPTSTSQLHSEFSDWHLWKCGQCFKTFTQRILLQMHVCTQNPDRPYQCGHCSQSFSQPSELRNHVVTHSSDRPFKCGYCGRAFAGATTLNNHIRTHTGEKPFKCERCERSFTQATQLSRHQRMPNECKPITESPESIEVD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAKKAIDSRIPSLIRNGVQTKQRSIFVIVGDRARNQLPNLHYLMMSADLKMNKSVLWAYKKKLLGFTSHRKKRENKIKKEIKRGTREVNEMDPFESFISNQNIRYVYYKESEKILGNTYGMCILQDFEALTPNLLARTIETVEGGGIVVILLKSMSSLKQLYTMTMDVHARYRTEAHGDVVARFNERFILSLGSNPNCLVVDDELNVLPLSGAKNVKPLPPKEDDELPPKQLELQELKESLEDVQPAGSLVSLSKTVNQAHAILSFIDAISEKTLNFTVALTAGRGRGKSAALGISIAAAVSHGYSNIFVTSPSPENLKTLFEFIFKGFDALGYQEHIDYDIIQSTNPDFNKAIVRVDIKRDHRQTIQYIVPQDHQVLGQAELVVIDEAAAIPLPIVKNLLGPYLVFMASTINGYEGTGRSLSLKLIQQLRNQNNTSGRESTQTAVVSRDNKEKDSHLHSQSRQLREISLDEPIRYAPGDPIEKWLNKLLCLDVTLIKNPRFATRGTPHPSQCNLFVVNRDTLFSYHPVSENFLEKMMALYVSSHYKNSPNDLQLMSDAPAHKLFVLLPPIDPKDGGRIPDPLCVIQIALEGEISKESVRNSLSRGQRAGGDLIPWLISQQFQDEEFASLSGARIVRIATNPEYASMGYGSRAIELLRDYFEGKFTDMSEDVRPKDYSIKRVSDKELAKTNLLKDDVKLRDAKTLPPLLLKLSEQPPHYLHYLGVSYGLTQSLHKFWKNNSFVPVYLRQTANDLTGEHTCVMLNVLEGRESNWLVEFAKDFRKRFLSLLSYDFHKFTAVQALSVIESSKKAQDLSDDEKHDNKELTRTHLDDIFSPFDLKRLDSYSNNLLDYHVIGDMIPMLALLYFGDKMGDSVKLSSVQSAILLAIGLQRKNIDTIAKELNLPSNQTIAMFAKIMRKMSQYFRQLLSQSIEETLPNIKDDAIAEMDGEEIKNYNAAEALDQMEEDLEEAGSEAVQAMREKQKELINSLNLDKYAINDNSEEWAESQKSLEIAAKAKGVVSLKTGKKRTTEKAEDIYRQEMKAMKKPRKSKKAAN", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAGFFSLDGGGGGGGGGGNNQEDHRSNTNPPPPVSEAWLWYRNPNVNANANTNVNANAPSSSNAALGTLELWQNHNQQEIMFQHQQHQQRLDLYSSAAGLGVGPSNHNQFDISGETSTAGAGRAAAMMMIRSGGSGGGSGGVSCQDCGNQAKKDCSHMRCRTCCKSRGFECSTHVRSTWVPAAKRRERQQQLATVQPQTQLPRGESVPKRHRENLPATSSSLVCTRIPSHSGLEVGNFPAEVSSSAVFRCVRVSSVEDGEEEFAYQTAVSIGGHIFKGILYDLGPGSSGGGGYNVVAAGESSSGGGGAQQLNLITAGSVTVATASSSTPNLGGIGSSSAAAATYIDPAALYPTPINTFMAGTQFFPNPRS", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVVHWTIVDEIRLLRWASEFKPAGIHKHFHMFCIVERMNSPDKYPVTLLQKETMKLGKVFTAKDIWDKLSQSYNLEKIDEMENTYSLEATTESSRNGNGNGDDAEIHEETLLELNNRIRVRKQDFTLPWEEYGELILENARKSPNSNEEYPRVEDMNEKDSTIPKESPSTDLKNDNNKQEKNATIKVKELPEYHTEENDSPIDVQKEPIKEVQSDEKELQREHMSEEEQKMKSTNKTAAPVRKSQRLKRSKEVKFEDEEKEEIEEDNTKDEEQKEKKEEIQEPKITHNEEVDKEKNENEEGDDEREKSTSYENTNGSESEGVDEGVDEELGYESEREAEGKGKQIESEGGNLKKKTENKKGDDQQDDTKKDSKDKNEPLAKRTRHSSSTGNTSNETSPKRKRRKAGSRKNSPPATRVSSRLRNKK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSSTSDNNANSAREKKPLKFVSEGVGNVEAQRIREQVEQKKYEAEYKRKTRKSLRDQLRSNAISKQKQYNGLVRDRESFTRLSKEDLEFYQKSKNELLKKEKELNNYLDVKAINFEKKKKALLMENDSTTNTEKYLETGTSLGSKTQIKGVKTSSPKPKIKVSIKKLGRKLEN", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRSRKLTGAVRSSARLKARSCSAARLASAQEVAGSTSAKTACLTSSSHKATDTRTSKKFKCDKGHLVKSELQKLVPKNDSASLPKVTPETPCENEFAEGSALLPGSEAGVSVQQGAASLPLGGCRVVSDSRLAKTRDGLSVPKHSAGSGAEESNSSSTVQKQNEPGLQTEDVQKPPLQMDNSVFLDDDSNQPMPVSRFFGNVELMQDLPPASSSCPSMSRREFRKMHFRAKDDDDDDDDDAEM", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALTDGGWCLPKRFGAAAADAGDSGPFPAREPSSPLSPISSSSSSCSRGGDRGPCGASNCRTPQLDAEAVAGPPGRSLLLSPYASHPFAAAHGAAAPGVAGPGSALSTWEDLLLFTDLDQAATASKLLWSSRGAKLSPFAAEQPEEMYQTLAALSSQGPAAYDGAPGGFVHSAAAAAAAAAAASSPVYVPTTRVGSMLSGLPYLQGAGSGPSNHAGGAGAHPGWSQASADSPPYGGGGAAGGGAAGPGGAGSATAHASARFPYSPSPPMANGAARDPGGYVAAGGTGAGSVSGGGGSLAAMGGREHQYSSLSAARPLNGTYHHHHHHHPTYSPYMAAPLTPAWPAGPFETPVLHSLQGRAGAPLPVPRGPSTDLLEDLSESRECVNCGSIQTPLWRRDGTGHYLCNACGLYSKMNGLSRPLIKPQKRVPSSRRLGLSCANCHTTTTTLWRRNAEGEPVCNACGLYMKLHGVPRPLAMKKEGIQTRKRKPKNINKSKACSGNSSGSVPMTPTSSSSNSDDCTKNTSPSTQATTSGVGASVMSAVGENANPENSDLKYSGQDGLYIGVSLSSPAEVTSSVRQDSWCALALA", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSGIAEFDGILDSLEHSKTGISGSKILKLTNLSMENVSENAQFVASVYKYAKRAPVTHKLGALYILDSIVRSFQDGAKKNNESFENPVDASFSGGWCKAAEITDSLVADAIQHAPSAHLPKILKLCDIWEKASTFPPEKLESLRSKLKDAMASTEPVSVDSAAAPSQSTNPEGNGGSVGSQAAAPTSRPVENDAASILEALAAFAQKAPVPSAAEESVSTPPQPAVAPSVSAVVPNLPVHPATAINAQSQSGNPLSNPLFQPSNVPQSIPSGPMGMKTGSVNDTQSQQITLMNVLASQNVPPAQIDSIMKAAFPNYNAPFQPAGVGSVPLPAPTSSQSLRLGSLHRSRSPSPRSGRPRRSPSPSHLSIPSTLPPADGVPKPTPDGFPRRFERDPTIPPDSIKVYSRTLFLGGITRSVREPVLRSMFERFGSVQSLILNHNYRHGFLKMFRRDAAEKAQVAMENVPFADTTIRTKWGVGFGPRECSDFSTGISVIPIRLLTDADRTWLVTAEYGGTGGLPITPGIALDEPDIEIGLGISSKAISKRGKDFAMRRDERFRGRKPYRGGPPIHHGERHFDSGNDWHGNPSTVPPPTNPYNPGYPYMDPNYSSGYVSQPPWQPQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSDDLFSKALENPDQDLNVELPKDDVDLGLLGDGGNERKTDEPVADAERSTGLGSGSSESESDSGSDSDSDSGSSGSEDDSADQDVEGEDEGGDAIENEDEDEDPSPSGPILSKNEILEETVPELPEDYEISEKTIITPIGVLKSAFENNIIIHATMSGEKRVLKEGSIFCLEDRTLIGMLTEVFGPLQNPFYRIKLPDSKKNLFDELKVRLGEKAFIVTPDAHWIDTFELKRNKGTDASNGYDEELPEEEQEFSDDEKEALFKKMKKQQRQRKKRDNRKLANDSDNVKVKRARQPKANSLPKLVPPLGMSSNAPMQHGYKSRNARENIKRESSATSNRNGSSPVPITQHHQQQFSANNYPFPQQPNGMPYPPYSPFPQPTNFQYPPPPFGQATPAQFSNTVPYGSLPPAYNNMSPPTQQSFMPMTQSQPPLPYGVPPMNQMQNPMYIQPPPQAPPQGNGNFQQVMELHQILLQQQQQQHQYQHQHQQDPRT", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPPKKAPAKKLHELAAEVRVGHKINDISKKTFIVGKQFATGGFGRIHTCTEEGKSQQMVMKIEPSTNGPLLTEVVVFNRILKKELIESYKKKKKISWIGLPYLIANGYFTYESEKMRYMIIPKYATSLEAVRETNGGTLAMKDSLTVASCILDALEYLHESDYAHADVKAANILLEKQGVYSTAVLVDFGLAHRTTNNVDKPDKKRAHNGTCIFTSTDAHRGNNPSFRGDIEILAYNLMMWATGTLPWMALESSPEKVFDAKQKFIAGLPGTLQNVLKNESSAVVGCIASMFDISMKTNYTDKVDMGKLKKLVTDAIQKTSSDGKKTPTRQKKLAEEDKNAVTPKRSTRRLAVKEESDNKDNDEVEVKPEKKATPRKRTTRKAVEVNNDSDDNEEQYENPKSKSSRTQTKSKRAKEEDVDDEEEIIIPKSSRSRSKVQLSGEGSDVTTPSSAASTSRSRSIRLGLTSSTASSNKVAKKIEQKYKRLSMNKSSLVPVTISVASDKSPTTSTPSSSSGLRSKRKSSEDVGEGITLKTQVLITPAIKKAKTKSGISSATKASPTELRRVPGVRNFPKGRRSMIIKETSAKYKERLASRQTKPTFDDSSCSSEV", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAPKKRPETQKTSEIVLRPRNKRSRSPLELEPEAKKLCAKGSGPSRRCDSDCLWVGLAGPQILPPCRSIVRTLHQHKLGRASWPSVQQGLQQSFLHTLDSYRILQKAAPFDRRATSLAWHPTHPSTVAVGSKGGDIMLWNFGIKDKPTFIKGIGAGGSITGLKFNPLNTNQFYASSMEGTTRLQDFKGNILRVFASSDTINIWFCSLDVSASSRMVVTGDNVGNVILLNMDGKELWNLRMHKKKVTHVALNPCCDWFLATASVDQTVKIWDLRQVRGKASFLYSLPHRHPVNAACFSPDGARLLTTDQKSEIRVYSASQWDCPLGLIPHPHRHFQHLTPIKAAWHPRYNLIVVGRYPDPNFKSCTPYELRTIDVFDGNSGKMMCQLYDPESSGISSLNEFNPMGDTLASAMGYHILIWSQEEARTRK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEGTQEALSGKMRLLFTPAARTSLLMLRLNEAALRALQECQQQQVRPVIAFQGHRGYLRFPGPGWSCLFSFIVSQCGQEGTNGGLDLVYQRLGRSGPNCLHCLGSLRERLTIWAAMDTIPAPLLAQEHLTEGTRESESWQDTGDEPEGHPQLAPDEVSDPLASHHEQSLPGSSSEPMAQWEMRNHTYLPSREPDQSLLSPASQKRLDKKRSAPITTEEPEEKRLRALPLASSPLQGLANQDSQEGEDWGQDEDEEGDEDGDSRLEQSLSAPSASESPSPEEVPDYLLQYRAIHSTEQQQAYEQDFETDYAEYRILHARVGAASQRFTELGAEIKRLQRGTPEHKVLEDKIVQEYKKFRKRYPSYREEKHRCEYLHQKLSHIKGLILEFEEKNRGS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGPDRVTARELCENDDLATSLVLDPYLGFRTHKMNVSPVPTLRRQHHLRSALEAFLRQRDLEAAFRALTLGGWMAHYFQSRAPRQEAALKTHIFCYLRAFLPESGFTILPCTRYSMETNGAKIVSTRAWKKNEKLELLVGCIAELREEDEDLLRAGENDFSIMYSTRKRSAQLWLGPAAFINHDCKPNCKFVPSDGNTACVKVLRDIEPGDEVTCFYGEGFFGEKNEHCECYTCERKGEGAFRLQPREPELRPKPLDKYELRETKRRLQQGLVSSQQSLMSRWACSHLSPLRPDPFCAACQPSCLLPASPHMDYLPLWLQRAPQPQPIVPPRKRHRRRRPRIRQASLPPVLRTACVPLHRWGGCGPHCQLRAEAMVTLHLRPQTRWTPQQDWYWARRYGLPSVGRVELTRLAPALPAAPAPAGNPGPVPTPDFIPKQALAFAPFCPPKRLRLVVSHGSIDLDINSGEP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSTHDMNHHPPRKSHSKRDKPSSSNSGPKIENHKCKWAWQKVFETGKSFLRRDGFPQDCKSKEDFERIKRTGVRKTSENMLEDPRKNFESLQQSSVYQTNSFRNPRYLCRAHLRVDSYYCTIPPKREVSLFNMDDNCTEVLLRDFAKDCGKVEKAYVCIHPETKRHMKMAYVKFATVKEAHNFYSMYHAQNLLATKCTPRIDPFLSILNEEYEVATNGQVLPILPDDLASIDPSVLRDLRANFLRDQNEKYELAMRNTYEDEGGMLSGVIMDTSDHYERDYTMDHDVGPSSMKMSPIPPPPIKEESPPPPPPPPVASVSNLAPVPSVQLPYYNNIQPSSSTMHMPEFRPTEPPPSYSREDPYRSTSRSSLSRHRNRSRSPSDGMDRSGRSSSRRTHRRPESRNGSKNANGDVVKYETYKMEKRKIKYEGGNKKYEQVHIKERTAVIRGKNQLENVSSESASGSSSVDTYPDFSDEERKKKKRPKSPNRSKKDSRAFGWDSTDESDEDTRRRRSGRSQNRSSERKFQTTSSSSTRRELSSTHTNSVPNLKSHETPPPPPPKGHPSVHLQTPYQHVQPQMIPATYYNLPPQHMAPPPITTSLPPFCDFSQPPPGFTPTFKPITNAPLPTPYQASNIPQPGLVQIAALSAAPEPFSSIPGPPPGPAPIQEDVGRAESPEKPSLSERFSGIFGPTQREEPAQVEVEYDYPLKHSESHDDRHSLEDMDVEVSSDGETVSNVEKIECMEEKKRQDLERIAIARTPIVKKCKKRMMDELSRKVAEDIRQQIMRQCFAALDEKLHLKAIADEEKRKKEREEKARQEAEKPSNHLIADMMTLYNNQSFASSSRGFYRKQKPIPKSHPKHQEHHHHAKASVSTPVHSSSTSRNSSVAPTPQRTVSTSSSSSSAATSARVSEDESDSDSTPGEVQRRKTSVLSNDKRRRRASFSSTSIQSSPERQRDVSSSSRTSSSSSTSSMKQEETADEKSRKRKLIMSSDESSTTGSTATSVVSSRQSSLEPQQEKTDGEPPKKKSQTDFISERVSKIEGEERPLPEPVETSGPIIGDSSYLPYKIVHWEKAGIIEMNLPANSIRAHEYHPFTTEHCYFGIDDPRQPKIQIFDHSPCKSEPGSEPLKITPAPWGPIDNVAETGPLIYMDVVTAPKTVQKKQKPRKQVFEKDPYEYYEPPPTKRPAPPPRFKKTFKPRSEEEKKKIIGDCEDLPDLEDQWYLRAALNEMQSEVKSADELPWKKMLTFKEMLRSEDPLLRLNPIRSKKGLPDAFYEDEELDGVIPVAAGCSRARPYEKMTMKQKRSLVRRPDNESHPTAIFSERDETAIRHQHLASKDMRLLQRRLLTSLGDANNDFFKINQLKFRKKMIKFARSRIHGWGLYAMESIAPDEMIVEYIGQTIRSLVAEEREKAYERRGIGSSYLFRIDLHHVIDATKRGNFARFINHSCQPNCYAKVLTIEGEKRIVIYSRTIIKKGEEITYDYKFPIEDDKIDCLCGAKTCRGYLN", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MESADFYEAEPRPPMSSHLQSPPHAPSNAAFGFPRGAGPAPPPAPPAAPEPLGGICEHETSIDISAYIDPAAFNDEFLADLFQHSRQQEKAKAAAGPAGGGGDFDYPGAPAGPGGAVMSAGAHGPPPGYGCAAAGYLDGRLEPLYERVGAPALRPLVIKQEPREEDEAKQLALAGLFPYQPPPPPPPPHPHASPAHLAAPHLQFQIAHCGQTTMHLQPGHPTPPPTPVPSPHPAPAMGAAGLPGPGGSLKGLAGPHPDLRTGGGGGGGAGAGKAKKSVDKNSNEYRVRRERNNIAVRKSRDKAKQRNVETQQKVLELTSDNDRLRKRVEQLSRELDTLRGIFRQLPESSLVKAMGNCA", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRGRGRVGVLGGRRRQRRHAQAGGRRGSPCRENSNSPMESADFYEAEPRPPMSSHLQSPPHAPSNAAFGFPRGAGPAPPPAPPAAPEPLGGICEHETSIDISAYIDPAAFNDEFLADLFQHSRQQEKAKAAAGPAGGGGDFDYPGAPAGPGGAVMSAGAHGPPPGYGCAAAGYLDGRLEPLYERVGAPALRPLVIKQEPREEDEAKQLALAGLFPYQPPPPPPPPHPHASPAHLAAPHLQFQIAHCGQTTMHLQPGHPTPPPTPVPSPHPAPAMGAAGLPGPGGSLKGLAGPHPDLRTGGGGGGGAGAGKAKKSVDKNSNEYRVRRERNNIAVRKSRDKAKQRNVETQQKVLELTSDNDRLRKRVEQLSRELDTLRGIFRQLPESSLVKAMGNCA", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAPALLLIPAALASFILAFGTGVEFVRFTSLRPLLGGIPESGGPDARQGWLAALQDRSILAPLAWDLGLLLLFVGQHSLMAAERVKAWTSRYFGVLQRSLYVACTALALQLVMRYWEPIPKGPVLWEARAEPWATWVPLLCFVLHVISWLLIFSILLVFDYAELMGLKQVYYHVLGLGEPLALKSPRALRLFSHLRHPVCVELLTVLWVVPTLGTDRLLLAFLLTLYLGLAHGLDQQDLRYLRAQLQRKLHLLSRPQDGEAE", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDADSKRFLATLRSRSEMLMGFEEIDGDDDFQEEFACPFCAESYDIIGLCCHIDDEHTLESKNAVCPVCSLKVGVDIVAHITLHHGSLFKLQRKRKSRKSGTNSTLSLLRKELREGDLQRLLGFTSRNGSVASSVTPDPLLSSFISPTRSQSSPAPRQTKNVSEDKQIERKRQVFISPVSLKDREERRHKSEFVQRLLSSAIFDEV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAGSRGAGRTAAPSVRPEKRRSEPELEPEPEPEPPLLCTSPLSHSTGSDSGVSDSEESVFSGLEDSGSDSSEDDDEGDEEGEDGALDDEGHSGIKKTTEEQVQASTPCPRTEMASARIGDEYAEDSSDEEDIRNTVGNVPLEWYDDFPHVGYDLDGRRIYKPLRTRDELDQFLDKMDDPDYWRTVQDPMTGRDLRLTDEQVALVRRLQSGQFGDVGFNPYEPAVDFFSGDVMIHPVTNRPADKRSFIPSLVEKEKVSRMVHAIKMGWIQPRRPRDPTPSFYDLWAQEDPNAVLGRHKMHVPAPKLALPGHAESYNPPPEYLLSEEERLAWEQQEPGERKLSFLPRKFPSLRAVPAYGRFIQERFERCLDLYLCPRQRKMRVNVDPEDLIPKLPRPRDLQPFPTCQALVYRGHSDLVRCLSVSPGGQWLVSGSDDGSLRLWEVATARCVRTVPVGGVVKSVAWNPSPAVCLVAAAVEDSVLLLNPALGDRLVAGSTDQLLSAFVPPEEPPLQPARWLEASEEERQVGLRLRICHGKPVTQVTWHGRGDYLAVVLATQGHTQVLIHQLSRRRSQSPFRRSHGQVQRVAFHPARPFLLVASQRSVRLYHLLRQELTKKLMPNCKWVSSLAVHPAGDNVICGSYDSKLVWFDLDLSTKPYRMLRHHKKALRAVAFHPRYPLFASGSDDGSVIVCHGMVYNDLLQNPLLVPVKVLKGHVLTRDLGVLDVIFHPTQPWVFSSGADGTVRLFT", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSENSTDSKNFQFSEGSRESSNDELKVLLRDTETKEDEKSSFSNSEEESIIENLSDSSVNKEYAKNSLKLSDAVSESKYLNPLLKDKRHDRSFALHKVVVPDDYDYIPLNKHIPSDPPAKTYPFELDPFQSTAIKCVERMESVLVSAHTSAGKTVIAEYAIAQALKNRQRVIYTSPIKSLSNQKYRELLSEFGDVGLMTGDVSINPSASCLIMTTEILRAMLYKNSEIMHEIAWVIFDEVHYMRDKDRGVVWEETLILLPDAIRFIFLSATLPNALQFARWISEIHKQPCHVVYTDYRPTPLQHFIYPQGADGIYMLVDEKNKFKTENFKKVLEVLDHSTRQENYSKSSKKVKKSSSLERIINMVLSNRYDPIIVFCFSKKECEINAHQFGKLDLNDTENKELVTEIFDSAINQLSEEDRGLRQFEEMRSLLLRGIGIHHSGLLPILKELVEILFQEGLVRILFATETFSIGLNMPARTVLFTKAQKFSGNNFRWLTSGEYMQMSGRAGRRGIDTKGLSIVILDQSIDEQAARCLMNGQADVLNSAFHLSYGMILNLMRIEEISPEDILKKSFYQFQNMESLPLIKEELMQLKNEETSINIPNETAVKEFHDLKLQLEKYGEEIQKVMTHPDNCLPYLQSGRLIQIKLGGIIFPWGVLVNVIKREFDPNTREQVAPHETYVLDVLLPISSNSMSNHKVNPSILVPPRPNETPLYEIVSVLLTAVCNISSIRIYMPRELNSNESKLRAYRRVNEVIEEFKEIPYLDPLEHMHIESSTLSLSLRKLEILEPKLFDSPYYKDSKHRAEYHEFRKKLNLRAQIKDISTKITNTEAIIQLRELKIRQRVLRRLGFCTLENVIDIKGRVACEITSGDELLLVELIFQGFFNQMPPEEIAAALSCFVYEDKSEVSTLNLKEPFKKMYLTIIEAAKRIATVSLESKLQFNESDYLHQFKPDIMEPVSLWINGASFQEICIVSKLYEGSIVRTFRRLDELLKQLEHAAIVLGNNELKEKSVLTEQKLHRDIIFSASLYL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSTLASTRPPPLKLTIPSLEEAEDHAQERRAGGGGQEVGKMHPDCLPLPLVQPGNSPQVREEEEDEQTECEEQLNIEDEEVEEEHDLDLEDPASCCSENSVLSVGQEQSEAAQAALSAQAQARQRLLISQIYRPSAFSSTATTVLPPSEGPPFSPEDLLQLPPSTGTFQEEFLRKSQLYAEELMKQQMHLMAAARVNALTAAAAGKQLQMAMAAAAVATVPSGQDALAQLTATALGLGPGGAVHPHQQLLLQRDQVHHHHHMQNHLNNNENLHERALKFSIDNILKADFGSRLPKIGALSGNIGGGSVSGSSTGSSKNSGNTNGNRSPLKAPKKSGKPLNLAQSNAAANSSLSFSSSLANICSNSNDSNSTATSSSTTNTSGAPVDLVKSPPPAAGAGATGASGKSGEDSGTPIVWPAWVYCTRYSDRPSSGRSPRARKPKKPATSSSAAGGGGGGVEKGEAADGGGVPEDKRPRTAFSGTQLARLKHEFNENRYLTEKRRQQLSGELGLNEAQIKIWFQNKRAKLKKSSGTKNPLALQLMAQGLYNHSTIPLTREEEELQELQEAASAAAAKEPC", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRIKKKNTRGNARNFITRSQAVRKLQVSLADFRRLCIFKGIYPREPRNKKKANKGSTAPTTFYYAKDIQYLMHEPVLAKFREHKTFARKLTRALGRGEVSSAKRLEENRDSYTLDHIIKERYPSFPDAIRDIDDALNMLFLFSNLPSTNQVSSKIINDAQKICNQWLAYVAKERLVRKVFVSIKGVYYQANIKGEEVRWLVPFKFPENIPSDVDFRIMLTFLEFYSTLLHFVLYKLYTDSGLIYPPKLDLKKDKIISGLSSYILESRQEDSLLKLDPTEIEEDVKVESLDASTLKSALNADEANTDETEKEEEQEKKQEKEQEKEQNEETELDTFEDNNKNKGDILIQPSKYDSPVASLFSAFVFYVSREVPIDILEFLILSCGGNVISEAAMDQIENKKDIDMSKVTHQIVDRPVLKNKVAGRTYIQPQWIFDCINKGELVPANKYLPGEALPPHLSPWGDAIGYDPTAPVEEGEEEESESESESEDQVEEEDQEVVAGEEDDDDDEELQAQKELELEAQGIKYSETSEADKDVNKSKNKKRKVDEEEEEKKLKMIMMSNKQKKLYKKMKYSNAKKEEQAENLKKKKKQIAKQKAKLNKLDSKK", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVKKEKKANVSGKPKHSLDANRADGKKKTTETRSKSTVNRLKMYKTRPKRNAGGKILSNEYQSKELPNSRIAPDRRWFGNTRVVNQKELEYFREELQTKMSSNYNVILKERKLPMSLLTDNKKQSRVHLLDMEPFQDAFGRKTKRKRPKLVASDYEALVKKAAESQDAFEEKNGAGPSGEGGEEEDGFRDLVRHTMFEKGQSKRIWGELYKVIDSSDVIVQVIDARDPQGTRCHHLEKTLKEHHKHKHMILLLNKCDLVPAWATKGWLRVLSKEYPTLAFHASVNKSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQSRDTETDIVLKGVVRVTNLEDASEHIGEVLRRVKKEHLQRAYKIKDWEDDHDFLLQLCKSSGKLLKGGEPDLMTGAKMILHDWQRGRIPFFVPPPKLDNVASESEVIVPGIDKEAIADNSQAAAALKAIAGIMSTQQQKDVPVQRDFYDEKDLKDDKKAKESTETDAENGTDAEEDEDAVSEDGVESDSDADEDAVSENDEEDESDSAE", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAPKSDNTEAIVLNFVNEQNKPLNTQNAADALQKFNLKKTAVQKALDSLADAGKITFKEYGKQKIYIARQDQFEIPNSEELAQMKEDNAKLQEQLQEKKKTISDVESEIKSLQSNLTLEEIQEKDAKLRKEVKEMEEKLVKLREGITLVRPEDKKAVEDMYADKINQWRKRKRMFRDIWDTVTENSPKDVKELKEELGIEYDEDVGLSFQAYADLIQHGKKRPRGQ", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MERKISRIHLVSEPSITHFLQVSWEKTLESGFVITLTDGHSAWTGTVSESEISQEADDMAMEKGKYVGELRKALLSGAGPADVYTFNFSKESCYFFFEKNLKDVSFRLGSFNLEKVENPAEVIRELICYCLDTIAENQAKNEHLQKENERLLRDWNDVQGRFEKCVSAKEALETDLYKRFILVLNEKKTKIRSLHNKLLNAAQEREKDIKQEGETAICSEMTADRDPVYDESTDEESENQTDLSGLASAAVSKDDSIISSLDVTDIAPSRKRRQRMQRNLGTEPKMAPQENQLQEKENSRPDSSLPETSKKEHISAENMSLETLRNSSPEDLFDEI", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDPPRASHLSPRKKRPRQTGALMASSPQDIKFQDLVVFILEKKMGTTRRAFLMELARRKGFRVENELSDSVTHIVAENNSGSDVLEWLQAQKVQVSSQPELLDVSWLIECIRAGKPVEMTGKHQLVVRRDYSDSTNPGPPKTPPIAVQKISQYACQRRTTLNNCNQIFTDAFDILAENCEFRENEDSCVTFMRAASVLKSLPFTIISMKDTEGIPCLGSKVKGIIEEIIEDGESSEVKAVLNDERYQSFKLFTSVFGVGLKTSEKWFRMGFRTLSKVRSDKSLKFTRMQKAGFLYYEDLVSCVTRAEAEAVSVLVKEAVWAFLPDAFVTMTGGFRRGKKMGHDVDFLITSPGSTEDEEQLLQKVMNLWEKKGLLLYYDLVESTFEKLRLPSRKVDALDHFQKCFLIFKLPRQRVDSDQSSWQEGKTWKAIRVDLVLCPYERRAFALLGWTGSRQFERDLRRYATHERKMILDNHALYDKTKRIFLKAESEEEIFAHLGLDYIEPWERNA", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPRVYIGRLSYNVREKDIQRFFSGYGRLLEIDLKNGYGFVEFEDSRDADDAVYELNSKELCGERVIVEHARGPRRDRDGYSYGSRSGGGGYSSRRTSGRDKYGPPVRTEYRLIVENLSSRCSWQDLKDFMRQAGEVTYADAHKERTNEGVIEFRSYSDMKRALDKLDGTEINGRNIRLIEDKPRTSHRRSYSGSRSRSRSRRRSRSRSRRSSRSRSRSISKSRSRSRSRSKGRSRSRSKGRKSRSKSKSKPKSDRGSHSHSRSRSKDKYGKSRSRSRSRSPKENGKGDIKSKSRSRSQSRSHSPLPAPPSKARSMSPPPKRASRSRSRSRSRSRSSSRD", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MYNNNQYSFSGDEDSVVLSLGPPGQQYPSHNKPTSTKPSSDHEFNHPLTNPNGVTVALHIGPPSSDKETLSGGNNQEGLTARQGQYWIPSLSQILVGPTQFSCSVCNKTFNRFNNMQMHMWGHGSQYRKGPESLRGTKSSSSILRLPCYCCAEGCKNNIDHPRSKPLKDFRTLQTHYKRKHGAKPFRCRKKCEKTFAVRGDWRTHEKNCGKLWFCVCGSDFKHKRSLKDHVRAFGDGHAAHTVSDRVVGIGDADEDDEEEEEEEEDDVEEEDAHEENVRGEKNYGIRYDHFRRYGQISDDNY", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKRAHPDYSSSDSELDETIEVEKESADENGNLSSALCSMSPTTSSQVLARKRRRGIIEKRRRDRINNSLSELRRLVPSAFEKQGSAKLEKAEILQMTVDHLKMLHTAGGKGYFDAHALAMDYRSLGFRECLAEVARYLSIIEGLDASDPLLVRLVSHLNNYASQREAASGAHGGLGHIPWGSAFGHHPHIAHPLLLPQNGHGNAGTAASPTEPHHQGRLASAHPEAPALRAPPSGGLGPVLPVVTSASKLSPPLLSSVASLSAFPFSFSSFHLLSPSTPTQAANLGKPYRPWGTEIGAF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASKGLQDLKQQVEGTAQEAVSAAGAAAQQVVDQATEAGQKAMDQLAKTTQETIDKTANQASDTFSGIGKKFGLLK", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVFADYKQRVENGDLAVAWIGRNKLIPLHIEAEKTFHNQYGAFPHSEMIGKRYGEQIASTAKQGFIYLLQPTPELWTLALPHRTQIVYTPDIALIHQKLRITYGTRVIEAGTGSASMSHAISRTVGPLGRLFTFEYHATRYQTALQEFREHEMLIDVGGNTHLTHRDVCKDGFLDTEVKVDAIFLDLPAPWEAIPHLSNHVNHDKSTRICCFSPCIEQIQHSAEALRELGWCDIEMIEVDYKQWAARKSRIVHIDEAIDRLKEVKRRRIEGFERRKMRREQNLSSDAKVEDQDNDSMLGENKSSVSTETALKPVTNKRIREGDGNYEWTDVARVDSNLKSHTSYLLFAVHLPSQLDKQNQETGP", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSAKLLYNLSDENPNLNKQIGCMNGIFQVFYRQHYPPRRVTGDELKSLPSGKASDNVGDTNISADKKETEKSKKKKTAKEKQRGVSSESSSRLSFSSSPCSSSFSSADISTTASQFEQPGLSNGENPVREPTNGSPRWGGLMMPSDIRELVRSSIHKETRTRDEEALSQQPKSARANVSLLKESSPSRNSNEWSEGRRVVKLKDSPRFSYDERETRKTGAKLKETPRLSLDSRSNSFRSARSSCSPEPQELVTGHRRTTSSVVAKLMGLEVIPDEPVTIQNRENRFCDSPRPTSRVEVDLQRSRGFDSIKKMMPAKFPMKASPWAQVDGAKNQVKIPDATTLTVYGEIQKRLSQLEFKKSEKDLRALKQILEAMEKTQQLISKDDDDNKTLCSSNFMQRNNQPIPSAINTSSMNFKSSSIVVMKAATAPVFKDTGIAGSASFSPRNVALPNVKVGNLRQAQKVIPRKQSAMDVTPRPGYYKGQTESTMKNTSTRPLQSKSDMAKSGKIQKPSVSLRTPPKKLGFEKQSRPTSPKPELNKNQRQQLSRQQTESASPRRKPGIKSRGLQQSEDRLSDESSDLRSLRSDSNVSLASNLDTEVTSRYNYERNSDITEQHTPKQRSPDLGMRSLSKPLKVTVEQPSPVSVLDVAFDEDDSPSPVRKISIVFKEDDNLSSEESHWMNKNNNLCRSIVWPESNTSLKQPDAELTEGFMEDDAEFKNGDHKYISEIMLASGLLRDIDYSMISIQLHQAHLPINPSLFFVLEQNKTSNVSLQDNKHKGRGFGQQQTVNLVERSKRKLIFDTINEILAHRFAAEGCTKQPSITLSISTQRTHEKSSRGEELLQTLCSEIDRLQDNSKCILDEDDEDLIWEDLQSHGMNWKEIEGETPGLVLDIERLIFKDLIGEVVTSEFAAFPRMLSGQPRQLFHC", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSVFESETSNFHVYNNHEIQTQPQMQTFLSEEEPVGRQNSILSLTLDEIQMKSGKSFGAMNMDEFLANLWTTVEENDNEGGGAHNDGEKPAVLPRQGSLSLPVPLCKKTVDEVWLEIQNGVQQHPPSSNSGQNSAENIRRQQTLGEITLEDFLVKAGVVQEPLKTTMRMSSSDFGYNPEFGVGLHCQNQNNYGDNRSVYSENRPFYSVLGESSSCMTGNGRSNQYLTGLDAFRIKKRIIDGPPEILMERRQRRMIKNRESAARSRARRQAYTVELELELNNLTEENTKLKEIVEENEKKRRQEIISRSKQVTKEKSGDKLRKIRRMASAGW", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDGGTLPRSAPPAPPVPVGCAARRRPASPELLRCSRRRRPATAETGGGAAAVARRNERERNRVKLVNLGFQALRQHVPHGGASKKLSKVETLRSAVEYIRALQRLLAEHDAVRNALAGGLRPQAVRPSAPRGPPGTTPVAASPSRASSSPGRGGSSEPGSPRSAYSSDDSGCEGALSPAERELLDFSSWLGGY", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPQLNGGGGDDLGANDELISFKDEGEQEEKSSENSSAERDLADVKSSLVNESETNQNSSSDSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGSLSPTARTLHFQSGSTHYSAYKTIEHQIAVQYLQMKWPLLDVQAGSLQSRQALKDARSPSPAHIVSNKVPVVQHPHHVHPLTPLITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDISPYYPLSPGTVGQIPHPLGWLVPQQGQPVYPITTGGFRHPYPTALTVNASMSRFPPHMVPPHHTLHTTGIPHPAIVTPTVKQESSQSDVGSLHSSKHQDSKKEEEKKKPHIKKPLNAFMLYMKEMRAKVVAECTLKESAAINQILGRRWHALSREEQAKYYELARKERQLHMQLYPGWSARDNYGKKKKRKRDKQPGETNEHSECFLNPCLSLPPITDLSAPKKCRARFGLDQQNNWCGPCRRKKKCVRYIQGEGSCLSPPSSDGSLLDSPPPSPNLLGSPPRDAKSQTEQTQPLSLSLKPDPLAHLSMMPPPPALLLAEATHKASALCPNGALDLPPAALQPAAPSSSIAQPSTSSLHSHSSLAGTQPQPLSLVTKSLE", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSTASPLHHGHGNGSYANSPAPTGVTGRDAGVAAAAVADSAVRSGSVPASASGSAPGSASGSMYGEAHTQHHTGHHHYSAHHTHSHGALTSPVNGGHSSSWSPYGYPAAPVYGGSPSPYGHNAYSQYASGYGYANGTAHHVATAPTTPSATSTAYHTGVNGMMMHHGQHAGYGYSSHHLGSHTPTHTHTHSSAYFMNGDGAHSHLNSSAHLTSPSYTTAPQYSTQLPLAGRHRVTTTLWEDEGTLCFQVDARGVCVARRHDNNMINGTKLLNVCGMSRGKRDGILKNEKERIVVKVGAMHLKGVWISFARAKQLAEQNGIADALYPLFEPNIQSFLYHPDNYPRTAAVIAAAQERQAQRQRAPGGQPSPGANGTSQAPPLMRANTTPSNGDTSTFSSGLSSLGSWTGSHDQGHASAPTTAQPSPSSMHNGATQMHMSLSNHGTASPTYAQSQQQQQQQQQQQQQQQQQQQQQQQQAYPMTAAQQLARPSVGDRRQSAPISLNNSVGHAENPYGATNLGGAANGGLVNGARKVSGLKRSWNDADDLNGSAAASPTERDMQRSGSGGSNGLKLDGDDLHSPDSSDDRLAKKTRGMPQRGGGATTAMPSMSTNMLMGVGNGSGIHHE", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKFAYRFSNLLGTVYRRGNLNFTCDGNSVISPVGNRVTVFDLKNNKSDTLPLATRYNVKCVGLSPDGRLAIIVDEGGDALLVSLVCRSVLHHFHFKGSVHSVSFSPDGRKFVVTKGNIAQMYHAPGKKREFNAFVLDKTYFGPYDETTCIDWTDDSRCFVVGSKDMSTWVFGAERWDNLIYYALGGHKDAIVACFFESNSLDLYSLSQDGVLCMWQCDTPPEGLRLKPPAGWKADLLQREEEEEEEEDQEGDRETTIRGKATPAEEEKTGKVKYSRLAKYFFNKEGDFNNLTAAAFHKKSHLLVTGFASGIFHLHELPEFNLIHSLSISDQSIASVAINSSGDWIAFGCSGLGQLLVWEWQSESYVLKQQGHFNSMVALAYSPDGQYIVTGGDDGKVKVWNTLSGFCFVTFTEHSSGVTGVTFTATGYVVVTSSMDGTVRAFDLHRYRNFRTFTSPRPTQFSCVAVDASGEIVSAGAQDSFEIFVWSMQTGRLLDVLSGHEGPISGLCFNPMKSVLASASWDKTVRLWDMFDSWRTKETLALTSDALAVTFRPDGAELAVATLNSQITFWDPENAVQTGSIEGRHDLKTGRKELDKITAKHAAKGKAFTALCYSADGHSILAGGMSKFVCIYHVREQILMKRFEISCNLSLDAMEEFLNRRKMTEFGNLALIDQDAGQEDGVAIPLPGVRKGDMSSRHFKPEIRVTSLRFSPTGRCWAATTTEGLLIYSLDTRVLFDPFELDTSVTPGRVREALRQQDFTRAILMALRLNESKLVQEALEAVPRGEIEVVTSSLPELYVEKVLEFLASSFEVSRHLEFYLLWTHKLLMLHGQKLKSRAGTLLPVIQFLQKSIQRHLDDLSKLCSWNHYNMQYALAVSKQRGTKRSLDPLGSEEEAEASEDDSLHLLGGGGRDSEEEMLA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKPSKSHHKEKTARRREEKLEESDNPKYRDRAKERRENQNPDYDPSELSSFHAVAPPGAVDIRAADALKISIENSKYLGGDVEHTHLVKGLDYALLNKVRSEIVKKPDGEDGDGGKTSAPKEDQRVTFRTIAAKSVYQWIVKPQTIIKSNEMFLPGRMTFVYDMEGGYTHDIPTTLYRSKADCPVPEEFVTVNVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKEKDGKGKMSTIANDYDEDDNKSKIENGSSVNISDREVLPPPPPLPPGINHLDLSTKQEEPPVARTDDDDIFVGEGVDYTVPGKDVTQSPISEDMEESPRDKEKVSYFDEPAYGPVQEKVPYFAEPAYGPVQPSAGQEWQDMSAYGAMQTQGLAPGYPGEWQEYQYAEQTGYQEQYLQPGMEGYEVQPETDVLLDPQLMSQEEKDRGLGSVFKRDDQRLQQLRESDAREKDPTFVSESYSECYPGYQEYNHEIVGSDEEPDLSKMDMGGKAKGGLHRWDFETEEEWEKYNEQKEAMPKAAFQFGVKMQDGRKTRKQNRDRDQKLNNELHQINKILTRKKMEKEGGDVASLDAAEAQTPKRSKH", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAACRAQPPTSEQAWLEAAQTFIQETLCPAGKEVDKELTRSVIACVKETWLSQGENQDLTLPFSYSFVSVQSLKTHQRLPCCSHLSWSQSAYQAWTRGGRPGDGVLPREQLILLGTLVDLLGDSEQECRSGSLYVRDNTGTLDCELIDLDLSWLGHLFLFPSWSYLPSAKRNSLGEGHLELWGTPVPVFPLTVSPGPLIPIPVLYPEKASHLLRYRKKSSIKEINLAGKLVHLSALIITQNKRYFIMTLGELAQAGSQVSIIVQIPAQMVWHRVLRPGRAYVLTKLQVTKTRIHLSCIWTTIPSSTLKPLRPGYVQELELDLEFSKADLKPPPQPTSSKDSRGQEGLVRASKVLHYLGTVTAVLHESAGLYILDGQLILCLAYQKIHGLRRVIRPGVCLELRDVHLLQAVGGATTKPVLALCLHGTVRLQGFSCLKPLTLPSSKVYGASLYEQLVWKCQLGLPLYLWAAKTLEDLIYKLCPHVLRCHQFLKQPSPGKPSLGLQLLAPSWDVLIPPGSPMRHAYSEILEEPHNCPLQKYTPLQTPYSFPTMLALAEEGQHRAWATFDPKAMLPLPEASHLTSCQLNRHLAWSWVCLPSCVFQPAQVLLGVLVASSRKGCLELRDLRGSLPCIPLTESSQPLIDPNLVGCLVRVEKFQLVVEREVRSSFPSWEEMGMARFIQKKQARVYVQFYLADALILPVPRPTFGSEPSQTASSCPEGPHLGQSRLFLLSHKEALMKRNFCLLPGDSSQPAKPTLSFHVSGTWLCGTQRKEGSGWSPPESLAVESKDQKVFLIFLGSSVRWFPFLYPNQVYRLVASGPSQTPVFETEGSAGTSRRPLELADCGSCLTVQEEWTLELGSSQDIPNVLEVPRTLPESSLAQLLGDNSPDSLVSFSAEILSRILCEPPLALRRMKPGNAGAIKTGVKLTVALEMDDCEYPPHLDIYIEDPQLPPQIGLLPGARVHFSQLEKRISRSNIVYCCFRSSTSVQVLSFPPETKASAPLPHIYLAELLQGDRPPFQATTSCHIVYVLSLQILWVCAHCTSICPQGKCSRRDPSCPSQRAVSQANIRLLVEDGTAEATVICRNHLVARALGLSPSEWSSILEHARGPGRVALQFTGLGGQTESASKTHEPLTLLLRTLCTSPFVLRPVKLSFALERRPTDISPREPSRLQQFQCGELPLLTRVNPRLRLVCLSLQEPELPNPPQASAASS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MALALLEDWCRIMSVDEQKSLMVTGIPADFEEAEIQEVLQETLKSLGRYRLLGKIFRKQENANAVLLELLEDTDVSAIPSEVQGKGGVWKVIFKTPNQDTEFLERLNLFLEKEGQTVSGMFRALGQEGVSPATVPCISPELLAHLLGQAMAHAPQPLLPMRYRKLRVFSGSAVPAPEEESFEVWLEQATEIVKEWPVTEAEKKRWLAESLRGPALDLMHIVQADNPSISVEECLEAFKQVFGSLESRRTAQVRYLKTYQEEGEKVSAYVLRLETLLRRAVEKRAIPRRIADQVRLEQVMAGATLNQMLWCRLRELKDQGPPPSFLELMKVIREEEEEEASFENESIEEPEERDGYGRWNHEGDD", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSLLRIRKPKTRKGKKVLLAREPQLIESARTMLFLDGRKCGGNVKLCMKDLQALKKPLVKVLNRKNDITPFDDPSSLEFLTMKNDAALFTFGSTSKKRPDNIILGRIFENEVLDMFELGIKRYQAISEFKNEKIGACVKPCLVFNGPKWAQTEELRRLRNLFIDTFQREKVDSIRLQGIEHVLSFTVTDDMNILMRSYRILLKKSGQRTPRIELEEIGPSADFSIRRTKIASEDLYKQARKQPKQLKVGKKKNISTDALGNTKGRVHLGKQQTGSIQTRRVKALRKTPEEKKENRQRKKVALKAAAAEALASQGNNPFSS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MALKRSYYASKDDYSTKRILEIIERPLKKLTIEDTSEYDDSDIEMPTCKRVAYYKNEYTIVVEDLDAELEEDDSINKPTEEADEAPRTQLSVISPLEKKLKRDFLFLLLNSNRQPGKSSGKSSIPSPDDFKLSVKYSSSSEDLGAVTIESHLNVSSDASIKYKSSGTSQSDLLMEIG", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAPQKHGGGGGGGSGPSAGSGGGGFGGSAAAVAAAASGGKSGGGGCGGGGSYSASSSSAAAAAAAAGAAVLPVKKPKMEHVQADHELFLQAFEKPTQIYRFLRTRNLIAPIFLHRTLTYMSHRNSRTSIKRKTFKVDDMLSKVEKMKGEQESHSLSAHLQLTFTGFFHKNDKPSQNSENEQNSVTLEVLLVKVCHKKRKDVSCPIRQVPTGKKQVPLNPDLNQTKPGNFPSLAVSSNEFEPSNSHMVKSYSLLFRVTRPGRREFNGMINGETNENIDVSEELPARRKRNREDGEKTFVAQMTVFDKNRRLQLLDGEYEVAMQEMEECPISKKRATWETILDGKRLPPFETFSQGPTLQFTLRWTGETNDKSTAPVAKPLATRNSESLHQENKPGSVKPAQTIAVKETLTTELQTRKEKDNSNESRQKLRIFYQFLYNNNTRQQTEARDDLHCPWCTLNCRKLYSLLKHLKLCHSRFIFNYVYHPKGARIDVSINECYDGSYAGNPQDIHRQPGFAFSRNGPVKRTPITHILVCRPKRTKASMSEFLESEDGEVEQQRTYSSGHNRLYFHSDTCLPLRPQEMEVDSEDEKDPEWLREKTITQIEEFSDVNEGEKEVMKLWNLHVMKHGFIADNQMNHACMLFVENYGQKIIKKNLCRNFMLHLVSMHDFNLISIMSIDKAVTKLREMQQKLEKGESATPSNEEIAEEQNGTANGFSETNSKEKALETDGVSGVPKQSKKQKL", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MMQESGTETKSNGSAIQNGSGGSNHLLECGGLREGRSNGETPAVDIGAADLAHAQQQQQQALQVARQLLLQQQQQQQVSGLKSPKRNDKQPALQVPVSVAMMTPQVITPQQMQQILQQQVLSPQQLQVLLQQQQALMLQQQQLQEFYKKQQEQLQLQLLQQQHAGKQPKEQQQVATQQLAFQQQLLQMQQLQQQHLLSLQRQGLLTIQPGQPALPLQPLAQGMIPTELQQLWKEVTSAHTAEETTGNNHSSLDLTTTCVSSSAPSKTSLIMNPHASTNGQLSVHTPKRESLSHEEHPHSHPLYGHGVCKWPGCEAVCEDFQSFLKHLNSEHALDDRSTAQCRVQMQVVQQLELQLAKDKERLQAMMTHLHVKSTEPKAAPQPLNLVSSVTLSKSASEASPQSLPHTPTTPTAPLTPVTQGPSVITTTSMHTVGPIRRRYSDKYNVPISSADIAQNQEFYKNAEVRPPFTYASLIRQAILESPEKQLTLNEIYNWFTRMFAYFRRNAATWKNAVRHNLSLHKCFVRVENVKGAVWTVDEVEFQKRRPQKISGNPSLIKNMQSSHAYCTPLNAALQASMAENSIPLYTTASMGNPTLGNLASAIREELNGAMEHTNSNESDSSPGRSPMQAVHPVHVKEEPLDPEEAEGPLSLVTTANHSPDFDHDRDYEDEPVNEDME", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "METDESPSPLPCGPAGEAVMESRARPFQALPREQSPPPPLQTSSGAEVMDVGSGGDGQSELPAEDPFNFYGASLLSKGSFSKGRLLIDPNCSGHSPRTARHAPAVRKFSPDLKLLKDVKISVSFTESCRSKDRKVLYTGAERDVRAECGLLLSPVSGDVHACPFGGSVGDGVGIGGESADKKDEENELDQEKRVEYAVLDELEDFTDNLELDEEGAGGFTAKAIVQRDRVDEEALNFPYEDDFDNDVDALLEEGLCAPKKRRTEEKYGGDSDHPSDGETSVQPMMTKIKTVLKSRGRPPTEPLPDGWIMTFHNSGVPVYLHRESRVVTWSRPYFLGTGSIRKHDPPLSSIPCLHYKKMKDNEEREQSSDLTPSGDVSPVKPLSRSAELEFPLDEPDSMGADPGPPDEKDPLGAEAAPGALGQVKAKVEVCKDESVDLEEFRSYLEKRFDFEQVTVKKFRTWAERRQFNREMKRKQAESERPILPANQKLITLSVQDAPTKKEFVINPNGKSEVCILHEYMQRVLKVRPVYNFFECENPSEPFGASVTIDGVTYGSGTASSKKLAKNKAARATLEILIPDFVKQTSEEKPKDSEELEYFNHISIEDSRVYELTSKAGLLSPYQILHECLKRNHGMGDTSIKFEVVPGKNQKSEYVMACGKHTVRGWCKNKRVGKQLASQKILQLLHPHVKNWGSLLRMYGRESSKMVKQETSDKSVIELQQYAKKNKPNLHILSKLQEEMKRLAEEREETRKKPKMSIVASAQPGGEPLCTVDV", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDDDQQFCLRWNNHQSTLISVFDTLLENETLVDCTLAAEGKFLKAHKVVLSACSPYFATLLQEQYDKHPIFILKDVKYQELRAMMDYMYRGEVNISQDQLAALLKAAESLQIKGLSDNRTGGGVAPKPESSGHHRGGKLSGAYTLEQTKRARLATGGAMDTSGDVSGSREGSSSPSRRRRKVRRRSMENDAHDNSNSSVLQAAASNQSILQQTGAGLAVSALVTTQLSSGPAAGTSSQASSTQQQQPLTSTNVTKKTESAKLTSSTAAPASGASASAAVQQAHLHQQQAQTTSDAINTENVQAQSQGGAQGVQGDDEDIDEGSAVGGPNSATGPNPASASASAVHAGVVVKQLASVVDKSSSNHKHKIKDNSVSSVGSEMVIEPKAEYDDDAHDENVEDLTLDEEDMTMEELDQTAGTSQGGEGSSQTYATWQHDRSQDELGLMAQDAQQRDPQDLSRKENTAPDVASTAEIQRSFQRSILNGKQRDEQKIQLPGSRRKRLSVTEVSDMLFEFYKTKSAKVPKAEQPHRQVSPTSGEILDPSTISAIAVYGTASETASKNLNADEVMRVQNATATRVVGAAAGAAASFHPRPKYTLKTAASSTEHTTAIPTSVLVANSAAALTPKPQAAVIAEALMRNGLHNFQQQLRAQEILRQQTPHRRIKEENDVEIAGGDITPTKILENLLRKQQERDLRHSECENEPGYSTEDDEEGRYHAFDDIHLMEQSGGKFGNNSGMGMFNANAHGGSASSILDAHQAFRNLEFTLSDYGGSSSNGSTTSPNGIGLDGEPVYECRHCGKKYRWKSTLRRHENVECGGKEPSHQCPYCPYKSKQRGNLGVHVRKHHTDLPQLPSKRRSKYSMNRENGMSGSMSDDSQGKLIIDFNGKGELETK", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "METRPTALMSSTVAAAAPAAGAASRKESPGRWGLGEDPTGVSPSLQCRVCGDSSSGKHYGIYACNGCSGFFKRSVRRRLIYRCQVGAGMCPVDKAHRNQCQACRLKKCLQAGMNQDAVQNERQPRSTAQVHLDSMESNTESRPESLVAPPAPAGRSPRGPTPMSAARALGHHFMASLITAETCAKLEPEDADENIDVTSNDPEFPSSPYSSSSPCGLDSIHETSARLLFMAVKWAKNLPVFSSLPFRDQVILLEEAWSELFLLGAIQWSLPLDSCPLLAPPEASAAGGAQGRLTLASMETRVLQETISRFRALAVDPTEFACMKALVLFKPETRGLKDPEHVEALQDQSQVMLSQHSKAHHPSQPVRFGKLLLLLPSLRFITAERIELLFFRKTIGNTPMEKLLCDMFKN", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVVKKRKLATEAGGSDERPKYLPGKHPKNQEKTPHVDYNAPLNPKSELFLDDWHIPKFNRFISFTLDVLIDKYKDIFKDFIKLPSRKFHPQYYYKIQQPMSINEIKSRDYEYEDGPSNFLLDVELLTKNCQAYNEYDSLIVKNSMQVVMLIEFEVLKAKNLKRNYLINSEVKAKLLHYLNKLVDATEKKINQALLGASSPKNLDDKVKLSEPFMELVDKDELPEYYEIVHSPMALSIVKQNLEIGQYSKIYDFIIDMLLVFQNAHIFNDPSALIYKDATTLTNYFNYLIQKEFFPELQDLNERGEINLEFDKFEFENYLAIGGGGPAAAGALAISALDNDIEPESNREDLIDQADYDFNHFEGLGNGYNRSLLTEDYLLNPNNFKKLIAKPETVQSEVKNERSTTSDIEKTNSLESEHLKIPKYNVIKSMQKEMQSLSEQHTMEYKPYKLIQQIYIFSSKNLYSQATKPLLGSRPSCNQNWVEYIFNGNELSQNENAFSFMLQPMQTFLTLQSHLTSSLKDTETLLTINKEPVKSRTSNVNSNLSQPQQQENDVIGNDTKQDIENLTIGGGNNNDIVGNDNDKRNNITEIFDIRLSEGLNHLMFRCEDKISHETEFMNFWINVLP", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVVSKMNKDAQMRAAINQKLIETGERERLKELLRAKLIECGWKDQLKAHCKEVIKEKGLEHVTVDDLVAEITPKGRALVPDSVKKELLQRIRTFLAQHASL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSRRSSRLQAKQQPQPSQTESPQEAQIIQAKKRKTTQDVKKRREEVTKKHQYEIRNCWPPVLSGGISPCIIIETPHKEIGTSDFSRFTNYRFKNLFINPSPLPDLSWGCSKEVWLNMLKKESRYVHDKHFEVLHSDLEPQMRSILLDWLLEVCEVYTLHRETFYLAQDFFDRFMLTQKDINKNMLQLIGITSLFIASKLEEIYAPKLQEFAYVTDGACSEEDILRMELIILKALKWELCPVTIISWLNLFLQVDALKDAPKVLLPQYSQETFIQIAQLLDLCILAIDSLEFQYRILTAAALCHFTSIEVVKKASGLEWDSISECVDWMVPFVNVVKSTSPVKLKTFKKIPMEDRHNIQTHTNYLAMLEEVNYINTFRKGGQLSPVCNGGIMTPPKSTEKPPGKH", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAGGIKVSVWSAVGPGPRCWGAGGGGGATWLLLVVAGCVVCGSADVNVVMLQESQVDMNSSQQFCYKNVLIPKWHDIWTRIQVRVNSSKLVRVTQVDNEEKLKELEQFSIWNFFSSFLKEKLNDTYVNVGLYSTKTCLKVEMIEKDTTYSVTVTRRFDPKLFLVFLLGLTLFFCGDLLSRSQIFYYSTGMSVGIVASLLIVIFMISKFMPKRSPIYVILVGGWSFSLYLIQLVFKNLQEIWRSYWHYLLSYILTVGFMSFAVCYKYGPLENERSINLLTWTLQLLGLGLMYSSIQIPHVAFALIVIALCTKNLEYPIHWLCSTYRRMCKASGKPVPPRLLTEEEYRIQGEVETQKALQELREFCNSPECSAWKTISRIQSPKRFADFVEGSFHLTPNEVSVHEQEYGLGSIFTQDEELSSEEEGSEYPTFTQNNFLT", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEEAEELLLEGKKALQLAREPRLGLDLGWNPSGEGCTQGLKDVPPEPTRDILALKSLPRGLALGPSLAKEQRLGVWCVGDPLQPGLLWGPLEEESASKEKGEGVKPRQEENLSLGPWGDVCACEQSSGWTSLVQRGRLESEGNVAPVRISERLHLQVYQLVLPGSELLLWPQPSSEGPSLTQPGLDKEAAVAVVTEVESAVQQEVASPGEDAAEPCIDPGSQSPSGIQAENMVSPGLKFPTQDRISKDSQPLGPLLQDGDVDEECPAQAQMPPELQSNSATQQDPDGSGASFSSSARGTQPHGYLAKKLHSPSDQCPPRAKTPEPGAQQSGFPTLSRSPPGPAGSSPKQGRRYRCGECGKAFLQLCHLKKHAFVHTGHKPFLCTECGKSYSSEESFKAHMLGHRGVRPFPCPQCDKAYGTQRDLKEHQVVHSGARPFACDQCGKAFARRPSLRLHRKTHQVPAAPAPCPCPVCGRPLANQGSLRNHMRLHTGEKPFLCPHCGRAFRQRGNLRGHLRLHTGERPYRCPHCADAFPQLPELRRHLISHTGEAHLCPVCGKALRDPHTLRAHERLHSGERPFPCPQCGRAYTLATKLRRHLKSHLEDKPYRCPTCGMGYTLPQSLRRHQLSHRPEAPCSPPSVPSAASEPTVVLLQAEPQLLDTHREEEVSPARDVVEVTISESQEKCFVVPEEPDAAPSLVLIHKDMGLGAWAEVVEVEMGT", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSLYNFKKIMVVPPAKDFIDIMLSKTQRKTPTVVHKGYKISRIRAFYTRKVKYTQQNFHDRLSQIIQDFPKLDDVHPFYADLMNVLYDKDHYKLALGQLNTARHLVDNVAKDYVRLLKYGDSLYRCKQLKKAALGRMATIMKRQASNLTYLEQVRQHLSRLPTIDPYSRTIIICGFPNVGKSSFINKITRADVEVQPYAFTTKSLYVGHTDYKYLRWQVIDTPGILDHPLEERNVIEMQAITALAHLRACVLYFMDISEQCGHSLEEQVKLFESIKPLFTNKPLILAINKIDILTPEDLPEERRAIITKLQEDKNIPVMLMSTVQETGVMEVKTEACERLLSYRVDQKMRTKKVDNILNRLHVAMPAPRDDKLRAPCIPEKASARLLQNADKAERKRKLEKEIEEEMGDDYTLDLKKNYSEIPEEERYDIIPEFWQGHNIADYIDADIFDKLEELEREEGLREESGVYKVPDMTMDETLKEIREIAKQIRGKRFELRDEKRLSSRKNKPVIPRNKQPKVRDRSVQKLVSTMEGLGVDMSGSENANFTKSVVDLRRGQVAVGSKKVPMQPLLDKESSAVVRKTGQPLKRAPSRDTLGIKNLAIRKKAQIMAKRDIAKKVTSRGLKGEADRFIGTKMPKHLFSGKRGNGKTDRR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGDARDNEAYEEELLDYEEEDEKVPDSGNKVNGEAVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPSPGQVSALVLCHTRELAYQICNEFVRFSTYLPDTKVSVFYGGVNIKIHKDLLKNECPHIVVGTPGRVLALAREKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEMEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKSFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVASASDSEVLNQVQERFEVDIKELPEQIDTSTYMPS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPSTFESQLFFSRPFLSKRQIQRAQKNTISDYRNYNQKKLAVFKFLSDLCVQLKFPRKTLETAVYFYQRYHLFNRFETEVCYTVATSCLTLGCKEVETIKKTNDICTLSLRLRNVVKINTDILENFKKRVFQIELRILESCSFDYRVNNYVHIDEYVIKIGRELSFDYKLCNLAWVIAYDALKLETILVIPQHSIALAILKIAYELLDNKNWSSKRYSLFETDEKSVNEAYFDIVNFYINSFDMCDLQRHLPADLLPIGVERFMELKKNAGPESGLPQIPDHLLNADPYITITRDNNVQERRYVLSLELINGESSINSSTRHA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MITVAPFVSLRFQFPLYIINRSTLFFRERTQYLVYNTCHILRTTAKTMPVVAEYAKSNRSSCRSCSNKIAVKSLRLGLISKGRGGVDMTRWHHFDCFPTDSESIASVDDIQGLSALEKEDQDALTKLVEQCGKVPAKKPDEKKGKAKKHIMGPKGLTKAATSSKVIADNAKSSRSSCNRCSQTIVSKDLRVGLVTEDSRGFDITRWHHLGCFPIDFHPIDSVEDIGGYSSLEKGDQMELKYLAEVNKKDKTLIDDVQKMDEGDDEAIADNELTEETKKGKHSPVAKLVEQPGEPAKEDEDEESKKPASDEISEQKTKDVKNSPDSSKVISEYAKSSRSTCKKCSQTIAAKELRLGLVTRNFRGFDMKQWHHLGCFPVDSDPIVSVEDIGGFSELQSGDQDALKELVQQCGKQTLVDKMDEDNDDTEAKIKLTEETNKRKHSEVGEMVEEDESLTKAKQQMAKTHKVNMSESTSQVEVEAEITLSASDVKDKYRDANLLPKWKAFETVIFLERDDGLNDSEKIAAFDFDGCLAKTSVKIVGADAWSLMYPSIPEKLQSLHDQGYKLVIFTNESNIDRWKNKRQAAVDSKIGRLNSFIERVKVPIQVFIACGVSSSGGKGGKDDLYRKPKAGMWQLMKKHFNSGIAIDMDKSFYVGDAAGRKMDHSDADIKFAQASGLKFFTPEEYFIPSSTSPGT", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVKMTRSKTFQAYLPSCHRTYSCIHCRAHLANHDELISKSFQGSQGRAYLFNSVVNVGCGPAEERVLLTGLHAVADIYCENCKTTLGWKYEHAFESSQKYKEGKYIIELAHMIKDNGWD", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPRGSRARGSKRKRSWNTECPSFPGERPLQVRRAGLRTAGAAASLSEAWLRCGEGFQNTSGNPSLTAEEKTITEKHLELCPRPKQETTTSKSTSGLTDITWSSSGSDLSDEDKTLSQLQRDELQFIDWEIDSDRAEASDCDEFEDDEGAVEISDCASCASNQSLTSDEKLSELPKPSSIEILEYSSDSEKEDDLENVLLIDSESPHKYHVQFASDARQIMERLIDPRTKSTETILHTPQKPTAKFPRTPENSAKKKLLRGGLAERLNGLQNRERSAISLWRHQCISYQKTLSGRKSGVLTVKILELHEECAMQVAMCEQLLGSPATSSSQSVAPRPGAGLKVLFTKETAGYLRGRPQDTVRIFPPWQKLIIPSGSCPVILNTYFCEKVVAKEDSEKTCEVYCPDIPLPRRSISLAQMFVIKGLTNNSPEIQVVCSGVATTGTAWTHGHKEAKQRIPTSTPLRDSLLDVVESQGAASWPGAGVRVVVQRVYSLPSRDSTRGQQGASSGHTDPAGTRACLLVQDACGMFGEVHLEFTMSKARQLEGKSCSLVGMKVLQKVTRGRTAGIFSLIDTLWPPAIPLKTPGRDQPCEEIKTHLPPPALCYILTAHPNLGQIDIIDEDPIYKLYQPPVTRCLRDILQMNDLGTRCSFYATVIYQKPQLKSLLLLEQREIWLLVTDVTLQTKEERDPRLPKTLLVYVAPLCVLGSEVLEALAGAAPHSLFFKDALRDQGRIVCAERTVLLLQKPLLSVVSGASSCELPGPVMLDSLDSATPVNSICSVQGTVVGVDESTAFSWPVCDMCGNGRLEQRPEDRGAFSCGDCSRVVTSPVLKRHLQVFLDCRSRPQCRVKVKLLQRSISSLLRFAAGEDGSYEVKSVLGKEVGLLNCFVQSVTAHPTSCIGLEEIELLSAGGASAEH", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDVFQEGLAMVVQDPLLCDLPIQVTLEEVNSQIALEYGQAMTVRVCKMDGEVMPVVVVQSATVLDLKKAIQRYVQLKQEREGGIQHISWSYVWRTYHLTSAGEKLTEDRKKLRDYGIRNRDEVSFIKKLRQK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAAVPDEAVARDVQRLLVQFQDEGGQLLGSPFDVPVDITPDRLQLVCNALLAQEDPLPLAFFVHDAEIVSSLGKTLESQAVETEKVLDIIYQPQAIFRVRAVTRCTSSLEGHSEAVISVAFSPTGKYLASGSGDTTVRFWDLSTETPHFTCKGHRHWVLSISWSPDGRKLASGCKNGQILLWDPSTGKQVGRTLAGHSKWITGLSWEPLHANPECRYVASSSKDGSVRIWDTTAGRCERILTGHTQSVTCLRWGGDGLLYSASQDRTIKVWRAHDGVLCRTLQGHGHWVNTMALSTDYALRTGAFEPAEASVNPQDLQGSLQELKERALSRYNLVRGQGPERLVSGSDDFTLFLWSPAEDKKPLTRMTGHQALINQVLFSPDSRIVASASFDKSIKLWDGRTGKYLASLRGHVAAVYQIAWSADSRLLVSGSSDSTLKVWDVKAQKLAMDLPGHADEVYAVDWSPDGQRVASGGKDKCLRIWRR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKCEHCTRKECSKKTKTDDQENVSADAPSPAQENGEKGEFHKLADAKIFLSDCLACDSCMTAEEGVQLSQQNAKDFFRVLNLNKKCDTSKHKVLVVSVCPQSLPYFAAKFNLSVTDASRRLCGFLKSLGVHYVFDTTIAADFSILESQKEFVRRYRQHSEEERTLPMLTSACPGWVRYAERVLGRPITAHLCTAKSPQQVMGSLVKDYFARQQNLSPEKIFHVIVAPCYDKKLEALQESLPPALHGSRGADCVLTSGEIAQIMEQGDLSVRDAAVDTLFGDLKEDKVTRHDGASSDGHLAHIFRHAAKELFNEDVEEVTYRALRNKDFQEVTLEKNGEVVLRFAAAYGFRNIQNMILKLKKGKFPFHFVEVLACAGGCLNGRGQAQTPDGHADKALLRQMEGIYADIPVRRPESSAHVQELYQEWLEGINSPKAREVLHTTYQSQERGTHSLDIKW", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNHLSPPPSPHSQQPSPAGLGCHGAALDKQWMQRASAFNTVIASAAAQKLNGRDLPFLYNPLLYSSALLWPQFLLSSATALGTPLTPMTPKSPASVVLGQRDRDFALTPEKEHELQMNNNNENSKQDYQEQDEDMPLNLSTKERITSDDSNRDQYHSSSNNSSRSSSSSEVEQLHPMTSLNVTPPPLSAVNLKSSSTPQQQRQRSQGNIIWSPASMCERSARREQYGLKMEEQGDEEEHQVDPIVRKFKYERRTASISSLQSPISSLSAPASNAVQDLEFEVAQQQLYAHRSAFMAGLTGNNLELLTQHLKLKSEQPQQQQQQHRIKDEQQQDNRSAAALMNLVAAAEFGYMRNQHQQPQQQQQQQLHHQQQPQQHQHQQQHPDSTATDVARRSSSSSSYQGENEEKRSGRNFQCKQCGKSFKRSSTLSTHLLIHSDTRPYPCQYCGKRFHQKSDMKKHTYIHTGEKPHKCTVCLKAFSQSSNLITHMRKHTGYKPFGCHLCDQSFQRKVDLRRHRESRHEEAPPVEDLKPLKMEVSSSSC", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTRKKVKLAYISNDSSRKATFKKRKKGLMKKVHELSTLCGITACAIIYSPYDTNPEVWPSNSGVQRVVSEFRTLPEMDQHKKMVDQEGFLKQRIAKATETLRRQRKDSRELEMTEVMFQCLIGNMEMFHLNIVDLNDLGYMIEQYLKDVNRRIEILRNSGTEIGESSSVAVAASEGNIPMPNLVATTAPTTTIYEVGSSSSFAAVANFVNPIDLQQFRHPAAQHVGLNEQPQNLNLNLNQNYNQNQEWFMEMMNHPEQMRYQTEQMGYQFMDDNHHNHIHHQPQEHQHQIHDESSNALDAANSSSIIPVTSSSITNKTWFH", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLSATPLYGNVHSWMNSERVRMCGASEDRKILVNDGDASKARLELREENPLNHNVVDASTAHRIDGLAALSMDRTGLIREGLRVPGNIVYSSLCGLGSEKGREAATSTLGGLGFSSERNPEMQFKPNTPETVEASAVSGKPPNGFSAIYKTPPGIQKSAVATAEALGLDRPASDKQSPLNINGASYLRLPWVNPYMEGATPAIYPFLDSPNKYSLNMYKALLPQQSYSLAQPLYSPVCTNGERFLYLPPPHYVGPHIPSSLASPMRLSTPSASPAIPPLVHCADKSLPWKMGVSPGNPVDSHAYPHIQNSKQPRVPSAKAVTSGLPGDTALLLPPSPRPSPRVHLPTQPAADTYSEFHKHYARISTSPSVALSKPYMTVSSEFPAARLSNGKYPKAPEGGEGAQPVPGHARKTAVQDRKDGSSPPLLEKQTVTKDVTDKPLDLSSKVVDVDASKADHMKKMAPTVLVHSRAGSGLVLSGSEIPKETLSPPGNGCAIYRSEIISTAPSSWVVPGPSPNEENNGKSMSLKNKALDWAIPQQRSSSCPRMGGTDAVITNVSGSVSSAGRPASASPAPNANADGTKTSRSSVETTPSVIQHVGQPPATPAKHSSSTSSKGAKASNPEPSFKANENGLPPSSIFLSPNEAFRSPPIPYPRSYLPYPAPEGIAVSPLSLHGKGPVYPHPVLLPNGSLFPGHLAPKPGLPYGLPTGRPEFVTYQDALGLGMVHPMLIPHTPIEITKEEKPERRSRSHERARYEDPTLRNRFSEILETSSTKLHPDVPTDKNLKPNPNWNQGKTVVKSDKLVYVDLLREEPDAKTDTNVSKPSFAAESVGQSAEPPKPSVEPALQQHRDFIALREELGRISDFHETYTFKQPVFTVSKDSVLAGTNKENLGLPVSTPFLEPPLGSDGPAVTFGKTQEDPKPFCVGSAPPSVDVTPTYTKDGADEAESNDGKVLKPKPSKLAKRIANSAGYVGDRFKCVTTELYADSSQLSREQRALQMEGLQEDSILCLPAAYCERAMMRFSELEMKEREGGHPATKDSEMCKFSPADWERLKGNQDKKPKSVTLEEAIAEQNESERCEYSVGNKHRDPFEAPEDKDLPVEKYFVERQPVSEPPADQVASDMPHSPTLRVDRKRKVSGDSSHTETTAEEVPEDPLLKAKRRRVSKDDWPEREMTNSSSNHLEDPHYSELTNLKVCIELTGLHPKKQRHLLHLRERWEQQVSAADGKPGRQSRKEVTQATQPEAIPQGTNITEEKPGRKRAEAKGNRSWSEESLKPSDNEQGLPVFSGSPPMKSLSSTSAGGKKQAQPSCAPASRPPAKQQKIKENQKTDVLCADEEEDCQAASLLQKYTDNSEKPSGKRLCKTKHLIPQESRRGLPLTGEYYVENADGKVTVRRFRKRPEPSSDYDLSPAKQEPKPFDRLQQLLPASQSTQLPCSSSPQETTQSRPMPPEARRLIVNKNAGETLLQRAARLGYEEVVLYCLENKICDVNHRDNAGYCALHEACARGWLNIVRHLLEYGADVNCSAQDGTRPLHDAVENDHLEIVRLLLSYGADPTLATYSGRTIMKMTHSELMEKFLTDYLNDLQGRNDDDASGTWDFYGSSVCEPDDESGYDVLANPPGPEDQDDDDDAYSDVFEFEFSETPLLPCYNIQVSVAQGPRNWLLLSDVLKKLKMSSRIFRCNFPNVEIVTIAEAEFYRQVSASLLFSCSKDLEAFNPESKELLDLVEFTNEIQTLLGSSVEWLHPSDLASDNYW", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSPTNVQVTDYHLNQSKTDTTNLWSTDDDASVMEAFIGGGSDHSSLFPPLPPPPLPQVNEDNLQQRLQALIEGANENWTYAVFWQSSHGFAGEDNNNNNTVLLGWGDGYYKGEEEKSRKKKSNPASAAEQEHRKRVIRELNSLISGGVGGGDEAGDEEVTDTEWFFLVSMTQSFVKGTGLPGQAFSNSDTIWLSGSNALAGSSCERARQGQIYGLQTMVCVATENGVVELGSSEIIHQSSDLVDKVDTFFNFNNGGGEFGSWAFNLNPDQGENDPGLWISEPNGVDSGLVAAPVMNNGGNDSTSNSDSQPISKLCNGSSVENPNPKVLKSCEMVNFKNGIENGQEEDSSNKKRSPVSNNEEGMLSFTSVLPCDSNHSDLEASVAKEAESNRVVVEPEKKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYSLRAVVPNVSKMDKASLLGDAISYISELKSKLQKAESDKEELQKQIDVMNKEAGNAKSSVKDRKCLNQESSVLIEMEVDVKIIGWDAMIRIQCSKRNHPGAKFMEALKELDLEVNHASLSVVNDLMIQQATVKMGNQFFTQDQLKVALTEKVGECP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MADPIMDLFDDPNLFGLDSLTDDSFNQVTQDPIEEALGLPSSLDSLDQMNQDGGGGDVGNSSASDLVPPPEETASTELPKESTAPAPESLTLHDYTTQPTSQEQPAQPVLQTSTPTAGLLQVSKSQEILSQGNPFMGVSATGVSPSNTGGQPSQSAPKIVILKAPPNSSVTGTHVAQIQAQGITSTAQPLVAGTANGGKVTFTKVLTGTPLRPGVSIVSGNTVLATKVPGNQAAVQRIVQPSRPVKQLVLQPVKGSAPAGNPGAAGPPLKPAVTLTSTPTQGESKRITLVLQQPQSGGPQGHRHVVLGSLPGKIVLQGNQLAALTQAKNAQGQPAKVVTIQLQVQQPQQKIQIVPQPPSSQPQPQPQPPPSAQPLTLSSVQQAQIMGPGQNPGQRLSVPLKMVLQPQAGSSQGASSGLSVVKVLSASEVAALSSPASCAPHTAGKTGMEENRRLEHQKKQEKANRIVAEAIARARARGEQNIPRVLNEDELPSVRPEEEGEKKRRKKSSGERLKEEKPKKSKTAAASKTKGKSKLNTITPVVGKKRKRNTSSDNSDVEVMPAQSPREDEESSIQKRRSNRQVKRKKYTEDLDIKITDDEEEEEVDVTGPIKPEPILPEPVQEPDGETLPSMQFFVENPSEEDAAIVDKVLSMRVVKKELPSGQYTEAEEFFVKYKNYSYLHCEWATISQLEKDKRIHQKLKRFKTKMAQMRHFFHEDEEPFNPDYVEVDRILDESHSVDKDNGEPVIYYLVKWCSLPYEDSTWELKEDVDEGKIREFKRIQSRHPELRRVNRPQANAWKKLELSHEYKNRNQLREYQLEGVNWLLFNWYNRQNCILADEMGLGKTIQSIAFLQEVYNVGIHGPFLVIAPLSTITNWEREFNTWTEMNTIVYHGSLASRQMIQQYEMYCKDSRGRLIPGAYKFDALITTFEMILSDCPELREIEWRCVIIDEAHRLKNRNCKLLDSLKHMDLEHKVLLTGTPLQNTVEELFSLLHFLEPSQFPSESEFLKDFGDLKTEEQVQKLQAILKPMMLRRLKEDVEKNLAPKQETIIEVELTNIQKKYYRAILEKNFSFLSKGAGHTNMPNLLNTMMELRKCCNHPYLINGAEEKILMEFREACHIIPQDFHLQAMVRSAGKLVLIDKLLPKLKAGGHKVLIFSQMVRCLDILEDYLIQRRYLYERIDGRVRGNLRQAAIDRFSKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIGQSKAVKVYRLITRNSYEREMFDKASLKLGLDKAVLQSMSGRDGNITGIQQFSKKEIEDLLRKGAYAAIMEEDDEGSKFCEEDIDQILLRRTTTITIESEGKGSTFAKASFVASENRTDISLDDPNFWQKWAKKADLDMDLLNSKNNLVIDTPRVRKQTRHFSTLKDDDLVEFSDLESEDDERPRSRRHDRHHTYGRTDCFRVEKHLLVYGWGRWRDILSHGRFKRRMTERDVETICRAILVYCLLHYRGDENIKSFIWDLISPAENGKTKELQNHSGLSIPVPRGRKGKKVKSQSTFDIHKADWIRKYNPDTLFQDESYKKHLKHQCNKVLLRVRMLYYLRQEVIGDQAEKVLGGAIASEIDIWFPVVDQLEVPTTWWDSEADKSLLIGVFKHGYEKYNTMRADPALCFLEKAGRPDDKAIAAEHRVLDNFSDLVEGIDFDKDCEDPEYKPLQGPPKDPDDEGDPLMMMDEEISVIDGEEAQVTQQPGHLFWPPGSALTARLRRLVTAYQRSYKREQMKMEAAERGDRRRRRCEAAFKLKEIARREKQQRWTRREQTDFYRVVSTFGVEYDPDNMQFHWDRFRTFARLDKKTDESLTKYFHGFVAMCRQVCRLPPAAGDEPPDPNLFIEPITEERASRTLYRIELLRRLREQVLCHPLLEDRLALCQPPGLELPKWWEPVRHDGELLRGAARHGVSQTDCNIMQDPDFSFLAARMNYMQNHQAGASAASLSRCSTPLLHQQCTSRTASPSPLRPDAPVEKSPEESTVQVPNLESLTLKLEDEVVARSRLTSQDYEVRVGSSDTAPLSRSVPPVKLEDEDDSDSELDLSKLSPSSSSSSSSSSSSSSTDESEDEKEEKLTADRSRPKLYDEESLLSLTMSQDGFPNEDGEQMTPELLLLQERQRASEWPKDRVLINRIDLVCQAVLSGKWPSNRRSQEVTAGGILGPGNHLLDSPSLTPGEDGDSPVPTPRSGSAASMAEEEASAVTTAAAQFTKLRRGMDEKEFTVQIKDEEGLKLTFQKHRLMANGVMGDGHPLFHKKKGNRKKLVELEVECMEEPNHLDLDLETRIPVINKVDGTLLVGDEAPRRAELEMWLQGHPEFAVDPRFLAYMEERRKQKWQRCKKNNKAELNCLGMEPVQPANSRNGKKGHYAETAFNRVLPGPVAPENSKKRVRRTRPDLSKMMALMQGGSTGSLSLHNTFQHSSSNLQSVSSLGHSSTTSASLPFMPFVMGAAAPPHVDSSTMLHHHHHHPHPHHHHHHHPGLRTTGYPSSPATTTSGTALRLPTLQPEDDDEEEDEEDDDLSQGYDSSERDFSLIDDPMMPANSDSSEDADD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAGGVDGPIGIPFPDHSSDILSGLNEQRTQGLLCDVVILVEGREFPTHRSVLAACSQYFKKLFTSGAVVDQQNVYEIDFVSAEALTALMDFAYTATLTVSTANVGDILSAARLLEIPAVSHVCADLLERQILAADDVGDASQPDGAGPTDQRNLLRAKEYLEFFRSNPMNSLPPTAFPWSGFGAPDDDLDATKEAVAAAVAAVAAGDCNGLDFYGPGPPADRPPAGDGDEGDSTPGLWPERDEDAPPGGLFPPPTAPPATTQNGHYGRAGAGTGEEEAAALSEAAPEPGDSPGFLSGAAEGEDGDAADVDGLAASTLLQQMMSSVGRAGDSDEESRTDDKGVMDYYLKYFSGAHEGDVYPAWSQKGEKKIRAKAFQKCPICEKVIQGAGKLPRHIRTHTGEKPYECNICKVRFTRQDKLKVHMRKHTGEKPYLCQQCGAAFAHNYDLKNHMRVHTGLRPYQCDSCCKTFVRSDHLHRHLKKDGCNGVPSRRGRKPRVRGVPPDVPAGAGAPPGLPDAPRNGQEKHFKDEEEDEEEASPDGSGRLNVAGSGGDDGAGGPAVATAEGNFAT", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSKTAVKDSATEKTKLSESEQHYFNSYDHYGIHEEMLQDTVRTLSYRNAIIQNKDLFKDKIVLDVGCGTGILSMFAAKHGAKHVIGVDMSSIIEMAKELVELNGFSDKITLLRGKLEDVHLPFPKVDIIISEWMGYFLLYESMMDTVLYARDHYLVEGGLIFPDKCSIHLAGLEDSQYKDEKLNYWQDVYGFDYSPFVPLVLHEPIVDTVERNNVNTTSDKLIEFDLNTVKISDLAFKSNFKLTAKRQDMINGIVTWFDIVFPAPKGKRPVEFSTGPHAPYTHWKQTIFYFPDDLDAETGDTIEGELVCSPNEKNNRDLNIKISYKFESNGIDGNSRSRKNEGSYLMH", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDVDVFNGWGRPRFEDESLMPPGFRFHPTDEELITYYLLKKVLDSNFSCAAISQVDLNKSEPWELPEKAKMGEKEWYFFTLRDRKYPTGLRTNRATEAGYWKATGKDREIKSSKTKSLLGMKKTLVFYKGRAPKGEKSCWVMHEYRLDGKFSYHYISSSAKDEWVLCKVCLKSGVVSRETNLISSSSSSAVTGEFSSAGSAIAPIINTFATEHVSCFSNNSAAHTDASFHTFLPAPPPSLPPRQPRHVGDGVAFGQFLDLGSSGQIDFDAAAAAFFPNLPSLPPTVLPPPPSFAMYGGGSPAVSVWPFTL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDGHNQNQYQNQNQIQQSQQPPLKKYVTQRRSVDVSSPYINLYYNRRHGLPNLVVEPETSYTIDIMPPNAYRGRDRVINLPSKFTHLSSNKVKHVIPAIQWTPEGRRLVVATYSGEFSLWNASSFTFETLMQAHDSAVTTMKYSHDSDWMISGDADGMIKIWQPNFSMVKEIDAAHTESIRDMAFSSNDSKFVTCSDDNILKIWNFSNGKQERVLSGHHWDVKSCDWHPEMGLIASASKDNLVKLWDPRSGNCISSILKFKHTVLKTRFQPTKGNLLMAISKDKSCRVFDIRYSMKELMCVRDETDYMTLEWHPINESMFTLACYDGSLKHFDLLQNLNEPILTIPYAHDKCITSLSYNPVGHIFATAAKDRTIRFWTRARPIDPNAYDDPTYNNKKINGWFFGINNDINAVREKSEFGAAPPPPATLEPHALPNMNGFINKKPRQEIPGIDSNIKSSTLPGLSI", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSGTNLDGNDEFDEQLRMQELYGDGKDGDTQTDAGGEPDSLGQQPTDTPYEWDLDKKAWFPKITEDFIATYQANYGFSNDGASSSTANVEDVHARTAEEPPQEKAPEPTDARKKGEKRKAESGWFHVEEDRNTNVYVSGLPPDITVDEFIQLMSKFGIIMRDPQTEEFKVKLYKDNQGNLKGDGLCCYLKRESVELALKLLDEDEIRGYKLHVEVAKFQLKGEYDASKKKKKCKDYKKKLSMQQKQLDWRPERRAGPSRMRHERVVIIKNMFHPMDFEDDPLVLNEIREDLRVECSKFGQIRKLLLFDRHPDGVASVSFRDPEEADYCIQTLDGRWFGGRQITAQAWDGTTDYQVEETSREREERLRGWEAFLNAPEANRGLRRSDSVSASERAGPSRARHFSEHPSTSKMNAQETATGMAFEEPIDEKKFEKTEDGGEFEEGASENNAKESSPEKEAEEGCPEKESEEGCPKRGFEGSCSQKESEEGNPVRGSEEDSPKKESKKKTLKNDCEENGLAKESEDDLNKESEEEVGPTKESEEDDSEKESDEDCSEKQSEDGSEREFEENGLEKDLDEEGSEKELHENVLDKELEENDSENSEFEDDGSEKVLDEEGSEREFDEDSDEKEEEEDTYEKVFDDESDEKEDEEYADEKGLEAADKKAEEGDADEKLFEESDDKEDEDADGKEVEDADEKLFEDDDSNEKLFDEEEDSSEKLFDDSDERGTLGGFGSVEEGPLSTGSSFILSSDDDDDDI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTSTAAEGAGSGSLNPPHSNPSGDGGPRIRSPPGKGNKPVALADITNTGKPNAARSITVPDLVKENTKLLTLLNEKTKIIDLSRVEIYKLRLALQASKQQNLHLTQTNSQMLAEINTGKDRIKMLQHELSCTTALLKVKDSELDRKKNAGNVQQKGVKSQVLKTKASTVAVEAHHVGDSVTSGVEHHVVESQSAVSSNTVCQEPPQDGKQKRMPQRRRSSRLNQGSCEIRGVSQNTLHENPVVPVAPSTLSLEKQYGQTTGKHMKSLQNECSATVHEVIMASEFEKTEINELPQKTDLKEIPEACSSETEVQSHKIGDKAFNSKQNHLTGSQSSLSFNTVDTPEPPEDNTVKRCSKKRSSIEDVNAKLDTITSEPLRHEKKRKSRRKISARLNSVSSEHTDIVVETEHKDVIVSLAGSTSNVSMEQRTNQEQDGDCFSRKSNENQILGRRSLRRAAEKVVSYKEMPLNVKMRRP", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPRKKSAAKRAREQAKKEAAVPATDTATIKTSETSATTVKPAIEASKSYVPSEDEEEDEEEEEEEDDYGELITDEVENGINQVLDAIKNNKTDKLLDPKVKFFEDPESAAAKLANREGKHKPIYLKDYHRMNILSGDALKEDDEEYEHATVDGKQSFVSQQREEKTQLLNEIKSAFSDEENEESSGDEDDGFLKKKEPSTKKEGKNLPDPTVNEENFLEEFVNQQAWIPKKGDKVISLDLNNNEEDDEEFEDAAEKFENAYNFRYEDPNAAEIISYARSQATLRRSDDSSRRRKREEKRKIKEQIKAEKETALQKKKTKKLNKLTDILEQLTKEYGAEINADMVKKITDTLLKNDFKEEEWDNVVAELFNEEFYQQEGKPTWNEDDEIMGDFYADADGDDQTEEGEVEKEQKEEDEEEGPKRKKSKKEEKLQKKKEKRKVNELVENALEQNKLALIEEVEKEEEERKSRSRTKEEQDLKFRYREVSPESFGLTAREIFAADDTDLNEFIGLKKFAPYRSKELRAKDKRKVMKARRLREWRKKTFKNENGLAPVEAEAGEKDEDTILIPVEKASKSKHKRGHSHKHKGHQKK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNQGEIVYQDDDDYYDESEIYDNYEEGAEFIEVNGQLVPHNPNLQAQQNRPGTSSMIQQHNRSMEVNQGLVKDEPIDTSSHRVYVPPPRPVQRKLFQPGPSTPGSSQYTVRNLSNLSGSPSMYDRQPASLPRTVQPMGLEMGNSEQRKVYIDMKDHVSHIRLKTKKKVFAPGQRKPCNCTKSQCLKLYCDCFANGEFCRDCNCKDCHNNIEYDSQRSKAIRQSLERNPNAFKPKIGIARGGITDIERLHQKGCHCKKSGCLKNYCECYEAKVPCTDRCKCKGCQNTETYRMTRYKNSGGAVSNTNALMSLTNASSTATPDSGPGSVVTDEHGDDYEDMLLSHKPKVEMDPRRFPWYYMTDEVVEAATMCMVAQAEEALNYEKVQTEDEKLINMEKLVLREFGRCLEQMITNTTELTQDLDAAPTDDIPGPSTSTS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSAKTEADNTTAANSGGGGVGSGTSSGGGASANGTATPARRLRTRNSTGNGTNSGSESVKKSNANDEPSTPVTPAGATGSHTHAPPGISPAVMERPMPSVPMNHASSSVSASKKYHNSCPHPTPTPAPTGHKKSVHTQPHSSNKFDQGKNEEFHFDTPPECPVFRPTTEEFKNPLAYISKIRSIAEKCGIAKILPPATWSPPFAVDVDKLRFVPRVQRLNELEAKTRVKLNFLDQIAKFWELQGSSLKIPMVERKALDLYTLHRIVQEEGGMEQTTKDRKWAKVANRMQYPSSKSVGATLKAHYERILHPFEVYTSGKVLGPTPTSSGSGSTPVKLEDGGGTDYKAHEIPTRQQIAPPNETNTRRSKRFGNSNASCGLSGVTPTTKPSAGVFVKTETKEEFKRDLLSSFNAVNSGGSPLATGTTANTRGASQKKGGEPPALIVDPLMKYICHICNRGDVEESMLLCDGCDDSYHTFCLLPPLTSIPKGEWLCPRCVVEEVSKPQEAFGFEQAEREYTLQQFGQMADQFKQEYFRKPVHLVPTEMVEREFWRIVSSIDEDVTVEYGADLHTMDHGSGFPTKSSLYLLPGDQEYAESSWNLNNLPLLEDSILGHINADISGMNAPWMYVGMCFAAFCWHNEDHWSYSINYLHWGEPKTWYGVPGSCAEQFEETMKQAAPELFSSQPDLLHQLVTIMNPNILMNNRVPVFRTDQHAGEFVITFPRAYHAGFNQGYNFAEAVNFAPADWLKMGRECVNHYSMLRRFCVFSHDELVCKMALEPAKLTFGIATACYIDMAEMVDTEKKLRKSLLEWGVTRAERRAFELVNDDERHCQECNTTCFLSAVACECNDKLIVCLRHYTVLCGCAPEKHTLIYRYTLDEMPLMLQKLKVKAHSFERWLSRCRDIVDAHTPTSVTLQELQELCKEAETKKFPSSLLIDRLNAAAVEAEKCVTVIQQLGINKVRTRSDHNQEAAQYKLTMEELELFVQEIDNLCCIIDEGASVRELLVLGKQFVERSESQLQLSLESLEESELETLINEGSSLRIELQQLDLLQKRLKQCKWYKRSQGLRETSSKLTYQDVKNLLHIAAADLDPTDPYVDKEMRKLQQIGADIEAWESQAAKYFRRLTQQHELGEIEQFLKSASDINGQVPSHGLLKDALRKAREWLRAVEQLQQNNHVTYCHTLEAMIERGLNIPIQLEELSRMQGHLNSAHQWKDNTACAFLKKGTFYTLLEVLMPRSDAINIDSDLKPRFQDDFLKEKNPAEIVASFKHAEEQELLDMRELRRQNMNKNPMRDMFCLCKSEFRNLMFNCQLCRDWFHEDCVPPPSATNQNGIVNGGSGPGTNRPKWLCPSCVRSKRPRLETILPLLVQLQQLPIRLPEDEALRCLAERAMNWQDRARKALSSPDVSAAQEAIMAQQQQKRRSEGGAGVGNISSPRKPRRRGSLTKEASGSTESDADDDDDEDECRLRIVEDNFSNDEDEPRTAPATSTVNSDLLKLLSDSEIENLLDLMMEGDLLEVSLDETQELWRILETMPPTLLQAEAMERVVQYMQRQRQQHTNPLPTSGAEDSNDSLMVQNSPNSNSNSGGATGSASNSGRNKKRRSNDTGGNSAVPRKKQSTPKQTPGKKGSAAAARKSDAKASPAASTTPGADADAENKQANGGNTNSSTGSGGGNSATTTPTPGSTHKKRKRTSTTATNNNNNNNNNSTNNSNSSTNLNSNTTSGQGAATGGNNATGGQKKHAQRSQQAAQEDDEEECRAENCHKPTGREVDWVQCDGGCNEWFHMYCVGLNRSQIKPDDDYICIRCTKTVAIGTQGSGHSMSVASTTTPGKQRAVQSAR", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGHKKNGHRRQIKERENQNKFERSTYTNNAKNNHTQTKDKKLRAGLKKIDEQYKKAVSSAAATDYLLPESNGYLEPENELEKTFKVQQSEIKSSVDVSTANKALDLSLKEFGPYHIKYAKNGTHLLITGRKGHVASMDWRKGQLRAELFLNETCHSATYLQNEQYFAVAQKKYTFIYDHEGTELHRLKQHIEARHLDFLPYHYLLVTAGETGWLKYHDVSTGQLVSELRTKAGPTMAMAQNPWNAVMHLGHSNGTVSLWSPSMPEPLVKLLSARGPVNSIAIDRSGYYMATTGADRSMKIWDIRNFKQLHSVESLPTPGTNVSISDTGLLALSRGPHVTLWKDALKLSGDSKPCFGSMGGNPHRNTPYMSHLFAGNKVENLGFVPFEDLLGVGHQTGITNLIVPGAGEANYDALELNPFETKKQRQEQEVRTLLNKLPADTITLDPNSIGSVDKRSSTIRLNAKDLAQTTMDANNKAKTNSDIPDVKPDVKGKNSGLRSFLRKKTQNVIDERKLRVQKQLDKEKNIRKRNHQIKQGLISEDHKDVIEEALSRFG", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MESDEAAAVSPQATTPSGGTGASGPKKRGRKPKTKEDSQTPSSQQQSDVKMKESGKKTQQSPSVDEKYSQWKGLVPILYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVETQPNRHAVLGAANSRPDLILTGHQDNAEFALAMCPTEPFVLSGGKDKSVVLWSIQDHITTIGTDSKSSGSIIKQTGEGTDKNESPTVGPRGVYHGHEDTVEDVAFSPTSAQEFCSVGDDSCLILWDARTGTNPVTKVEKAHDADLHCVDWNPHDDNLILTGSADNTVRLFDRRKLTANGVGSPIYKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYDRVSKKSDRAAKSPAGLFFQHAGHRDKVVDFHWNASDPWTIVSVSDDCETTGGGGTLQIWRMSDLIYRPEEEVVAELEKFKSHVMTCASKP", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGSSFFGRPKMGGSSSSSPTSSSSSPAKRGKNKNGSDKPKQPQRGLGVAQLEKIRLHGEYNCNSFNTYPSYHPSTYQEDVRIQGGYPSIPSSSPSFSYASSSPPPAPYGFHPNMMMNANNDQYERTTIRYGDSQPHRAPSWNPSYGILESQHFVEPNTTRHFLHEDQRNISLGSGIQNFETSEANELDLELRL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGDARDNEAYEEELLDYEEEDEKVPDSGNKVNGEAVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPSPGQVSALVLCHTRELAYQICNEFVRFSTYLPDTKVSVFYGGVNIKIHKDLLKNECPHIVVGTPGRVLALAREKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEMEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKSFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVASASDSEVLNQVQERFEVDIKELPEQIDTSTYMPS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATSLFFMSTDQNSVGNPNDLLRNTRLVVNSSGEIRTETLKSRGRKPGSKTGQQKQKKPTLRGMGVAKLERQRIEEEKKQLAAATVGDTSSVASISNNATRLPVPVDPGVVLQGFPSSLGSNRIYCGGVGSGQVMIDPVISPWGFVETSSTTHELSSISNPQMFNASSNNRCDTCFKKKRLDGDQNNVVRSNGGGFSKYTMIPPPMNGYDQYLLQSDHHQRSQGFLYDHRIARAASVSASSTTINPYFNEATNHTGPMEEFGSYMEGNPRNGSGGVKEYEFFPGKYGERVSVVAKTSSLVGDCSPNTIDLSLKL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASQQAPAKDLQTNNLEFTPTDSSGVQWAEDISNSPSAQLNFSPSNNGCWATQELQSLWKMFNSWLQPEKQTKEQMISQLVLEQFLLTGHCKDKYALTEKWKASGSDMRRFMESLTDECLKPPVMVHVSMQGQEALFSENMPLKEVIKLLKQQQSATRPTPDNEQMPVDTTQDRLLATGQENSENECNNSCNATEANVGESCSGNEMDSLLIIQKEQHPEHEEGNVVCQFPHGARRASQGTPSHHVDFPSAPTTADVPMEEQPKDLSRENISEDKNNCYNTSRNAATQVYSGDNIPRNKSDSLFINKRIYHPEPEVGDIPYGVPQDSTRASQGTSTCLQESLGECFSENDPREVPGLQSRQEQPISDPVLLGKNHEANLPCESHQKRFCRDAKLYKCEECSRMFKHARSLSSHQRTHLNKKSELLCVTCQKMFKRVSDRRTHEIIHMPEKPFKCSTCEKSFSHKTNLKSHEMIHTGEMPYVCSLCSRRFRQSSTYHRHLRNYHRSD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFKVDWDLGPVSKSASDQTKESRKEKKRKKGERKNVGDKGEKLNEKVLKKAKSVTTNNSLKSEIKKEKSVPSIKEKNKGDAKHTKLTSLQQKMKDKLDGANFRWINEQLYTTESDKAVQMFKENPDLFDIYHAGFRYQVEGWPENPVDIFIQHLKIRFEHSNAKKKNNIVIADLGCGEAKIASTFRKSRSLQVHSFDLVAPNEHVVACDIANVPMADETVDIAVFCLSLMGTNWQSFLKEAYRILKVGGLLWVAEIKSRFSDKSGEVFAKELPKLGFETKSIQLQNKMFTLFEFKKVPVHGKCEELPPILSACIYKRR", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEAVKTFNSELYSLNDYKPPISKAKMTQITKAAIKAIKFYKHVVQSVEKFIQKCKPEYKVPGLYVIDSIVRQSRHQFGQEKDVFAPRFSNNIISTFQNLYRCPGDDKSKIVRVLNLWQKNNVFKSEIIQPLLDMAAGIPPPVVTPVLASTTAAMSNTPGTPVTPVTPANVVQGLPDPWVSQIANTDTLAAVAQILQSPQGQQLQQLIQTLQIQQQKPQPSILQALDAGLVVQLQALTAQLTAAAAAANTLTPLDQGVSFNKKLMDRFDFGEDSEHSEESKKEMPTPQLSHVSESVNNSIFHQIAEQLQQQNLEQLRQQLLEQQQPQKVTPQDSQEGTFGSEHSASPSQGSSQQHFLEPEANLDDSIDIQQQDMDIDEGQDVVEEEIFEPEAKKVAVRSRSRTHSRSRSRSPRKRRSRSRSGSRKRKHRKRSRSHSREKKRKASRSYSSERRAREREKERQKKGLPPVRSKTLSVCSTTLWVGQVDKKATQQDLTNLFEEFGQIESINMIPPRGCAYVCMVHRQDSFRALQKLSSGSYKIGSKVIKIAWALNKGVKTEYKQFWDVDLGVTYIPWEKVKVDDLDGFAEGGMIDQETVNAEWETVKASEPVKEPVQTAQSPAPVEKESVVTTQAEVFPPPVAMLQIPVAPAVPAVSLVPPAFPVSMPVPPPGFNPIPPPPFLRASFNPSQPPPGFMPPPVPPPVVPPPAIPPVVPTSLVQPPLSMTPEAVKDVGFGSLVLPSGSVAGSLAPSTLPAGNVFNPPSKAEPEEKVPHLIEHQIPSGENTRPVIPSDIPSSAAMLAQPPGASSTSGILCVQRPNVSSNSEILGVRPANVSNSAAIMGAQPPNILNNSGILAIQPPNVSSGSGLLGVLPPNLPNNSGLVGLQPPNVTSPAGLLGTQPPIGPQNLPPLAIPAQRMPALPMLDIRPGLIAQAPGPRFPLLQPGIPPQRGIPPPSVLDAALHPPPRGPFPPGDLFSQPERPFLAPGRPSIDNVPNPDKRIPLGNDNIQQEGDRDYRFPPIETREGITRPPQVDVRDVVGRRLDPREGPGRPPLDARDHFGRPPVDMRENLVRPSLDHLGRRDHFGFPPEKPWGPRDFDEREHRVLPVFGGPKGLHEERGRFRAGNYRFDPRSGPWNRGFGQEVHRDFDDRRRPWERQRDRDDRDFDFCREINGNRLGRDRIQNTWVPPPHARVFDYFEGATSQRKGDNVPQVNGENTERHAQPPPLPVQKDPELYEKLASSGDVDKEESGTVAGVESEAVVESTETEGT", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAGVEAAAEVAATEPKMEEESGAPCVPSGNGAPVPKGEERPTQNEKRKEKNIKRGGNRFEPYANPTKRYRAFITNIPFDVKWQSLKDLVKEKVGEVTYVELLMDAEGKSRGCAVVEFKMEESMKKAAEVLNKHSLSGRPLKVKEDPDGEHARRAMQKAGRLGSTVFVANLDYKVGWKKLKEVFSMAGVVVRADILEDKDGKSRGIGTVTFEQSIEAVQAISMFNGQLLFDRPMHVKMDERALPKGDFFPPERPQQLPHGLGGIGMGLGPGGQPIDANHLNKGIGMGNLGPAGMGMEGIGFGINKIGGMEGPFGGGMENMGRFGSGMNMGRINEILSNALKRGEIIAKQGGSGAGGSVPGIERMGPGIDRISGAGMERMGAGLGHGMDRVGSEIERMGLVMDRMGSVERMGSGIERMGPLGLDHMASSIERMGQTMERIGSGVERMGAGMGFGLERMAAPIDRVGQTIERMGSGVERMGPAIERMGLSMDRMVPTGMGAGLERMGPVMDRMATGLERMGANNLERMGLERMGANSLERMGLERMGANSLERMGPAMGPALGAGIERMGLAMGGAGGASFDRTIEMERGNFGGSFAGSFGGAGGHAPGVARKACQIFVRNLPFDFTWKMLKDKFNECGHVLYADIKMENGKSKGCGVVKFESPEVAERACRMMNGMKLSGREIDVRIDRNA", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSNTGLPKPWIVKISRSRNRPYFFNTETHESLWEPPAATDMAALKKFIANELQESVTPTEASNSPKIRASHLLVKHRESRRPSSWKEEHITRSKEEARKLAEHYEQLLKSGSVSMHDLAMKESDCSSARRGGELGEFGRDEMQKPFEDAAFALKPGEISGVVETSSGFHIIQRHA", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDSSAVITQISKEEARGPLRGKGDQKSAASQKPRSRGILHSLFCCVCRDDGEALPAHSGAPLLVEENGAIPKQTPVQYLLPEAKAQDSDKICVVIDLDETLVHSSFKPVNNADFIIPVEIDGVVHQVYVLKRPHVDEFLQRMGELFECVLFTASLAKYADPVADLLDKWGAFRARLFRESCVFHRGNYVKDLSRLGRDLRRVLILDNSPASYVFHPDNAVPVASWFDNMSDTELHDLLPFFEQLSRVDDVYSVLRQPRPGS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDAGLNRCPLQEHFLPRKNSKENLDRFIPNRSAMNFDYAHFALTEERKGKDQSATVSSPSKEAYRKQLAETMNLNHTRILAFRNKPQAPVELLPSNHSASLHQQPKSVKPRRYIPQTSERTLDAPDIVDDFYLNLLDWGSANVLAIALDHTVYLWDASTGSTSELVTIDEEKGPVTSINWAPDGRHVAVGLNNSEVQLWDSASNRQLRTLKGGHQSRVGSLAWNNHILTTGGMDGLIINNDVRIRSPIVETYRGHTQEVCGLKWSGSGQQLASGGNDNVVHIWDRSVASSNSTTQWLHRLEEHTSAVKALAWCPFQANLLATGGGGGDRTIKFWNTHTGACLNSVDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLYMAQSPDGCTVASAAGDETLRFWNVFGVPETAKKAAPKAVAEPFSHVNRIR", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSLPIAVYSLSVKGKDVPAVEESTDASIHLTMASIDAGEKSNKPTTLLVKVRPRIPVEDEDDEELDEQMQELLEESQREFVLCTLKPGSLYQQPLNLTITPGDEVFFSASGDATIHLSGNFLVDEEDEEEEESDEDYDLSPTEEDLVETVSGDEESEEESESEDNSASEEDELDSAPAKKAQVKKKRTKDESEQEEAASPKKNNTKKQKVEGTPVKEKKVAFAEKLEQGPTGPAAKKEKQQASSNAPSSPKTRTLKGGVVVTDVKTGSGASATNGKKVEMRYIGKLENGKVFDKNTKGKPFAFILGRGEVIRGWDVGVAGMQEGGERKITIPAPMAYGNQSIPGIPKNSTLVFEVKLVRVH", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDFENLFSKPPNPALGKTATDSDERIDDEIDTEVEETQEEKIKLECEQIPKKFRHSAISPKSSLHRKSRSKDYDVYSDNDICSQESEDNFAKELQQYIQAREMANAAQPEESTKKEGVKDTPQAAKQKNKNLKAGHKNGKQKKMKRKWPGPGNKGSNALLRNSGSQEEDGKPKEKQQHLSQAFINQHTVERKGKQICKYFLERKCIKGDQCKFDHDAEIEKKKEMCKFYVQGYCTRGENCLYLHNEYPCKFYHTGTKCYQGEYCKFSHAPLTPETQELLAKVLDTEKKSCK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEEKYLPELMAEKDSLDPSFTHALRLVNREIEKFQKGEGKEEEKYIDVVINKNMKLGQKVLIPVKQFPKFNFVGKLLGPRGNSLKRLQEETLTKMSILGKGSMRDKAKEEELRKSGEAKYFHLNDDLHVLIEVFAPPAEAYARMGHALEEIKKFLIPDYNDEIRQAQLQELTYLNGGSENADVPVVRGKSTLRTRGVTTPAITRGRGGVTARPVAVGVPRGTPTPRGVLSTRGPVSRGRGLLTPRARGVPPTGYRPPPPPPTQETYGEYDYDDGYGTAYDEQSYDSYDNSYSTPAQSAADYYDYGHGLSEDAYDSYGQEEWTNSRHKAPSARTAKGVYRDQPYGRY", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNGNSTNNEQLQQELATTQDQVASIIESFVELGVSIYDFPGTPEATKGMITNLQRNVDRLYKLNVRSNDPQSSLSKVDIPLEVVQYIEDGRNPDIYTREFVEAIRRSNQYQRGKMHGLKQLRDSLADKIVDEFPELKEPVEDIIKRTSPIDNVSNTH", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLGLRTHGLDRYEHYIRRPSDFGKLELQDWLNHKSFRVSPNLLIDSSTTREWNEPELFYQNTEDETWVRPCVGPKLEPSMMMLRYHDSNIGQMPQFCYPISSPINFKPVLKYILQERSELSDGFPQKYNTLIGSLFDIDKNPETLDDSDIEALDDIEMSSDSGNVKEPKIELQALEEIQQKHFSLIVSNNGIFQTGSTSITYIQSGISGSIAIKPNNVAILILLTQPSGHLLSILPLDDGKETYLLQYWNLGQKGQWNIIKHQNEKQFVLIHKELGICKFFEFHLPFTFQLVNNLTLTDSVIMNGSFFPTNYTDLDPYFIIFITAIRYERIVYFVIEWNNNEIKKKEVYQLTVFDGEKTNMTIPIGLNACLVETPLKFSLVSANQIMSGETEFHSFQLKALKGIKSFFPAPLLLLKLQELHPHTFKKFQYCTIISSSTGNICFCVTERSTIVNGNLKFYELTRFKGLKSISPLPSNPINLDSRSSSYVLVVISFSRTLELTLSLEDLRCLDKKDVIKPLKNITFKHTIDSSTEENSQILAFTSSKFYNTHTGSNINDTRNSQVWLTSPNAITQPCIDYKLRKTHQLIHLKQFQIFRHLRIWKCKNLDIALLQRLGINQSNTESSLIFATDAVSNNRIFLLDLTMTTTIDNDDPVQGLINIEDLLCDTENETILLNFTKNNLIQVTRDTIYIDPIGGDKELRKISPGWEFENVTYNDGILIVWNAGLGCVSYIENIDAVDESGALVSNLSSSKGMSKFFKQLGTVTSVNFQIKESTDDPTKYDIWILLPDCVIRTPFSDWISDSLDFSDVYILSVQQALINGPYFCSLDYESYFEVHTLQNNCFKKGSRCTSRVNFQGKDIKFRSFGVNQCLAFSAFEIFVINLTPIHDSRELDFYKLKLPHLGNNNSILEVCPDIENNQLFILYSDGLRILELSYLTSNNGNFLLKSTRSKNKKFLYLDKINRMLVLNQDLREWECIRLSDGKAVGLDSQLLKDDSEEILEIKELPIATEDNPLEKKTVLLISFTSSLKLVLLTAAKNKISNQIIDSYKLDNSRLLNHLVITPRGEIFFLDYKVMGTDNEMSFNKLKVTKHCIDQEERNNTTLRLTLETRFTFKSWSTVKTFTVVGDNIIATTNMGEKLYLIKDFSSSSDESRRVYPLEMYPDSKVQKIIPLNECCFVVAAYCGNRNDLDSRLIFYSLPTIKVGLNNETGSLPDEYGNGRVDDIFEVDFPEGFQFGTMALYDVLHGERHVNRYSEGIRSENDEAEVALRQRRNLLLFWRNHSSTPKPSLRRAATIVYEDHVSSRYFEDISSILGSTAMRTKRLSPYNAVALDKPIQDISYDPAVQTLYVLMADQTIHKFGKDRLPCQDEYEPRWNSGYLVSRRSIVKSDLICEVGLWNLSDNCKNTV", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDYVRTQVEAVFDDSEQDGSTISESGSCDSSSDRSFADELGLMELLEGDKAHDLIYRNCKSGLGDQCQILSVLRNGFRNVGSRAKLKTFQVFQEAVQMKHGGDGGAKVKYGWCSVSKHELKTIFEYGFSEPLRNDGSFGRGLYLSPDNSPLDCLKDSASESEDGMRFLLLCRVLLGKSEIVPQGSTRSCPSSPEFDSGVDDLVSTKKYIVWSTHMNTHVLPEFLVCIKAPFNLTRSPKRLRSPWMAFPVLIKALSKFLPPSQILVIQKHYKDQQNRRITRSELIQRVRSITGDKLLVHIIKACGHKVQH", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNSFPAQNLMLSATNANKDSGLRTSNAHWLHSCIAVPKTTGIDLSQEPPAEGVMVPQSHLFPPPIRDSRNDTETVKQKSVNQSPSKALKPKPQRKKRSVSNKSKKTPSIPETKREKKNLDINIDISSFDTSGVPPPVCSCTGVSRVCYKWGMGGWQSSCCTISISTYPLPMSTTRPGARLAGRKMSNGAYVKLLARLADEGYDLSHPLDLKNHWARHGTNKFVTIK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAKSKKKTDVVDSTNLPILELLSLKAPIFQSLLHPELPIIITGFGTGHIVCHRYDPAKLQSHLDRRRRIDTATTGKDAKKGVCPWIRLDIDLETGDLKFVDIEEQQQQKQTGKDEDLGVKTLWKTKRHKGSVRAMCFDSKGDNIFSVGSDNVLKKANTMTGKVVKKVNLSSLFNSEEKKNDKFTKLCASQTHPFILIGDESGNIHVINSENLALSNSIRSIHFGDSINDIFHFDKRSAYKFISLGQTTLAYFDVRDKDAKPNVAGNEDGKILISDDQEDEVLCGCFVDPEVADTLLCGMGEGIVTVWKPNKNDLEDQMSRIKISKDESIDCIVPTLQDDNCVWCGCSNGNIYKVNAKLGKVVEIRNHSELDEVSFVDLDFEYRVVSGGLENIKIWELSSDDVEENASVESDSDEPLSHSDEDLSDDTSSDDETTLVGLSKEELLDELDKDLKEDHQEEKESNSKSVKKRKIMKENNKKKDLYEHGIKKFDDL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNSGRPETMENLPALYTIFQGEVAMVTDYGAFIKIPGCRKQGLVHRTHMSSCRVDKPSEIVDVGDKVWVKLIGREMKNDRIKVSLSMKVVNQGTGKDLDPNNVVIEQEERRRRSFQDYTGQKITLEAVLNTTCKKCGCKGHFAKDCFMQPGGTKYSLIPEEEEEKEEAKAEGLEKPDPTKNSSRKRKKEKKKKKHRDRKSSDCDSSDSESDTGKKARHSSKDSKATKKKKKKKKHKKKHKE", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSYEKKSVEGAYIDDSTTFEAFHLDSRLLQAIKNIGFQYPTLIQSHAIPLALQQKRDIIAKAATGSGKTLAYLIPVIETILEYKKTIDNGEENGTLGIILVPTRELAQQVYNVLEKLVLYCSKDIRTLNISSDMSDSVLSTLLMDQPEIIVGTPGKLLDLLQTKINSISLNELKFLVVDEVDLVLTFGYQDDLNKIGEYLPLKKNLQTFLMSATLNDDIQALKQKFCRSPAILKFNDEEINKNQNKLLQYYVKVSEFDKFLLCYVIFKLNLIKGKTLIFVNNIDRGYRLKLVMEQFGIKSCILNSELPVNSRQHIVDQFNKNVYQLLIATDDTEYIKEEDDEIEEGHNTENQEEKSLEGEPENDKKPSKKKKVQVKKDKEYGVSRGVDFKNVACVLNFDLPTTAKSYVHRVGRTARGGKTGTAISFVVPLKEFGKHKPSMLQTAKKDERILSRIIKQQSKLGLELQPYKFDQKQVEAFRYRMEDGFRAVTQVAIREARVKELKQELLASEKLKRHFEENPKELQSLRHDKELHPARVQQHLKRVPDYLLPESARGNGTKVKFVPFHNAKKRHSHKKGRVSKPKNGKVDPLKNFK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLTAVCGSLGSQHTDAPHASPPRLDLQPLQTYQGHTSPEAGDYPSPLQPGELQSLPLGPEVDFSQGYELPGASSRVTCEDLESDSPLAPGPFSKLLQPDMSHHYESWFRPTHPGTEDGSWWDLHPGTSWMDLPHTQGALTSPGHPGALQPALGGYVGDHQLCAPPPHPHPHHLLPAAGGQHLLGPPDGAKALEAAAQESQGLDSSLDAASRPKGSRRSVPRSSGQTVCRCPNCLEAERLGAPCGPDGGKKKHLHNCHIPGCGKAYAKTSHLKAHLRWHSGDRPFVCNWLFCGKRFTRSDELQRHLQTHTGTKKFPCAVCSRVFMRSDHLAKHMKTHEGAKEEAAAAAQGEGKAGGVVEPPGGKGKREAEGSSASSN", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MIPLEKPGSGGSSPGATSGSGRAGRGLSGPCRPPPPPQARGLLTEIRAVVRTEPFQDGYSLCPGRELGRGKFAVVRKCIKKDSGKEFAAKFMRKRRKGQDCRMEIIHEIAVLELAQDNPWVINLHEVYETASEMILVLEYAAGGEIFDQCVADREEAFKEKDVQRLMRQILEGVHFLHTRDVVHLDLKPQNILLTSESPLGDIKIVDFGLSRILKNSEELREIMGTPEYVAPEILSYDPISMATDMWSIGVLTYVMLTGISPFLGNDKQETFLNISQMNLSYSEEEFDVLSESAVDFIRTLLVKKPEDRATAEECLKHPWLTQSSIQEPSFRMEKALEEANALQEGHSVPEINSDTDKSETKESIVTEELIVVTSYTLGQCRQSEKEKMEQKAISKRFKFEEPLLQEIPGEFIY", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDVDSIFKNTEETNKKRNPEEADSLEPASSRRRLAEENSDEENEEFDEEGGRFFGSGLKKSEKTVLDFLDEQEAQEEPASLTPTELKRMVVRLEKTINNNQELRIKYSTSPQRFIESEADLDLEIRSFNVLSEYPILIPIFLKLDCVSTFLELMNHENADITITVLELLIELTDEDVDPDALNSLFTSLIDSGLLPLLSNTIKRFDESNEEDRHGVYCVLSLMENLLSVDNSICSIIVENTTLVEWLLSRSSVDETSISTNLQYAVEILAIILANSKEAKLKVCNLNGIDLLLRRISPYRLRDPTQGSEEEMMENVFDCLCSLVQETKGKSLFLKEEGIELCILNMKHKGKSRYSTIKVLDYLLFGPLSTPYCIRFVEAGGLKYIFAAFMKISAADTLEHILAILASLFRSLPADTVERVRFLRKFIENDFEKMKRLFKIYDRLRIQLKGIDQSRKLDFSPDSEEKSTKWFLQQIDHGLFPFQSTVLILSWLCVENTVTLKKIKMLFSEASIPIDELTDALKNYHENLEEPTVESEEVEANDSYYRIDEKPMVTVLLGSMQASV", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNEGNSAGGGHEGLSPAPPAVPDRVTPHSTEISVAPANSTSTTVRAAGSVGAALPATRHHQHIATQVKGIASSSSKQQKQLASAQLPVPLSPLPQQQQQTAEATAAAAAPAHSNVSVSSSTIEASVLPPQAKRQRLDDNEDRTSAASIVGPAESSNIVSSLLPASVASSSEVGGLSSTALQDLNALKKRILQQKLQILRNLKERHLENVSEYFYLQNGGSMMDYPAWRKKTPTPQFISYSNANRIDQLIHEDKPSTSAAAAAAQNQKYTTQQTDSVESSLVSGIGTGATKGAPLDGNISNSTVKTNTQSQVPSKIGSFTESTPAATESNSSTTVPGTATSGAATSTSATSAEASGNVLAVEAEIKIPAVGATPVAISTKLPAAVVQLTQQGGTPLLPCNTSAGSTALRRPQGQNNASSGSAAASGGGGSLTPTPLYTGNGPAALGGSGGLTPGTPTSGSLLSPALGGGSGTPNSAAQEFSFKAKQEVYVMQRISELQREGLWTERRLPKLQEPSRPKAHWDYLLEEMVWLAADFAQERKWKKNAAKKCAKMVQKYFQDKATAAQRAEKAQELQLKRVASFIAREVKSFWSNVEKLVEYKHQTKIEEKRKQALDQHLSFIVDQTEKFSQQLVEGMNKSVADTPSLNSSRLTSPKRESDDDFRPESGSEDDEETIAKAEEDAADVKEEVTALAKESEMDFDDFLNDLPPGYLENRDKLMKEEQSSAIKTETPDDSDDSEFEAKEASDDDENTISKQEEAEQEIDHKKEIDELEADNDLSVEQLLAKYKSEQPPSPKRRKLAPRDPELDSDDDSTAVDSTEESEDAATEDEEDLSTVKTDTDMEEQDEQEDGLKSLMADADATSGAAGSGSTAGASGNKDDMLNDAAALAESLQPKGNTLSSTNVVTPVPFLLKHSLREYQHIGLDWLVTMNERKLNGILADEMGLGKTIQTIALLAHLACAKGNWGPHLIVVPSSVMLNWEMEFKKWCPGFKILTYYGSQKERKLKRVGWTKPNAFHVCITSYKLVVQDQQSFRRKKWKYLILDEAQNIKNFKSQRWQLLLNFSTERRLLLTGTPLQNDLMELWSLMHFLMPYVFSSHREFKEWFSNPMTGMIEGNMEYNETLITRLHKVIRPFLLRRLKKEVEKQMPKKYEHVITCRLSNRQRYLYEDFMSRAKTRETLQTGNLLSVINVLMQLRKVCNHPNMFEARPTISPFQMDGITFHTPRLVCDIMEYDPFTQINLETLNLLLLHLEQTMTAYVSHKSRLLAPPRKLIEDIDTAPLPAPRCPNGKYRFHIRVRSAELAQRIKLNAVKVGASPAMRLEGSKIMPMRNLLPSGRVLKRVSASINPVNMALKPVVINSVVTTTSSSTTASSPTGALSVLSNSKLLGARSQINAPTPAKVAKTMQDGKPFFYLTPATNSGAAGARLTLTSKTTASASTTTSRTTVTASTTSGQQLIRDPIVKDLATHVKSTVQKQSIANGKTEPEEETEAEDPYKVQELIQMRKEQRLAALKRMAMINRRRTDATPIYGEDCREAIQRCMQATRSLKRSTWQTRGYANCCTAMAHRNGWSLNHLLKSFEERCADLKPVFANFVIYVPSVCAPRIRRYVQNLSSTHWQHEQRIENIVDQALRPKLALLHPIISEMTTKFPDPRLIQYDCGKLQTMDRLLRQLKVNGHRVLIFTQMTKMLDVLEAFLNYHGHIYLRLDGSTRVEQRQILMERFNGDKRIFCFILSTRSGGVGINLTGADTVIFYDSDWNPTMDAQAQDRCHRIGQTRDVHIYRLVSERTIEVNILKKANQKRMLSDMAIEGGNFTTTYFKSSTIKDLFTMEQSEQDESSQEKSENKDRIVATTTLSDTPSTVVETEKQSLRAFEHALAAAEDEQDVQATKTAKAEVAADLAEFDENIPIATEDPNAEGGPQVELSKADLEMQNLVKQLSPIERYAMRFVEETGAAWTAEQLRAAEAELEAQKREWEANRLAAMHKEEELLKQETEAEEMLTYSRKDSSNQVWISRNTMEQMPMWCPPTPPQDNDNDIYIDYSLSFMYELEPIAETDLPPVYVRKEHKRSRTDAGYDGSRRPNKMRREDNYVPPRSLFDRPTPQLARLRRELKSQRFRGSFKPNMPIPGLKPQLPTKPLTEPEAMAEWCVFEDMAILHVLVNLQGLPCSLMLLSPGQTPNWDLVSEMVNFCSKTYRSARQCRWRYETHIQPREEGKVVESPKKQKKLKPTLRTEYLKSPLRYLRTTQLYVSDNNASFYKTMRSRFDSIKTAYLKKAPPPKRQFSAPSLMNPKHMEVLQEFGILNYDQPVSPQNIAAMKANKIREKQRGQQMSQPPVGVGVVQQMQQQSQQQQQPAPPPLPQQQQPQQVVQQVQQQQQQQQQQQQQQVVQQQLPTVSNVQQTLPVQQTVELVQQQPTTTTTVAVPAAGGQLQQLQIQHLTSSNVSPGQQTAILLHQPQQQLRTHPGQGGQSNTQQLVKTIVGTSSSLTAGQLQQLAQQSAVASGGQSSVSVVLTTPVQTLPSVVQPQIGSGAQIVSISSQTLPVNSSPQLGSIVQTQSLPQVVSVSTLPTVGTVLTTTANQPQQQHQTTAVTTLNTTMLRGQRIVSTAAGNTLQQRTTAGGQSIVSMPNLGQGASPSQFQTQLRLAAVPTSPATQTTQLVTTKGIPVSALQQGGKTTVIPVTQQSGGAHIQLYRQRSLKVLQTTTQAVPSGSAGATGATANLVQAGGTIIQASNMATHVTSQKVAVSGMPGTSTTVQAGNVVSSVQMHGQARTQFIKQMAAGKQQLQRQVVSADGTTTTTAAGDMLLVKRHNILAAQKAQQASGALFTTTTGQQQQQQQQQGQLPVAGQPQQVTQHQIASLVKASTAAAASGSSVNAGGVTVSATNPTVQAGSVNMTLPQLKPGSQIKVTMPNQMRHLQMQQQLTMPRKISRMTQLVSASGQPTATNIITTTGPQQQQQGVTVSGGGTLPTVASQQQQQQHQQKVGGGNSVQAQLLHIQNTKGLSNSVTMQQIQQVMRSGQQGTLATTNLVLGKTSVGRVIPVSVASQANQRQTIQVVSAASAQALAAGNLRTHVAGPSIASTLKVAAPGSAGGQTTQQTLIAALQHNQRQNASPVRLQTTAGGNLLAVVQQQQQQQHTSIAGPTAGPAEVMTITQTTTTLPTVGSLQQQQQQQQQQGGISQPTTQQVRKLVQKKILIRSEKE", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAEPIPSSSLSPKSLQSPNPMEPSPASSTPLPSSSSQQQQLMTAPISNSVNSAASPAMTVTTTEGIVIQNNSQPNISSPNPTSSNPPIGAQIPSPSPLSHPSSSLDQQTQTQQLVQQTQQLPQQQQQIMQQISSSPIPQLSPQQQQILQQQHMTSQQIPMSSYQIAQSLQRSPSLSRLSQIQQQQQQQQHQGQYGNVLRQQAGLYGTMNFGGSGSVQQSQQNQQMVNPNMSRAALVGQSGHLPMLNGAAGAAQMNIQPQLLAASPRQKSGMVQGSQFHPGSSGQQLQGMQAMGMMGSLNLTSQMRGNPALYAQQRINPGQMRQQLSQQNALTSPQVQNLQRTSSLAFMNPQLSGLAQNGQAGMMQNSLSQQQWLKQMSGITSPNSFRLQPSQRQALLLQQQQQQLSSPQLHQSSMSLNQQQISQIIQQQQQQSQLGQSQMNQSHSQQQLQQMQQQLQQQPQQQMQQQQQQQQQMQINQQQPSPRMLSHAGQKSVSLTGSQPEATQSGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDVHAKLDPDVEDLLLEVADDFIDSVTSFACSLAKHRKSSVLEPKDILLHLEKNLHLTIPGFSSEDKRQTKTVPTDLHKKRLAMVRALLESSKPETNASNSKETMRQAMVNPNGPNHLLRPSQSSEQLVSQTSGPHILQHMTRY", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVKGEKRSEMMLNLFGDNSEEEEIESEHECNRRQPNYASDEAEGGVEPEGEGEAEVEVHGEAEAESDGEQGDVELDPGESEGEREQSSQEADPQEESEARDSDSDNKEEEHGGRVAKKRRQEVVESGSERSGEKHYESEDEEVDQTRSPRSPSEEKEEVQVAQSDVNIRNVFGSSDDEDAEEYVRNDVEQDEHRSPIEDEEGSEKDLRPDDMVLDDIIPEEDPQYESEAEHVEARYRERPVGPPLEVEVPFRPPPGDPVKMNMIKVSNIMGIDPKPFDAKTFVEEDTFMTDEPGAKNRIRLDNNIVRHRFVKSRDGKTYSESNARFVRWSDGSLQLLIGNEVLNITEQDAKEDQNHLFIKHEKGILQSQGRILKKMRFTPSSLTSNSHRLLTAIVESRQKKAFKVKNCVTDIDPEREKEKREKAESQNLKASTKLSQAREKIKRKYPLPVERRQLSTGYLEDALDEDDEDYRSNRGYEEDLEAEAQRERRILNAKKSHKGIPGRSSMTSARPSRRQMEYSESEREESEYETEEEEEEKSPARGRGKDSEDEYEEDAEEDEEERGKSNRYSDEDEEEEEVAGGRAEKDHRGSGRKRKGIESDEEESPPRKAPTHRRKAVIDDSDED", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDKDYSAPNFLGESSGGNDDNSSGMIDYMFNRNLQQQQKQSMPQQQQHQLSPSGFGATPFDKMNFSDVMQFADFGSKLALNQTRNQDDQETGIDPVYFLKFPVLNDKIEDHNQTQHLMPSHQTSQEGGECGGNIGNVFLEEKEDQDDDNDNNSVQLRFIGGEEEDRENKNVTKKEVKSKRKRARTSKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRILGETGRDMTTTTTSSSSPITTVANQAQPLIITGNVTELEGGGGLREETAENKSCLADVEVKLLGFDAMIKILSRRRPGQLIKTIAALEDLHLSILHTNITTMEQTVLYSFNVKITSETRFTAEDIASSIQQIFSFIHANTNISGSSNLGNIVFT", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAMAPALTDAAAEAHHIRFKLAPPSSTLSPGSAENNGNANILIAANGTKRKAIAAEDPSLDFRNNPTKEDLGKLQPLVASYLCSDVTSVPSKESLKLQGVFSKQTVLKSHPLLSQSYELRAELLGRQPVLEFSLENLRTMNTSGQTALPQAPVNGLAKKLTKSSTHSDHDNSTSLNGGKRALTSSALHGGEMGGSESGDLKGGMTNCTLPHRSLDVEHTTLYSNNSTANKSSVNSMEQPALQGSSRLSPGTDSSSNLGGVKLEGKKSPLSSILFSALDSDTRITALLRRQADIESRARRLQKRLQVVQAKQVERHIQHQLGGFLEKTLSKLPNLESLRPRSQLMLTRKAEAALRKAASETTTSEGLSNFLKSNSISEELERFTASGIANLRCSEQAFDSDVTDSSSGGESDIEEEELTRADPEQRHVPLRRRSEWKWAADRAAIVSRWNWLQAHVSDLEYRIRQQTDIYKQIRANKGLIVLGEVPPPEHTTDLFLPLSSEVKTDHGTDKLIESVSQPLENHGARIIGHISESLSTKSCGALRPVNGVINTLQPVLADHIPGDSSDAEEQLHKKQRLNLVSSSSDGTCVAARTRPVLSCKKRRLVRPNSIVPLSKKVHRNSTIRPGCDVNPSCALCGSGSINTMPPEIHYEAPLLERLSQLDSCVHPVLAFPDDVPTSLHFQSMLKSQWQNKPFDKIKPPKKLSLKHRAPMPGSLPDSARKDRHKLVSSFLTTAKLSHHQTRPDRTHRQHLDDVGAVPMVERVTAPKAERLLNPPPPVHDPNHSKMRLRDHSSERSEVLKHHTDMSSSSYLAATHHPPHSPLVRQLSTSSDSPAPASSSSQVTASTSQQPVRRRRGESSFDINNIVIPMSVAATTRVEKLQYKEILTPSWREVDLQSLKGSPDEENEEIEDLSDAAFAALHAKCEEMERARWLWTTSVPPQRRGSRSYRSSDGRTTPQLGSANPSTPQPASPDVSSSHSLSEYSHGQSPRSPISPELHSAPLTPVARDTPRHLASEDTRCSTPELGLDEQSVQPWERRTFPLAHSPQAECEDQLDAQERAARCTRRTSGSKTGRETEAAPTSPPIVPLKSRHLVAAATAQRPTHR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MADIANYKDAASNRHLRFKLQSLSRRLDELEEATKNLQKAEDELLDLQDKVIQAEGSNSSMLAEIEVLRQRVLKIEGKDEEIKRAEDLCHTMKEKLEEEENLTRELKSEIDRLQKRMADLEKLEEALSRSKNECSQLCLSLNEERNLTKKISSELEMLRVKVKELESSEDRLEKTEQSLVSELEKLKSLTLSFVNERKYLNEKEKENEKLIKELTQKLEQNKKINRDHMRNASTFLERNDLRIEDGISSTLPSKESRRKGSLDYLKQVENETRDKSENEKNRNQEDNKVKDLNQEIEKLKTQIKHFESLEEELKKMRAKNNDLQDNYLTELNKNRSLASQLEEVKLQVKKQKELGNGDIEGEDAFLLGRGRHERTKLKGHGGEVSVSKHTSREQSPQHKRERLRNRGFALNDEHCSLSNKQVSSPAFTNKRAAKASNMGVGPDSGTQETKRTEDRLAPGSSQSEGKKGREQPSVLSRYPPAAQDHTKVWRGNPKPGTESGLKGKVEKTTRTFSDSAHGSVPNDVVGKGDKTSDFSSEAHCGKRGQVPGHASQVTQVAESGCSKAIGALASSQRASSEGLSKGKKAANGLETDANFPHSKAPILSKYPYSSRSQENILQGFSVPNKEGVDHSVAVVMEDSSQHEALRCRVIKSSGREKPDSDDDLDIESLVTAKLVNTTITPEPEPKLQPNSREKVKSRGGTRTPLFENDKNAAVENDSAKSMRSSSNAVEFPDANCAGVKNQRPFSPREALRSRAIIKPVIIDKDVKKIMGGSGTEVVLEKQKSTPKSVTSKVTSSITIYPSDSSGPRAVPTEAPRERHTSTSNIQVGPPELTSVSNHISSPLELSIHKHDITLQLTEAERVGDGSPKNRAETVVSRSSILIKPSDSVERNSHAFPAETIRWKSHSTSSEVASSDTRHITVRNAWKSKRDLKCSEDPPTRIGRNVEATNAYTQRSSTDFLELEQPRSHPPEQGTRKVGNSGDAPELSSRRTQSSLTASEVLTRRNRIGDAVTAASWNHSSNVVSLTFLPSALICLTEDLGNTEGWEMLNLR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSLRPSAKTEVRRNRYKVAVDAEEGRRRREDNLVEIRKNKREENLQKKRFTSSMAFGSATGQTEQDLSSANQLKDNLPAMVAGIWSEDSNSQLEATNLLRKLLSIEQNPPINEVVQSGVVPRVVKFLSRDDFPKLQFEAAWALTNIASGTSENTNVIIESGAVPIFIQLLSSASEDVREQAVWALGNVAGDSPKCRDLVLSYGAMTPLLSQFNENTKLSMLRNATWTLSNFCRGKPPPAFEQTQPALPVLERLVQSMDEEVLTDACWALSYLSDNSNDKIQAVIEAGVVPRLIQLLGHSSPSVLIPALRTIGNIVTGDDLQTQMVLDQQALPCLLNLLKNNYKKSIKKEACWTISNITAGNADQIQAVIDAGIIQSLVWVLQSAEFEVKKEAAWGISNATSGGTHDQIKFMVSQGCIKPLCDLLTCPDLKVVTVCLEALENILVVGEAEKNLGHTGEDNLYAQMIDEAEGLEKIENLQSHDNNDIYDKAVKILETFWTEDNEEEGNDENHAPQSGFQFGSTNVPPGQFNFI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFHKAEELFSKTTNNEVDDMDTSDTQWGWFYLAECGKWHMFQPDTNSQCSVSSEDIEKSFKTNPCGSISFTTSKFSYKIDFAEMKQMNLTTGKQRLIKRAPFSISAFSYICENEAIPMPPHWENVNTQVPYQLIPLHNQTHEYNEVANLFGKTMDRNRIKRIQRIQNLDLWEFFCRKKAQLKKKRGVPQINEQMLFHGTSSEFVEAICIHNFDWRINGIHGAVFGKGTYFARDAAYSSRFCKDDIKHGNTFQIHGVSLQQRHLFRTYKSMFLARVLIGDYINGDSKYMRPPSKDGSYVNLYDSCVDDTWNPKIFVVFDANQIYPEYLIDFH", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MMKGSRRTGNNTATTLNTPVVIHATQLPQHVSTDEVLQFLESFIDEKENIIDSTTMNTISGNAADADAAAVANTSLNIDTNLSSSISQLKRIQRDFKGLPPAQDFSAAPIQVSTTEKKETSIGVSATGGKKTTFADE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRGEWQEFKTPAGKKYYYNKNTKQSRWEKPNLKKGSNLESNAKESQTERKPTFSLELVNGWHLIIYNDGTKLYFNDDSKEFKNDISQEDDSRCRSLIESLDKEKLVLLIGVARGYTMREEDIDKILESCNEEIHLFKRNQDEVERKDEISEEAGDVKSPLQESHTGLVSGYGSSSGEEDEEEDEEEDEENEEQIVNQDISIIDDLNRIDTDDIDERNIFFELFDRYKLDKFSTWSLQSKKIENDPDFYKIRDDTVRESLFEEWCGERSGNATAEESDSEDNSEDDSEVLEPTKYHYLAQIVANAGTIAPDTIPQDIRKQQKALYKAYKIKEYIPSKRDQDKFVSQLLFYYKTFDLEQRKEIFCDCLRDHERDFTGAVESLRQDKELIDRWQTLLKAPADSSSIEDILLSIEHRCCVSPIVVTEPRYYVVGILEKTVVWVRWLAAEVGPSSRFTPVGAGNEPINPE", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MANSPKKPSDGTGVSASDTPKYQHTVPETKPAFNLSPGKASELSHSLPSPSQIKSTAHVSSTHNDAAGNTDDSVLPKNVSPTTNLRVESNGDTNNMFSSPAGLALPKKDDKKKNKGTSKADSKDGKASNSSGQNAQQQSDPNKMQDVLFSAGIDVREEEALLNSSINASKSQVQTNNVKIPNHLPFLHPEQVSNYMRKVGKEQNFNLTPTKNPEILDMMSSACENYMRDILTNAIVISRHRRKAVKINSGRRSEVSAALRAIALIQKKEEERRVKKRIALGLEKEDYENKIDSEETLHRASNVTAGLRAGSKKQYGWLTSSVNKPTSLGAKSSGKVASDITARGESGLKFREAREEPGIVMRDLLFALENRRNSVQTIISKGYAKIRD", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLTVSPAPVLIGNNSKDTYMAADFADFTTEDLPDFTTVGDFSDDLLDGIDYYDDLFIGFDGDDVLPDLEIDSEILGEYSGSGRDEEQEMEGNTSTASETSERDVGVCKQEGGGGGDGGFRDKTVRRGKRKGKKSKDCLSDENDIKKKPKVDWTPELHRKFVQAVEQLGVDKAVPSRILEIMNVKSLTRHNVASHLQKYRSHRKHLLAREAEAASWNLRRHATVAVPGVGGGGKKPWTAPALGYPPHVAPMHHGHFRPLHVWGHPTWPKHKPNTPASAHRTYPMPAIAAAPASWPGHPPYWHQQPLYPQGYGMASSNHSSIGVPTRQLGPTNPPIDIHPSNESIDAAIGDVISKPWLPLPLGLKPPSVDGVMTELQRQGVSNVPPLP", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEGGSEKTTPEGCGGESKSKRKMKTAAQLEVLENTYSAEPYPSEAIRADLSVKLNLSDRQLQMWFCHRRLKERKSTTPSKRQRKELVTPTAMESWEPPVNAGDLVAGNELDSRRAARGSGGSGVTVVRRFNEPSSAEVRAIGYVEAQLGERLRDNGPVLGMEFDPLPPGAFGMPIEMPSHRKATRQAFETNIYVRSDVKPIKDHVRPIREYQFIPELPSSRTDHSERVSPSHHFGVPLDGSVMRVSAVSAGHRDDYKISPQIPNLNLATHQGKPGHVYSPNLVEYDSPYQKSYMDTAAQVHDDPFVKSEREVGNEDEDDDALQLERHRKNEEARIAREVEAHEKRIRRELEKQDMLRRKREEQIRKEMERQDRERRKEEERLLREKQREEERYLKEQMRELQRREKFLKKETIRAEKMRQKEEMRKEKEVARLKAANERAIARKIAKESMELIEDERLELMEVAALTKGLPSMLALDFETLQNLDEYRDKQAIFPPTSVKLKKPFAVKPWNGSDENVANLLMVWRFLITFADVLGLWPFTLDEFAQAFHDYDPRLMGEIHIVLLKTIIKDIEGVVRTLSTGVGANQNVAANPGGGHPHVVEGAYAWGFDIRSWRKNLNVFTWPEILRQLALSAGLGPQLKKMNIRTVSVHDDNEANNSENVIFNLRKGVAAENAFAKMQERGLSNPRRSRHRLTPGTVKFAAFHVLSLEGEKGLNILEVAEKIQKSGLRDLTTSRTPEASVAAALSRDTKLFERVAPSTYCVRASYRKDAGDAETIFAEARERIRAFKSGITDVEDVDDAERDEDSESDVGEDPEVDVNLKKEDPNPLKVENLIGVEPLLENGKLDTVPMKTELGLPLTPSLPEEMKDEKRDDTLADQSLEDAVANGEDSACFDESKLGEQWVQGLVEGDYSNLSSEERLNALVALIGIATEGNTIRIALEERLEVASALKKQMWGEVQLDKRWKEESLIRANYLSYPTAKPGLNIATPASGNQESSSADVTPISSQDPVSLPQIDVNNVIAGPSLQLQENVPGVENLQYQQQQGYTADRERLRAQLKAYVGYKAEELYVYRSLPLGQDRRRNRYWRFSASASRNDPGCGRIFVELQDGRWRLIDSEEAFDYLVKSLDVRGVRESHLHFMLLKIEASFKEALRRNVAANPGVCSISSSLDSDTAEISTTFKIELGDSNAVERCSVLQRFHSFEKWMWDNMLHPSALSAFKYGAKQSSPLFRICRICAELHFVGDICCPSCGQMHAGPDVGELCFAEQVAQLGDNLRRGDTGFILRSSILSPLRIRLLKVQLALVEASLPPEGLEAFWTENLRKSWGMKLLSSSSHEDLYQVLTTLEAALKRDFLSSNFETTSELLGLQEGALASDLTCGVNVLPWIPKTAGGVALRLFDFDSSIVYTPDQNNDPLKDKESEDFVGLETNILRNLHEKDVMETPVQVAAYKQEENWTDPGLGGVSSSGRGGRPPRGRGRPRARGNGKKPAVSVKPPRGAANSNGETMLRPRAQPRGGRKNGRRSGTKGRKRPTQGTLGICNEVGGGRRVKEVAVTAKTSLPDNDDDWIETPELQDDDGEASSSGRSFQYEDYDDDDVMAPIDDFDGGGESSKLVGRGEFSLHSDDEYEEEEEEEEDMNMKMDVNVVDDEDEDYINEDSYGRKQHGISISNDAATRKRFNKFEDPDLTSSSSSDFQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MELVAGCYEQVLFGFAVHPEPEACGDHEQWTLVADFTHHAHTASLSAVAVNSRFVVTGSKDETIHIYDMKKKIEHGALVHHSGTITCLKFYGNRHLISGAEDGLICIWDAKKWECLKSIKAHKGQVTFLSIHPSGKLALSVGTDKTLRTWNLVEGRSAFIKNIKQNAHIVEWSPRGEQYVVIIQNKIDIYQLDTASISGTITNEKRISSVKFLSESVLAVAGDEEVIRFFDCDSLVCLCEFKAHENRVKDMFSFEIPEHHVIVSASSDGFIKMWKLKQDKKVPPSLLCEINTNARLTCLGVWLDKVADMKESLPPAAEPSPVSKEQSKIGKKEPGDTVHKEEKRSKPNTKKRGLTGDSKKATKESGLISTKKRKMVEMLEKKRKKKKIKTMQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSNTPYNSSVPSIASMTQSSVSRSPNMHTATTPGANTSSNSPPLHMSSDSSKIKRKRNRIPLSCTICRKRKVKCDKLRPHCQQCTKTGVAHLCHYMEQTWAEEAEKELLKDNELKKLRERVKSLEKTLSKVHSSPSSNSLKSYNTPESSNLFMGSDEHTTLVNANTGSASSASHMHQQQQQQQQQEQQQDFSRSANANANSSSLSISNKYDNDELDLTKDFDLLHIKSNGTIHLGATHWLSIMKGDPYLKLLWGHIFAMREKLNEWYYQKNSYSKLKSSKCPINHAQAPPSAAAAATRKCPVDHSAFSSGMVAPKEETPLPRKCPVDHTMFSSGMIPPREDTSSQKRCPVDHTMYSAGMMPPKDETPSPFSTKAMIDHNKHTMNPPQSKCPVDHRNYMKDYPSDMANSSSNPASRCPIDHSSMKNTAALPASTHNTIPHHQPQSGSHARSHPAQSRKHDSYMTESEVLATLCEMLPPKRVIALFIEKFFKHLYPAIPILDEQNFKNHVNQMLSLSSMNPTVNNFGMSMPSSSTLENQPITQINLPKLSDSCNLGILIIILRLTWLSIPSNSCEVDLGEESGSFLVPNESSNMSASALTSMAKEESLLLKHETPVEALELCQKYLIKFDELSSISNNNVNLTTVQFAIFYNFYMKSASNDLTTLTNTNNTGMANPGHDSESHQILLSNITQMAFSCGLHRDPDNFPQLNATIPATSQDVSNNGSKKANPSTNPTLNNNMSAATTNSSSRSGSADSRSGSNPVNKKENQVSIERFKHTWRKIWYYIVSMDVNQSLSLGSPRLLRNLRDFSDTKLPSASRIDYVRDIKELIIVKNFTLFFQIDLCIIAVLNHILNVSLARSVRKFELDSLINLLKNLTYGTENVNDVVSSLINKGLLPTSEGGSVDSNNDEIYGLPKLPDILNHGQHNQNLYADGRNTSSSDIDKKLDLPHESTTRALFFSKHMTIRMLLYLLNYILFTHYEPMGSEDPGTNILAKEYAQEALNFAMDGYRNCMIFFNNIRNTNSLFDYMNVILSYPCLDIGHRSLQFIVCLILRAKCGPLTGMRESSIITNGTSSGFNSSVEDEDVKVKQESSDELKKDDFMKDVNLDSGDSLAEILMSRMLLFQKLTKQLSKKYNYAIRMNKSTGFFVSLLDTPSKKSDSKSGGSSFMLGNWKHPKVSNMSGFLAGDKDQLQKCPVYQDALGFVSPTGANEGSAPMQGMSLQGSTARMGGTQLPPIRSYKPITYTSSNLRRMNETGEAEAKRRRFNDGYIDNNSNNDIPRGISPKPSNGLSSVQPLLSSFSMNQLNGGTIPTVPSLTNITSQMGALPSLDRITTNQINLPDPSRDEAFDNSIKQMTPMTSAFMNANTTIPSSTLNGNMNMNGAGTANTDTSANGSALSTLTSPQGSDLASNSATQYKPDLEDFLMQNSNFNGLMINPSSLVEVVGGYNDPNNLGRNDAVDFLPVDNVEIDGLVDFYRADFPIWE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MERFVVTAPPARNRSKTALYVTPLDRVTEFGGELHEDGGKLFCTSCNVVLNHVRKSAISDHLKSKTHTKRKAEFEEQNVRKKQRPLTASLQCNSTAQTEKVSVIQDFVKMCLEANIPLEKADHPAVRAFLSRHVKNGGSIPKSDQLRRAYLPDGYENENQLLNSQDC", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSQIQDLKDENVEMDIDPQEQNEKKPLLLDELTKFTILHPYNYDSHIKLIEELKRLDKKKELSEARKTFQSIFPLSEDLWVDYLLDECKNCRTLDDYVRIKTLFDLAVQDYLSIKIWCMYLEFTLNLMDTSSFEQEQSELNGVITLTDAHSLFERAYQTCKFHFSKSQCVWTLYLEFLQTFGDALFEGEEEQEIVFKNKIYDFHIDRLKLPHEQIEETFTSLSTFVTNNWSPSEYEDVMVKSNKVYETTLKRNAKIFNKELLLNSANHSLEAYMDLINDESRRSTAELQYITTLYERAIVLYPLIPELWLQYTAWLSKVDFSSSQASSVAERATRNCSWIGRIWSIKLTYMTLSGASTSAVCEEKDRCLNSNLLVNFDEVIDFFSGFLKACLYLSSNEDKPQEFLKHQIHKVEDYLRKNHKGSKDARMRIELSKIYLYSEISDFESVEKCWSDMFHDFQNQALYWISRYISTMKYNPELAAETLKKSLYKNVDQPQLLFQFYQSIMDLNNDCFTNTSHLYDVLNAQRISFKRQLDSFAEETKQTVENTEPLKVPQADDTAALSKKRKPGQEGDVFKKSKPIEQHRNREELTVLVTNLPSDISENELKIFFKDCGNIIRIFILEDNQKDVKVAQIEFSETSEVLAAKTRDLKSIRGHEISVQIHVDTNLYVTNFPPTYDELDITKLFSAYGNVVDVRFPSLRYNTNRRFCYVQMRKPDEAHNALQLHKKLLEEKYPIQVFISDPLRRTPRSGAVYEGRELYVTNIDFKVNEKDVETFFRDYGQVESVRIPKRFNQHKGFGYVVMTTNQDAENALSAAGKQLGNRVLNVVLSKPRESLEKTRVSSNDNRTLAKSFETTESNKMSTPKKSFEQIKSKSLGVTNVDGTVNEARLRSLFESYGKLYRVVLHPEHEGAVVEFLDIHDAGKASLALEGHEIGGRLLHITTVNEMMHNVPSSMNHSDYNTSATRPRRLGSRTFQGFNSNHSNINSKKDDLDDKEMQIDAPKSNDDFRKMFLK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSQIFSFAGENDFYRRGAIYPNPKDASLLLSLGSFADVYFPPSKRSRVVAPTIFSAFEKKPVSIDVLPDECLFEIFRRLSGPQERSACAFVSKQWLTLVSSIRQKEIDVPSKITEDGDDCEGCLSRSLDGKKATDVRLAAIAVGTAGRGGLGKLSIRGSNSAKVSDLGLRSIGRSCPSLGSLSLWNVSTITDNGLLEIAEGCAQLEKLELNRCSTITDKGLVAIAKSCPNLTELTLEACSRIGDEGLLAIARSCSKLKSVSIKNCPLVRDQGIASLLSNTTCSLAKLKLQMLNVTDVSLAVVGHYGLSITDLVLAGLSHVSEKGFWVMGNGVGLQKLNSLTITACQGVTDMGLESVGKGCPNMKKAIISKSPLLSDNGLVSFAKASLSLESLQLEECHRVTQFGFFGSLLNCGEKLKAFSLVNCLSIRDLTTGLPASSHCSALRSLSIRNCPGFGDANLAAIGKLCPQLEDIDLCGLKGITESGFLHLIQSSLVKINFSGCSNLTDRVISAITARNGWTLEVLNIDGCSNITDASLVSIAANCQILSDLDISKCAISDSGIQALASSDKLKLQILSVAGCSMVTDKSLPAIVGLGSTLLGLNLQQCRSISNSTVDFLVERLYKCDILS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVSSDRPVSLEDEVSHSMKEMIGGCCVCSDERGWAENPLVYCDGHGCSVAVHQACYGIVQVPTGPWFCRKCESQERAARVRCELCPHKDGALKRTDNGGWAHVVCALYIPEVQFANVSTMEPIVLQSVPHDRYNKTCYICDEQGRESKAATGACMTCNKHGCRQAFHVTCAQFAGLLCEEEGNGADNVQYCGYCKYHFSKLKKSKRGSNRSYDQSLSDSSSHSQDKHHEKEKKKYKEKDKHKQKHKKQPEPSPALVPSLTVTTEKTYTSTSNNSISGSLKRLEDTTARFTNANFQEVSAHTSSGKDVSETRGSEGKGKKSSAHSSGQRGRKPGGGRNPGTTVSAASPFPQGSFSGTPGSVKSSSGSSVQSPQDFLSFTDSDLRNDSYSHSQQSSATKDVHKGESGSQEGGVNSFSTLIGLPSTSAVTSQPKSFENSPGDLGNSSLPTAGYKRAQTSGIEEETVKEKKRKGNKQSKHGPGRPKGNKNQENVSHLSVSSASPTSSVASAAGSITSSSLQKSPTLLRNGSLQSLSVGSSPVGSEISMQYRHDGACPTTTFSELLNAIHNGIYNSNDVAVSFPNVVSGSGSSTPVSSSHLPQQSSGHLQQVGALSPSAVSSAAPAVATTQANTLSGSSLSQAPSHMYGNRSNSSMAALIAQSENNQTDQDLGDNSRNLVGRGSSPRGSLSPRSPVSSLQIRYDQPGNSSLENLPPVAASIEQLLERQWSEGQQFLLEQGTPSDILGMLKSLHQLQVENRRLEEQIKNLTAKKERLQLLNAQLSVPFPTITANPSPSHQIHTFSAQTAPTTDSLNSSKSPHIGNSFLPDNSLPVLNQDLTSSGQSTSSSSALSTPPPAGQSPAQQGSGVSGVQQVNGVTVGALASGMQPVTSTIPAVSAVGGIIGALPGNQLAINGIVGALNGVMQTPVTMSQNPTPLTHTTVPPNATHPMPATLTNSASGLGLLSDQQRQILIHQQQFQQLLNSQQLTPEQHQAFLYQLMQHHHQQHHQPELQQLQIPGPTQIPINNLLAGTQAPPLHTATTNPFLTIHGDNASQKVARLSDKTGPVAQEKS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MMSEGKPPDKKRPRRSLSISKNKKKASNSIISCFNNAPPAKLACPVCSKMVPRYDLNRHLDEMCANNDFVQVDPGQVGLINSNVSMVDLTSVTLEDVTPKKSPPPKTNLTPGQSDSAKREVKQKISPYFKSNDVVCKNQDELRNRSVKVICLGSLASKLSRKYVKAKKSIDKDEEFAGSSPQSSKSTVVKSLIDNSSEIEDEDQILENSSQKENVFKCDSLKEECIPEHMVRGSKIMEAESQKATRECEKSALTPGFSDNAIMLFSPDFTLRNTLKSTSEDSLVKQECIKEVVEKREACHCEEVKMTVASEAKIQLSDSEAKSHSSADDASAWSNIQEAPLQDDSCLNNDIPHSIPLEQGSSCNGPGQTTGHPYYLRSFLVVLKTVLENEDDMLLFDEQEKGIVTKFYQLSATGQKLYVRLFQRKLSWIKMTKLEYEEIALDLTPVIEELTNAGFLQTESELQELSEVLELLSAPELKSLAKTFHLVNPNGQKQQLVDAFLKLAKQRSVCTWGKNKPGIGAVILKRAKALAGQSVRICKGPRAVFSRILLLFSLTDSMEDEDAACGGQGQLSTVLLVNLGRMEFPSYTINRKTHIFQDRDDLIRYAAATHMLSDISSAMANGNWEEAKELAQCAKRDWNRLKNHPSLRCHEDLPLFLRCFTVGWIYTRILSRFVEILQRLHMYEEAVRELESLLSQRIYCPDSRGRWWDRLALNLHQHLKRLEPTIKCITEGLADPEVRTGHRLSLYQRAVRLRESPSCKKFKHLFQQLPEMAVQDVKHVTITGRLCPQRGMCKSVFVMEAGEAADPTTVLCSVEELALAHYRRSGFDQGIHGEGSTFSTLYGLLLWDIIFMDGIPDVFRNACQAFPLDLCTDSFFTSRRPALEARLQLIHDAPEESLRAWVAATWHEQEGRVASLVSWDRFTSLQQAQDLVSCLGGPVLSGVCRHLAADFRHCRGGLPDLVVWNSQSRHFKLVEVKGPNDRLSHKQMIWLAELQKLGAEVEVCHVVAVGAKSQSLS", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSFSSDEVNFLVYRYLQESGFLHSAYVFGIESHISQSNINGALVPPAALLTILQKGLLYTEVEWSVGEDGEVARPIEGLSLIDAVMPEVKPLKPIVKTEPGKPGAVDSSAPAGGNQNNNAKPEIKIEPGTGVAGSAGGNKIAGSTTGTSTPTDQSASEVDSSGNAANNAGGTYAGNNGAGGNQASTGGSNSTSTPAGGDLAAPGASQKKSQNSNEAGSSSSGNAGNANATSTDDAASSTSTNGNSSTSSSVEQPTSGLTPAGGTVSTSNPDAAASGGASTATGSKAPSGAVTIRVGAQGNNVQSGSSNAQSSAPSGTISSSTSGGAGTPAALVPMDIDENIEIPESKARVLRGHESEVFICAWNPSRDLLASGSGDSTARIWDMSDANTNSNQLVLRHCIQKGGAEVPSNKDVTSLDWNCDGSLLATGSYDGYARIWKTDGRLASTLGQHKGPIFALKWNKCGNYILSAGVDKTTIIWDASTGQCTQQFAFHSAPALDVDWQTNQAFASCSTDQRIHVCRLGVNEPIKTFKGHTNEVNAIKWCPQGQLLASCSDDMTLKIWSMNRDRCCHDLQAHSKEIYTIKWSPTGPGTNNPNTNLILASASFDSTVRLWDVERGSCIHTLTKHTEPVYSVAFSPDGKHLASGSFDKCVHIWSTQTGQLVHSYKGTGGIFEVCWNSKGTKVGASASDGSVFVLDLRKF", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSKGRAEAAAGAAGILLRYLQEQNRPYSSQDVFGNLQREHGLGKAVVVKTLEQLAQQGKIKEKMYGKQKIYFADQDQFDMVSDADLQVLDGKIVALTAKVQSLQQSCRYMEAELKELSSALTTPEMQKEIQELKKECAGYRERLKNIKAATNHVTPEEKEQVYRERQKYCKEWRKRKRMATELSDAILEGYPKSKKQFFEEVGIETDEDYNVTLPDP", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGVLLREALRSMCVNNQWSYAVFWKIGCQNSSLLIWEECYNETESSSNPRRLCGLGVDTQGNEKVQLLTNRMMLNNRIILVGEGLVGRAAFTGHHQWILANSFNRDVHPPEVINEMLLQFSAGIQTVAVFPVVPHGVVQLGSSLPIMENLGFVNDVKGLILQLGCVPGALLSENYRTYEPAADFIGVPVSRIIPSQGHKILQSSAFVAETSKQHFNSTGSSDHQMVEESPCNLVDEHEGGWQSTTGFLTAGEVAVPSNPDAWLNQNFSCMSNVDAAEQQQIPCEDISSKRSLGSDDLFDMLGLDDKNKGCDNSWGVSQMRTEVLTRELSDFRIIQEMDPEFGSSGYELSGTDHLLDAVVSGACSSTKQISDETSESCKTTLTKVSNSSVTTPSHSSPQGSQLFEKKHGQPLGPSSVYGSQISSWVEQAHSLKREGSPRMVNKNETAKPANNRKRLKPGENPRPRPKDRQMIQDRVKELREIIPNGAKCSIDALLERTIKHMLFLQNVSKHSDKLKQTGESKIMKEDGGGATWAFEVGSKSMVCPIVVEDINPPRIFQVEMLCEQRGFFLEIADWIRSLGLTILKGVIETRVDKIWARFTVEASRDVTRMEIFMQLVNILEQTMKCGGNSKTILDGIKATMPLPVTGGCSM", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MMNNHFHLQHDHPPQNVAHPFMQQPSTAVPSAPPATYGYLAQPAGQQPQWMTTTYQILPPSVGPATVAKRYYATTGPQTTHPTHPSTIQITNSFAQQSTPPKQQAATSCSPFKANNIRIISTAPSVYSLNKPPQEAHSTYAPVQSYYLPSGGGQTAGQINLLAASGTGKQLQPPPLVPVTNSTSPPSTVVLDRINICINNHYTETPTSLSSSLTTAQQPSPIIPAIQHKAILPLIDSSTADSSSCSSSSVSSSSYSGTATTSAAVVIVDEPDSTTTTPQTPPTTPEAMSSPGKSSPSPPLLATQSLLKGVNSMKPSFKTVEAAPPTPPTPPSPPPPPPAPPVAAPSPAVTYALQEDVFIKCNDGRFYLGTIIDQTSDQYLIRFDDQSEQWCEPDKLRKLGGGSSITAGGGGASTTESTNTSPSGPMCVACKRSDIEDVVEICERCGRGYHRGCTVEIVTGSGIWSCKRCAKPMKMQQPVSHKITKPAGICRQLPYHADKLSWDEKHRVNEEQIYCYCGKPGKFDHNMLQCCKCRNWFHTQCMQNFKKKLLRGDMFFVFCCTVCNNGIEFVRRMQIEWVDVLHIALYNLRKHQHQKYHHLLNDIWPFILEQRHQLPICEKWRTLPETALMERLKQTLKDYSDRFVCGREFKRAPAFYALRHSGPPHIPKVFLEPHEELSDELLEKRFKLMLMPEEPDEGANELPKRVPKDVYEFNTDEDDPVETSEDEIPIKQIIEKAKKQAAQKADKHDELPLKPDLADDNANDGDPGKLPAPIPPLLDANSSRKRKAFRLSKRYDNSRNHCDLSSDENSSSSRGTSSLDLIIPPPVNFLGRNNPFLMATPKKASQGRSISVGTGVGVNGIINSIFKLKGTSKEQPRMVRTIKRRLSAKDITIGPNQEVRRRRTRRLTTAIEVISTTTINPIPSHYLPIYAKDLQPPAPPMGKPTHGRLLRQRPQKQSPSQSRRNSTSSTATSSSSNGIGAPGHSMLDLKQSVNKYFGGAMNRIDAGEPFAIRAKRRMGNGQVQYLVEWGGDTATTAIGLLGN", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAGTMPLRPTYVGFVRDTTDALLIFEACLSGTLSHVPRRPHDRERQDLIKSGNIFVYEEHASGIKRWTDSISWSPSRILGNYLLYRELEKPFPPGEKKRARGRNGKSTTQSGGISKARQRNTVPFPQGLEHGNEYPSVPSDDERHLVGSLVDSYDFKEQGLVKKTISITYQGVPHHLVSYYNVEDVKAGLLSGPSDDPRLRGVVPRTELMNGQNFRAPVEEAMGGSYMPSMVASIGYPTLQHQSQMHQSQMHQPQMHQPQMHQSQMHQSQMHQPQMHQPQAHQPQVHQPQVHPPQVHQPQAHQPQYQSQTLHPTHGYQQTYAGQPNAPSSTWW", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MYSPKRVKLNVTSGMRKRPETGENNDDLYPPTALARNGISPYFIGKPRRKIVVETPSDSAQQQPPFKSRSQQNGLDDELDGIIIDEDEDRTVDVSFSQKQDTRKLKSRPFLGEKSSFKLGEIPKPKEEKRREEPFTMRGFDFGSDDKVTKIRDKICDIVDPTNARRTDPNFIRQMHENTLKGIEVASNPHFKKTRAPTKNRAAIQNTLGTLYPSFTTAAGQDPQNSKFQVPLDRQSSSQSIGSLAGIPPARRAPDIPKRCSNPLIRKAMGMDTEGGGKDEKMSGLRAEPTLKHFDENIISLIESEIMSVNNEIGWADVAGLEGAKKALREIVVLPFKRPDVFTGIRAPPKGVLLFGPPGTGKTMIGRCVASQCKATFFNISASSLTSKWVGEGEKLVRALFSVARLKLPSVIFIDEIDSLLSSRSESEHESSRRIKTEFLVQLDGVNTAPDERLLVLGATNRPQELDEAARRRFQKRLYIALPEPESRTQIVQNLLVGTRHDITNHNLERIRELTDGYSGADMRQLCTEAAMGPIRDIGDDIETIDKDDIRAVTVMDFAEAARVVRPTVDDSQLDAYAAWDKKFGCLPPPSISR", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSELKDCPLQFHDFKSVDHLKVCPRYTAVLARSEDDGIGIEELDTLQLELETLLSSASRRLRVLEAETQILTDWQDKKGDRRFLKLGRDHELGAPPKHGKPKKQKLEGKAGHGPGPGPGRPKSKNLQPKIQEYEFTDDPIDVPRIPKNDAPNRFWASVEPYCADITSEEVRTLEELLKPPEDEAEHYKIPPLGKHYSQRWAQEDLLEEQKDGARAAAVADKKKGLMGPLTELDTKDVDALLKKSEAQHEQPEDGCPFGALTQRLLQALVEENIISPMEDSPIPDMSGKESGADGASTSPRNQNKPFSVPHTKSLESRIKEELIAQGLLESEDRPAEDSEDEVLAELRKRQAELKALSAHNRTKKHDLLRLAKEEVSRQELRQRVRMADNEVMDAFRKIMAARQKKRTPTKKEKDQAWKTLKERESILKLLDG", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAGTSAPGSKRRSEPPAPRPGPPPGTGHPPSKRARGFSAAAAPDPDDPFGAHGDFTADDLEELDTLASQALSQCPAAARDVSSDHKVHRLLDGMSKNPSGKNRETVPIKDNFELEVLQAQYKELKEKMKVMEEEVLIKNGEIKILRDSLHQTESVLEEQRRSHFLLEQEKTQALSDKEKEFSKKLQSLQSELQFKDAEMNELRTKLQTSERANKLAAPSVSHVSPRKNPSVVIKPEACSPQFGKTSFPTKESFSANMSLPHPCQTESGYKPLVGREDSKPHSLRGDSIKQEEAQKSFVDSWRQRSNTQGSILINLLLKQPLIPGSSLSLCHLLSSSSESPAGTPLQPPGFGSTLAGMSGLRTTGSYDGSFSLSALREAQNLAFTGLNLVARNECSRDGDPAEGGRRAFPLCQLPGAVHFLPLVQFFIGLHCQALQDLAAAKRSGAPGDSPTHSSCVSSGVETNPEDSVCILEGFSVTALSILQHLVCHSGAVVSLLLSGVGADSAAGEGNRSLVHRLSDGDMTSALRGVADDQGQHPLLKMLLHLLAFSSAATGHLQASVLTQCLKVLVKLAENTSCDFLPRFQCVFQVLPKCLSPETPLPSVLLAVELLSLLADHDQLAPQLCSHSEGCLLLLLYMYITSRPDRVALETQWLQLEQEVVWLLAKLGVQSPLPPVTGSNCQCNVEVVRALTVMLHRQWLTVRRAGGPPRTDQQRRTVRCLRDTVLLLHGLSQKDKLFMMHCVEVLHQFDQVMPGVSMLIRGLPDVTDCEEAALDDLCAAETDVEDPEVECG", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKLKVYADRMSQPSRAVLIFCKVNEIQFDEILIYLANRQQLSPEFKDINPMGKVPAIVDGKLKLSESHAILIYLSSAYPSVVDHWYPTDLSKRARIHSVLDWHHTNLRPGAAGYVLNSVLGPALGLPLNPKAAAEAEQLLTKSLTTLDTFWLKGNAMFLLGSNQPSIADLSLVCELTQLQVLDDKDRLRLLSPHKNVEQWIENTRKATMPHFDEVHEVLFRAKDRCQKQREMATASKPGPQSKIIQFSTIGEKSDDPNLVQNTTDRRKHRRKWSRAEDAILISAWLNTSKDPIVDNEHKACAFWKRIGAYFNNSASLANLPKREPSHCKQRWSKLNDKVCKFVGCYDQALNQRSSGQSEDDVFQVAYQVYTNNYKSNFTLEHAWRELRHSKKWCSLYPFENSKGGGSSKRTKLNNGDRVYSSSSNPESVPIALDEEEQVMDLPLGVKSSKQKEKKVATIITIEEREADSGSRLENLWVLDEEEQVMDRPLGVKSLEQKENKVAPKPTIEEREAADSRSRLENLWALKEKEEREADSRSRLENLWALKEKDIEEQKKLTRMEVLKSLLGRTTDQLSEKEDILKNKLIDEML", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MISVKRNTWRALSLVIGDCRKKGNFEYCQDRTEKHSTMPDSPVDVKTQSRLTPPTMPPPPTTQGAPRTSSFTPTTLTNGTSHSPTALNGAPSPPNGFSNGPSSSSSSSLANQQLPPACGARQLSKLKRFLTTLQQFGNDISPEIGERVRTLVLGLVNSTLTIEEFHSKLQEATNFPLRPFVIPFLKANLPLLQRELLHCARLAKQNPAQYLAQHEQLLLDASTTSPVDSSELLLDVNENGKRRTPDRTKENGFDREPLHSEHPSKRPCTISPGQRYSPNNGLSYQPNGLPHPTPPPPQHYRLDDMAIAHHYRDSYRHPSHRDLRDRNRPMGLHGTRQEEMIDHRLTDREWAEEWKHLDHLLNCIMDMVEKTRRSLTVLRRCQEADREELNYWIRRYSDAEDLKKGGGSSSSHSRQQSPVNPDPVALDAHREFLHRPASGYVPEEIWKKAEEAVNEVKRQAMTELQKAVSEAERKAHDMITTERAKMERTVAEAKRQAAEDALAVINQQEDSSESCWNCGRKASETCSGCNTARYCGSFCQHKDWEKHHHICGQTLQAQQQGDTPAVSSSVTPNSGAGSPMDTPPAATPRSTTPGTPSTIETTPR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MENMRSENFNQGVSMEGVKHAPEMANTNRRALRDIKNIIGAPHQHMAVSKRGLLDKPAAKNQAGHRPMTRKFAATLANQPSSAPLAPIGSERQKRTADSAFHGPADMECTKITSDDLPLPMMSEMDEVMGSELKEIEMEDIEEAAPDIDSCDANNSLAVVEYVDEIYSFYRRSEGLSCVSPNYMLSQNDINEKMRGILIDWLIEVHYKLELLDETLFLTVNIIDRFLARENVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRTDILEMERMIVNTLQFDMSVPTPYCFMRRFLKAAQSDKKLELMSFFIIELSLVEYEMLKFQPSMLAAAAIYTAQCTINGFKSWNKCCELHTKYSEEQLMECSKMMVELHQKAGHGKLTGVHRKYSTFRYGCAAKSEPAVFLLKSVAL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAQHDFAPAWLNFPTPPSSTKSSLNFEKHSENFSWTENRYDVSRRRHNSSDGFDSGIGRPNGGNFGRKEKNGWRTHGRNGTENINHRGGYHGGNSRSRSSIFHSGKSQGLHENSIPDNETGRKEDKRERRQFEAEDFPSLNPEYEREPNQNKSLAAGVWDYPPNPKSRTPRMLVIKKGNTKDLQLSGFPVAGNLQSQPVKNGTGPSVYKGLVPKPAVPPTKPTQWKSQTKENKVGTSFSHESTYGVGNFNTFKSTAKNISPSTNSVKECNRSNSSSPVDKLNQQPRLTKLTRMRSDKKSEFLKALKRDRVEEEHEDESHAGSEKDDDSFNLHNSNTTHQERDINRNFDENEIPQENGNASMISQQIIRSSTFPQTDVLSSSLEAEHRLLKEMGWQEDSENDETCAPLTEDEMREFQVISEQLQKNGLRKNGILKNGLICDFKFGPWKNSTFKPTIENDDTETSSSDTSDDDDV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRQPPGESDMAVSDALLPSFSTFASGPAGREKTLRPAGAPTNRWREELSHMKRLPPLPGRPYDLAATVATDLESGGAGAACSSNNPALLARRETEEFNDLLDLDFILSNSLTHQESVAATVTTSASASSSSSPASSGPASAPSTCSFSYPIRAGGDPGVAASNTGGGLLYSRESAPPPTAPFNLADINDVSPSGGFVAELLRPELDPVYIPPQQPQPPGGGLMGKFVLKASLTTPGSEYSSPSVISVSKGSPDGSHPVVVAPYSGGPPRMCPKIKQEAVPSCTVSRSLEAHLSAGPQLSNGHRPNTHDFPLGRQLPTRTTPTLSPEELLNSRDCHPGLPLPPGFHPHPGPNYPPFLPDQMQSQVPSLHYQELMPPGSCLPEEPKPKRGRRSWPRKRTATHTCDYAGCGKTYTKSSHLKAHLRTHTGEKPYHCDWDGCGWKFARSDELTRHYRKHTGHRPFQCQKCDRAFSRSDHLALHMKRHF", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSGMGIAILCIVRTKIYRITISFDYSTLMSPFFLFLMMPTTLKDGYRMNSQVNEDAIGINLDLSLPTHISPTTGSESASGSNASTLRNDGNALDGGLLRTSAAISAPTGTSQPTETIGEKLSNEERVNSNVSASNSTTAGTGRMLSQSLTNDSPSNEISTDQLKIFQRMDEMSARMIEMEESFNKLSNKIAEQNTMVLNLKQDNYKVMNKLNILLKLVAQPSARPSTNNAQNKLAIELLNSISAVSSAYLQKMQNNGSGRQHTADLCTGDSNTHSGINQHRTTNGTIDVNTNTAQLNNQFSNALNTILPDQQHNRNNVSQNINQSLPNRQLGPVINTQANQNQSQVLIHNTNTHQQVNRSPISFPNASTDKPFKLNPNGIKRRRRNTQSNNNASTNDHASAAQKPISALSPLTNSHNSTTSMNYTNSSIHSGVTSASNSFHDLNSLNNFGTTTALSLPSLALDNASFPPNQNVIPPIINNTQQPLSFSQLINQDSTTSELLPSGKSGVNTNIVNRNRASTLPSYPKPMTVKSNVDDDGYQEDDDDDGDDEGDGRDNEEDSTAEEDEVDDEIETDMKNASINKRRRSLHHKKSNSLNGRRKLHGESATKPNINSDLHYRILKAPTDVKTIWEEYDTGIRGKPSIKHLEAKYGNKWRLNKNKKTFSRRKRLYKFILNGMERGKTAQEMIETLENKRLYKDDEDGEVKKRTIGWLQESLAGI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAVISVKPRRREKILQEVKNSSVYQTVFDSGTTQMQIPKYENKPFKPPRRVGSNKYTQLKPTATAVTTAPISKAKVTVNLKRSISAGPTLNLAKKPNNLSSNENTRYFTIMYRKPTTKKHKTWSGDGYATLKASSDKLCFYNEAGKFLGSSMLPSDSDSLFETLFKAGSNEVQLDYELKENAEIRSAKEALSQNMGNPSPPTTSTTETVPSTKNDGGKYQMPLSQLFSLNTVKRFKSVTKQTNEHMTTVPKTSQNSKAKKYYPVFDVNKIDNPIVMNKNAAAEVDVIVDPLLGKFLRPHQREGVKFMYDCLMGLARPTIENPDIDCTTKSLVLENDSDISGCLLADDMGLGKTLMSITLIWTLIRQTPFASKVSCSQSGIPLTGLCKKILVVCPVTLIGNWKREFGKWLNLSRIGVLTLSSRNSPDMDKMAVRNFLKVQRTYQVLIIGYEKLLSVSEELEKNKHLIDMLVCDEGHRLKNGASKILNTLKSLDIRRKLLLTGTPIQNDLNEFFTIIDFINPGILGSFASFKRRFIIPITRARDTANRYNEELLEKGEERSKEMIEITKRFILRRTNAILEKYLPPKTDIILFCKPYSQQILAFKDILQGARLDFGQLTFSSSLGLITLLKKVCNSPGLVGSDPYYKSHIKDTQSQDSYSRSLNSGKLKVLMTLLEGIRKGTKEKVVVVSNYTQTLDIIENLMNMAGMSHCRLDGSIPAKQRDSIVTSFNRNPAIFGFLLSAKSGGVGLNLVGASRLILFDNDWNPSVDLQAMSRIHRDGQKKPCFIYRLVTTGCIDEKILQRQLMKNSLSQKFLGDSEMRNKESSNDDLFNKEDLKDLFSVHTDTKSNTHDLICSCDGLGEEIEYPETNQQQNTVELRKRSTTTWTSALDLQKKMNEAATNDDAKKSQYIRQCLVHYKHIDPARQDELFDEVITDSFTELKDSITFAFVKPGEICLREQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSELFGVLKSNAGRIILKDPSATSKDVKAYIDSVINTCKNGSITKKAELDEITVDGLDANQVWWQVKLVLDSIDGDLIQGIQELKDVVTPSHNLSDGSTLNSSSGEESELEEAESVFKEKQMLSADVSEIEEQSNDSLSENDEEPSMDDEKTSAEAAREEFAEEKRISSGQDERHSSPDPYGINDKFFDLEKFNRDTLAAEDSNEASEGSEDEDIDYFQDMPSDDEEEEAIYYEDFFDKPTKEPVKKHSDVKDPKEDEELDEEEHDSAMDKVKLDLFADEEDEPNAEGVGEASDKNLSSFEKQQIEIRKQIEQLENEAVAEKKWSLKGEVKAKDRPEDALLTEELEFDRTAKPVPVITSEVTESLEDMIRRRIQDSNFDDLQRRTLLDITRKSQRPQFELSDVKSSKSLAEIYEDDYTRAEDESALSEELQKAHSEISELYANLVYKLDVLSSVHFVPKPASTSLEIRVETPTISMEDAQPLYMSNASSLAPQEIYNVGKAEKDGEIRLKNGVAMSKEELTREDKNRLRRALKRKRSKANLPNVNKRSKRNDVVDTLSKAKNITVINQKGEKKDVSGKTKKSRSGPDSTNIKL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MESPPLYEISSSSSSEKPRHHFQSLDLFPNLNQNSCINNTLIEPLPLIDRINLNSNLDLNPNPLYAEEGEQEEEEEEEEDREVDVDLHIGLPGFGKPSNDAKQLKKRNGKEIATYDAGKGIENELSGKAYWIPAPEQILIGFTHFSCHVCFKTFNRYNNLQMHMWGHGSQYRKGPESLKGTQPRAMLGIPCYCCVEGCRNHIDHPRSKPLKDFRTLQTHYKRKHGHKPFSCRLCGKLLAVKGDWRTHEKNCGKRWVCVCGSDFKHKRSLKDHVKAFGSGHGPYPTGLFEEQASNSSVSETLFF", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDNCLAAAALNGVDRRSLQRSARLALEVLERAKRRAVDWHALERPKGCMGVLAREAPHLEKQPAAGPQRVLPGEREERPPTLSASFRTMAEFMDYTSSQCGKYYSSVPEEGGATHVYRYHRGESKLHMCLDIGNGQRKDRKKTSLGPGGSYQISEHAPEASQPAENISKDLYIEVYPGTYSVTVGSNDLTKKTHVVAVDSGQSVDLVFPV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDRCKHVEQLQLAQGHSILDPQKWYCMVCNTTESIWACLSCSHVACGKYIQEHALKHFQESSHPVAFEVNDMYAFCYLCNDYVLNDNAAGDLKSLRSTLSTIKSKKYPCVVPSDSVLHPVDAQDRVYSLLDGTQSLPGNEDPTCAALWHRRRVLMGKAFRTWFEQSAIGRKGQEPTQERMVAKREAKRRQQQELEQQMKAELESTPPRKSLRLQGSSEEAATIEIVPVRAPPPPPASPAKDKAALPTSEDRTFKKVSDSLIKRRPMVTPGVTGLRNLGNTCYMNSVLQVLSHLLIFRQCFLKLDLNQWLAVAASDKARSYKHSAVTEAAAQQMNEGQEKEKGFVCSRHSGLSSGLSGGASKGRNMELIQPREPSSPYSSLCHELHILFQVMWSGEWALVSPFAMLHSVWRLIPAFRGYAQQDAQEFLCELLDKIQRELETTGTKLPALIPTSQRRLIEQVLNVVNNIFHGQFLSQVTCLACDNKSDTIESFWDLSLEFPERYQCSGKDAASQPCLVTDMLDKFTETEALEGKIYMCDHCNSKRRKFSSKSVVFTEAQKQLMICHLPQVLRLHLKRFRWSGRNNREKIGVHVVFEETLNMEPYCCRETLNALRPECFLYNLSAVVIHHGKGFGSGHYTAYCYNSEGGFWVHCNDSKLSMCTMEEVRKAQAYILFYTQRVTENGHSKLLPPELLSNSQHPSKETDASSNEVLS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MWIQVRTMDGRQTHTVDSLSRLTKVEELRRKIQELFHVEPGLQRLFYRGKQMEDGHTLFDYEVRLNDTIQLLVRQSLVLPHSTKERDSELSDTDSGCCLGQSESDKSSTHGEAAAETDSRPADEDMWDETELGLYKVNEYVDARDTNMGAWFEAQVVRVTRKAPSRDEPCSSTSRPALEEDVIYHVKYDDYPENGVVQMNSRDVRARARTIIKWQDLEVGQVVMLNYNPDNPKERGFWYDAEISRKRETRTARELYANVVLGDDSLNDCRIIFVDEVFKIERPGEGSPMVDNPMRRKSGPSCKHCKDDVNRLCRVCACHLCGGRQDPDKQLMCDECDMAFHIYCLDPPLSSVPSEDEWYCPECRNDASEVVLAGERLRESKKKAKMASATSSSQRDWGKGMACVGRTKECTIVPSNHYGPIPGIPVGTMWRFRVQVSESGVHRPHVAGIHGRSNDGAYSLVLAGGYEDDVDHGNFFTYTGSGGRDLSGNKRTAEQSCDQKLTNTNRALALNCFAPINDQEGAEAKDWRSGKPVRVVRNVKGGKNSKYAPAEGNRYDGIYKVVKYWPEKGKSGFLVWRYLLRRDDDEPGPWTKEGKDRIKKLGLTMQYPEGYLEALANREREKENSKREEEEQQEGGFASPRTGKGKWKRKSAGGGPSRAGSPRRTSKKTKVEPYSLTAQQSSLIREDKSNAKLWNEVLASLKDRPASGSPFQLFLSKVEETFQCICCQELVFRPITTVCQHNVCKDCLDRSFRAQVFSCPACRYDLGRSYAMQVNQPLQTVLNQLFPGYGNGR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDLYDDDGESAQSEKVDVPSEESTIAGPETDIPAETIEENVPEVEENTLLEEDSLVDVDSPRPSQQRSKPSKSKRKRKRSSSGESSAAEPEIDAGAKVKGPLSNTNKEINVGTEFQAKIADLNLNDKACNEDRDDQDELIWNTPETIDDEKLEAFIRESSDRYLIPIDRALYILTINNFNFDSAIAEVARRNELKDVWTDQEITLFENCYQIFGKNFSQIRSALCHRSLQSIVQFYYESKKRVKYLNFVNSKCDDSSSSEETETPSPYPEAIFESMCDNCGEKAENMQINNAMNRPECRACLIYFNQTGVPRPTSLRLVLAERIRNQVSCPDNMKEYMKDFDKLSAQATGSTFQKRIIVKDQCVEYIIDVDKIPSSSCTENGNVGETSSPSAQKTEIQSESDGSGPLIWRHKKTVCMEEIEVLADDSRRKMFEACQHGSKVDIKLVASWKNDMTNLRKRVEQTYYDPDLNPTYLFSHDRVHYSQDWTQLERSQVIRCFNMYGAHFEHIADVIGTKTPDQVYQFYLENQKAIDAADEEFLADMKNPERLADMEEEEDSI", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNANEEGEGSRYPITDRKTGETKFDRVESRTEKHSEEEKTNGITMDVRNGSSGGLQIPLSQQTAATVCWERFLHVRTIRVLLVENDDCTRYIVTALLRNCSYEVVEASNGIQAWKVLEDLNNHIDIVLTEVIMPYLSGIGLLCKILNHKSRRNIPVIMMSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKILWQHVWRRCQSSSGSGSESGTHQTQKSVKSKSIKKSDQDSGSSDENENGSIGLNASDGSSDGSGAQSSWTKKAVDVDDSPRAVSLWDRVDSTCAQVVHSNPEFPSNQLVAPPAEKETQEHDDKFEDVTMGRDLEISIRRNCDLALEPKDEPLSKTTGIMRQDNSFEKSSSKWKMKVGKGPLDLSSESPSSKQMHEDGGSSFKAMSSHLQDNREPEAPNTHLKTLDTNEASVKISEELMHVEHSSKRHRGTKDDGTLVRDDRNVLRRSEGSAFSRYNPASNANKISGGNLGSTSLQDNNSQDLIKKTEAAYDCHSNMNESLPHNHRSHVGSNNFDMSSTTENNAFTKPGAPKVSSAGSSSVKHSSFQPLPCDHHNNHASYNLVHVAERKKLPPQCGSSNVYNETIEGNNNTVNYSVNGSVSGSGHGSNGPYGSSNGMNAGGMNMGSDNGAGKNGNGDGSGSGSGSGSGNLADENKISQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRKTAAATDDNDIKNIEDS", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSDDDSRASTSSSSSSSSNQQTEKETNTPKKKESKVSMSKNSKLLSTSAKRIQKELADITLDPPPNCSAGPKGDNIYEWRSTILGPPGSVYEGGVFFLDITFTPEYPFKPPKVTFRTRIYHCNINSQGVICLDILKDNWSPALTISKVLLSICSLLTDCNPADPLVGSIATQYMTNRAEHDRMARQWTKRYAT", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNSSRRQEGSPLDLNNLPDEFGKQTVESSTTTAASSAEASRVTKKKSNGGKDEAGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFGNDSLAAVGAQLNFRDVNMGGGGAAAPPPTMQMGGGGFRGGGVGGDPCIPLRPVQPRLSPPQPPPYHHYLYTTTAPPSALHPMSYPATYPAPPRHQQPAAVGDYVIGHAVSAGDALVAPPPPPHRASFSCFGAPLAAPPANVQPDNGNCNCSFGCGHSNRNVNAAS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNQGHPQPDMYYSPHYSTPQYGYGYSTNGAPTTAVSTPMPAPQNVLPVPSALSNQGAMQQPGYSNSSNNGAFDTTGQHNPPGMKPRVTATLWEDEGSLCFQVEARGICVARREDNHMINGTKLLNVAGMTRGRRDGILKSEKVRHVVKIGPMHLKGVWIPYDRALDFANKEKITELLFPLFVHNIGALLYHPSNSNRTSQVMAAAERRKHEGLGGQRPAAPNALPSIGQHHPMMPGLPTGGYVPQSLANGPQSLASTPQPLTNGSQPPMPNGGGMLKRGREEEEDLHRPVSNGHDPMSNMHAMSNGYPQQPPLANVHQPPMQNGGDMLKRGRDEDDEVHRSAHTAHDTMNNMPGSMPGLSNAYAQPLPNVHHQPLANGDGGMLKRGRDEDDDVHRSSPNGHDSAGNFEVKRRKTITSNDSMVSPGGFYTLHNGYGQPGVMNGMSPYKRRDDEAETPRPGPNVHDHLNNFDLKRHKTMETSVPAPQYDAMNRPHSSIGTSPTYAPAPVYDNLARPASTVAASPSYPSAPVYDTGARPPSAISAPRRQQSFG", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSPEKQHREEDEVDSVLLSASKILNSSEGVKESGCSDTEYGCIAESENQIQPQSALKVLQQQLESFQALRMQTLQNVSMVQSEISEILNKSIIEVENPQFSSEKNLVFGTRIEKDLPTENQEENLSMEKSHHFEDSKTLHSVEEKLSGDSVNSLPQSVNVPSQIHSEDTLTLRTSTDNLSSNIIIHPSENSDILKNYNNFYRFLPTAPPNVMSQADTVILDKSKITVPFLKHGFCENLDDICHSIKQMKEELQKSHDGEVALTNELQTLQTDPDVHRNGKYDMSPIHQDKMNFIKEENLDGNLNEDIKSKRISELEALVKKLLPFRETVSKFHVHFCRKCKKLSKSEMHRGKKNEKNNKEIPITGKNITDLKFHSRVPRYTLSFLDQTKHEMKDKERQPFLVKQGSIISENEKTSKVNSVTEQCVAKIQYLQNYLKESVQIQKKVMELESENLNLKSKMKPLIFTTQSLIQKVETYEKQLKNLVEEKSTIQSKLSKTEEYSKECLKEFKKIISKYNVLQGQNKTLEEKNIQLSLEKQQMMEALDQLKSKEHKTQSDMAIVNNENNRMSIEMEAMKTNILLIQDEKEMLEKKTHQLLKEKSSLGNELKESQLEIIQLKEKERLAKTEQETLLQIIETVKDEKLNLETTLQESTAARQIMEREIENIQTYQSTAEENFLQEIKNAKSEASIYKNSLSEIGKECEMLSKMVMETKTDNQILKEELKKHSQENIKFENSISRLTEDKILLENYVRSIENERDTLEFEMRHLQREYLSLSDKICNQHNDPSKTTYISRREKFHFDNYTHEDTSSPQSRPLASDLKGYFKVKDRTLKHH", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSLGYAEKLSYREDVGNVGMPEIFDSPELLHKKIEELAVMVRESKHLVVFTGAGISTSSGIPDFRGPKGVWTLQVRSGKGVPGASLPFHRAVPTLTHMALVELEKTGRLKFVISQNVDSLHLRSGLPREKLAELHGNSFKEICPSCKKEYLRDFEIETIGLKDTPRRCSDKNCGARLKDTVLDWEDALPPEEMDAAKEQCQTADLVLCLGTSLQITPACNMPLLSLKNGGRVAIVNLQATPKDKKASLVIHGLVDKVIAGVMYMMNLRIPPYIRTDFVQISLRNSVKKKCVRWTLRVTSIHGLRAPLPFLRSVEVSFPERPDMKPVVLKEQPFSLQRETSMNRPFVMLLTFNFSDGCGCSSSSIEWPVDFLKQKDSFVRDRSLVLQELQHAAEHRSRAGQHAILEREGVPRAETSIHALVTNIVRYDTEDSKAAVPMATWMNSNGSLSKRHMDAIGCNPASSKKQKLVATRHRRKGLNPATQKV", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEKNTAPFVVDTAPTTPPDKLVAPSIEEVNSNPNELRALRGQGRYFGVSDDDKDAIKEAAPKCNNCSQRGHLKKDCPHIICSYCGATDDHYSRHCPKAIQCSKCDEVGHYRSQCPHKWKKVQCTLCKSKKHSKERCPSIWRAYILVDDNEKAKPKVLPFHTIYCYNCGGKGHFGDDCKEKRSSRVPNEDGSAFTGSNLSVELKQEYYRHMNRNSDENEDYQFSESIYDEDPLPRPSHKRHSQNDHSHSGRNKRRASNFHPPPYQKSNVIQPTIRGETLSLNNNISKNSRYQNTKVNVSSISENMYGSRYNPSTYVDNNSISNSSNYRNYNSYQPYRSGTLGKRR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLQHPATDFYDLAAANAAAVLTARHTPPYSPTGLSGSVALHNNNNNNSSTSNNNNSTLDIMAHNGGGAGGGLHLNSSSNGGGGGGVVSGGGSGGRENLPSFGFTQEQVACVCEVLQQAGNIERLGRFLWSLPQCDKLQLNESVLKAKAVVAFHRGQYKELYRLLEHHHFSAQNHAKLQALWLKAHYVEAEKLRGRPLGAVGKYRVRRKFPLPRTIWDGEETSYCFKEKSRSVLRDWYSHNPYPSPREKRDLAEATGLTTTQVSNWFKNRRQRDRAAEHKDGSTDKQHLDSSSDSEMEGSMLPSQSAQHQQQQQQQQHSPGNSSGNNNGLHQQQLQHVAAEQGLQHHPHQPHPASNIANVAATKSSGGGGGGGVSAAAAAQMQMPPLTAAVAYSHLHSVMGAMPMTAMYDMGEYQHL", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVRVYIGRLPNRASDRDVEHFFRGYGKLSDVIMKNGFGFVDFQDQRDADDAVHDLNGKELCGERVILEFPRRKVGYNEERSGSGFRGREPTFRKGGERQFSNRYSRPCSTRFRLVIDNLSTRYSWQDIKDHIRKLGIEPTYSEAHKRNVNQAIVCFTSHDDLRDAMNKLQGEDLNGRKLKCTDETRDRSRSRSPRRRSRSRSPTRSRSPPARRRSPGSDRSDRKSRSASPKKRSDKRARSESKSRSRSGGRRSRSNSPPNRSPSPKKRRDNSSPRSGSASP", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSKPAGSTSRILDIPCKVCGDRSSGKHYGVYACDGCSGFFKRSIRRNRTYVCKSGNQGGCPVDKTHRNQCRACRLKKCLEVNMNKDAVQHERGPRTSTIRKQVALYFRGHKEDNGAAAHFPSTALPAPAFFTAVTQLEPHGLELAAVSATPERQTLVSLAQPTPKYPHEVNGTPMYLYEVATESVCESAARLLFMSIKWAKSVPAFSTLSLQDQLMLLEDAWRELFVLGIAQWAIPVDANTLLAVSGMNTDNTDSQKLNKIISEIQALQEVVARFRQLRLDATEFACLKCIVTFKAVPTHSGSELRSFRNAAAIAALQDEAQLTLNSYIHTRYPTQPCRFGKLLLLLPALRSISPSTIEEVFFKKTIGNVPITRLLSDMYKSSDI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MELQTLQEALKVEIQVHQKLVAQMKQDPQNADLKKQLHELQAKITALSEKQKRVVEQLRKNLIVKQEQPDKFQIQPLSQSENKLQTAQQQPLQPLQQQQPQQPQQQQQQQQQHAQQSAAAPPSLTASQKTVTTASMITTKTLPLVLKAATATMPASVVGQRPTIAMVTAINSQKAVLSTDVQNTPVNLQTSSKVTGPGAEAVQIVAKNTVTLQVQATPPQPIKVPQFIPPPRLTPRPNFLPQVRPKPVAQNNIPIAPAPPPMLAAPQLIQRPVMLTKFTPTTLPTSQNSIHPVRVVNGQTATIAKTFPMAQLTSIVIATPGTRLAGPQTVQLSKPSLEKQLNPTQKQRKNKQGLVTHDHLEEIQSKRQERKRRTTANPVYSGAVFEPERKKSAVTYLNSTMHPGTRKRGRPPKYNAVLGFGALTPTSPPSSHPDSPENEKTETTFTFPAPVQPVSLPSPTSTDGDIHEDFCSVCRKSGQLLMCDTCSRVYHLDCLEPPLKTIPKGMWICPRCQDQMLKKEEAIPWPGTLAIVHSYIAYKAAKEEEKQKLLKWSSDLKQEREQLEQKVKELSSSISKCMEMKSSILARQKEMRSSLDKVKRLIRLVHGVDLCRPVDSEATAGALSNGPDCTPPANAASTPAPSPSSQSCTANCNQGEETK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSETNGGNAARENSEVKQTAVENPIDKLDGTPKRPREKDQDEQAEETSDKSEAPNKNDEEKKEEGKKDQEPSHKKIKVDDGKTVESGIVEDDKKEDKFVFGAASKFGTGFGVAKKDTKDGDATTSTESLPASDSKTKKPFAFGSGLSFGSGFNILKNKTENNSESEKKATDVDKDKVHSGSEQLANASEDTKDKPKPLKLQKQEVKSGEESEECIYQVNAKLYQLSNIKEGWKERGVGIIKINKSKDDVEKTRIVMRSRGILKVILNIQLVKGFTVQKGFTGSLQSEKFIRLLAVDDNGDPAQYAIKTGKKETTDELYNIIVKSVPK", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAKRGNKKKQEAPLSLGKHTVGGRVGKPTNAKTGSALTSDKSLFDKRIVKQLKNNELFNRLTLPSQELRDGIVRENQTKPSNSNSQSNGAISIRGIAGPTNVVIENLAPGTSSDDVAATLLNFGEILNCQVNDSQGKVRASVRFSTLASAQQVVQKLDGVTADGFKLSCYIKKNSKKRRTQKK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDFLKEEIERKRRQLEGTSELPVKKAFRRGDWEKEREKKYLQEKQQKDEQRELKKRKLEEERLKYEEKKLRISRLANKESSRNEELLTETTTPSPAVKASPASTKLSVSENDRLSIPEITKDNLTLTEIIAKLREMKEPIRLFGESEEATIQRYYSLLKYKKLEEIENELLTKGVETIDFEHATTTKPKVSKQVVAFLQHGIRIWDNFLSSKSINSFESSESQMQLKIFRQAKQDLDVLIQLIVDEALNDDIFKSIAEICYRCQKHEFVKANDMYLRLTIGNAPWPIGVTMVGIHERSAHQRLQANPSSNILKDEKKRKCLQALKRFITFQERESSNLPEYTD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MADFEELRNMVSSFRVSELQVLLGFAGRNKSGRKHDLLMRALHLLKSGCSPAVQIKIRELYRRRYPRTLEGLCDLSTIKSSVFSLDGSSSPVEPDLPVAGIHSLPSTSITPHSPSSPVGSVLLQDTKPTFEMQQPSPPIPPVHPDVQLKNLPFYDVLDVLIKPTSLVQSSIQRFQEKFFIFALTPQQVREICISRDFLPGGRRDYTVQVQLRLCLAETSCPQEDNYPNSLCIKVNGKLFPLPGYAPPPKNGIEQKRPGRPLNITSLVRLSSAVPNQISISWASEIGKNYSMSVYLVRQLTSAMLLQRLKMKGIRNPDHSRALIKEKLTADPDSEIATTSLRVSLMCPLGKMRLTIPCRAVTCTHLQCFDAALYLQMNEKKPTWICPVCDKKAAYESLILDGLFMEILNDCSDVDEIKFQEDGSWCPMRPKKEAMKVTSQPCTKVESSSVFSKPCSVTVASDASKKKIDVIDLTIESSSDEEEDPPAKRKCIFMSETQSSPTKGVLMYQPSSVRVPSVTSVDPAAIPPSLTDYSVPFHHTPVSSMSSDLPGLDFLSLIPVDPQYCPPMFLDSLTSPLTASSTSVTTTSPHESSTHVSSSSSRSETGVITSSGRNIPDIISLD", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPGTPGSLEMGLLTFRDVAIEFSPEEWQCLDTAQQNLYRNVMLENYRNLAFLGIALSKPDLITYLEQGKEPWNMKQHEMVDEPTGICPHFPQDFWPEQSMEDSFQKVLLRKYEKCGHENLQLRKGCKSVDECKVHKEGYNKLNQCLTTAQSKVFQCGKYLKVFYKFLNSNRHTIRHTGKKCFKCKKCVKSFCIRLHKTQHKCVYITEKSCKCKECEKTFHWSSTLTNHKEIHTEDKPYKCEECGKAFKQLSTLTTHKIICAKEKIYKCEECGKAFLWSSTLTRHKRIHTGEKPYKCEECGKAFSHSSTLAKHKRIHTGEKPYKCEECGKAFSRSSTLAKHKRIHTGEKPYKCKECGKAFSNSSTLANHKITHTEEKPYKCKECDKAFKRLSTLTKHKIIHAGEKLYKCEECGKAFNRSSNLTIHKFIHTGEKPYKCEECGKAFNWSSSLTKHKRFHTREKPFKCKECGKAFIWSSTLTRHKRIHTGEKPYKCEECGKAFRQSSTLTKHKIIHTGEKPYKFEECGKAFRQSLTLNKHKIIHSREKPYKCKECGKAFKQFSTLTTHKIIHAGKKLYKCEECGKAFNHSSSLSTHKIIHTGEKSYKCEECGKAFLWSSTLRRHKRIHTGEKPYKCEECGKAFSHSSALAKHKRIHTGEKPYKCKECGKAFSNSSTLANHKITHTEEKPYKCKECDKTFKRLSTLTKHKIIHAGEKLYKCEECGKAFNRSSNLTIHKFIHTGEKPYKCEECGKAFNWSSSLTKHKRIHTREKPFKCKECGKAFIWSSTLTRHKRIHTGEKPYKCEECGKAFSRSSTLTKHKTIHTGEKPYKCKECGKAFKHSSALAKHKIIHAGEKLYKCEECGKAFNQSSNLTTHKIIHTKEKPSKSEECDKAFIWSSTLTEHKRIHTREKTYKCEECGKAFSQPSHLTTHKRMHTGEKPYKCEECGKAFSQSSTLTTHKIIHTGEKPYKCEECGKAFRKSSTLTEHKIIHTGEKPYKCEECGKAFSQSSTLTRHTRMHTGEKPYKCEECGKAFNRSSKLTTHKIIHTGEKPYKCEECGKAFISSSTLNGHKRIHTREKPYKCEECGKAFSQSSTLTRHKRLHTGEKPYKCGECGKAFKESSALTKHKIIHTGEKPYKCEKCGKAFNQSSILTNHKKIHTITPVIPLLWEAEAGGSRGQEMETILANTVKPLLY", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSSTVAASTMPVSVAASKKESPGRWGLGEDPTGVGPSLQCRVCGDSSSGKHYGIYACNGCSGFFKRSVRRRLIYRCQVGAGMCPVDKAHRNQCQACRLKKCLQAGMNQDAVQNERQPRSMAQVHLDAMETGSDPRSEPVVASPALAGPSPRGPTSVSATRAMGHHFMASLITAETCAKLEPEDAEENIDVTSNDPEFPASPCSLDGIHETSARLLFMAVKWAKNLPVFSNLPFRDQVILLEEAWNELFLLGAIQWSLPLDSCPLLAPPEASGSSQGRLALASAETRFLQETISRFRALAVDPTEFACLKALVLFKPETRGLKDPEHVEALQDQSQVMLSQHSKAHHPSQPVRFGKLLLLLPSLRFLTAERIELLFFRKTIGNTPMEKLLCDMFKN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLEDISEEDIWEYKSKRKPKRVDPNNGSKNILKSVEKATDGKYQSKRSRNRKRAAEAKEVKDHEVPLGNAGCQTSVASSQNSSCGDGIQQTQDKETTPGKLCRTQKSQHVSPKIRPVYDGYCPNCQMPFSSLIGQTPRWHVFECLDSPPRSETECPDGLLCTSTIPFHYKRYTHFLLAQSRAGDHPFSSPSPASGGSFSETKSGVLCSLEERWSSYQNQTDNSVSNDPLLMTQYFKKSPSLTEASEKISTHIQTSQQALQFTDFVENDKLVGVALRLANNSEHINLPLPENDFSDCEISYSPLQSDEDTHDIDEKPDDSQEQLFFTESSKDGSLEEDDDSCGFFKKRHGPLLKDQDESCPKVNSFLTRDKYDEGLYRFNSLNDLSQPISQNNESTLPYDLACTGGDFVLFPPALAGKLAASVHQATKAKPDEPEFHSAQSNKQKQVIEESSVYNQVSLPLVKSLMLKPFESQVEGYLSSQPTQNTIRKLSSENLNAKNNTNSACFCRKALEGVPVGKATILNTENLSSTPAPKYLKILPSGLKYNARHPSTKVMKQMDIGVYFGLPPKRKEEKLLGESALEGINLNPVPSPNQKRSSQCKRKAEKSLSDLEFDASTLHESQLSVELSSERSQRQKKRCRKSNSLQEGACQKRSDHLINTESEAVNLSKVKVFTKSAHGGLQRGNKKIPESSNVGGSRKKTCPFYKKIPGTGFTVDAFQYGVVEGCTAYFLTHFHSDHYAGLSKHFTFPVYCSEITGNLLKNKLHVQEQYIHPLPLDTECIVNGVKVVLLDANHCPGAVMILFYLPNGTVILHTGDFRADPSMERSLLADQKVHMLYLDTTYCSPEYTFPSQQEVIRFAINTAFEAVTLNPHALVVCGTYSIGKEKVFLAIADVLGSKVGMSQEKYKTLQCLNIPEINSLITTDMCSSLVHLLPMMQINFKGLQSHLKKCGGKYNQILAFRPTGWTHSNKFTRIADVIPQTKGNISIYGIPYSEHSSYLEMKRFVQWLKPQKIIPTVNVGTWKSRSTMEKYFREWKLEAGY", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPTLDAPEERRRKFKYRGKDVSLRRQQRMAVSLELRKAKKDEQTLKRRNITSFCPDTPSEKTAKGVAVSLTLGEIIKGVNSSDPVLCFQATQTARKMLSQEKNPPLKLVIEAGLIPRMVEFLKSSLYPCLQFEAAWALTNIASGTSEQTRAVVEGGAIQPLIELLSSSNVAVCEQAVWALGNIAGDGPEFRDNVITSNAIPHLLALISPTLPITFLRNITWTLSNLCRNKNPYPCDTAVKQILPALLHLLQHQDSEVLSDACWALSYLTDGSNKRIGQVVNTGVLPRLVVLMTSSELNVLTPSLRTVGNIVTGTDEQTQMAIDAGMLNVLPQLLQHNKPSIQKEAAWALSNVAAGPCHHIQQLLAYDVLPPLVALLKNGEFKVQKEAVWMVANFATGATMDQLIQLVHSGVLEPLVNLLTAPDVKIVLIILDVISCILQAAEKRSEKENLCLLIEELGGIDRIEALQLHENRQIGQSALNIIEKHFGEEEDESQTLLSQVIDQDYEFIDYECLAKK", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTSMYNFKRITCVPNAQELKDVVLSKTQRKTPTVVHRQYSIGRIRAFYARKIKFLQQTLHDKLTQIITEFPKMEEIHPFYSDLMNILYDRDHYKIALGQMNTARHLIDGIAREYVRLMKYADSLYRCKMLKRAALGRMVKLLKRQKSSFEYLEQVRQHLSRLPSIDPATRTLILCGFPNVGKSSFINNVTRADVEVQPYAFTTKALYVGHLDYRFLRWQVIDTPGILDQPLEDRNTIEMQAVTALAHLKASVLFMMDVSEQCDRSIEEQLHLFESIRPLFANKPVLIGLNKVDIRHRSDLPPEKAALLDQLEKEGIPIIETSTLTQEGVMGLRDRACDELLAQRVEAKIQAKKITNVEDCVLNRVFVAYPAPRDEKVRAPFVPPGLAAKRAQKKLQEAQELMETDGDEFAAKIPQKPGKIGKEKIAKGGSQSTDLGDLRDENTRRLEREIELEMQDDYILDLKKHYMLKNPDEKYDIVPEIWEGHNLADFVDPEIQSKLENLLREEELLEQAGEYESDLDSDDEETKEKLKLALQIREKEKLLTLDHAVNKRIAGRIGSRIHGSRKRDRSMSRLENELGELGVDVDTKKMKNLQGQCAKPQLGKKMKVGRSRSLSAVRPAPRDELAFPDEEKRAHVDKLRTKAMRGLRREAKKGEADRHVYDLKPKHLFCGKRGNGKTDWR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKRRLDDQESPVYAAQQRRIPGSTEAFPHQHRVLAPAPPVYEAVSETMQSATGIQYSVTPSYQVSAMPQSSGSHGPAIAAVHSSHHHPTAVQPHGGQVVQSHAHPAPPVAPVQGQQQFQRLKVEDALSYLDQVKLQFGSQPQVYNDFLDIMKEFKSQSIDTPGVISRVSQLFKGHPDLIMGFNTFLPPGYKIEVQTNDMVNVTTPGQVHQIPTHGIQPQPQPPPQHPSQPSAQSAPAPAQPAPQPPPAKVSKPSQLQAHTPASQQTPPLPPYASPRSPPVQPHTPVTISLGTAPSLQNNQPVEFNHAINYVNKIKNRFQGQPDIYKAFLEILHTYQKEQRNAKEAGGNYTPALTEQEVYAQVARLFKNQEDLLSEFGQFLPDANSSVLLSKTTAEKVDSVRNDHGGTVKKPQLNNKPQRPSQNGCQIRRHPTGTTPPVKKKPKLLNLKDSSMADASKHGGGTESLFFDKVRKALRSAEAYENFLRCLVIFNQEVISRAELVQLVSPFLGKFPELFNWFKNFLGYKESVHLETYPKERATEGIAMEIDYASCKRLGSSYRALPKSYQQPKCTGRTPLCKEVLNDTWVSFPSWSEDSTFVSSKKTQYEEHIYRCEDERFELDVVLETNLATIRVLEAIQKKLSRLSAEEQAKFRLDNTLGGTSEVIHRKALQRIYADKAADIIDGLRKNPSIAVPIVLKRLKMKEEEWREAQRGFNKVWREQNEKYYLKSLDHQGINFKQNDTKVLRSKSLLNEIESIYDERQEQATEENAGVPVGPHLSLAYEDKQILEDAAALIIHHVKRQTGIQKEDKYKIKQIMHHFIPDLLFAQRGDLSDVEEEEEEEMDVDEATGAVKKHNGVGGSPPKSKLLFSNTAAQKLRGMDEVYNLFYVNNNWYIFMRLHQILCLRLLRICSQAERQIEEENREREWEREVLGIKRDKSDSPAIQLRLKEPMDVDVEDYYPAFLDMVRSLLDGNIDSSQYEDSLREMFTIHAYIAFTMDKLIQSIVRQLQHIVSDEICVQVTDLYLAENNNGATGGQLNTQNSRSLLESTYQRKAEQLMSDENCFKLMFIQSQGQVQLTIELLDTEEENSDDPVEAERWSDYVERYMNSDTTSPELREHLAQKPVFLPRNLRRIRKCQRGREQQEKEGKEGNSKKTMENVDSLDKLECRFKLNSYKMVYVIKSEDYMYRRTALLRAHQSHERVSKRLHQRFQAWVDKWTKEHVPREMAAETSKWLMGEGLEGLVPCTTTCDTETLHFVSINKYRVKYGTVFKAP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKRPGGAGGGGGSPSLVTMANSSDDGYGGVGMEAEGDVEEEMMACGGGGEKKRRLSVEQVRALERSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDSLRLDHDALRRDKDALLAEIKELKAKLGDEEAAASFTSVKEEPAASDGPPAAGFGSSDSDSSAVLNDVDAAGAAPAATDALAPEACTFLGAPPAAGAGAGAAAAASHEEVFFHGNFLKVEEDETGFLDDDEPCGGFFADDQPPPLSSWWAEPTEHWN", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKRPKLKKASKRMTCHKRYKIQKKVREHHRKLRKEAKKRGHKKPKKDPGVPNSAPFKEALLREAELRKQQLEELKQQQKLDRQKEQERKRKLEISPDDEQSNVETQEESDEPKIKKAKSGKQNPKKLHCQELKKVIEASDIVLEVLDARDPLGCRCPQVEEAVIQSGCKKLVLVLNKSDLVPKENLENWLTYLNKELPTVVFKASTNLKNRKKTFKIKKKVVPFQSKLCCGKEALWKLLGGFQQSCGKGVQVGVVGFPNVGKSSIINSLKQERICSVGVSMGLTRSMQIVPLDKQITIIDSPCFIISPCNSPAALALRSPASIEVLRPLEAASAILSQADSQQVVLKYTVPGYKDSLDFFTKLAQRRGLHQKGGSPNVESAAKLLWSEWTGASLGYYCHPPASWNHSPHFNENITAIMKRGFNLEELEKNNAHSIQVLKGPHLTNKILFRSSGLTNGILEEKDIPEESPKQTEDQQDGDDQEHVTGEKNAEISDVTPVEETREMSPGQSTASKPSDRSFILDKMSEEDDAYDFTTDYI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSMFNALNSNIEGEQYEAEEHSRELQIEQSFNILQDALIDLKNKDFEKSDSKFQELFQIDVVKPDRWGMYRNSSPTLDNLRYLCYRNRGMYYHLYLENNYERLNSQELVNCILKAVENLVESIQHSDADFAVTDLLARIFKSFNSVKLERLISEYEFTKQENLSLLLGRHRKFLLNDLTLMMNNYVELTNKLLVPNLSDNTIFERYHLEKYKDIKPEPLAFGPILSRISEMKKQDEEIMKKLDVFNVTLNEESWDEVAKALKNLLPSVKTSSLIGRNMDPYNEIEEPIEAVKFELSEAINNTPSLDRESERQEEEQDNESVRADDKSGNLAPSDIQTNEEARPNKRTDEHIDSTKPLQRSSKRFKEREQENSKELVMDVHKRFFGEFNTLLSYIHILPFCDFDTFASKFIIGSSDKQPEKFIPYTDLYECLKSWSSRYTDIFNQNDYLSSGSNENEELFQLNALLKSNAFDDKESFPRYLNDLDSDHIRSFISEVNAGNLHFHQVRLKLLFKLLGTYDEGNGRRLIIDYLWESQLLKIVLWFVFGIESNIFALINKNKRQCKYLALSIYELLVNHLGNIVEEITNKRIQGHKSADLKSQRNKVEKRIRSWHTLLEQIADEKDKELYVHFQWTHYCFLQYTCDIVDSRLSETLTSLENTIKDSDSSLDIAYPNYRHIPALNLNTVQSQKRKIRIIQNITVEDISEDTNSDTHSENHLETLEKVLLHILHPSTNHSNIDEEMVSFIFNSPFLLKIRLWGVLFSSYVKKSSIQDVQRIYFHVLDFMKGALTSPVYKESNPHGRHQMLLTVLTAIGYLSSQLTAILNSNRWESSDFVLEDYMFEKLLQTFFFFYTVLFYESSAVNDVSNKSFFKRASKSSGKMKDIMIDLATLILYYYDLQAKLRTPAEQGIETTELIWSLHTLFGHFHFCDASNGKFLDLAEKLLCQFINNDSFLQLKQILWCRYHYAIASDNFSPDLHDTKAVEMEKIHSLPLGTYLIKLQYQNKNPYLSSSKTTLKQIMDNIIEKIGDPSTLDNHIISRNSFLLNEYLSRPITADLLKHTFSGATSLYLTSPNDELQQGMTAGLFYVSSLQSLGLYKMRKKSMQARPSELDSIIRMLKNDIIYNTNRFESWILLGKCYSYIVEDDLIWTSDKITVPEKKDVIALTQRKAILCYLMAISIYYSKLDRTIDDKKIILEALDDLGSMLISGYYNPMNKLCFSWKSSAENTMRLSETGEVVMEKTKKITTISDFNIEQSIFLCFNRACSLSGDIKSQDDVFVLNWSSFYNLAKFFFKTDGGNNCKLVAKYITQGCQIAYESSPAKDPIIEPHYLLVNACYKWVKRGVIGVNEALTLLSKDNQFFQEQEEFWVNDEGLAWDYQEKFFFDKIIRLLRHLLSVDKKKWQHRPRYRIARILFDDLGDVNGALEEMDSLISAKSINKNLVNIWKPDFERPGKHFIYTYQYLVLYLDLLFAIKDFNTTGLVIKKLRRFGSGTVNVNELLERAINVYTQSAKIKLQLQDKSYVEQILPTLNYQEFLKISEQLNQVFDQGKYPEEISSGLKLAFQLKKGHSGIAFDSVCLGIYFEYLYFPLARQDQSLTDVNDENNPALPSSGSVTSKSTPDPTSKPSAIKKRVTKKEVFDRVRLLVDKIT", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLRQVKPKNARTKRALEKREPKLVEGAKTAIFLRGNATSQISLDVLGDIHALKKPFSVNFQKKNNILPFEDASSLEFFSEKNDAALAVMATHNKKRPHNLTWVRFFNYRVLDMIELGIVNYKSIQSFSATPIVPGTKPMILFQGPVFDAHPTYRHIKSLFLDFFRGEPIQKLDSAGLSYVIVVSAAEAQEDETKPLPLVHFRVYGTKLLKTKTNLPRVELEEMGPRIDFNIRRVQPAESDVLEEALKKPKTQEPKPKKNVDVDIIGNKVGRIHVDQQDLGNLQTRKMKGLKRSVEEREDSENEEVEIEEDVISDASE", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTKRKKEVIDVDCSEKKDFVIDWSSAMDKEDEVPELEIVNTTKPTPPPPPTFFSDDQTDSPKLLTDRDLDEQLERKKAILTLGPGLPDKGEKIRLKIADLEEEKQRRVLEGSKMEVDRSSKVVSSTSSGSDVLPQGNAVSKDTSRGNADSKDTSRQGNADSKEVSRSTFSAVFSKPKTDSQSKKAFGKELEDLGCERRKHKAGRKPVTRLSNGWRLLPDVGKAEHSAKQFDSGLKESKGNKKSKEPYGKKRPMESSTYSLIDDDDDDDDDDDNDTSGHETPREWSWEKSPSQSSRRRKKSEDTVINVDEEEAQPSTVAEQAAELPEGLQEDICYPTRDDPHFVQVCLKDLECLAPREYLTSPVMNFYMRFLQQQISSSNQISADCHFFNTYFYKKLSDAVTYKGNDKDAFFVRFRRWWKGIDLFRKAYIFIPIHEDLHWSLVIVCIPDKKDESGLTILHLDSLGLHSRKSIVENVKRFLKDEWNYLNQDDYSLDLPISEKVWKNLPRRISEAVVQVPQQKNDFDCGPFVLFFIKRFIEEAPQRLKRKDLGMFDKKWFRPDEASALRIKIRNTLIELFRVSDQTE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MIKAMALSSAGVVSHLHPPSFSSSSGLSVNRVLFRNRNASPCGLSLPILNPSRSVLVFARGKNRKGFVSSSSSSPKKNKKKSLDGADNGGGEEEEDPFEALFNLLEEDLKNDNSDDEEISEEELEALADELARALGVGDDVDDIDLFGSVTGDVDVDVDNDDDDNDDDDNDDDDDDSEEDERPTKLKNWQLKRLAYALKAGRRKTSIKNLAAEVCLDRAYVLELLRDPPPKLLMLSATLPDEKPPVAAPENSSPDPSPVESLSAEDVVVEPKEKVKDEAVHVMQQRWSAQKRVKKAHIETLEKVYRRSKRPTNAVVSSIVQVTNLPRKRVLKWFEDKRAEDGVPDKRAPYQAPV", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSSFVLDKEAFVRRVKRLYTEWRAPSIGHDDALRNLDCIMSIVGVEEDVMYSKSMALQLWLLGYELTDTISVFCSDAVYFLTSKKKIEFLKQTQNITEEGFPEINLLVRDRTDKDQGNFEKLIKALQNSKKGKRLGVFAKDAYPGEFSEAWKKSLTASKFEHVDISTIIAYLMCPKDESEINNIRKASLVSMDIFNKYLKDEIMDIIDSDRKVKHNKLSDGCEAAIGEKKYTSGLDPRLLDMAYPPIIQSGGAYSLKFSAVADKNPLHFGVIVCSLGARYKSYCSNISRTFLVNPTEAMQENYTFLVSVQEEILKLLVPGTKLCDVYEKTLDFVKKEKPSMVDNLPKSFGFAMGLEFRENSIVIGPKCQALLKKNMVFNLHVGISNLTNPEATDKEGKNYALFIGDTVLVGEQSPASVMTPSKKKIKNVGIFIKDDSDEEDVDDKKTAKEDQGTEILGRSKRNAVLESKLRNEINTEEKRKEHQRELAQQLNERAKDRLARQGNSKEVEKVRKNTVSYKSISQMPREPEVKELKLYVDKKYETVIMPVFGIQVPFHISTIKNISQSVEGEYTYLRINFFHPGATMGRNEGGLYPQPEATFVKEVTYRSSNVKEHGEVGAPSANLNNAFRLIKEVQKRFKTREAEEREKEDLVKQDTLILSQNKGNPKLKDLYIRPNIVTKRMTGSLEAHSNGFRYISVRGDKVDILYNNIKSAFFQPCDGEMIILLHFHLKYAIMFGKKKHVDVQFYTEVGEITTDLGKHQHMHDRDDLAAEQAERELRHKLKTAFKSFCEKVETMTKSVVEFDTPFRELGFPGAPFRSTVTLQPTSGSLVNLTEWPPFVITLDDVELVHFERVQFHLRNFDMIFVFKEYNKKVAMVNAIPMNMLDHVKEWLNSCDIRYSEGVQSLNWQKIMKTITDDPEGFFEQGGWTFLDPESGSEGENETAESEEDEAYNPTDAESDEESDEDSEYSEASEDSEESDEDLGSDEESGKDWSDLEREAAEEDRNHDYAADDKPRNGKFDSKKHGKSSKHSPSKSSKDKYNSRDKHHSSSSSGNKSSSKDKDRKRSRDDSRDNGHKSKKSRH", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MANRTDPLAKNIRGTNPQNLVEKIVRTKIYQHTFWKEQCFGLTAETLVDKAMELDHLGGTFGGSRKPTPFLCLILKMLQIQPEKEIVVEFIKNDDYKYVRILGAFYLRLTGTDVDVYRYLEPLYNDYRKVRQKLSDGKFSLTHVDEVIEELLTKDYSCDIAMPRLKKRWTLEQNGLLEPRKSVLEDDFEEEEEKEENEGIADGSEDEMDQRRKSPERERERDRDRRRDSHRHRDRDYDRDYDMDRDHDRDYERERGHGRDRDRERDRDHYRERDRDRERGRDRERDRRDRARRRSRSRSRDRKRHETDDVRDREEPKKKKEKKEKMKEDGTDHPNPEIAEMNRLRASLGMKPLRD", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAKSNEESSNLNVMNKPPLKKTKTLPSLNLRVSVTPPNPNDNNGIGGTSTTKTDFSEQQWNYPSFLGIGSTSRKRRQPPPPPSKPPVNLIPPHPRPLSVNDHNKTTSSLLPQPSSSSITKQQQQHSTSSPIFYLLVICCIILVPYSAYLQYKLAKLKDMKLQLCGQIDFCSRNGKTSIQEEVDDDDNADSRTIALYIVLFTLILPFVLYKYLDYLPQIINFLRRTESNKEDVPLKKRVAYMVDVFFSIYPYAKLLALLCATLFLIAFGGLALYAVTGGSMAEALWHSWTYVADAGNHAETEGTGQRIVSVSISAGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIERNHVLILGWSDKLGSLLKQLAIANKSVGGGVIVVLAEKEKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLAADENADQSDARALRVVLSLAGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDDLLFKDILISFPDAIPCGVKVAADGGKIVINPDDNYVLRDGDEVLVIAEDDDTYAPGPLPEVRKGYFPRIRDPPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKERERKLAAGELDVFGLENIKLVHREGNAVIRRHLESLPLETFDSILILADESVEDSVAHSDSRSLATLLLIRDIQSRRLPYRDTKSTSLRLSGFSHNSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLFDQEELCFYDIMIRGRTRKEIVIGYRLANQERAIINPSEKSVPRKWSLDDVFVVLASGE", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSTVEEDSDTVTVETVNSVTLTQDTEGNLILHCPQNEADEIDSEDSIEPPHKRLCLSSEDDQSIDDSTPCISVVALPLSENDQSFEVTMTATTEVADDEVTEGTVTQIQILQNEQLDEISPLGNEEVSAVSQAWFTTKEDKDSLTNKGHKWKQGMWSKEEIDILMNNIERYLKARGIKDATEIIFEMSKDERKDFYRTIAWGLNRPLFAVYRRVLRMYDDRNHVGKYTPEEIEKLKELRIKHGNDWATIGAALGRSASSVKDRCRLMKDTCNTGKWTEEEEKRLAEVVHELTSTEPGDIVTQGVSWAAVAERVGTRSEKQCRSKWLNYLNWKQSGGTEWTKEDEINLILRIAELDVADENDINWDLLAEGWSSVRSPQWLRSKWWTIKRQIANHKDVSFPVLIKGLKQLHENQKNNPTLLENKSGSGVPNSNTNSSVQHVQIRVARLEDNTAISSSPMAALQIPVQITHVSSADSPATVDSETITLNSGTLQTFEILPSFHLQPTGTPGTYLLQTSSSQGLPLTLTASPTVTLTAAAPASPEQIIVHALSPEHLLNTSDNVTVQCHTPRVIIQTVATEDITSSISQAELTVDSDIQSSDFPEPPDALEADTFPDEIHHPKMTVEPSFNDAHVSKFSDQNSTELMNSVMVRTEEEISDTDLKQEESPSDLASAYVTEGLESPTIEEQVDQTIDDETILIVPSPHGFIQASDVIDTESVLPLTTLTDPILQHHQEESNIIGSSLGSPVSEDSKDVEDLVNCH", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRPLTEEETRVMFEKIAKYIGENLQLLVDRPDGTYCFRLHNDRVYYVSEKIMKLAANISGDKLVSLGTCFGKFTKTHKFRLHVTALDYLAPYAKYKVWIKPGAEQSFLYGNHVLKSGLGRITENTSQYQGVVVYSMADIPLGFGVAAKSTQDCRKVDPMAIVVFHQADIGEYVRHEETLT", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MHRTTRIKITELNPHLMCVLCGGYFIDATTIIECLHSFCKMCIVRYLETSKYCPICDVQVHKTKPLLNIRSDKTLQDIVYKLVPGLFKNEMKRRRDFYAEHPSVDAANGSNEDRGEVADEDKRIITDDEIISLSIEFFDHRAQQQGCTEERQKEEVNNKRYLQCPAAMTVMHLRKFLRSKMDIPPTYQIEVMYEDEPLKDYYTLMDIAYIYTWRRNGPLPLKYRVRPSCKKMKITHPQEGLNNANRSESDSASDKACSPAGVPSTSSPLPSPSTLVQPSQPHFTHISSPINGTTMTSPNRQFNFSKVRKSALNGSSTSSG", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSSSFELSVQDLNDLLSDGSGCYSLPSQPCNEVVPRVYVGNASVAQDITQLQKLGITHVLNAAEGRSFMHVNTSASFYEDSGITYLGIKANDTQEFNLSAYFERATDFIDQALAHKNGRVLVHCREGYSRSPTLVIAYLMMRQKMDVKSALSTVRQNREIGPNDGFLAQLCQLNDRLAKEGKVKL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MHGSVSGYLLVNAEVDSMGGVIDSGGGIGVKTSPRRTAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGIATSHSVQSTSLTDQQAEHFVNSEVKDSFALTASPHGDSVESSGRPGVPTISEPNTADNLLLFDSENKSVEGERNLRHPNRQNRTSESERSSKAHTNQNTKETEDSAIFRPYARRNRSKISRDPARSSSTDLVQNRGGLATSISIRRGSVEGKGCIPEAANQKDMHTTSVSCPVFANSNGNIVPKNRVSSNSLNTKVDGEPVVRESTAGSKTSLLKDEADISYSKSSAYLPVGESGLAGEKAQLVSTGGSPKAATIAGQKNSSTQLNGLRDSTVEEESLTNRGATGTNGLESESSHANNVEVNVDNERDLYKVDKLDSDEISMQKTLRVEGLLDQTVGEMTKTKIEDETGQSTTIISECIPECEMQMKSVKIENQSHRSTAEMQTKEKSSETEKRLQDGLVVLENDSKVGSILSENPSSTLCSGIPQASVDTSSCTVGNSLLSGTDIEALKHQPSSDAVMLDTVKEDAILEEARIIQAKKKRIAELSCGTAPVEVREKSQWDFVLEEMAWLANDFAQERLWKMTAAAQICHRVALTCQLRFEERNQHRKLKKIASVLSNAILQFWSSVEAEVPGELEETSLGIVKETCQESNCLNGRRCLAAGVKEYASRFLKYNNSSISYHSAAPSTPDNMCDPEILDISMVDQLTEASLFYSVPSGAMEVYLKSIESHLTRCEKSGSSMQEEVDTSAYDTAGDIGYNVTAFDEDEGETSTYYLPGAFESSRSFNISHKKRKNLMKSHSARSYDLGDDLPYVNNTGGSNSSSLMAKRPDSNINAGSVPTRRVRTASRQRVVSPFGCATTGNLPVPSKTDASSGDTSSFQDEYSSLHGGSAVQKGTEVESSVNFEKLLPYDMAETSGRPKKKKKTHQGSAYDQTWHLDPSVHVEQKDHWKKRPENNFDMNGLYGPHSAKKQKTTKQLVENNFDMAIPHTGSIPSPAASQMSNMSNPNKSIKFIGGRDRGRKIKGLKISPGQHGSGNPWSLFEDQALVVLVHDMGPNWELISDAMNSTLKIKCIYRNPTECKDRHKILMDKTAGDGADSAEDSGNSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKICLIGKKLHYRKTQSVIGVSVVSFVHGIQFSSCTGAGISQSLDIPGLHVSKYSCKSWLGFPENDGRDSKQIVPVHNSQVMALSQVFPNNLNGGVLTPLDVCDASTSGQDVFSLENPGLPMLNQGTPVLPTSGAHPSTPGSSGVVLSNNLPTTSGLQSASVRDGRFNVPRGSLPLDEQHRLQQFNQTLSGRNLQQPSLSTPAAVSGSDRGHRMVPGGNAMGVSGMNRNTPMSRPGFQGMASSAMPNTGSMLSSGMVEIPNTGNIHSGGGASQGNSMIRPREAVQHMMRMQAAQGNSPGIPAFSNLSSGFTNQTTPVQAYPGHLSQQHQMSPQSHVLGNSHHPHLQSPSQATGAQQEAFAIRQRQIHQRYLQQQQQQQQFPASGSMMPHVQQPQGSSVSSSPQNSPQTQPPVSPQPLSMPPVSPSPNINAMAQQKPQKSQLALHGLGRSPQSGTSGVNNQAGKQRQRQLQQSARQHPHQRQPTQGQQLNKQLKGMGRGNMIHQNITVDQSHLNGLTMPQGNQATEKGEIAVPVRPDQQSSVGTTTSTNLQSKPFVSPLSSNHSQQLPKSFPGALPPSPQQQMQLHSDNSIQGQSSPATPCNILSTSSPSIAPAVAPSNHQHLLIHQKQRNQVQSTAQRVVQHNHLGNSELSKKSQAERMPRVPQSVTNTTQTVSMGTTKGMPQASNDLKNIKAVGSTAVPALEPPSCVASVQITASKVVNSSNTDSAGNDPVSTPNQGLAQKHGIKGVTQRQQQSLPSEEKRPKLPEKPTVQNQKHLASEEQPHLEEAQELSSSKPPDTKVE", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MHGSVSGYLLVNAEVDSMGGVIDSGGGIGVKTSPRRTAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGIATSHSVQSTSLTDQQAEHFVNSEVKDSFALTASPHGDSVESSGRPGVPTISEPNTADNLLLFDSENKSVEGERNLRHPNRQNRTSESERSSKAHTNQNTKETEDSAIFRPYARRNRSKISRDPARSSSTDLVQNRGGLATSISIRRGSVEGKGCIPEAANQKDMHTTSVSCPVFANSNGNIVPKNRVSSNSLNTKVDGEPVVRESTAGSKTSLLKDEADISYSKSSAYLPVGESGLAGEKAQLVSTGGSPKAATIAGQKNSSTQLNGLRDSTVEEESLTNRGATGTNGLESESSHANNVEVNVDNERDLYKVDKLDSDEISMQKTLRVEGLLDQTVGEMTKTKIEDETGQSTTIISECIPECEMQMKSVKIENQSHRSTAEMQTKEKSSETEKRLQDGLVVLENDSKVGSILSENPSSTLCSGIPQASVDTSSCTVGNSLLSGTDIEALKHQPSSDAVMLDTVKEDAILEEARIIQAKKKRIAELSCGTAPVEVREKSQWDFVLEEMAWLANDFAQERLWKMTAATQICHRVALTCQLRFEERNQHRKLKKIASVLSYAILQFWSSVEAEVPGELEETSLGIVKETCQESNCLNGIRCLAAGVKEYASRFLKYNNSSISYHSAALSTPDNMCDPEILDISMVDQLTEASLFYSVPSGAMEVYLKSIESHLTRCEKSGSSMQEEVDTSAYDTAGDIGYNVTAFDEDEGETSTYYLPGAFESSRSFNISHKKRKNLMKSHSARSYDLGDDLPYVNNTGGSNSSSLIVKRPDSNINAGSVPTRRVRTASRHRVVSPFGCATTGNLPVPSKTDASSGDTSSFQDEYSSLHGGSAVQKGTEVESSVNFEKLLPYDMAETSGKPKKKKKTHQGSAYDQTWHLNPSVHVEQKDHWKKRPENNFDMNGLYGPHSAKKQKTTKQLVENNFDMAIPHTGSIPSPAASQMSNMSNPNKSIKFIGGRDRGRKIKGLKISPGQHGSGNPWSLFEDQALVVLVHDMGPNWELISDAMNSTLKIKYIYRNPTECKDRHKILMDKTAGDGADSAEDSGNSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKICLIGKKLHYRKTQNDGRDPKQIVPVHNSQVMALSQVFPNNLNGGVLTPLDVCDASTSGQDVFSLENPGLPMLNQGTPVLPTSGAHPSTPGSSGVVLSNNLPTTSGLQSASVRDGRFNVPRGSLPLDEQHRLQQFNQTLSGRNLQQPSLSTPAAVSGSDRGHRMVPGGNAMGVSGMNRNTPMSRPGFQGMASAAMPNTGNMHTSGMVGIPNTGNIHSGGGASQGNSMIRPREAVQHMMRMQAAQGNSPGIPAFSNLSSGFTNQTTPVQAYPGHLSQQHQMSPQSHVLGNSHHPHLQSPSQATGAQQEAFAIRQRQIHQRYLQQQQQQFPASGSMMPHVQQPQGSSVSSSSQNSPQTQPPVSPQPLSMPPVSPSPNINAMAQQKPQKSQLALHGLGRSPQSGTSGVNNQAGKQRQRQLQQSARQHPHQRQPTQGQQLNKQLKGMGRGNMIHQNITVDQSHLNGLTMPQGNQATEKGEIAVSVRPDQQSSVGTTTSTDLQSKPFVSPLSSNHSQQLPKSFPGALSPSPQQQMQLHSDNSIQGQSSPATPCNILSTSSLSIAPAVAPSNHQHLLIHQKQRNQVQSTAQRVVQHNHLGNSELSKKSQAECMPRVPQSVTNTTQTASMGTTKGMPQASNDLKNIKAVGSTAVPALEPPSCVASVQSTASKVVNNSNTDSAGNDPVSTPNQGLAQKHGIKGVTQRQQQSLPSEEKRPKLPEKPTVQNQKHLASEEQPHLEEAQELSSSKPPDTKVE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNQFGPSALINLSNFSSIKPEPASTPPQGSMANSTAVVKIPGTPGAGGRLSPENNQVLTKKKLQDLVREVDPNEQLDEDVEEMLLQIADDFIESVVTAACQLARHRKSSTLEVKDVQLHLERQWNMWIPGFGSEEIRPYKKACTTEAHKQRMALIRKTTKK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVSKTQKADLGPQLPEKKKKKKKKKRVVANVSEPETQYSVLNSNDYFIDASPPRATSPSNNVDEVQIPEISLSKRKKKKKSCSTHLEECLGAEPTRARQKKSPSPRRQALEQSAEGLIREKKKKRRKSLSKAASQGSGLKTSPDPKHAKEVSKAGRKSKKQRKEKKVPDTEALPPQDAWLYEAGDSLHSCLEGAEAEEQAALGQKRKQGSPRDHNMKKKKKTHQEGDILLVNSRVSVENSLKKGSKKSVKSEALEFVPIDSPKAPGKKKVKSKKKVEQPVGEGLAVKRKKKKKKRKENGVKEDPWQEEKEESDTDLEVVLEKKGNMDETCIDQVRRKALQEEIDRESGKTEASEPKKWTVGLSVKTEASEPKKWTGTQFGQWDTAGFENEEQKLKFLKLMGGFKHLSPSFSRPPSMTIRSNMALDKKSSEMLQQSLQQDYDRAMSWKYSHGAGLGFNSEARKVFYIDRNASKSIKLQD", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKRIRDDVYASGSQFRRPLGSSRGQLCGQSPVHGSGDTEEEEEGGSRRVSQKLTTNDALSYLREVKEMFQDQREKYDRFLEVMKDFKAQRTDTGGVIARVKELFKGHNNLIYGFNTFLPKGYEITLIEEDDALPKKTVEFEQAINFVNKIKMRFKHDEHVYKSFLEILNMYRKENKEIKEVYNEVSILFQGHLDLLEQFTRFLPASLPSHSAAQHSRSQAQQYSDRGSDPPLLHQMQVEKERRRERAVALRGDYSVERYDLNDDKTMVKIQREQRKRLDKENRARRGRDLDDREAGQDNLHHFPEKRKSSRRAEALEAYSGSASHSEKDNLKSMYKQAFVFCEKVKDRLCSQDDYQTFLKCLNIFSNGIIQRKDLQNLVSDLLGKFPDLMDEFNQFFERCESITDGFQRLAGVMSKKLFSSEEQLSRPMKVEEKESEHKPELEAVKETEQCKKEYMGKSIQELDLSDCECCTPSYRLLPADYPIPIASQRSELGAEVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSAARSAESLLNIITEKKISFSGSFRIEDHFTALNLRCIERLYGDHGLDVIDILNKNPATALPVILTRLKQKQGEWKKCRDDFDKVWANVYAKNHYKSLDHRSFYFKQQDSKNLSAKSLLAEIKELKEKSQNDDDVLLSISAGYRQPINPNLEYEYLNRAIHEDMFKVVQFSCEELCSTKEQLSKVLRLWENFLEAVLGVPPRAKGTDLVEDVVINPKTLDVNHSTSPNGEAAVSSGGDTARLASRKLKSAANGDENSSSGTFKHGIGLLNKDSTGKENLEDVEIANRDGVACSAVKPQKEQETGNEAEKRFGKPIPMDISERAAISSISIPSGAENNHCVVGKEVLPGAHEIQAKPSDTLTDIHHDVDSIETVHSTQGGDVGNSIVLANGLRSDSSKGTRNSDDPEGPSRNEKEEGELSPNGDFEDNFGVYKDHGVKSTSKPENSAEAEVEADAEVENEDDADDVDSENASEASGTESGGDVCSQDEDREEENGEHDEIDGKAESEGEAEGMDPHLLEGESELLPQSERVLLSVRPLSKHVAAVLCDERTKDLQVFYGNDDFYVLFRLHQILYERILYAKRNCSGGELKSKNLKDTNAGDPYARFMRVLYGLLDGSAENTKFEDECRAIIGNQSYVLFTLDKLIYRLVKQLQAIVADEMDNKLLQLYEYEKSRKPGRVIDSVYYENVRVLVHEENIYRLECSSLPSRLSIQLMDNIIEKPEAYAVSMDPTFASYMQTELLSVSSGKKEEGHDIVLQRNLTGLYDLCKAMEGVEVVNGLECKMSCSSYKIAYVLDTEDYFHRKKKKKKTEQLWQRNKVRVERFHRFLSA", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MQKQPSPESLAPSAEPTKPPYSYIALIAMAIQSSPGQRATLSGIYRYIMGRFAFYRHNRPGWQNSIRHNLSLNECFVKVPRDDRKPGKGSYWTLDPDCHDMFQHGSFLRRRRRFTKRTGAQGTKGPVKIDHRPHRATSPDPGAPKTTTGRLCPFPQEVPNPKGLSFEGLMGSLPANMSSTTSDVRPQLPTGPKEMCSAKSGGPRELSEATSPSPCPAFGFSSAFSDAESLGKAPTPGVAPESVGSSYQCRMQTLNFCMGTDPGLEHLLVSSVPTPGSSTPSASHRAPLPLPADSKEPWVAGSFPVQGGSGYPLGLPPCLYRTPGMFFFE", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVKAGELVEQQKAAMEEEANAEAAEDQEEPEDTACSSSSKKKKKVVPGIVYLGHVPPRFRPLHVRNLLSAYGEVGRVFFQAEDHFVKRKKKAAAAAGGKKGAKYSKDYTEGWVEFRDKRVAKRVAASLHNTPMGARKRSPFRYDLWNLKYLHRFTWSHLSEHLAFERQVRRQRLRAEVAQAKRETDFYLRNVEQGQHFLAADGDATRPNSSWTFTQRPTEQEFRARKAARPGGRERARLANVEDQARSNRGLLAKIFGAPLPAESKEKP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVLYKRKPILLPDPKPLPLDLNVQVWHIEETGEWFSSYEEFLERFDFYTRHHFTCEITGTSCLTFFQALDSEETQFKYVEDRFPLKLREPVARFLHFNGIRRLDALVEKVYARFKNDFFPGEVVYLRKQKDSSTTSSNSQQSTPQPDDMVEINSVGNPGLPQYQYQRRYVIKEKVQFNATINPESREIVMPAHTKYMLIEEAASSNKSFIVDQGQIYRDRSTFTKHLIKCFFKITLQRASSKMGAPWCVKPEYLAMYGLTMEWPKDMLKYKEDEPVVARRSNSANVSSPESEKNKRQSKSSGKSNTSNDASNKKETKKKRKPTEVNDSENNSSEEDKKKGQNVTSETHSKKRKKEANEEPNTENVESVPTPANAEPQAVTITSIMDDLALPYQHPPNIFPNLTYYNEKLECISLGSTKLSRPFDSFGKLLQAYQFLNTFGSKICLSHFSLDQFITSLKCTDPYELKGEVVLVNIRTQTSKEQEIENNGLPMKNKAETTTEEDSENPSDWQRNSFIRDMIMKRNSDKVEYKIVHDDPASDDILDNINHNGSALLIEVFTALLRLFINEEGDWSCIVVENWIIDDKGVLMERKDERGEGEAKQKRNAHGYFLQDKEKIDNLKDTLKENATEVQKESDAKNETNSESDSKSDSDSEERDPKLEKCLNYRNVNWIERLTKRQFNNSYWLIILLGVLEDCRHLPMYTEFIDSFIEKIIPKDISATQLPKQLWRNFCRKLSFSDKVNALWILVDLVSHFSPDIKAAVDDSMELCGQIRSERFKVARELKTEAAVLSNLQGDLQAIQEKLNKTDENTPSADGADKKDDSESNSEPIDLIIIEKKQKLIEEQDKKVQALQSDKNFLDNCLFENDLQRLKPLGLDRYGNRYFWLDHNGVPFPQYPAGMNETPKSNNSLSYHSGRLLIQGPKASSAKFFLNVSDEQLSNWQKIRNSEGISEATREVFGISKTSSGSYNYVENGIEVELLDSNDRVNPLIELTPIQKKIMDETPSRLLLSPDQWYCIDKLEDLSRIMDWLDNWGRKEHDLLRQIRPIMERIKSSLSLRDHALSLTAFTKNEEKLLKELENNEFTENELNVDSMDVDDKNSGVKSEVDVQVDAEEKREAVIDEKLEVIADELMKLDDSSKTRNVLNRIQELEDQRDELLEQKKSIINSQRPGARILARSERKRTKISRGNKVNKQIEILTDLVNYRHFKAMEDVIAWKNVLANSIWGSSLRKNASGNKRSGVIETVDDKLKDIVGQTSRTVTPAPN", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MHSDTNGRTKSNNSPSDNNPNETVILIDSDKEEDASIREANLPVRLYPDRRVGRRRDALNRFVRSDSRSRNSQRTHITASSERPDFQANNDDITIIREVGRFFGDDGPIDPSAHYVDLDQEPGSETLETPRTIQVDNTNGYLNDNGNNNESDDGLTIVEERTTRPRVTLNLPGGERLEVTATTTDIPIRRSFEFQEDLGASRRQLLRRSATRARNLFVDRSDENDEDWTDDTHNLPEAIQRARRESRMRMSRRIAERQRRVQQQRVSSDENISTSIRLQSIRERIQSYTPDIRSAFHRAESLHEFRSILQNVAPITLQECEEELMALFTEFRNQLLQNWAIDRVRNTQEEALRLHREALERQERTAGRVFHRGTLRESITNYLNFNGEDGFLSRLWSGPALSDADEERHTQNIIDMIQEREERERDVVMKNLMNKTRAQQEEFEARAASLPEGYSASFDTTPKMKLDITKNGKEETIIVTDDDLAKTLEDIPVCCLCGAELGVGIPDDFTGISQKDRGVSFEGLVSKYKFHCPYQTLARPSMLDRDLSKRTFIASCGHAFCGRCFARIDNAKKKSKMPKKKLAQLKGSAHPDNYGPKLCPADSCKKLIRSRGRLKEVYF", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAQMVAGDQDAGTLWVPSQSESQTESDISTQSLRKPTMSYVILKTLADKRVHNCVSLATLKKAVSITGYNMTHNTWRFKRVLQNLLDKGMIMHVTCCKGASGSLCLCKERALKSNHRAKRCQDRQKSQKPQKPGQRESEPCQLLLSSKKKNDQLFKGVRRVAKGNRHCHY", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDSRLQEIRERQKLRRQLLAQQLGAESADSIGAVLNSKDEQREIAETRETCRASYDTSAPNSKRKCLDEGETDEDKVEEYKDELEMQQEEENLPYEEEIYKDSSTFLKGTQSLNPHNDYCQHFVDTGHRPQNFIRDVGLADRFEEYPKLRELIRLKDELIAKSNTPPMYLQADIEAFDIRELTPKFDVILLEPPLEEYYRETGITANEKCWTWDDIMKLEIDEIAAPRSFIFLWCGSGEGLDLGRVCLRKWGYRRCEDICWIKTNKNNPGKTKTLDPKAVFQRTKEHCLMGIKGTVKRSTDGDFIHANVDIDLIITEEPEIGNIEKPVEIFHIIEHFCLGRRRLHLFGRDSTIRPGWLTVGPTLTNSNYNAETYASYFSAPNSYLTGCTEEIERLRPKSPPPKSKSDRGGGAPRGGGRGGTSAGRGRERNRSNFRGERGGFRGGRGGTHRGGFTPR", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSRRVRQRVEDNGKYKVDSPSYTVIGVEDLAPKVQQYVNWTSLPYDTVFHLFTRLNYRDRASLASTCRTWRSLGASSFLWSSLDLRAHKFDLSMAASLATRCVDLQKIRFRGVDSADAIIHLKARSLLEISGDYCRKITDATLSMIAARHEALESLQLGPDFCERITSDAIRVIAFCCPKLKKLRVSGMRDVSSEAIESLAKHCPQLSDLGFLDCLNINEEALGKVVSLRYLSVAGTSNIKWKVALENWEKLPKLIGLDVSRTTIDHIAVSRLLKSSQSLKVLCALNCPYLEEDKSYSSNRFKGKVLLAVFTDTFDELASIFADNSKKPKNIFSYWRDLIRKDKSIDEIMLWIEWIISHTLLRIAESSNSQGLNDFWLNQGATLLLSLMQSAQEDVQERAATGLATFIVVDDENASIDCGRAEAVMRDGGIRLLLELAKSWREGLQSEAAKAIANLSVNAKVAKAVAEEGGISVLADLAKSMNRLVAEEAAGGLWNLSVGEEHKNAIAQAGGVNALVDLIFRWPHGCDGVLERAAGALANLAADDKCSMEVARAGGVHALVMLARNCKYEGAQEQAARALANLAAHGDSNGNNAAVGQEAGALEALVQLTQSPHEGVKQEAAGALWNLAFDDKNRESIAAFGGVEALVALAKSSSNASTGLQERVAGALWGLSVSEANSIAIGHEGGIPPLIALVRSEAEDVHETAAGALWNLSFNPGNALRIVEEGGVVALVQLCSSSVSKMARFMAALALAYMFDGRMDEYAMIGTSLESTSKSVTLNGARTMALDQIKAFIKTFMEHQIFSTGALSSAPSMLAQVSERARIPEAGHLRCSGSEIGRFVTMLRNPCLVLRACAAFALLQFTIPESRHAMHHASLMQNAGEARGLRSAAAAASMPREAKIFMKIVLRNLEHQQAESPEGMKVSYNRI", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGRLLRLEVENFKSYRGHQIIGPFEDFTSIIGPNGAGKSNLMDAISFVLGVKSSHLRSTNVKELIYRGKILQRDNTDFTDSSNPTTAYVKLMYELDNGEQREYKRAITPSGATEYKIDEEIVTFSEYCGSLQKENILVRARNFLVFQGDVETIASQSPLELSKLVEQISGSLEYKSEYDKSKDEQDKAVNLSAHSFNKKRGINAELRQYQEQKTEAERYQSQKEKRDSAQLVYLLWKLFHLEKSISSNMAEVTRLKADSIQLIERRDENTKEIEKLKEKEGSIRRNLLAFDRKVRKQEKLIASKRPELISIAEKALESKSNLRKIQRKAAEIEKDYSDQASTLQVLENQLTSLSAAEKEFLKDMQEKEQLKGLRLLPEDKEEYEGLRSEADKLNSNLLFKLQTLNRNIKVTSQSKDSLTSIVGDLESKIKSLHESVSSLDTERADLLAKINEKIESLELEKHDQQKKRLTYSELFHKTQELNEELQSCLQKILEASADRNESKQDAKKREALYALKRIYPEVKGRIIDLCTPTQKKYESAIAAALGKNFDAIVVETQAVAKECIDYIKEQRIGIMTFFPMDTIAASPVNQKFRGTHKGARLAIDVLNFESEYERVMISAVGNTLICDSMTVARDLSYNKRLNAKTVTLEGTVIHKTGLITGGSSNNRSAKHWDDHDFDLLTQTKDRLMHQIGEIEYQKSSCVITESDTVKLHSLESEISLLKDKYTVVSRSVEDKKKEIGHYESLIKEKQPHLSELEMELRNFVKSRDELQIQVEKVEEKIFSGFCKRIGISDIHTYDEIHRTFTQSFTQKQLEFTKQKSLLENRISFEKQRVSDTRLRLERMHKFIEKDQESIDNYEQNREALESEVATAEAELELLKEDFASENSKTEKILLAASEKKLVGKRLVSELTKLSGNITLLESEIDRYVSEWHAILRKCKLEDIDVPLREGSLTSIPIDDVSNSGDITMGEEPSEPVINFEKFGVEVDYDELDEELRNDGSESMASVLQEKLREYSEELDQMSPNLRAIERLETVETRLAKLDEEFAAARKAAKNAKERFNAVKQKRLQKFQAAFSHISEQIDPIYKELTKSPAFPLGGTAYLTLDDLDEPYLGGIKFHAMPPMKRFRDMDQLSGGEKTMAALALLFAIHSYQPSPFFVLDEIDAALDQTNVTKIANYIRQHASSGFQFVVISLKNQLFSKSEALVGIYRDQQENSSRTLSINLEGYVE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSWCDGSDDNYDLNLERVSNTDHPSVQLKDQSQSCVTSRPDSKISAETPITTCPSCGHKLHHHQDDQVGSIKDLPSLPAGVKFDPSDKEILMHLEAKVSSDKRKLHPLIDEFIPTLEGENGICYTHPEKLPGVSKDGQVRHFFHRPSKAYTTGTRKRRKVSTDEEGHETRWHKTGKTRPVLSQSGETGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGSSEDEKDGEPVLSKVFYQTQPRQCGSMEPKPKNLVNLNRFSYENIQAGFGYEHGGKSEETTQVIRELVVREGDGSCSFLSFTCDASKGKESFMKNQ", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSHWAPEWKRAEANPRDLGASWDVRGSRGSGWSGPFGHQGPRAAGSREPPLCFKIKNNMVGVVIGYSGSKIKDLQHSTNTKIQIINGESEAKVRIFGNREMKAKAKAAIETLIRKQESYNSESSVDNAASQTPIGRNLGRNDIVGEAEPLSNWDRIRAAVVECEKRKWADLPPVKKNFYIESKATSCMSEMQVINWRKENFNITCDDLKSGEKRLIPKPTCRFKDAFQQYPDLLKSIIRVGIVKPTPIQSQAWPIILQGIDLIVVAQTGTGKTLSYLMPGFIHLDSQPISREQRNGPGMLVLTPTRELALHVEAECSKYSYKGLKSICIYGGRNRNGQIEDISKGVDIIIATPGRLNDLQMNNSVNLRSITYLVIDEADKMLDMEFEPQIRKILLDVRPDRQTVMTSATWPDTVRQLALSYLKDPMIVYVGNLNLVAVNTVKQNIIVTTEKEKRALTQEFVENMSPNDKVIMFVSQKHIADDLSSDFNIQGISAESLHGNSEQSDQERAVEDFKSGNIKILITTDIVSRGLDLNDVTHVYNYDFPRNIDVYVHRVGYIGRTGKTGTSVTLITQRDSKMAGELIKILDRANQSVPEDLVVMAEQYKLNQQKRHRETRSRKPGQRRKEFYFLS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTDTAEAVPKFEEMFASRFTENDKEYQEYLKRPPESPPIVEEWNSRAGGNQRNRGNRLQDNRQFRGRDNRWGWPSDNRSNQWHGRSWGNNYPQHRQEPYYPQQYGHYGYNQRPPYGYY", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSTPLKVWQRWSTPTKATNPDSNGSSHGTGLDMVTPVSGRVSEIQFDDPRILPEKISELEKELFEYQHSMGLLLIEKKEWSSQYEALQQAFEEVNECLKQERNAHLIAIADVEKREEGLRKALGIEKQCALDLEKALKELRAENAEIKFTADSKLTEANALVRSVEEKSLEVEAKLRAVDAKLAEVSRKSSDVERKAKEVEARESSLQRERFSYIAEREADEATLSKQREDLREWERKLQEGEERVAKSQMIVKQREDRANESDKIIKQKGKELEEAQKKIDAANLAVKKLEDDVSSRIKDLALREQETDVLKKSIETKARELQALQEKLEAREKMAVQQLVDEHQAKLDSTQREFELEMEQKRKSIDDSLKSKVAEVEKREAEWKHMEEKVAKREQALDRKLEKHKEKENDFDLRLKGISGREKALKSEEKALETEKKKLLEDKEIILNLKALVEKVSGENQAQLSEINKEKDELRVTEEERSEYLRLQTELKEQIEKCRSQQELLQKEAEDLKAQRESFEKEWEELDERKAKIGNELKNITDQKEKLERHIHLEEERLKKEKQAANENMERELETLEVAKASFAETMEYERSMLSKKAESERSQLLHDIEMRKRKLESDMQTILEEKERELQAKKKLFEEEREKELSNINYLRDVARREMMDMQNERQRIEKEKLEVDSSKNHLEEQQTEIRKDVDDLVALTKKLKEQREQFISERSRFLSSMESNRNCSRCGELLSELVLPEIDNLEMPNMSKLANILDNEAPRQEMRDISPTAAGLGLPVTGGKVSWFRKCTSKMLKLSPIKMTEPSVTWNLADQEPQSTEQANVGGPSTTVQAATTYSFDVQKAESETGTKEVEVTNVNSDGDQSDINSKAQEVAADSLSNLDVDGQSRMKGKGKARTRRTRSVKDVVDDAKALYGESINLYEPNDSTENVDDSTKASTGETGRSDKAISKNGRKRGRVGSLRTCTTEQDGNESDGKSDSVTGGAHQRKRRQKVASEQQGEVVGQRYNLRRPRRVTGEPALSKKNEDIGGVQQEEGIHCTQATATASVGVAVSDNGVSTNVVQHEATADSEDTDAGSPKRTDESEAMSEDVNKTPLRADSDGEDDESDAEHPGKVSIGKKLWTFLTT", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAEASSANLGSGCEEKRHEGSSSESVPPGTTISRVKLLDTMVDTFLQKLVAAGSYQRFTDCYKCFYQLQPAMTQQIYDKFIAQLQTSIREEISDIKEEGNLEAVLNALDKIVEEGKVRKEPAWRPSGIPEKDLHSVMAPYFLQQRDTLRRHVQKQEAENQQLADAVLAGRRQVEELQLQVQAQQQAWQALHREQRELVAVLREPE", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MESFENKGFLDIEEGIQWIKKNSENLSSSKKTILARLQQFHKLCSEVGLNQHSISSLLDVILSKKTHFDKNHVQLLIKCLYPNELISQTIAIRIISSLDPHGLRCSYAIQAKLLNWLIHVYEFLDGNNLLCRYYGVLFHFLDFLTLRPYISNLLVLLTKHYHVKSFRIHQLLALYQKPGNTADPYLLALILTYKQHFPDVIVGSYTYRKHGSVRLDSEWIAATKAILNRQSEDVPLETWSSEKRKRQSSLIPDLITMKNTSSSYSLEELTSVQQMGLVYEKIVFPSRIAAVLKSKLFLIFLFLKNKNVYYSRLDEWLHITLNYGLALRSGSNNQEEEVLHLLYKYLLFSPKFPKSLLQYVITFFSKPNITEENYNLLTLLVTHIPITTDSSYFNSLLKEFEQFILQKNAEFCSKHLNILWLWLFRMLNLRIASMGNNHTLLEKCLLITNHATFLVSHFSWDVSLAYQLSRLFQLYYKILTKIRKQIEPNIPPKELIYVLFFQPSAFYINSMVGLLLLTKNYQERLMDSRIDAISKFTHSYLKSLSEIILLKEKRAILSFLQLWEPFKSDYSQFLPIATRIANDHPYAQRVFSLTCAPQFFSYINGYQIYLQQTNPATGSIPLKPIQEETFGAFQSNLHLSDSWEDFQKNFIIYLKKKGYLAISDFLLSTLNR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MQHSPSTTTDHIHFAARFFDRNSYTMDRRLRRPRRTEDVSSGPLLAQSKQPSLLPVTRRTGSVTAAGATATATATAGPATRTRASPSRNKVVAPPSPDLGPRTRRSSRPRSSVGPLTGSGSGSSLPIKAAIKARTPIPEVSEVSSPIRLSTSNLPMTLTTNTSSGAPNKAFNTSSVNSGNSFSRTTTSSTTTTTERIEIRAEGDGEVDTDSIRKRITERLRRSVSKTISNLAGTPVTNTEEGSRYSRSVSRSVYDDEKSSKRSYSTGEEDIDEEDELEEDQFRSFNVTRKSATPAEISCRQLKAPREFGGWLGAFLFLLLLPTAVYYLTWSCTARNACQFKHLNLGILLDVNYLTRQVFQPRVVGAFAAYQVVVFLLVALLPGRRVHLTRETYKFNCLAVSLTLLIASGVAEYLKYPVVTFVLRHYLRFCIFGLVGAFVAAAWSYWLVDTAKYNVLRQTLTNDYGRTGSFVVDFALGRQLNPKWLGRVDWKQFQYRLSLVTTLIYATCYIYQTLVWPQKPQLGEQEGYLYQAKYYWNNVNYDPATLFSASCLLFYVLDAIIFEHHLSSSFELQHEGYGCLLLLRYAATPYLLTAVTKYFYEQRVPISCWYAPLAVAALLSLGLLVKRFSCAYKYKYRLNSQSPIFANIETIHTYQGSRLLLSGMWGWVRQPNYLGDIVALLALAAPMALRPAWPPVLGLSLIILLLLHRATRANARNQARYHSSWQRYSTQVRSYILPRVY", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSSITSETGKRRVKRTYEVTRQNDNAVRIEPSSLGEEEDKEAKDKNSALQLKRSRYDPNKVFSNTNQGPEKNNLKGEQLGSQKKSSKYDEKITSNNELTTKKGLLGDSENETKYASSNSKFNVEVTHKIKNAKEIDKINRQRMWEEQQLRNAMAGQSDHPDDITLEGSDKYDYVFDTDAMIDYTNEEDDLLPEEKLQYEARLAQALETEEKRILTIQEARKLLPVHQYKDELLQEIKKNQVLIIMGETGSGKTTQLPQYLVEDGFTDQGKLQIAITQPRRVAATSVAARVADEMNVVLGKEVGYQIRFEDKTTPNKTVLKYMTDGMLLREFLTDSKLSKYSCIMIDEAHERTLATDILIGLLKDILPQRPTLKLLISSATMNAKKFSEFFDNCPIFNVPGRRYPVDIHYTLQPEANYIHAAITTIFQIHTTQSLPGDILVFLTGQEEIERTKTKLEEIMSKLGSRTKQMIITPIYANLPQEQQLKIFQPTPENCRKVVLATNIAETSLTIDGIRYVIDPGFVKENSYVPSTGMTQLLTVPCSRASVDQRAGRAGRVGPGKCFRIFTKWSYLHELELMPKPEITRTNLSNTVLLLLSLGVTDLIKFPLMDKPSIPTLRKSLENLYILGALNSKGTITRLGKMMCEFPCEPEFAKVLYTAATHEQCQGVLEECLTIVSMLHETPSLFIGQKRDAAASVLSEVESDHILYLEIFNQWRNSKFSRSWCQDHKIQFKTMLRVRNIRNQLFRCSEKVGLVEKNDQARMKIGNIAGYINARITRCFISGFPMNIVQLGPTGYQTMGRSSGGLNVSVHPTSILFVNHKEKAQRPSKYVLYQQLMLTSKEFIRDCLVIPKEEWLIDMVPQIFKDLIDDKTNRGRR", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDSEYYSGDQSDDGGATPVQDERDSGSDGEDDVNEQHSGSDTGSVERHSENETSDREDGLPKGHHVTDSENDEPLNLNASDSESEELHRQKDSDSESEERAEPPASDSENEDVNQHGSDSESEETRKLPGSDSENEELLNGHASDSENEDVGKHPASDSEIEELQKSPASDSETEDALKPQISDSESEEPPRHQASDSENEEPPKPRMSDSESEELPKPQVSDSESEEPPRHQASDSENEELPKPRISDSESEDPPRHQASDSENEELPKPRISDSESEDPPRNQASDSENEELPKPRVSDSESEGPQKGPASDSETEDASRHKQKPESDDDSDRENKGEDTEMQNDSFHSDSHMDRKKFHSSDSEEEEHKKQKMDSDEDEKEGEEEKVAKRKAAVLSDSEDEEKASAKKSRVVSDADDSDSDAVSDKSGKREKTIASDSEEEAGKELSDKKNEEKDLFGSDSESGNEEENLIADIFGESGDEEEEEFTGFNQEDLEEEKGETQVKEAEDSDSDDNIKRGKHMDFLSDFEMMLQRKKSMSGKRRRNRDGGTFISDADDVVSAMIVKMNEAAEEDRQLNNQKKPALKKLTLLPAVVMHLKKQDLKETFIDSGVMSAIKEWLSPLPDRSLPALKIREELLKILQELPSVSQETLKHSGIGRAVMYLYKHPKESRSNKDMAGKLINEWSRPIFGLTSNYKGMTREEREQRDLEQMPQRRRMNSTGGQTPRRDLEKVLTGEEKALRPGDPGFCARARVPMPSNKDYVVRPKWNVEMESSRFQATSKKGISRLDKQMRKFTDIRKKSRSAHAVKISIEGNKMPL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDQKILSLAAEKTADKLQEFLQTLREGDLTNLLQNQAVKGKVAGALLRAIFKGSPCSEEAGTLRRRKIYTCCIQLVESGDLQKEIASEIIGLLMLEAHHFPGPLLVELANEFISAVREGSLVNGKSLELLPIILTALATKKENLAYGKGVLSGEECKKQLINTLCSGRWDQQYVIQLTSMFKDVPLTAEEVEFVVEKALSMFSKMNLQEIPPLVYQLLVLSSKGSRKSVLEGIIAFFSALDKQHNEEQSGDELLDVVTVPSGELRHVEGTIILHIVFAIKLDYELGRELVKHLKVGQQGDSNNNLSPFSIALLLSVTRIQRFQDQVLDLLKTSVVKSFKDLQLLQGSKFLQNLVPHRSYVSTMILEVVKNSVHSWDHVTQGLVELGFILMDSYGPKKVLDGKTIETSPSLSRMPNQHACKLGANILLETFKIHEMIRQEILEQVLNRVVTRASSPISHFLDLLSNIVMYAPLVLQSCSSKVTEAFDYLSFLPLQTVQRLLKAVQPLLKVSMSMRDCLILVLRKAMFANQLDARKSAVAGFLLLLKNFKVLGSLSSSQCSQSLSVSQVHVDVHSHYNSVANETFCLEIMDSLRRCLSQQADVRLMLYEGFYDVLRRNSQLANSVMQTLLSQLKQFYEPKPDLLPPLKLEACILTQGDKISLQEPLDYLLCCIQHCLAWYKNTVIPLQQGEEEEEEEEAFYEDLDDILESITNRMIKSELEDFELDKSADFSQSTSIGIKNNICAFLVMGVCEVLIEYNFSISSFSKNRFEDILSLFMCYKKLSDILNEKAGKAKTKMANKTSDSLLSMKFVSSLLTALFRDSIQSHQESLSVLRSSNEFMRYAVNVALQKVQQLKETGHVSGPDGQNPEKIFQNLCDITRVLLWRYTSIPTSVEESGKKEKGKSISLLCLEGLQKIFSAVQQFYQPKIQQFLRALDVTDKEGEEREDADVSVTQRTAFQIRQFQRSLLNLLSSQEEDFNSKEALLLVTVLTSLSKLLEPSSPQFVQMLSWTSKICKENSREDALFCKSLMNLLFSLHVSYKSPVILLRDLSQDIHGHLGDIDQDVEVEKTNHFAIVNLRTAAPTVCLLVLSQAEKVLEEVDWLITKLKGQVSQETLSEEASSQATLPNQPVEKAIIMQLGTLLTFFHELVQTALPSGSCVDTLLKDLCKMYTTLTALVRYYLQVCQSSGGIPKNMEKLVKLSGSHLTPLCYSFISYVQNKSKSLNYTGEKKEKPAAVATAMARVLRETKPIPNLIFAIEQYEKFLIHLSKKSKVNLMQHMKLSTSRDFKIKGNILDMVLREDGEDENEEGTASEHGGQNKEPAKKKRKK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGKKGKVGKSRRDKFYHLAKETGYRSRSAFKLIQLNRRFQFLQKARALLDLCAAPGGWLQVAAKFMPVSSLIVGVDLVPIKPLPNVVTLQQDITTERCRQALRKELKTWKVDVVLNDGAPNVGASWVHDAYSQAHLTLMALRLACDFLARGGSFITKVFRSRDYQPLLWIFQQLFRRVQATKPQASRHESAEIFVVCQGFLAPDKVDSKFFDPKFAFKEVEVQAKTVTELVTKKKPKAEGYAEGDLTLYHRTSVTDFLRAANPVDFLSKASEIMVDDEELAQHPATTEDIRVCCQDIRVLGRKELRSLLNWRTKLRRYVAKKLKEQAKALDISLSSGEEDEGDEEDSTAGTTKQPSKEEEEEEEEEQLNQTLAEMKAQEVAELKRKKKKLLREQRKQRERVELKMDLPGVSIADEGETGMFSLSTIRGHQLLEEVTQGDMSAADTFLSDLPRDDIYVSDVEDDGDDTSLDSDLDPEELAGVRGHQGLRDQKRMRLTEVQDDKEEEEEENPLLVPLEEKAVLQEEQANLWFSKGSFAGIEDDADEALEISQAQLLFENRRKGRQQQQKQQLPQTPPSCLKTEIMSPLYQDEAPKGTEASSGTEAATGLEGEEKDGISDSDSSTSSEEEESWEPLRGKKRSRGPKSDDDGFEIVPIEDPAKHRILDPEGLALGAVIASSKKAKRDLIDNSFNRYTFNEDEGELPEWFVQEEKQHRIRQLPVGKKEVEHYRKRWREINARPIKKVAEAKARKKRRMLKRLEQTRKKAEAVVNTVDISEREKVAQLRSLYKKAGLGKEKRHVTYVVAKKGVGRKVRRPAGVRGHFKVVDSRMKKDQRAQQRKEQKKKHKRK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MALTDGGWCLPKRFGAAGADASDSRAFPAREPSTPPSPISSSSSSCSRGGERGPGGASNCGTPQLDTEAAAGPPARSLLLSSYASHPFGAPHGPSAPGVAGPGGNLSSWEDLLLFTDLDQAATASKLLWSSRGAKLSPFAPEQPEEMYQTLAALSSQGPAAYDGAPGGFVHSAAAAAAAAAAASSPVYVPTTRVGSMLPGLPYHLQGSGSGPANHAGGAGAHPGWPQASADSPPYGSGGGAAGGGAAGPGGAGSAAAHVSARFPYSPSPPMANGAAREPGGYAAAGSGGAGGVSGGGSSLAAMGGREPQYSSLSAARPLNGTYHHHHHHHHHHPSPYSPYVGAPLTPAWPAGPFETPVLHSLQSRAGAPLPVPRGPSADLLEDLSESRECVNCGSIQTPLWRRDGTGHYLCNACGLYSKMNGLSRPLIKPQKRVPSSRRLGLSCANCHTTTTTLWRRNAEGEPVCNACGLYMKLHGVPRPLAMKKEGIQTRKRKPKNINKSKTCSGNSNNSIPMTPTSTSSNSDDCSKNTSPTTQPTASGAGAPVMTGAGESTNPENSELKYSGQDGLYIGVSLASPAEVTSSVRPDSWCALALA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSSMECSEFVGSRRFTGKKPSFSQTCSRLSQYLKENGSFGDLSLGMACKPDVNGTLGNSRQPTTTMSLFPCEASNMDSMVQDVKPTNLFPRQPSFSSSSSSLPKEDVLKMTQTTRSVKPESQTAPLTIFYAGQVIVFNDFSAEKAKEVINLASKGTANSLAKNQTDIRSNIATIANQVPHPRKTTTQEPIQSSPTPLTELPIARRASLHRFLEKRKDRVTSKAPYQLCDPAKASSNPQTTGNMSWLGLAAEI", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MERAVPLAVPLGQTEVFQALQRLHMTIFSQSVSPCGKFLAAGNNYGQIAIFSLSSALSSEAKEESKKPVVTFQAHDGPVYSMVSTDRHLLSAGDGEVKAWLWAEMLKKGCKELWRRQPPYRTSLEVPEINALLLVPKENSLILAGGDCQLHTMDLETGTFTRVLRGHTDYIHCLALRERSPEVLSGGEDGAVRLWDLRTAKEVQTIEVYKHEECSRPHNGRWIGCLATDSDWMVCGGGPALTLWHLRSSTPTTIFPIRAPQKHVTFYQDLILSAGQGRCVNQWQLSGELKAQVPGSSPGLLSLSLNQQPAAPECKVLTAAGNSCRVDVFTNLGYRAFSLSF", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "METAPKPGKDVPPKKDKLQTKRKKPRRYWEEETVPTTAGASPGPPRNKKNRELRPQRPKNAYILKKSRISKKPQVPKKPREWKNPESQRGLSGTQDPFPGPAPVPVEVVQKFCRIDKSRKLPHSKAKTRSRLEVAEAEEEETSIKAARSELLLAEEPGFLEGEDGEDTAKICQADIVEAVDIASAAKHFDLNLRQFGPYRLNYSRTGRHLAFGGRRGHVAALDWVTKKLMCEINVMEAVRDIRFLHSEALLAVAQNRWLHIYDNQGIELHCIRRCDRVTRLEFLPFHFLLATASETGFLTYLDVSVGKIVAALNARAGRLDVMSQNPYNAVIHLGHSNGTVSLWSPAMKEPLAKILCHRGGVRAVAVDSTGTYMATSGLDHQLKIFDLRGTYQPLSTRTLPHGAGHLAFSQRGLLVAGMGDVVNIWAGQGKASPPSLEQPYLTHRLSGPVHGLQFCPFEDVLGVGHTGGITSMLVPGAGEPNFDGLESNPYRSRKQRQEWEVKALLEKVPAELICLDPRALAEVDVISLEQGKKEQIERLGYDPQAKAPFQPKPKQKGRSSTASLVKRKRKVMDEEHRDKVRQSLQQQHHKEAKAKPTGARPSALDRFVR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MILLQQVWLPLPNRPSTSPPMSVAARSTGTLQLPPQKAFGQEASLPLAGEEDLAKRGEPDSALEELCKPLFCKLCNVTLNSAQQAQAHYQGKNHGKKLRNYYAANSCPPPARMSSVAEPVATPLVPVPPQVGSCKPGGRVILATENDYCKLCDASFSSPAVAQAHYQGKNHAKRLRLAEAQSHSFSDSAEAGQRRTRKEGSEFKMVTTRRNMYTVQSNSGPYFNARSRQRIPRDLAMCVTPSGQFYCSMCNVGAGEEVEFRQHLESKQHKSKVSEQRYRSEMENLGYVQ", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLSATPLYGNVHSWMNSERVRMCGTSEDRKIPVNDGDASKARLELREETPLSHSVVDTSGAHRIDGLAALSMDRTGLIREGLRVPGNIVYSGLCGLGSEKGREATPSSLSGLGFSSERNPEMQFKPNTPETVEASAVSGKPPNGFSAIYKTPPGIQKSAVATAESLGLDRPASDKQSPLNINGASYLRLPWVNPYMEGATPAIYPFLDSPNKYSLNMYKALLPQQSYGLAQPLYSPVCTSGERFLYLPPPHYVNPHIPSSLASPMRLSTPSASAAIPPLVHCSDKSLPWKMGVNPGNPVDSHSYPHIQNSKQPRVTSAKAVNSGLPGDTALLLPPSPRPSARVHLPTQPAAETYSEFHKHYPRISTSPSVTLTKPYMTANSEFSTSRLSNGKYPKALDGGDCAQSMPGHTRKTTVQDRKDGGSPPLLEKQTVTKDVTDKPLDLSSKVVDADASKGDHMKKMAPTVLVHSRAASGLVLSGSEIPKETLSPPGNGCSIYRSEIISTAPSSWVVPGPSPNEENNGKSLSLKNKALDWAIPQQRSSSCPRMGGTDAVVTNVSGSVSSSGRPASASPAPNANANADGTKTSRSSVDTTPSVIQHVGQPSSTPAKHGGSTSSKGAKANPEPSFKASENGLPPTSIFLSPNEAFRSPAIPYPRSYLPYAAPEGIALSPLSLHGKGPVYPHPVLLPNGSLFPGHLAPKPGLPYGLHTSRPEFVTYQDALGLGMVHPMLIPHTPIEITKEEKPERRSRSHERARYEDPTLRSRFSEMLEASSTKLHPEVPTDKNLKPNSSWNQGKTGVKSDKLVYVDLLREEADTKTDAGAPKAGLVAENVGQDTEATKPSADPVIQQRREFISLREELGRITDFHESFTFKQASSQPVFSLGKDSGAAGTNKENLGVQVATPFLETALGSEGPAVTFGKTQEDPKPFCVGGAPPNMDVTPAYTKEGTDEAESNDGKVLKPKPSKLAKRIANSAGYVGDRFKCVTTELYADSSQLSREQRALQMEGLQEDSILCLPAAYCERAMMRFSELEMKEREGSHPATKDSEVCKFSPADWERLKGNQEKKPKSVTLEEAIADQNDSERCEYSTGNKHDLFEAPEDKDLPVEKYFLERPPVSEPPSDQGVVDTPHSPTLRLDRKRKLSGDSTHTETAVEELAEDPLKAKRRRISKDDWPEREMTNSSSNHLEDPHCNELTNLKVCIELTGLHPKKQRHLLHLRERWEQQVSAAESKPGRQSRKEVAQAVQPEVTSQGTNITEEKPGRKKAEAKGNRGWSEESLKSCDNEQGLPVLSGSPPMKSLSSTNASGKKQTQPSCTPASRLPAKQQKIKESQKTDVLCTGEDEDCQAASPLQKYTDNIEKPSGKRLCKTKHLIPQESRRSLQITGDYYVENTDTKMTVRRFRKRPEPSSDYDLSPPAKQEPKPFDRLQQLLPATQATQLPRSNSPQETTQSRPMPPEARRLIVNKNAGETLLQRAARLGYEEVVLYCLENKVCDVNHRDNAGYCALHEACARGWLNIVRHLLEYGADVNCSAQDGTRPLHDAVENDHLEIVRLLLSYGADPTLATYSGRTIMKMTHSELMEKFLTDYLNDLQGRSEDDTSGAWEFYGSSVCEPDDESGYDVLANPPGPEDPDEEEDTYSDLFEFEFAESSLLPCYNIQVSVAQGPRNWLLLSDVLKKLKMSSRIFRSNFPNLEIVTIAEAEFYRQVSTSLLFSCPKDLEAFNPESKELLDLVEFTNELQTLLGSSVEWLHPSDTGHENYW", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTDTYNSISNFIENELTALLSSDDYLMDDLAGELPNEVCRLLKAQVIEKRKDAMSRGKQDLLSKEIYDNESELRASQSQQIMELVGDIPKYSLGSELRNRVEGEPQSTSIERLIEDVLKLPQMEVADEEEVEVENDLKVLSEYSNLRKDLILKCQALQIGESKLSDILSQTNSINSLTTSIKEASEDDDISEYFATYNGKLVVALEEMKLLLEEAVKTFGNSPEKREKIKKILSELKK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MACAEFSFHVPSLEELAGVMQKGLKDNFADVQVSVVDCPDLTKEPFTFPVKGICGKTRIAEVGGVPYLLPLVNQKKVYDLNKIAKEIKLPGAFILGAGAGPFQTLGFNSEFMPVIQTESEHKPPVNGSYFAHVNPADGGCLLEKYSEKCHDFQCALLANLFASEGQPGKVIEVKAKRRTGPLNFVTCMRETLEKHYGNKPIGMGGTFIIQKGKVKSHIMPAEFSSCPLNSDEEVNKWLHFYEMKAPLVCLPVFVSRDPGFDLRLEHTHFFSRHGEGGHYHYDTTPDIVEYLGYFLPAEFLYRIDQPKETHSIGRD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MILLQHAVLPPPKQPSPSPPMSVATRSTGTLQLPPQKPFGQEASLPLAGEEELSKGGEQDCALEELCKPLYCKLCNVTLNSAQQAQAHYQGKNHGKKLRNYYAANSCPPPARMSNVVEPAATPVVPVPPQMGSFKPGGRVILATENDYCKLCDASFSSPAVAQAHYQGKNHAKRLRLAEAQSNSFSESSELGQRRARKEGNEFKMMPNRRNMYTVQNNSAGPYFNPRSRQRIPRDLAMCVTPSGQFYCSMCNVGAGEEMEFRQHLESKQHKSKVSEQRYRNEMENLGYV", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKLSFSLPSKSKPKVTATTADGNNAVDDGTSKEFVTEFDPSKTLANSIPKYVIPPIENTWRPHKKMKNLDLPLQSGNAGSGLEFEPEVPLPGTEKPDNISYGLNLRQKVKDDSIGGDAVEERKVSMGEQLMLQSLRRDLMSLADDPTLEDFESVPVDGFGAALMAGYGWKPGKGIGKNAKEDVEIKEYKKWTAKEGLGFDPDRSKVVDVKAKVKESVKLDKKGVGINGGDVFFVGKEVRIIAGRDVGLKGKIVEKPGSDFFVIKISGSEEEVKVGVNEVADLGSKEEEKCLKKLKDLQLNDREKDKKTSGRGRGAERGSRSEVRASEKQDRGQTRERKVKPSWLRSHIKVRIVSKDWKGGRLYLKKGKVVDVVGPTTCDITMDETQELVQGVDQELLETALPRRGGPVLVLSGKHKGVYGNLVEKDLDKETGVVRDLDNHKMLDVRLDQVAEYMGDMDDIEY", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKRPKLKKASKRMTCHKRYKIQKKVREHHRKLRKEAKKRGHKKPRKDPGVPNSAPFKEALLREAELRKQQLEELKQQQKLDRQKEQERKRKLEVSPGDEQSNVETREESDEPKRKKAKAGKQNPKKLHCQELKKVIEASDIVLEVLDARDPLGCRCPQIEEAVIQSGSKKLILVLNKSDLVPKENLENWLNYLNKELPTVVFKASTNLKNRKTFKIKKKKVVPFQSKICCGKEALWKLLGDFQQSCGKDIQVGVIGFPNVGKSSVINSLKQEWICNVGISMGLTRSMQIVPLDKQITIIDSPCLIISPCNSPTALALRSPASIEELRPLEAASAILSQADNEQVVLKYTVPEYKDSLHFFTKLAQRRGLHQKGGSPNVESAAKLVWSEWTGASLGYYCHPPASWNHSLHFNENIAAVMKKGFNLEELEKNNAHSIQVLKGPHLTNRILFRSSGLTNGILDEKDIVEESPRQTEDKQDADDQENGSGERNAEISDVAPVEETRELSPEQSTAGKPSDGSSALDRASQEDETYDFTTDYI", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSDSKEDIRNGQEEDDLFSENEDNHTSQQDELINGHIENDSETAVSDDGLFSNTEEATEAPEADVPVKKVLEVAVPNFKSPASASNDVFHAHIPNFLSVEQTPYDPEQYAAEAEADAALLEHDAHWGQRIKHKVDNTVRWRLGPSGSYQSNAQIVQWSDGSYSLRIGNDIYDTQNKLISQPTFVTASHEAQHLLRVQTSFKSSFTFLPSAINTATRSKLPSMRLTTVQVPSRSVQEIIIEKDPELLKRQAEKYEEERSRARRRLEKRKQLNNYQNGTGEEEEDYSSFYGPRSTYSEQNEIIDSDRMDRLKRIKQEGAGQYRGYNKDLEENEEDDLGDFIAEEEEEEEQEEEQEEDEEDEEEVGAGSDIKGFDADKEASVARATINKYEDDEVIPSAVETDRSETVTETSVGDGSVQRRVKRRIVESDSE", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDEQVIFTTNTSGTIASVHSFEQINLRQCSTQSRNSCVQVGNKYLFIAQAQKALINVYNLSGSFKRESVEQRLPLPEILKCLEVVENDGVQYDRIQGVNHNLPDFNLPYLLLGSTESGKLYIWELNSGILLNVKPMAHYQSITKIKSILNGKYIITSGNDSRVIIWQTVDLVSASNDDPKPLCILHDHTLPVTDFQVSSSQGKFLSCTDTKLFTVSQDATIRCYDLSLIGSKKKQKANENDVSIGKTPVLLATFTTPYSIKSIVLDPADRACYIGTAEGCFSLNLFYKLKGNAIVNLLQSAGVNTVQKGRVFSLVQRNSLTGGENEDLDALYAMGQLVCENVLNSNVSCLEISMDGTLLLIGDTEGKVSIAEIYSKQIIRTIQTLTTSQDSVGEVTNLLTNPYRLERGNLLFEGESKGKQPSNNNGHNFMKIPNLQRVIFDGKNKGHLHDIWYQIGEPEAETDPNLALPLNDFNAYLEQVKTQESIFSHIGKVSSNVKVIDNKIDATSSLDSNAAKDEEITELKTNIEALTHAYKELRDMHEKLYEEHQQMLDKQ", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAASAAATAAASAATAASAASGSPGSGEGSAGGEKRPAASSAAAASAAASSPAGGGGEAQELLEHCGVCRERLRPERDPRLLPCLHSACSACLGPATPAAANNSGDGGSAGDGAMVDCPVCKQQCYSKDIVENYFMRDSGSKASSDSQDANQCCTSCEDNAPATSYCVECSEPLCETCVEAHQRVKYTKDHTVRSTGPAKTRDGERTVYCNVHKHEPLVLFCESCDTLTCRDCQLNAHKDHQYQFLEDAVRNQRKLLASLVKRLGDKHATLQKNTKEVRSSIRQVSDVQKRVQVDVKMAILQIMKELNKRGRVLVNDAQKVTEGQQERLERQHWTMTKIQKHQEHILRFASWALESDNNTALLLSKKLIYFQLHRALKMIVDPVEPHGEMKFQWDLNAWTKSAEAFGKIVAERPGTNSTGPGPMAPPRAPGPLSKQGSGSSQPMEVQEGYGFGSDDPYSSAEPHVSGMKRSRSGEGEVSGLLRKVPRVSLERLDLDLTSDSQPPVFKVFPGSTTEDYNLIVIERGAAAAAAGQAGTVPPGAPGAPPLPGMAIVKEEETEAAIGAPPAAPEGPETKPVLMPLTEGPGAEGPRLASPSGSTSSGLEVVAPEVTSAPVSGPGILDDSATICRVCQKPGDLVMCNQCEFCFHLDCHLPALQDVPGEEWSCSLCHVLPDLKEEDGSLSLDGADSTGVVAKLSPANQRKCERVLLALFCHEPCRPLHQLATDSTFSMEQPGGTLDLTLIRARLQEKLSPPYSSPQEFAQDVGRMFKQFNKLTEDKADVQSIIGLQRFFETRMNDAFGDTKFSAVLVEPPPLNLPSAGLSSQELSGPGDGP", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEKKVICQDIFWSCDGTSFVSVHNDFGIRQYLVPEESNTDKLNRNLLLPFTRFFRNQSIVSCAIDPFYTLYNENSDRLAGDRIVVGGKNFPLQLYSLMDGQCILSYDTMNKINGEYETVYSVKIDVESRVYTGSCRNKVAIYDKSRRDAVWMNQSTKKASKGRQSIISCFEEQPMGGQALSRGSLLCGSYANEMFQVDCRHQRLERLNYTRTVAGGIVQILTSDNGRYVYVVRRNSDAISIYDRRNLQHELNVLRLPFRIHHNSAKLKAYIDTAYGLSMGTPQGTILNWGRDLVEFGGVPSHNSVEDPLITSIPPESEWRTNLDSTIPATVVKNCPGDPELFALSHGGTISLCRFGG", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MELSSNLKDLYIEWLQELVDGLTPKQEQLKIAYEKAKRNLQNAEGSFYYPTDLKKVKGIGNTIIKRLDTKLRNYCKIHHISPVEAPSLTQTSSTRPPKRTTTALRSIVNSCENDKNEAPEEKGTKKRKTRKYIPKKRSGGYAILLSLLELNAIPRGVSKEQIIEVAGKYSDHCMTPNFSTKEFYGAWSSIAALKKHSLVLEEGRPKRYSLTEEGVELTKSLKTADGISFPKENEEPNEYSVTRNESSEFTANLTDLRGEYGKEEEPCDINNTSFMLDITFQDLSTPQRLQNNVFKNDRLNSQTNISSHKLEEVSDDQTVPDSALKAKSTIKRRRYNGVSYELWCSGDFEVFPIIDHREIKSQSDREFFSRAFERKGMKSEIRQLALGDIIWVAKNKNTGLQCVLNTIVERKRLDDLALSIRDNRFMEQKNRLEKSGCEHKYYLIEETMSGNIGNMNEALKTALWVILVYYKFSMIRTCNSDETVEKIHALHTVISHHYSQKDLIVIFPSDLKSKDDYKKVLLQFRREFERKGGIECCHNLECFQELMGKGDLKTVGELTIHVLMLVKGISLEKAVAIQEIFPTLNKILMAYKTCSSEEEAKLLMFNVLGDAPGAKKITKSLSEKIYDAFGKL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSAPVPQLVNISHALQASTIQQIRLDMVDFNKDCKLSSIQLARIDKYIDSLQAALNQFTKDNLHIERKEKNVTEADIQLYSGLKSMYLDYLNQLIKLKHEKQHHSTPPIANDVSLDFFVNQLPKFSPEERKNYIDNLILNKNSHNRLSKMDGLVDAVINLCVLDTSVAENVRSYMKLLDTLGFQKGSNSTGTKANLKKKLASSKAKIKDSEKEKEKEKDKSKVKMKTKLKPSPLLNNDDKNSSPSPTASTSSMKKLKSGLFNKNEAKSTESLPTSSKKKLSFSKYLNKDDADMTKLGTKRSIDVDFKVNPEASTVASNIISSSTSGSSTTTVATPASSEEPLKKKTKISVQDSNVQSILRNGKPKKARISSIKFLDDSQLIKVYGDDLPNQGLQVSPTQLKKILKPFKEGEPKEIILFEDMSIKLKPLDLMFLKNTNSDDYMDISETKGGPIHCETRTPLIYRKNFNHFNPDLNKRPPREPIEFDLNGNTNSTPTIAKAFGKNSLLLRKDRGGLPYKHVPIVKRNKYPPRPVH", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSTSQKHRDFVAEPMGEKSVQCLAGIGDTLGRRLEEKGFDKAYVVLGQFLVLKKDEELFKEWLKDACSANAKQSRDCYGCLKEWCDAFL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDSPCQPQALNQALPQLPGSVSESLEPSRARMGVESYLPCPLLPSYHRSGASGEASAGNGTPRTTATATTTTASPLREGFGGQDGGELWPLQSEGAAALVTKECQRLAAQGARPEAPKRKWAKDGGDAPSPSKRPWARQENQEAKGESGVGCDSGGGSSNSTTHSSGEASSRLREEAQPSAPERLALDYIVPCMRYYGICVKDNFLGAVLGGRVLAEVEALKWGGRLRDGQLVSQRAIPPRSIRGDQIAWVEGHEPGCRSIGALMAHVDAVIRHCAGRLGNYVINGRTKAMVACYPGNGLGYVRHVDNPHGDGRCITCIYYLNQNWDVKVHGGLLQIFPEGRPVVANIEPLFDRLLIFWSDRRNPHEVKPAYATRYAITVWYFDAKERAAARDKYQLASGQKGVQVPVSQPATPT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAEENPGALAANVPYLGVDELGASVIVEPGLEGSNAGGRTLPAAAIKFADLTESGSESGDNEAEEPVSAGPDNANAIGEPGTSASAAEENGTVERNSPPPNCAICLSRCRRKCFTDSCMHQFCFKCLCEWSKIKPECPLCKQPFRTIIHNVRTLDDYDRYPVQTTSPVPTENPSLRYHIVRRPRYTPLVQNQAVIVNDIEAAIAAGAAGEDVLSAAEVAAGRRSYSRFEPYRSELMNYYQHDQDASTSGSLSQLWRRYVYDRKLYALPVSDSVTGHFREWSARFYRNNPAQIHRLMPWIHRDIMCLLRNAAHSVNTVMTLMSDLLPMTSLLGPTFRRRLSPYLGERTSHFIHELFNFARSPYDINGYDHVVQYSARVAEEVEVDLLDMVETQSSNGDDLNLEVGDSDADAINAGFSPDWSPPRVRPSTSVIVTNPGATHSFSVTMASDGSELPGISIRRTTNVGSQTVAINLSMRRPAAVASEEPEVIEIDDGDAAANAEVAAINDGSNTSRRHAGATLPVTAHIELESSSSSGDEDECVFVLELKPPHMRTPEQVSLDSNSDSDVVFVNEQHEAAPDAIAENRSTQSPLDLASRDQGLFMGPSTSGAAANRGKNWKLVMAQTRRLDQLRTLRSIRSKKSRRSSMPARSDSGSSPSSCSSSSFHFSSSSDEDSSDSSTTNSEPPKKKSRKRVANNKRSKKESIGKRTSRKRKAKDQNMEMLEQQQISQKKPQRQPESSSDSPSSSDDESGGDSSESSGQPNTNNNKSSSDSDDDSAVNMQLSALRATLKAEATLEDRKPVKLELQLPDDDQAGPLHSRMTPSPREDNEPGCSAPKRRRSCSHSNQSSQSASLASSSTATSSSAPLSSFAWGAAGFSGDPLMRGHPAMEEHDIANSLIELSTLTQPVNIGLFNEHYNSAENSMGMLSNTLCDSPQTLAADDANLENYFDTDADPEASRERDAYSLEAAIDVVGESELQIAEDTATATEEQDEEDEEDEDQEEDDQEEEKAAEEEEEEEEDDDDSDNHDENDENQGLLPY", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MESLQSNSKIEEAEQNPKIEEAQVSVSLPEEPTGVLLPSELVDDSAPPESSDAVEESIETASEAEVSISLLEGTTTGTALLPSEENDLAPLESSGIIEEPIDTDLEKLDVVAMDVDQPGSDLKIESDSFSEEAPTTSSSDNPKSPKLDSVANQNGSAMEEDEGDEEQDDPPHKKLKQLDCLTSVAVKEEEEPEQVLPSEAMVVEEAATLVASAAKKSKSKKKNNNVWVTKSTRKGKKKSKANTPNPAAVEDKVLITPVPRFPDKGDDTPDLEICLSKVYKAEKVEISEDRLTAGSSKGYRMVRATRGVVEGAWYFEIKVLSLGETGHTRLGWSTDKGDLQAPVGYDGNSFGFRDIDGCKIHKALRETYAEEGYKEGDVIGFYINLPDGESFAPKPPHYVFYKGQRYICAPDAKEEPPKVVPGSEISFFKNGVCQGAAFTDIVGGRYYPAASMYTLPDQSNCLVKFNFGPSFEFFPEDFGGRATPRPMWEVPYHGFNGRLETNGSEDMKS", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEMEEAQEMSQMPGRDSPPPNDVSEENDEAMPIPEDLSASSNLQHNNRGDKEGLACNIKVEARCDEENGLAIDMMMNGEEEEECAEDLRVLDASGAKVNGSHAGGPDSKGPYSSAGGIRLPNGKLKCDICGIVCIGPNVLMVHKRSHTGERPFQCTQCGASFTQKGNLLRHIKLHSGEKPFKCHLCNYACRRRDALSGHLRTHSVGKPHKCAYCGRSYKQRSSLEEHKERCHNYLQCMGLQNSIYTVVKEESNQNEQREDLSQMGSKRALVLDRLANNVAKRKSTMPQKFVGEKRFSNISFEGGPGELMQPHVIDQAINSAINYLGAESLRPLIQTSPTSSDMGVMGSMYPLHKPPAEGHGLSAKDSAAENLLLLAKSKSASSEKDGSPSHSGQDSTDTESNNEEKAGVGASGLIYLTNHITSGVRNGVLPLVKEEQQRQYEAMRASIEIASEGFKVLSGEGEQVRAYRCEHCRILFLDHVMYTIHMGCHGFRDPFECNLCGHRSQDRYEFSSHMTRGEHRY", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSLPAHLQQTFSPEEIQFIVENEPIKIFPRITTRQKIRGDDRGTGNHTRWQLITTDDKALNNMVAMRSTEVVLWIALLLKQQSKCSIVAPQWLTTKELDRKIQYEKTHPDRFSELPWNWLVLARILFNKAKDDFHDPIHELRGKIQDLREIRQIKVLKGLKYLNESHLQLDNLSLLEINELRPFITEIMDKLREIHTASLTAGTENDEEEFNI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASGPHSTATAAAAASSAAPSAGGSSSGTTTTTTTTTGGILIGDRLYSEVSLTIDHSLIPEERLSPTPSMQDGLDLPSETDLRILGCELIQAAGILLRLPQVAMATGQVLFHRFFYSKSFVKHSFEIVAMACINLASKIEEAPRRIRDVINVFHHLRQLRGKRTPSPLILDQNYINTKNQVIKAERRVLKELGFCVHVKHPHKIIVMYLQVLECERNQTLVQTAWNYMNDSLRTNVFVRFQPETIACACIYLAARALQIPLPTRPHWFLLFGTTEEEIQEICIETLRLYTRKKPNYELLEKEVEKRKVALQEAKLKAKGLNPDGTPALSTLGGFSPASKPSSPREVKAEEKSPISINVKTVKKEPEDRQQASKSPYNGVRKDSKRSRNSRSASRSRSRTRSRSRSHTPRRHYNNRRSRSGTYSSRSRSRSRSHSESPRRHHNHGSPHLKAKHTRDDLKSSNRHGHKRKKSRSRSQSKSRDHSDAAKKHRHERGHHRDRRERSRSFERSHKSKHHGGSRSGHGRHRR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAKSQRSQIKNEDISFVSQEDDSSKKFVKDDSENSEEELEDLNSEDEFYQSNSSEDEIEEEVQESTIADDIADILNQQVTQTDEQDTPVLSLSKKSKKALRKSNAEKKDSKLRTSRRRERLRKEMVGRVTSVVAVNAETAKALFTHERELRRIARRGVVQLFNAVRTAQLQSSLNRENISGGRTAREQKVKELSKASFLDLIKSQ", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDSSIPDIPDDSLGLGAGGAQASSSACCAKRVNKRRAAPDFDDDDDGSKLFRCDDDGGGGDKERFARENHSEIERRRRNKMTAYITELSDMVPTCSALARKPDKLTILRMAVSHMKSLRGSGNTAADGTYKPSFLTDQELKHLILEAADGFLFVVSCESGRVVYVSDSLTPVLNQSQSDWLGSSLYDQLHPDDGDKLREQLSTAESNNTGRMLDLKTGTVKKEGQQSSVRMCMGARRSFICRMRCGSCPVEPMSMNRLNFLRSRNRNGLGPPKDGEPQYVVVHCTGYIKSWPPTGVNLTDEEADNILGSRYCLVAIGRLQVTSCPSDTDMNSISVPVEFISRHNCQGLFTFVDHRCMATVGYQPQELLGKNILELAHPEDQELLRDSFQQVVKLKGQVLSVMFRFLSKTRDWLWIRTSSFTFQNPFSEEIEYIICTNANVKQLQQQQAELGGGGRDGLYEAGQVTLPQMPVQAVTAAGTDHSKTMDKAEMHPSMYPNPDQAKFLPSTSAPGVPIYPQDNNNYTTANRSNDTYSRSVGMAPQMVQPSHSAGQVLAQMSRQNGAPPSNSSPLQGGAAVSWPGPAAGARPPFNNQQVVPQAGKALSPQFAMGSFVGGSSSSFGAMPTTAAPTPTMGANYPNINPRATLNTNGYDGLGSGQQFPSRAVEAVWPQWQGQQQAQNRAEQHPHTQNNQPDIFPDVLAMLDQPANFNNDDFEIPIYPSFNE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTSLAQQLQRLALPQSDASLLSRDEVASLLFDPKEAATIDRDTAFAIGCTGLEELLGIDPSFEQFEAPLFSQLAKTLERSVQTKAVNKQLDENISLFLIHLSPYFLLKPAQKCLEWLIHRFHIHLYNQDSLIACVLPYHETRIFVRVIQLLKINNSKHRWFWLLPVKQSGVPLAKGTLITHCYKDLGFMDFICSLVTKSVKVFAEYPGSSAQLRVLLAFYASTIVSALVAAEDVSDNIIAKLFPYIQKGLKSSLPDYRAATYMIICQISVKVTMENTFVNSLASQIIKTLTKIPSLIKDGLSCLIVLLQRQKPESLGKKPFPHLCNVPDLITILHGISETYDVSPLLHYMLPHLVVSIIHHVTGEETEGMDGQIYKRHLEAILTKISLKNNLDHLLASLLFEEYISYSSQEEMDSNKVSLLNEQFLPLIRLLESKYPRTLDVVLEEHLKEIADLKKQELFHQFVSLSTSGGKYQFLADSDTSLMLSLNHPLAPVRILAMNHLKKIMKTSKEGVDESFIKEAVLARLGDDNIDVVLSAISAFEIFKEHFSSEVTISNLLNLFQRAELSKNGEWYEVLKIAADILIKEEILSENDQLSNQVVVCLLPFMVINNDDTESAEMKIAIYLSKSGICSLHPLLRGWEEALENVIKSTKPGKLIGVANQKMIELLADNINLGDPSSMLKMVEDLISVGEEESFNLKQKVTFHVILSVLVSCCSSLKETHFPFAIRVFSLLQKKIKKLESVITAVEIPSEWHIELMLDRGIPVELWAHYVEELNSTQRVAVEDSVFLVFSLKKFIYALKAPKSFPKGDIWWNPEQLKEDSRDYLHLLIGLFEMMLNGADAVHFRVLMKLFIKVHLEDVFQLFKFCSVLWTYGSSLSNPLNCSVKTVLQTQALYVGCAMLSSQKTQCKHQLASISSPVVTSLLINLGSPVKEVRRAAIQCLQALSGVASPFYLIIDHLISKAEEITSDAAYVIQDLATLFEELQREKKLKSHQKLSETLKNLLSCVYSCPSYIAKDLMKVLQGVNGEMVLSQLLPMAEQLLEKIQKEPTAVLKDEAMVLHLTLGKYNEFSVSLLNEDPKSLDIFIKAVHTTKELYAGMPTIQITALEKITKPFFAAISDEKVQQKLLRMLFDLLVNCKNSHCAQTVSSVFKGISVNAEQVRIELEPPDKAKPLGTVQQKRRQKMQQKKSQDLESVQEVGGSYWQRVTLILELLQHKKKLRSPQILVPTLFNLLSRCLEPLPQEQGNMEYTKQLILSCLLNICQKLSPDGGKIPKDILDEEKFNVELIVQCIRLSEMPQTHHHALLLLGTVAGIFPDKVLHNIMSIFTFMGANVMRLDDTYSFQVINKTVKMVIPALIQSDSGDSIEVSRNVEEIVVKIISVFVDALPHVPEHRRLPILVQLVDTLGAEKFLWILLILLFEQYVTKTVLAAAYGEKDAILEADTEFWFSVCCEFSVQHQIQSLMNILQYLLKLPEEKEETIPKAVSFNKSESQEEMLQVFNVETHTSKQLRHFKFLSVSFMSQLLSSNNFLKKVVESGGPEILKGLEERLLETVLGYISAVAQSMERNADKLTVKFWRALLSKAYDLLDKVNALLPTETFIPVIRGLVGNPLPSVRRKALDLLNNKLQQNISWKKTIVTRFLKLVPDLLAIVQRKKKEGEEEQAINRQTALYTLKLLCKNFGAENPDPFVPVLNTAVKLIAPERKEEKNVLGSALLCIAEVTSTLEALAIPQLPSLMPSLLTTMKNTSELVSSEVYLLSALAALQKVVETLPHFISPYLEGILSQVIHLEKITSEMGSASQANIRLTSLKKTLATTLAPRVLLPAIKKTYKQIEKNWKNHMGPFMSILQEHIGVMKKEELTSHQSQLTAFFLEALDFRAQHSENDLEEVGKTENCIIDCLVAMVVKLSEVTFRPLFFKLFDWAKTEDAPKDRLLTFYNLADCIAEKLKGLFTLFAGHLVKPFADTLNQVNISKTDEAFFDSENDPEKCCLLLQFILNCLYKIFLFDTQHFISKERAEALMMPLVDQLENRLGGEEKFQERVTKHLIPCIAQFSVAMADDSLWKPLNYQILLKTRDSSPKVRFAALITVLALAEKLKENYIVLLPESIPFLAELMEDECEEVEHQCQKTIQQLETVLGEPLQSYF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSTKNFRVSDGDWICPDKKCGNVNFARRTSCNRCGREKTTEAKMMKAGGTEIGKTLAEKSRGLFSANDWQCKTCSNVNWARRSECNMCNTPKYAKLEERTGYGGGFNERENVEYIEREESDGEYDEFGRKKKKYRGKAVGPASILKEVEDKESEGEEEDEDEDLSKYKLDEDEDEDDADLSKYNLDASEEEDSNKKKSNRRSRSKSRSSHSRSSSRSSSPSSSRSRSRSRSRSSSSSQSRSRSSSRERSRSRGSKSRSSSRSHRGSSSPRKRSYSSSSSSPERNRKRSRSRSSSSGDRKKRRTRSRSPERRHRSSSGSSHSGSRSSSKKK", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSQPKKRKLESGGGGEGGEGTEEEDGAEREAALERPRRTKRERDQLYYECYSDVSVHEEMIADRVRTDAYRLGILRNWAALRGKTVLDVGAGTGILSIFCAQAGARRVYAVEASAIWQQAREVVRFNGLEDRVHVLPGPVETVELPEQVDAIVSEWMGYGLLHESMLSSVLHARTKWLKEGGLLLPASAELFIAPISDQMLEWRLGFWSQVKQHYGVDMSCLEGFATRCLMGHSEIVVQGLSGEDVLARPQRFAQLELSRAGLEQELEAGVGGRFRCSCYGSAPMHGFAIWFQVTFPGGESEKPLVLSTSPFHPATHWKQALLYLNEPVQVEQDTDVSGEITLLPSRDNPRRLRVLLRYKVGDQEEKTKDFAMED", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEIQFGNQRCRMVNSGGFLATDGSHLKEMETDDVLVEFLNIEHQLFIRNIRAIVKIADTTVLPSASDKKLLYYVFDETRVRINDTPVIFSKLEEDNANVNEGSKMGVMTVPNTPQKPNLQQQKFEAINANEDQIDYSSNLEQNYNSLIRQGSDQVIPLSRFASEKSALELEKELFSERIPESQSAAEPVLKVENSENDLDEKLVLDGQHVEGDHSSDTEEEVVSEDQKQLNKTDDESTFIESHQIYIQGETKSPSSVSQSLSGDPSLKPAEVFDRKQSAEINSPIEKDVNPQQNISDSSIKNNSIHSDEVNPEVRPDLTPSNENEESKRSAPEIALKEKESTSQDESNREAEEAPISTNYSFPSSSLEDQPDKNVQSSAVENKNKHTNLVTSSFNLTKPMKSFIRRNGLRVQESVTDETDFVILGSPPLRRTHKFLLATSLGIPLVSSQYLTDCIKSGKVLDFRSYKYKDEEAEAKWGFRLDDIHRRTCFNGKRLYITKAIRDSMVGDSIHGLYSILETSGAEIVGDIKRAQEKDTIILAQPDNDQEGRNMSATGLNVYKIELVALSILRDRIDFDEFLIDYDADSPTKVIGKRNVSKASRTGQGRKRSSRSSWNKPSAKEQRT", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAGQGKPQIQFKLVLVGDGGTGKTTFMKRHLTGEFEKEYVATLGVEVHTLVFHTNRGPIKFNVWDTAGQEKFGGLRDGYYIQAQCAIIMFDVTSRVTYKNVPSWHKDLVRVCENIPIVLCGNKVDIKDMKVKAKPILFHRKKNLQYYDISAKSNYNFEKPFFWLARKLIGDPNLEFVAMPALAPPEVVMDPALAAQYEHDLEVAQTTALPDEEDDL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKREHNHRESSAGEGGSSSMTTVIKEEAAGVDELLVVLGYKVRSSDMADVAHKLEQLEMVLGDGISNLSDETVHYNPSDLSGWVESMLSDLDPTRIQEKPDSEYDLRAIPGSAVYPRDEHVTRRSKRTRIESELSSTRSVVVLDSQETGVRLVHALLACAEAVQQNNLKLADALVKHVGLLASSQAGAMRKVATYFAEGLARRIYRIYPRDDVALSSFSDTLQIHFYESCPYLKFAHFTANQAILEVFATAEKVHVIDLGLNHGLQWPALIQALALRPNGPPDFRLTGIGYSLTDIQEVGWKLGQLASTIGVNFEFKSIALNNLSDLKPEMLDIRPGLESVAVNSVFELHRLLAHPGSIDKFLSTIKSIRPDIMTVVEQEANHNGTVFLDRFTESLHYYSSLFDSLEGPPSQDRVMSELFLGRQILNLVACEGEDRVERHETLNQWRNRFGLGGFKPVSIGSNAYKQASMLLALYAGADGYNVEENEGCLLLGWQTRPLIATSAWRINRVE", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MANHSSSSSDQGTKKKGFKFRQLPEHAYQGKAKRIKQDLILKAKTKKHFYKNVRPEEYIKKGSGERKRKFSKKSHLQELYERSEEKRRIQQEKEDAKVQKRLEIEKKQKDREQTRNMLSKKTKRGQPIMRNQINHLLAKVKQTS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSRIEKMSILGVRSFGIEDKDKQIITFFSPLTILVGPNGAGKTTIIECLKYICTGDFPPGTKGNTFVHDPKVAQETDVRAQIRLQFRDVNGELIAVQRSMVCTQKSKKTEFKTLEGVITRTKHGEKVSLSSKCAEIDREMISSLGVSKAVLNNVIFCHQEDSNWPLSEGKALKQKFDEIFSATRYIKALETLRQVRQTQGQKVKEYQMELKYLKQYKEKACEIRDQITSKEAQLTSSKEIVKSYENELDPLKNRLKEIEHNLSKIMKLDNEIKALDSRKKQMEKDNSELEEKMEKVFQGTDEQLNDLYHNHQRTVREKERKLVDCHRELEKLNKESRLLNQEKSELLVEQGRLQLQADRHQEHIRARDSLIQSLATQLELDGFERGPFSERQIKNFHKLVRERQEGEAKTANQLMNDFAEKETLKQKQIDEIRDKKTGLGRIIELKSEILSKKQNELKNVKYELQQLEGSSDRILELDQELIKAERELSKAEKNSNVETLKMEVISLQNEKADLDRTLRKLDQEMEQLNHHTTTRTQMEMLTKDKADKDEQIRKIKSRHSDELTSLLGYFPNKKQLEDWLHSKSKEINQTRDRLAKLNKELASSEQNKNHINNELKRKEEQLSSYEDKLFDVCGSQDFESDLDRLKEEIEKSSKQRAMLAGATAVYSQFITQLTDENQSCCPVCQRVFQTEAELQEVISDLQSKLRLAPDKLKSTESELKKKEKRRDEMLGLVPMRQSIIDLKEKEIPELRNKLQNVNRDIQRLKNDIEEQETLLGTIMPEEESAKVCLTDVTIMERFQMELKDVERKIAQQAAKLQGIDLDRTVQQVNQEKQEKQHKLDTVSSKIELNRKLIQDQQEQIQHLKSTTNELKSEKLQISTNLQRRQQLEEQTVELSTEVQSLYREIKDAKEQVSPLETTLEKFQQEKEELINKKNTSNKIAQDKLNDIKEKVKNIHGYMKDIENYIQDGKDDYKKQKETELNKVIAQLSECEKHKEKINEDMRLMRQDIDTQKIQERWLQDNLTLRKRNEELKEVEEERKQHLKEMGQMQVLQMKSEHQKLEENIDNIKRNHNLALGRQKGYEEEIIHFKKELREPQFRDAEEKYREMMIVMRTTELVNKDLDIYYKTLDQAIMKFHSMKMEEINKIIRDLWRSTYRGQDIEYIEIRSDADENVSASDKRRNYNYRVVMLKGDTALDMRGRCSAGQKVLASLIIRLALAETFCLNCGIIALDEPTTNLDRENIESLAHALVEIIKSRSQQRNFQLLVITHDEDFVELLGRSEYVEKFYRIKKNIDQCSEIVKCSVSSLGFNVH", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKVNMEYTKEKKVGEGTYAVVYLGCQHSTGRKIAIKEIKTSEFKDGLDMSAIREVKYLQEMQHPNVIELIDIFMAYDNLNLVLEFLPTDLEVVIKDKSILFTPADIKAWMLMTLRGVYHCHRNFILHRDLKPNNLLFSPDGQIKVADFGLARAIPAPHEILTSNVVTRWYRAPELLFGAKHYTSAIDIWSVGVIFAELMLRIPYLPGQNDVDQMEVTFRALGTPTDRDWPEVSSFMTYNKLQIYPPPSRDELRKRFIAASEYALDFMCGMLTMNPQKRWTAVQCLESDYFKELPPPSDPSSIKIRN", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSKRPSYAPPPTPAPATQMPSTPGFVGYNPYSHLAYNNYRLGGNPGTNSRVTASSGITIPKPPKPPDKPLMPYMRYSRKVWDQVKASNPDLKLWEIGKIIGGMWRDLTDEEKQEYLNEYEAEKIEYNESMKAYHNSPAYLAYINAKSRAEAALEEESRQRQSRMEKGEPYMSIQPAEDPDDYDDGFSMKHTATARFQRNHRLISEILSESVVPDVRSVVTTARMQVLKRQVQSLMVHQRKLEAELLQIEERHQEKKRKFLESTDSFNNELKRLCGLKVEVDMEKIAAEIAQAEEQARKRQEEREKEAAEQAERSQSSIVPEEEQAANKGEEKKDDENIPMETEETHLEETTESQQNGEEGTSTPEDKESGQEGVDSMAEEGTSDSNTGSESNSATVEEPPTDPIPEDEKKE", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MWAPREQLLGWTAEALPAKDSAWPWEEKPRYLGPVTFEDVAVLFTEAEWKRLSLEQRNLYKEVMLENLRNLVSLAESKPEVHTCPSCPLAFGSQQFLSQDELHNHPIPGFHAGNQLHPGNPCPEDQPQSQHPSDKNHRGAEAEDQRVEGGVRPLFWSTNERGALVGFSSLFQRPPISSWGGNRILEIQLSPAQNASSEEVDRISKRAETPGFGAVTFGECALAFNQKSNLFRQKAVTAEKSSDKRQSQVCRECGRGFSRKSQLIIHQRTHTGEKPYVCGECGRGFIVESVLRNHLSTHSGEKPYVCSHCGRGFSCKPYLIRHQRTHTREKSFMCTVCGRGFREKSELIKHQRIHTGDKPYVCRD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MERAESSSTEPAKAIKPIDRKSVHQICSGQVVLSLSTAVKELVENSLDAGATNIDLKLKDYGVDLIEVSDNGCGVEEENFEGLTLKHHTSKIQEFADLTQVETFGFRGEALSSLCALSDVTISTCHASAKVGTRLMFDHNGKIIQKTPYPRPRGTTVSVQQLFSTLPVRHKEFQRNIKKEYAKMVQVLHAYCIISAGIRVSCTNQLGQGKRQPVVCTGGSPSIKENIGSVFGQKQLQSLIPFVQLPPSDSVCEEYGLSCSDALHNLFYISGFISQCTHGVGRSSTDRQFFFINRRPCDPAKVCRLVNEVYHMYNRHQYPFVVLNISVDSECVDINVTPDKRQILLQEEKLLLAVLKTSLIGMFDSDVNKLNVSQQPLLDVEGNLIKMHAADLEKPMVEKQDQSPSLRTGEEKKDVSISRLREAFSLRHTTENKPHSPKTPEPRRSPLGQKRGMLSSSTSGAISDKGVLRPQKEAVSSSHGPSDPTDRAEVEKDSGHGSTSVDSEGFSIPDTGSHCSSEYAASSPGDRGSQEHVDSQEKAPKTDDSFSDVDCHSNQEDTGCKFRVLPQPTNLATPNTKRFKKEEILSSSDICQKLVNTQDMSASQVDVAVKINKKVVPLDFSMSSLAKRIKQLHHEAQQSEGEQNYRKFRAKICPGENQAAEDELRKEISKTMFAEMEIIGQFNLGFIITKLNEDIFIVDQHATDEKYNFEMLQQHTVLQGQRLIAPQTLNLTAVNEAVLIENLEIFRKNGFDFVIDENAPVTERAKLISLPTSKNWTFGPQDVDELIFMLSDSPGVMCRPSRVKQMFASRACRKSVMIGTALNTSEMKKLITHMGEMDHPWNCPHGRPTMRHIANLGVISQN", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVNVLKGVLIECDPAMKQFLLYLDESNALGKKFIIQDIDDTHVFVIAELVNVLQERVGELMDQNAFSLTQK", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPLSFERFQGEGVFGLSSSSFYSDSQKIWSNQDKTEAKQEDLGYVVGGFLPEPTSVLDALRSPSPLASYSSTTTTLSSSHGGGGTTVTNTTVTAGDDNNNNKCSQMGLDDLDGVLSASSPGQEQSILRLIMDPGSAFGVFDPGFGFGSGSGPVSAPVSDNSNLLCNFPFQEITNPAEALINPSNHCLFYNPPLSPPAKRFNSGSLHQPVFPLSDPDPGHDPVRRQHQFQFPFYHNNQQQQFPSSSSSTAVAMVPVPSPGMAGDDQSVIIEQLFNAAELIGTTGNNNGDHTVLAQGILARLNHHLNTSSNHKSPFQRAASHIAEALLSLIHNESSPPLITPENLILRIAAYRSFSETSPFLQFVNFTANQSILESCNESGFDRIHIIDFDVGYGGQWSSLMQELASGVGGRRRNRASSLKLTVFAPPPSTVSDEFELRFTEENLKTFAGEVKIPFEIELLSVELLLNPAYWPLSLRSSEKEAIAVNLPVNSVASGYLPLILRFLKQLSPNIVVCSDRGCDRNDAPFPNAVIHSLQYHTSLLESLDANQNQDDSSIERFWVQPSIEKLLMKRHRWIERSPPWRILFTQCGFSPASLSQMAEAQAECLLQRNPVRGFHVEKRQSSLVMCWQRKELVTVSAWKC", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEKPATRKKKSQAPKEEAGAQKATVKGEKTSKGKKATKKPRKPRRPRKEPVLSPEDEAHIFDAFDASFKDDFEGVPVFVPFQRKKPYECGECGRIFKHKTDHIRHQRVHTGEKPFKCDQCGKTFRHSSDVTKHQRIHTGEKPFKCGECGKAFNCGSNLLKHQKTHTGEKPYGCEECGKSFAYSSCLIRHRKRHPRKKH", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MADVINVSVNLEAFSQAISAIQALRSSVSRVFDCLKDGMRNKETLEGREKAFIAHFQDNLHSVNRDLNELERLSNLVGKPSENHPLHNSGLLSLDPVQDKTPLYSQLLQAYKWSNKLQYHAGLASGLLNQQSLKRSANQMGVSAKRRPKAQPTTLVLPPQYVDDVISRIDRMFPEMSIHLSRPNGTSAMLLVTLGKVLKVIVVMRSLFIDRTIVKGYNENVYTEDGKLDIWSKSNYQVFQKVTDHATTALLHYQLPQMPDVVVRSFMTWLRSYIKLFQAPCQRCGKFLQDGLPPTWRDFRTLEAFHDTCRQ", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEAAQAGDLTRRQELLAPPCLDTESLRKSRPPALEPGALRCLTPNIRSLWPTCQDSVSTALPFLQEKEKGLPGSPSPATQVLGSCWELMVIGMSDHLSMARNPRGTQCPNLEISSATSPASLQRRPRKQLNPRMGIEKVDPRFKGVTLEFQIQPDSSLQIVPTYSLPGRSCSQKLPASPSKALASPGSSEALGPRRCASCRTQRTPLWRDAEDGTPLCNACGIRYKKYGTRCSSCWLVPRKSIQPKRLCGRCGMSQDPHLSPTQEL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSFFPPQQQQTPQPLFQTQQTSLFQPQQTNSIFSQSQPQQTNSIFSQSQPQQTNSIFSQPQQQQQTSLFQPQQFQQQQQQLNQQQQQQVQQQLYLFTNDKAPANYSTKWADLHPDSQKLLLQIEEKILEHRSESQRLDQCSRLYDSSVSSEGFEFDASRIVQELGGINTAMDRQKAVLHELMIVAKDMLRNAEIAVRSFMMLQPRFPHWKQGGGVVSVGSQPSQGQGTNPAPASSGQQQAVTTTVQVSDFYRGIPKKPTAFLLQTVVRFEKYLNECRQWVEELEQLLALDSDKYSRHASLLESLPKVMSNVHDFFVHVAAKVESIHQYIESMRTSYLADQRRRGECHDPFLEADRRETAKQEAAAKRVHPTLHLPASTTSTQPSTQVAGLIASSATPGGSNPPQTSVPTSNPSSGAGFSFLNTPASGPSSSLFATPSSTAPTSSLFGPSPTPTQTPLFGSSPASTFGSTQSLFGQTTPSLTMPSQFGGATPGSGASFGSMTKSSRPKSRTTRR", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAITLQPSDLIFEFASNGMDDDIHQLEDPSVFPAVIVEQVPYPDLLHLYSGLELDDVHNGIITDGTLCMTQDQILEGSFLLTDDNEATSHTMSTAEVLLNMESPSDILDEKQIFSTSEMLPDSDPAPAVTLPNYLFPASEPDALNRAGDTSDQEGHSLEEKASREESAKKTGKSKKRIRKTKGNRSTSPVTDPSIPIRKKSKDGKGSTIYLWEFLLALLQDRNTCPKYIKWTQREKGIFKLVDSKAVSKLWGKQKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKEMPKDLVVIEDEDESSEATAAPPQASTASVASASTTRRTSSRVSSRSAPQGKGSSSWEKPKIQHVGLQPSASLELGPSLDEEIPTTSTMLVSPAEGQVKLTKAVSASSVPSNIHLGVAPVGSGSALTLQTIPLTTVLTNGPPASTTAPTQLVLQSVPAASTFKDTFTLQASFPLNASFQDSQVAAPGAPLILSGLPQLLAGANRPTNPAPPTVTGAGPAGPSSQPPGTVIAAFIRTSGTTAAPRVKEGPLRSSSYVQGMVTGAPMEGLLVPEETLRELLRDQAHLQPLPTQVVSRGSHNPSLLGNQTLSPPSRPTVGLTPVAELELSSGSGSLLMAEPSVTTSGSLLTRSPTPAPFSPFNPTSLIKMEPHDI", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAAAGAVVASAASGPAEGKKITELRVIDLRSELKRRNLDINGVKTVLVSRLKQAIEEEGGDPDNIELTVSTDTPNKKPTKGKGKKQEADELSGDASVEDDSFVKDCELENQETHDQDGNEELKDLEEFGENEEEIVHSQELLSTEENKTTQEFVEAEAIEDREKEDIESQETEAQEGEDDTFLTAQDGEEEENEKDIAGSGDGTQEVSKPLPSEGSLAEADHTAHEEMEANATGKEAEDDNISVTIQAEDAITLDFDGDDLLETGKNVKITDSEASKPKDVQDAIAQSPEKEAKDYEMNPNHKDGKKEDSVKGEPVEKEARESAKKAESGDKEKDTLKKGPSSTGASGQAKSSSKESKDSKTSSKDDKGSTGSAGGSSGSSTKNIWVSGLSSNTKAADLKNLFGKYGKVLSAKVVTNARSPGAKCYGIVTMSSSTEVSRCVAHLHRTELHGQLISVEKVKGDPSKKEMKKENDEKSSSRSAGDKKNASDRSAKTQASIKKEEKRSSEKSEKKESKDTKKIEKDEKNDDGPSGQTSESLKKSEEKKRISSKSPGHMVILNQTKGDHCRPSRRGRYEKGHGRSKEKERASLDKKRDKDYRRKEILPFEKMKEQRLREHLVRFERLKQAVEFRRRKEIAERERRERERIRIIREREERERLQRERERLEIERQKLERERMERERLERERIRIEQERRREAERIAREREELRRQQQQLRYEQEKRNSLKRPRDVDHRRDDPYWSENKKLSLDTEARFGHGSDYRQQSRFLDFSHRERARFPDTASVQSSFERRERFVGQSEGKKPRPAARREEPSFERYPKNFSDSRRNEPPPPRNELRETDRREVRGERDERRTVILHDRPEVAHPRHPRETVPNPSRPTSWKSEANMSTEKRESRVERPERSGREVSGHTVRGAPPGNRSSASGYGTREGERGVIADRGSGTQHYPEERHVVERHGRDTSGPRKEWHGPPSQGPSYHDTRRMGDGRAGAGMITQHSSTASPVNRIVQMSGNSLPRGSSSGFKPFKSGPPRRF", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MATEIGSPPRFFHMPRFQHQAPRQLFYKRPDFAQQQAMQQLTFDGKRMRKAVNRKTIDYNPSVIKYLENRIWQRDQRDMRAIQPDAGYYNDLVPPIGMLNNPMNAVTTKFVRTSTNKVKCPVFVVRWTPEGRRLVTGASSGEFTLWNGLTFNFETILQAHDSPVRAMTWSHNDMWMLTADHGGYVKYWQSNMNNVKMFQAHKEAIREASFSPTDNKFATCSDDGTVRIWDFLRCHEERILRGHGADVKCVDWHPTKGLVVSGSKDSQQPIKFWDPKTGQSLATLHAHKNTVMEVKLNLNGNWLLTASRDHLCKLFDIRNLKEELQVFRGHKKEATAVAWHPVHEGLFASGGSDGSLLFWHVGVEKEVGGMEMAHEGMIWSLAWHPLGHILCSGSNDHTSKFWTRNRPGDKMRDRYNLNLLPGMSEDGVEYDDLEPNSLAVIPGMGIPEQLKLAMEQEQMGKDESSEIEMTIPGLDWGMEEVMQKDQKKVPQKKVPYAKPIPAQFQQAWMQNKVPIPAPNEVLNDRKEDIKLEEKKKTQAEIEQEMATLQYTNPQLLEQLKIERLAQKQADQIQPPPSSGTPLLGPQPFSGQGPISQIPQGFQQPHPSQQMPLVPQMGPPGPQGQFRAPGPQGQMGPQGPPMHQGGGGPQGFMGPQGPQGPPQGLPRPQDMHGPQGMQRHPGPHGPLGPQGPPGPQGSSGPQGHMGPQGPPGPQGHIGPQGPPASQGHMGPQGPPGTQGMQGPPGPRGMQGPPHPHGIQGGPASQGIQGPLMGLNPRGMQGPPGPRENQGPAPQGLMIGHPPQEMRGPHPPSGLLGHGPQEMRGPQEMRGMQGPPPQGSMLGPPQELRGPSGSQGQQGPPQGSLGPPPQGGMQGPPGPQGQQNPARGPHPSQGPIPFQQQKAPLLGDGPRAPFNQEGQSTGPPPLIPGLGQQGAQGRIPPLNPGQGPGPNKGDTRGPPNHHLGPMSERRHEQSGGPEHGPDRGPFRGGQDCRGPPDRRGSHPDFPDDFRPDDFHPDKRFGHRLREFEGRGGPLPQEEKWRRGGPGPPFPPDHREFNEGDGRGAARGPPGAWEGRRPGDDRFPRDPDDPRFRGRREESFRRGAPPRHEGRAPPRGRDNFPGPDDFGPEEGFDASDEAARGRDLRGRGRGTPRGGSRKCLLPTPDEFPRFEGGRKPDSWDGNREPGPGHEHFRDAPRPDHPPHDGHSPASRERSSSLQGMDMASLPPRKRPWHDGSGTSEHREMEAQGGPSEDRGSKGRGGPGPSQRVPKSGRSSSLDGDHHDGYHRDEPFGGPPGSSSSSRGARSGSNWGRGSNMNSGPPRRGTSRGSGRGR", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDFYKLDEKLKELKRKRVDVSIKSRKLADREIQEVSANRKPRVYSMEDVNDADESVGDTESPEKEKAFHYTVQEYDAWERRHPQGKTGQSQRGGISYDQLAKLSYEKTLRNLATQTQNSSKQDSSADEEDNKNVPKKGRIGKVQKDTKTGKITIADDDKLVNKLAVSLQSESKKRYEARKRQMQNAKTLYGVESFINDKNKQFNEKLSRESKGSE", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSFGGINTFQQYNTDLGLGHNGVRISLNYFDGLPDPSLLNSLYSNELKLIFKSLLKRDETTKEKALMDLSNLISDFNQNEYFFNDIFLLCWSQIYAKLIISDYKVIRLQSHQITIMLVKSLRKKISKFLKDFIPLILLGTCELDYSVSKPSLNELTECFNKDPAKINALWAVFQEQLLNLVKEIVVNENEDTISDERYSSKEESEFRYHRVIASAVLLLIKLFVHNKDVSERNSSSLKVILSDESIWKLLNLKNGQNTNAYETVLRLIDVLYTRGYMPSHKNIMKLAVKKLLKSLTHITSKNILKVCPVLPSILNLLATLDDYEDGTIWSYDKSSKEKVLKFLSVSRTSPSPGFFNAVFALYSSTKRHSFLDYYLEWLPFWQKSVQRLNEKGFSARNSAEVLNEFWTNFLKFAEDSSEERVKKMVESEIFNSLSCGKSLSEYTKLNQTLSGVFPPDKWEREIEDYFTSDEDIRKIKVSFEKNLFALLVTSPNNESAISRLFDFFVQLIETDPSNVFNKYDGVYDALNYFLDSDMIFLNGKIGKFINEIPTLVQESTYQNFAGIMAQYSNSKFFKMNTDAITSLEDFFIVALSFNLPKTIILATMNELDNDIYQQLMKSDSLELELYIEDFMKNYKFDDSGEIFKGNNKFLNQRTITTLYRSAVANGQVEQFCAVLSKLDETFFSTLLLNTDFLSCALYEVSEDTNEKLFKLSLQLAKGNSEIANKLAQVILQHAQVYFSPGAKEKYVTHAVELINGCNDTSQIFFPANAIEVFARYMPAIDYRSSLVSSLSTNTHLLLTDDKPINLKNMQKLIRYALFLDALLDALPERVNNHIVAFITVVSELVTDYNCLSEEPNDLYYDFGHTFFKHGKVNLNFSDIVGNVIQPANGGDAMLTFDIAESNSVYFFYYSRVLYKVLLNSIDTVSSTTLNGLLASVESFVTKTVRDQKSTDKDYLLCAILLLMFNRSNSKDEITKLRTLLASQLIGIREVELVDQEFKSLALLNNLLDIPQADKQFVPIAPQRLNMIFRSILKWLDSDLAYEPSFSTVRLLLLDFFTKLMRFEGVRDMGITAFELSERLLADSLSMCQIDDTLYLLELRSSCLNLYETLSQGVSKNGEEISEYGDEIQENLIELMFLNFNQERNNQVSTLFYQKLYKVISSMELKKLESQYKRIFEVVLNDKDIGSNINQSRLLTTLLGSLVVKTQQDIIIEYELRIQKQTGSDVDGSASDNDVNSKFKLPQKLLQKVTDEVPKEYLEYENKNSFIKYLWYWHLILMYFKDTSYNMRQIFIEQLKEAGLINRMFDFITDQIDLRDTEFWKQVDTKEISEYNIVGNNFSPYKEDIFEECKKLLGHTLYQLFNNVGCLTSIWWLNIKDRTLQNDIEKFVSEFISPILIKNEFDDINSKMDRLTSNDDALTIKLNNITNEVKASYLIDDQKLEISFKLPKNYPLTNIQVNGVSRVGISEQKWKQWIMSTQHVITGMNGSVLDSLELFTKNVHLQFSGFEECAICYSILHAVDRKLPSKTCPTCKNKFHGACLYKWFRSSGNNTCPLCRSEIPFRR", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRSWNSLFCLNSSRPPGHIVYPKHQAGHTGKQADHLGSQAFYPGRQHDYLVPPAGTAGIPVQNQPGRPEGVPWMPAPPPPLNCPPGLEYLSQIDMILIHQQIELLEVLFSFESSNMYEIKNSFGQRIYFAAEDTNFCIRNCCGRSRPFTLRITDNVGREVITLERPLRCNCCCCPCCLQEIEIQAPPGVPVGYVTQTWHPCLTKFTIKNQKREDVLKISGPCIVCSCIAGVDFEITSLDEQIVVGRISKHWSGFLREAFTDADNFGIQFPRDLDVKMKAVMIGACFLIDYMFFERTR", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPAPPPPLNCPPGLEYLSQIDMILIHQQIELLEVLFSFESSNMYEIKNSFGQRIYFAAEDTNFCIRNCCGRSRPFTLRITDNVGREVITLERPLRCNCCCCPCCLQEIEIQAPPGVPVGYVTQTWHPCLTKFTIKNQKREDVLKISGPCIVCSCIAGVDFEITSLDEQIVVGRISKHWSGFLREAFTDADNFGIQFPRDLDVKMKAVMIGACFLIDYMFFERTR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTFPEADILLKSGECAGQTMLDTMEAPGHSRQLLLQLNNQRTKGFLCDVIIVVQNALFRAHKNVLAASSAYLKSLVVHDNLLNLDHDMVSPAVFRLVLDFIYTGRLADGAEAAAAAAVAPGAEPSLGAVLAAASYLQIPDLVALCKKRLKRHGKYCHLRGGGGGGGGYAPYGRPGRGLRAATPVIQACYPSPVGPPPPPAAEPPSGPEAAVNTHCAELYASGPGPAAALCASERRCSPLCGLDLSKKSPPGSAAPERPLAERELPPRPDSPPSAGPAAYKEPPLALPSLPPLPFQKLEEAAPPSDPFRGGSGSPGPEPPGRPDGPSLLYRWMKHEPGLGSYGDELGRERGSPSERCEERGGDAAVSPGGPPLGLAPPPRYPGSLDGPGAGGDGDDYKSSSEETGSSEDPSPPGGHLEGYPCPHLAYGEPESFGDNLYVCIPCGKGFPSSEQLNAHVEAHVEEEEALYGRAEAAEVAAGAAGLGPPFGGGGDKVAGAPGGLGELLRPYRCASCDKSYKDPATLRQHEKTHWLTRPYPCTICGKKFTQRGTMTRHMRSHLGLKPFACDACGMRFTRQYRLTEHMRIHSGEKPYECQVCGGKFAQQRNLISHMKMHAVGGAAGAAGALAGLGGLPGVPGPDGKGKLDFPEGVFAVARLTAEQLSLKQQDKAAAAELLAQTTHFLHDPKVALESLYPLAKFTAELGLSPDKAAEVLSQGAHLAAGPDGRTIDRFSPT", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLGHNILTLGECDELDNHIVMCSTGLLSPQEDFSNVNAGHPNNEEAICSLCDKKIRDRFVSKVNGRCYHSSCLRCSTCKDELGATCFLREDSMYCRAHFYKKFGTKCSSCNEGIVPDHVVRKASNHVYHVECFQCFICKRSLETGEEFYLIADDARLVCKDDYEQARDKHCNELEGDGSNKRPRTTISAKSLETLKQAYQTSSKPARHVREQLASETGLDMRVVQVWFQNRRAKEKRLKKDAGRRWKSSNRAESDSNSPIESINGQSPNYLYLDHPMDDGNESNYLFHSREQTPDKYYRNETPSTDPPPMHMTTPSVLTTNFSTPLSLSTNVYNLPPPESQLIPHMTPQYI", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVQIVISSARAGGLAEWVLMELQGEIEARYSTGLAGNLLGDLHYTTEGIPVLIVGHHILYGKIIHLEKPFAVLVKHTPGDQDCDELGRETGTRYLVTALIKDKILFKTRPKPIITSVPKKV", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNALTTIDFNQHVIVRLPSKNYKIVELKPNTSVSLGKFGAFEVNDIIGYPFGLTFEIYYDGEEVSSDENRDSKPKNKIPIGKVRLLSQEIKDVNNDKDDGQSEPPLSIKEKSVSLELSSIDSSATNQNLVNMGSKAQELTVEEIEKMKQESLSSKEIIDKIIKSHKSFHNKTVYSQEKYVNRKKQKFAKYFTVEYLSSSNLLQFLIDKGDIQRVLDMSQESMGMLLNLANIQSEGNYLCMDETGGLLVYFLLERMFGGDNESKSKGKVIVIHENEHANLDLLKFANYSEKFIKEHVHTISLLDFFEPPTLQEIQSRFTPLPKEEARALKGGKKNSYYRKLRWYNTQWQILELTGEFLYDGLVMATTLHLPTLVPKLAEKIHGSRPIVCYGQFKETLLELAHTLYSDLRFLAPSILETRCRPYQSIRGKLHPLMTMKGGGGYLMWCHRVIPAPEPVSENATAADSSEKLAEHGAKKQKI", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATLTEPSSSLSFTSSHFSYGSIGSNHFSSSSASNPEVVSLTKLSSNLEQLLSNSDCDYSDAEIIVDGVPVGVHRCILAARSKFFQDLFKKEKKISKTEKPKYQLREMLPYGAVAHEAFLYFLSYIYTGRLKPFPLEVSTCVDPVCSHDCCRPAIDFVVQLMYASSVLQVPELVSSFQRRLCNFVEKTLVENVLPILMVAFNCKLTQLLDQCIERVARSDLYRFCIEKEVPPEVAEKIKQLRLISPQDEETSPKISEKLLERIGKILKALDSDDVELVKLLLTESDITLDQANGLHYSVVYSDPKVVAEILALDMGDVNYRNSRGYTVLHFAAMRREPSIIISLIDKGANASEFTSDGRSAVNILRRLTNPKDYHTKTAKGRESSKARLCIDILEREIRKNPMVLDTPMCSISMPEDLQMRLLYLEKRVGLAQLFFPTEAKVAMDIGNVEGTSEFTGLSPPSSGLTGNLSQVDLNETPHMQTQRLLTRMVALMKTVETGRRFFPYGSEVLDKYMAEYIDDDILDDFHFEKGSTHERRLKRMRYRELKDDVQKAYSKDKESKIARSCLSASSSPSSSSIRDDLHNTT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDTSENAASAAEQQPTQQIDQLTVPNAPDGGSSAPAPSTSPNSISPSNPTGTPAPGASAQTPNPNAAGASASGSANYKLMCTLEGHTKSISSAKFSPCGKYLGTSSADKTVKIWNMDHMICERTLTGHKLGVNDIAWSSDSRCVVSASDDKTLKIFEIVTSRMTKTLKGHNNYVFCCNFNPQSSLVVSGSFDESVRIWDVKTGMCIKTLPAHSDPVSAVSFNRDGSLIASGSYDGLVRIWDTANGQCIKTLVDDENPPVAFVKFSPNGKYILASNLDSTLKLWDFSKGKTLKQYTGHENSKYCIFANFSVTGGKWIISGSEDCKIYIWNLQTREIVQCLEGHTQPVLASDCHPVQNIIASGALEPDNKIHIWRSDV", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKRSTTDSDLAGDAHNETNKKMKSTEEEEIGFSNLDENLVYEVLKHVDAKTLAMSSCVSKIWHKTAQDERLWELICTRHWTNIGCGQNQLRSVVLALGGFRRLHSLYLWPLSKPNPRARFGKDELKLTLSLLSIRYYEKMSFTKRPLPESK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRHEENYLDLNNLPDDFSKDGNKQALEEGSSSGQRKKKGSKEGKDESGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNQARQLVYRNDTITPPGISPFGYHHTTDPTIYRSVYSSPMIYPGSSSTNLVPQPPMPPPPPPYPYSSNQYSPHNHFNDYYLNPSFRGSRSISPSPNLPTTTTVDYMADSPVEPGYTCVGAPIGPTGFPIRGPSIVRAPLEPPQGRDGDASRQRLDHSLRFPINRFQDHHSL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSLADELLADLDDIEETTESTITDELGPDAKKRRLELQLEEGNGISAELENDLDITKISDSAQKLPSEVANKFNDNNENIYQLLNSTRLRDIIEGTEKYKGTEKQAITGNIEDDLEYHLIVDSNSIAMEIDDEILRLHRLVKEWYHDRFPELSSLVLNAFDYCKTVSSLLNDLDNSKTKLSFLPSATVMVIATTATTTVGKPLPDEMIKNVKNCCEAIQQLGEEKQKIIEYVQSRISVVAPNLSAVVGSTTAANLIGIAGGLTRLGKFPACNLPALGKRRLTTIGINNPAVSGDYGFLYMSEIVQKTPPDVRKQAIRMTAAKVALAARIDSIHEYPDGSFGISARKEVERKIEKLLEPPSQKPTVALPVPDDRPKRRRGGRRIRKMKEQYAVTELRRLQNRVAFGKEEAEVFNFDETEGLGMLGQEGEGKIRAVSIDSRTKLRLPKARKAQLQSMAQKNPLAASGLQSSLSFTPIQGIELVNPLLQRQQKVEEANKWFRDGVFTQIKKDSNEPKNKFS", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEDDDEIQSIPSPGDSSLSPQAPPSPPILPTNDVTVAVVKKPQPGLSSQSPSMNALALVVHTPSVTGGGGSGNRNGRGGGGGSGGGGGGRDDCWSEEATKVLIEAWGDRFSEPGKGTLKQQHWKEVAEIVNKSRQCKYPKTDIQCKNRIDTVKKKYKQEKAKIASGDGPSKWVFFKKLESLIGGTTTFIASSKASEKAPMGGALGNSRSSMFKRQTKGNQIVQQQQEKRGSDSMRWHFRKRSASETESESDPEPEASPEESAESLPPLQPIQPLSFHMPKRLKVDKSGGGGSGVGDVARAILGFTEAYEKAETAKLKLMAELEKERMKFAKEMELQRMQFLKTQLEITQNNQEEEERSRQRGERRIVDDDDDRNGKNNGNVSS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNELKHAVVPIDLQSFCLEGTLALWVPALENDSEDDSEAIETADDNEKLFKKECVAYDAGVYTSNKSKGSQTLRWSIFQNRTLTIFDVSLNSKKEPLSKFNVKIHFPSNVMKDGVAFSFSEHSDTTIIYAITHARVLYYIRLSKTWFQLPDARLDDDWCLCYRPISFLNQKPDLMAAISTSEICVSFFNGGLTKIILNPKDASHYEQHIDDSSYLFSLKKYLSLQAFKADYRSPNTIISMIFLSTYNVLVMLSLDYKLKVLDLSTNQCVETIELSQTILPLQSFPYLTSDHTTNSFIALYYPDNSHGSFSIYKLNANAHSFKLNVVIEKGIIPPSLPDDEFIPWMLSDFQLISSEGSQSKFLLIIAWKSNLNTVIQKCNLSLDQDESFSCVWSHSLDSFSLIEKTFFDVPTNMSSGDISEIWLQHIFAHNTSIESIQVALLSFQNSSSQVSKNKLDKFGALTISELKNAVLSSIVSTIQIEPNSDLTGYDYYEYKRLLYNEWERFAKLVAYLDHFGDEILSINFDPSNAVTYINYANKVAFIRDPYLIESFDEEPLTKLISSLETDDPSLIEGYQILDLGRSLHSCMSFSTLSEIRYSLRELVQDLPSYSLFDTLWVFYDKHIYPNVDPDYISTLIDTLVSLENPMRDIDSLIQRLRSFDIYNHSAQSPSLFLCASVARVLDSILKKFQVSIEGFIFLLSLITSQQDYELQSKFAGCDKLFLSLLEDWRLVSFLLENSALLLEKFEEEDVDSTNCNLNTMEALASVNTALQFFSALNYSECFSESQISPLHATVISSLSAIFIRDDTENDLVTELVEKLFLFKQYNACMQLIGWLNSDPIAVYLKALIYLKSKEAVKAVRCFKTTSLVLYSHTSQFAVLREFQEIAEKYHHQNLLSCYYLHLSKKLFEESAYIDALEFSLLADASKETDDEDLSIAITHETLKTACAAGKFDAAHVALMVLSTTPLKKSCLLDFVNQLTKQGKINQLLNYSMPTLRQDVDNLLERKAFQMINVESQPCWYNILFSWRYKHQNYRDAAAIIYEKLSRYISTTELIGKKERTFIIEHYLIVLNTLELLPKEDTWILVTDMSVDKEPDPNFLPQKLLTLDAIVAEYHLQLKDVAVQVTAEMSSAMNIDL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDSSSTKSKISHSRKTNKKSNKKHESNGKQQQQQDVDGGGGCLRSSWICKNASCRANVPKEDSFCKRCSCCVCHNFDENKDPSLWLVCEPEKSDDVEFCGLSCHIECAFREVKVGVIALGNLMKLDGCFCCYSCGKVSQILGCWKKQLVAAKEARRRDGLCYRIDLGYRLLNGTSRFSELHEIVRAAKSMLEDEVGPLDGPTARTDRGIVSRLPVAANVQELCTSAIKKAGELSANAGRDLVPAACRFHFEDIAPKQVTLRLIELPSAVEYDVKGYKLWYFKKGEMPEDDLFVDCSRTERRMVISDLEPCTEYTFRVVSYTEAGIFGHSNAMCFTKSVEILKPVDGKEKRTIDLVGNAQPSDREEKSSISSRFQIGQLGKYVQLAEAQEEGLLEAFYNVDTEKICEPPEEELPPRRPHGFDLNVVSVPDLNEEFTPPDSSGGEDNGVPLNSLAEADGGDHDDNCDDAVSNGRRKNNNDCLVISDGSGDDTGFDFLMTRKRKAISDSNDSENHECDSSSIDDTLEKCVKVIRWLEREGHIKTTFRVRFLTWFSMSSTAQEQSVVSTFVQTLEDDPGSLAGQLVDAFTDVVSTKRPNNGVMTSH", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDKAFDEIIGNSHTDSSSNHKVTRYRRRDLRNELGPRLGFAPSDAASRSKDRLYREREEPPLPKRIRISKIPLDVSDYTLDDMIKEFGSPIFSKIFDNKEDRTCIYEFEDPEVLEKIVERYNGHELHNAKIEVEIYQPQRKHSRMNAHNRRKQTAQEHGRGRPGSHYRQKPNRVSKKNKGREKNNTPTSVEALDAELDAYMKG", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDRPGPGSARPGRTVHVWGYRVEWKVRNGRKLQPSEWAGRGDLGGFKRRWKDTRATVGTTFRRRSRVSLVGELSKFPLPSDSSGGKSSSSFARGALAWCRQRNPNPSCAAAETGARTSLPKERCRGWRLGNWLHKHPHPNTCPRLPACWLPPILTERGERVPKLVPLLACYPKSKPKD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNNSLKKEERVEEDNGKSDGNRGKPSTEVVRTVTEEEVDEFFKILRRVHVATRTVAKVNGGVAEGELPSKKRKRSQNLGLRNSLDCNGVRDGEFDEINRVGLQGLGLDLNCKPEPDSVSLSL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPFSSEQFTTKLNTLEDSQESISSASKWLLLQYRDAPKVAEMWKEYMLRPSVNTRRKLLGLYLMNHVVQQAKGQKIIQFQDSFGKVAAEVLGRINQEFPRDLKKKLSRVVNILKERNIFSKQVVNDIERSLKTESSPVEALVLPQKLKDFAKDYEKLVKMHHNVCAMKMRFDKSSDELDPSSSVYEENFKTISKIGNMAKDIINESILKRESGIHKLQSTLDDEKRHLDEEQNMLSEIEFVLSAKDPSRLNKNVDEDNIIPTYEVGDGDDDDDDGDNDDDDDDDDDDKNYDDRSNDSNYGVTNISTTDKKNEVVEKTDSEHKNSTHNPSDNQFGMKRTHDMIGHDDANDIPEKKVHLDSKTSEDGTFNSEDGHYELDIEGHVGAQTDEGVENSGGVSSSIQDLLSKLAN", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MARARSRSRSYSPRPRDRSPPRERKGYDDNRLRERPSSRDHESSGPSGLLIRNLPLDARPNDLRDSFERFGPLKDIYLPRNYYTGEPRGFGFVKYRYAEDAAEAMKRMNHKVIGGREIAIVFAEENRKTPQEMRTTNGTSGRHGDYKRTSHRSPRRRYRSHSRSRSPPRRESRHSKVREDDLYSPRRRSRSISRSPLPRNEREYKSRNCRSPREERVLTPIRSRCLSRSRSRSLSR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSFSTINSNVNKTTGDSNNNTTENSSTADLLGMDLLQSGPRLMNTMQPNNSSDMLHINNKTNNVQQPAGNTNISSANAGAKAPANEFVRKLFRILENNEYPDIVTWTENGKSFVVLDTGKFTTHILPNHFKHSNFASFVRQLNKYDFHKVKRSPEERQRCKYGEQSWEFQHPEFRVHYGKGLDNIKRKIPAQRKVLLDESQKALLHFNSEGTNPNNPSGSLLNESTTELLLSNTVSKDAFGNLRRRVDKLQKELDMSKMESYATKVELQKLNSKYNTVIESLITFKTINENLLNNFNTLCSTLANNGIEVPIFGDNGNRNPTGNTNPATTTAIQSNNNTNNASPATSTVSLQLPNLPDQNSLTPNAQNNTVTLRKGFHVLLVEDDAVSIQLCSKFLRKYGCTVQVVSDGLSAISTLEKYRYDLVLMDIVMPNLDGATATSIVRSFDNETPIIAMTGNIMNQDLITYLQHGMNDILAKPFTRDDLHSILIRYLKDRIPLCEQQLPPRNSSPQTHSNTNTANSNPNTINEQSLAMLPQDNPSTTTPVTPGASISSAQHVQQGQQEQQHQIFHAQQQQQHHNAIANARSDVAIPNLEHEINTVPHSSMGSTPQLPQSTLQENQLS", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDDSKVVGGKVKKPGKRGRKPAKIDLKAKLERSRQSARECRARKKLRYQYLEELVSSRERAICALREELEMYKQWCMAMDQGKIPSEIRALLTGEEQSKPQQNSSRHPKAGKTDANTNSLVGN", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKRFFKPIEKENSPAAKKPCLSPEKRDGDGDGVEEEKNQNEPSKFMTWNANSFLLRVKNDWSQFSKFVSDFDPDVIAIQEVRMPAAGGKGKPKNHEELSDDTKVLREEKQILTRALSSPPFGNYGVWWSLADSKYAGTALLVKKCFKPRKVYFNLDKLASKHEPDGRVILAEFETFRLLNTYSPNNGWKDEENAFQRRRKWDKRIVEFLNKTSDKPLIWCGDLNVSHEEIDVSHPEFFATAKLNGYVPPNKEDCGQPGFTPSERGRFGATIKEGRLVDAYRYLHKEQEMESGFSWSGNPIGKYRGKRMRIDYFLVSEQLKDRIVSCKMHGRGIELEGFHGSDHCPVTLELSKPSSEMEQNQVSN", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSEAELEQTPSAGHVQEQPIEEEHEPEQEPTDAYTIGGPPRTPVEDAAAELSASLDVSGSDQSAEQSLDLSGVQAEAAAESEPPAKRQHRDISPISEDSTPASSTSTSSTRSSSSSRYDDVSEAEEAPPEPEPEQPQQQQQEEKKEDGQDQVKSPGPVELEAQEPAQPQKQKEVVDQEIETEDEPSSDTVICVADINPYGSGSNIDDFVMDPDAPPNAIITEVVTIPAPLHLKGTQQLGLPLAAPPPPPPPPAAEQVPETPASPTDDGEEPPAVYLSPYIRSRYMQESTPGLPTRLAPRDPRQRNMPPPAVVLPIQTVLSANVEAISDDSSETSSSDDDEEEEEDEDDALTMEHDNTSRETVITTGDPLMQKIDISENPDKIYFIRREDGTVHRGQVLQSRTTENAAAPDEYYVHYVGLNRRLDGWVGRHRISDNADDLGGITVLPAPPLAPDQPSTSREMLAQQAAAAAAASSERQKRAANKDYYLSYCENSRYDYSDRKMTRYQKRRYDEINHVQKSHAELTATQAALEKEHESITKIKYIDKLQFGNYEIDTWYFSPFPEEYGKARTLYVCEYCLKYMRFRSSYAYHLHECDRRRPPGREIYRKGNISIYEVNGKEESLYCQLLCLMAKLFLDHKVLYFDMDPFLFYILCETDKEGSHIVGYFSKEKKSLENYNVACILVLPPHQRKGFGKLLIAFSYELSRKEGVIGSPEKPLSDLGRLSYRSYWAYTLLELMKTRCAPEQITIKELSEMSGITHDDIIYTLQSMKMIKYWKGQNVICVTSKTIQDHLQLPQFKQPKLTIDTDYLVWSPQTAAAVVRAPGNSG", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSEEFIAVSTLARNLEIAKGNEFHTILATLRSPVYINEQLLKSELSFLVTKILKLIRSGNDFDLWKGCHTSVVTCAYNPLVLSTHGGQLLAAIYSRLEQKTGFYSSVISSSHGKQLFNTLISSVAIIIDLMKNKPTLSREALVPKLKAIIPTLITLSQYEPELVLPVLQRILKRNTTTFKPFTNKFRTVLINLIISDYASLGTKTQRLVCENFAYLHLLKIQVSDTSDDETQAHHKIYADSNWRTGLMSILSQFKPIIQLCGEILDFEQDNELYKLIKSLPVIDESNNKEEFLPSLKLDFNAPLTLWEIPQRLSLLADMLVAFISLPTPFPIRVPLGGINSLCEVLLGVSNKYLPLKKELRHDNELNGVINTILPQIQFQGIRLWEIMVSKYGKCGLSFFEGILSSIELFIPLKKKSNNEIDFNVVGSLKFEFATVFRLVNMILSHLGHQLNIISVISQLIEVALFLSHDKTLIDSLFKNRKSIMKQQTKTKQSKRSKSAEGAFSDIYTHPELFVCKNSMNWFNEINDFFITALNNWILPSTPHIQILKYSITQSLRLKERFGYIPESFVNLLRCEVLHPGSERVSILPIAISLLKNINDDMFELLCHPKVPVGMVYQLHKPLDLGEDGEVRDDINKKEVETNESSSNANTGLETLKALENLENVTIPEPKHEVPKVVDDTAIFKKRSVEEVIERESTSSHKKVKFVEETTVDNGEELIVKKAVSQTKEEEKPMEDSEDEEQEEFEIPAIELSDDEEEEEEEE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGSSLDLVASFSHDSTRFAFQASVAQKNNVDIYPLNETKDYVVNSSLVSHIDYETNDMKVSDVIFFGWCSDLIDTQSSNIKRKLDEDEGTGESSEQRCENFFVNGFPDGRIVVYSSNGKDIVNIIKNKKEILGADTDESDIWILDSDKVVKKLQYNNSKPLKTFTLVDGKDDEIVHFQILHQNGTLLVCIITKQMVYIVDPSKRRPSTKYSFEISDAVACEFSSDGKYLLIANNEELIAYDLKEDSKLIQSWPVQVKTLKTLDDLIMALTTDGKINNYKIGEADKVCSIVVNEDLEIIDFTPINSKQQVLISWLNVNEPNFESISLKEIETQGYITINKNEKNNADEADQKKLEEKEEEAQPEVQHEKKETETKINKKVSKSDQVEIANILSSHLEANSTEILDDLMSGSWTEPEIKKFILTKINTVDHLSKIFLTISKSITQNPWNEENLLPLWLKWLLTLKSGELNSIKDKHTKKNCKHLKSALRSSEEILPVLLGIQGRLEMLRRQAKLREDLAQLSMQEGEDDEIEVIEHSNVISNPLQDQASPVEKLEPDSIVYANGESDEFVDASEYKD", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSTLIPPPSKKQKKEAQLPREVAIIPKDLPNVSIKFQALDTGDNVGGALRVPGAISEKQLEELLNQLNGTSDDPVPYTFSCTIQGKKASDPVKTIDITDNLYSSLIKPGYNSTEDQITLLYTPRAVFKVKPVTRSSSAIAGHGSTILCSAFAPHTSSRMVTGAGDNTARIWDCDTQTPMHTLKGHYNWVLCVSWSPDGEVIATGSMDNTIRLWDPKSGQCLGDALRGHSKWITSLSWEPIHLVKPGSKPRLASSSKDGTIKIWDTVSRVCQYTMSGHTNSVSCVKWGGQGLLYSGSHDRTVRVWDINSQGRCINILKSHAHWVNHLSLSTDYALRIGAFDHTGKKPSTPEEAQKKALENYEKICKKNGNSEEMMVTASDDYTMFLWNPLKSTKPIARMTGHQKLVNHVAFSPDGRYIVSASFDNSIKLWDGRDGKFISTFRGHVASVYQVAWSSDCRLLVSCSKDTTLKVWDVRTRKLSVDLPGHKDEVYTVDWSVDGKRVCSGGKDKMVRLWTH", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAKSIRSKHRRQMRNVKREHFAKKDLDRLKRLASKAQELDLDNVVTMKSAEEIKNKPSTSASDADKGMEVDNTKKVFKKKTQQNEDGHYPQWMNQRAVKKQKVKVAKLKTKKKIGKKIKW", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MERPALLQNGEIGTMESPTTRLASKPFPKPFSIESLIANQTPATATPPSPPEERDQEQEAEQEQELSARAMVASSALGLTQFPLYNPWLHGYFAQNHERLTHLIAGGCYLPSSPAGHPAAQQPQAQAQPQPPPPHPPTHALEKQLPPTLPHPLDTRFLPFNPAAAGVAPTDLSYRRLAELMNQDYVHSLSVHARLQHMAAAGRMHEDQANPGMAQLQEPTPPQAHSSPAKSGSHSPMEPALDVGMDEDFECSGDSCSDISLTMSPRNYNGEMDKSRNGAYTNSDSEDCSDDEGAQSRHEGGGMGGKDSQGNGSSSNSKSRRRRTAFTSEQLLELEREFHAKKYLSLTERSQIATSLKLSEVQVKIWFQNRRAKWKRVKAGLTSHGLGRNGTTSGTKIVVPIPVHVNRFAVRSQHQQLEKMCLSGPKPDLRKKLSAEAIGGFEKFSGSTNASSPSGGPVGLGVGVGVGVGVGLGVSTPLSLARSIY", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSQLSFTGKSSSKGRSRLTQEVRPTASQIIADEEASDLDEYEEDLEGSGNEDDFGPSMSRSSRGRKRRKGDPLELQSQFEERNETDAINFQLLVRNVVRYAICSQTSHNTITRKDIVQKAFPEGTSRNLFQSVFEEADRQLQLSFGFRLVAVTQSNRKKDMAVSQLRRPATSNANSSNLHRYWVLRSTLPMELQKDSRLIVDSVLDTAYYGFLMTVIAFIAVSHCSVGHSELQSFLQELLTEEETTPLHLDITRSLSLLVRQGYLDRVKDDTHNQFVYYIGSRAVTEISIEGLKSFVTEFFPDSDIDMDALLTEYRQEYQNQSSSSAA", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVEEENIRVVRCGGSELNFRRAVFSADSKYIFCVSGDFVKVYSTVTEECVHILHGHRNLVTGIQLNPNNHLQLYSCSLDGTIKLWDYIDGILIKTFIVGCKLHALFTLAQAEDSVFVIVNKEKPDIFQLVSVKLPKSSSQEVEAKELSFVLDYINQSPKCIAFGNEGVYVAAVREFYLSVYFFKKKTTSRFTLSSSRNKKHAKNNFTCVACHPTEDCIASGHMDGKIRLWRNFYDDKKYTYTCLHWHHDMVMDLAFSVTGTSLLSGGRESVLVEWRDATEKNKEFLPRLGATIEHISVSPAGDLFCTSHSDNKIIIIHRNLEASAVIQGLVKDRSIFTGLMIDPRTKALVLNGKPGHLQFYSLQSDKQLYNLDIIQQEYINDYGLIQIELTKAAFGCFGNWLATVEQRQEKETELELQMKLWMYNKKTQGFILNTKINMPHEDCITALCFCNAEKSEQPTLVTASKDGYFKVWILTDDSDIYKKAVGWTCDFVGSYHKYQATNCCFSEDGSLLAVSFEEIVTIWDSVTWELKCTFCQRAGKIRHLCFGRLTCSKYLLGATENGILCCWNLLSCALEWNAKLNVRVMEPDPNSENIAAISQSSVGSDLFVFKPSEPRPLYIQKGISREKVQWGVFVPRDVPESFTSEAYQWLNRSQFYFLTKSQSLLTFSTKSPEEKLTPTSKQLLAEESLPTTPFYFILGKHRQQQDEKLNETLENELVQLPLTENIPAISELLHTPAHVLPSAAFLCSMFVNSLLLSKETKSAKEIPEDVDMEEEKESEDSDEENDFTEKVQDTSNTGLGEDIIHQLSKSEEKELRKFRKIDYSWIAAL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MHHFVPDFDTDDDYVNNHNSSLNHLPRKSITTMGEDDDLMELLWQNGQVVVQNQRLHTKKPSSSPPKLLPSMDPQQQPSSDQNLFIQEDEMTSWLHYPLRDDDFCSDLLFSAAPTATATATVSQVTAARPPVSSTNESRPPVRNFMNFSRLRGDFNNGRGGESGPLLSKAVVRESTQVSPSATPSAAASESGLTRRTDGTDSSAVAGGGAYNRKGKAVAMTAPAIEITGTSSSVVSKSEIEPEKTNVDDRKRKEREATTTDETESRSEETKQARVSTTSTKRSRAAEVHNLSERKRRDRINERMKALQELIPRCNKSDKASMLDEAIEYMKSLQLQIQMMSMGCGMMPMMYPGMQQYMPHMAMGMGMNQPIPPPSFMPFPNMLAAQRPLPTQTHMAGSGPQYPVHASDPSRVFVPNQQYDPTSGQPQYPAGYTDPYQQFRGLHPTQPPQFQNQATSYPSSSRVSSSKESEDHGNHTTG", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPPRKRKLEELKNEQGEQGSYKCHLCGQCFYRGCGLASHLRRHAPVTFDCEHCAYTCKHKYAYDRHLLQSHPELVESGPLVRMFKNEDEDAPMPILEREADVSDDAVKYEALSSFSEPEPISYKCPLCISTFGSHARAVYHILSHRVKLYQAPKSLKFFSRKTMQALGGFRLESQLMIKWRLQYDNRSVDEKRTRLWRYMEENFGHEHLKQPKLESDNPSTSSSFESQTNLNDSSIIKKKLVMKCGTVFGQRLIHDNTQYYLCRNCPYVSWNVSSLWRHFRHHIQKSKQSWTCIACSYSSSSRVKIDLHVKMHKEMPEIDLEFATWLRYERRINKNDLNKPTNKKKKPDGGNGSNHSDMRSLHAFLSLKNSKNNVVKHDIDAPTLHPLSPAPKLVAMTQFDFGEIVTYKSVNPLHQINKNNSNPTVLPNKRNSIKTSKSDTQIALSVKQSSSMKMVKVSPGKVYQLPKTSKFYRPESPDSLASNNSAHGDEIESTSSDQFQQSVKVPKYEDFLNMKPVMPYFQKQRHPLEAIAMYEKAKREYEKNHCFPNLPIFEFNIEYKNLHPLAKAQYGKNNMKEYFLNEMEVEKSRECTDCPFKHNDLQQFRLHRDKHFYGGSHTCPECNYSSNNHNQVVEHTFVDHYLSDVRLVEGLPSSDSEDDNIPVPPDTPQRKKKAPKRGKRRGW", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSLNDFLSSVLPVSEQFEYLSLQSIPLETHAVVTPNKDDKRVPKSTIKTQHFFSLFHQGKVFFSLEVYVYVTLWDEADAERLIFVSKADTNGYCNTRVSVRDITKIILEFILSIDPNYYLQKVKPAIRSYKKISPELISAASTPARTLRILARRLKQSGSTVLKEIESPRFQQDLYLSFTCPREILTKICLFTRPASQYLFPDSSKNSKKHILNGEELMKWWGFILDRLLIECFQNDTQAKLRIPGEDPARVRSYLRGMKYPLWQVGDIFTSKENSLAVYNIPLFPDDPKARFIHQLAEEDRLLKVSLSSFWIELQERQEFKLSVTSSVMGISGYSLATPSLFPSSADVIVPKSRKQFRAIKKYITGEEYDTEEGAIEAFTNIRDFLLLRMATNLQSLTGKREHRERNQPVPASNINTLAITMLKPRKKAKALPKT", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGVTLEGQRKESIWVLMRRQRARRALVKKIMIRPRKSVEASRRPCRAIHRRVKTLKELVPNTKTSEGLDGLFRQTADYILALEMKVKVMQTMVQVLTETNCV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSDTWSSIQAHKKQLDSLRERLQRRRKQDSGHLDLRNPEAALSPTFRSDSPVPTAPTSGGPKPSTASAVPELATDPELEKKLLHHLSDLALTLPTDAVSICLAISTPDAPATQDGVESLLQKFAAQELIEVKRGLLQDDAHPTLVTYADHSKLSAMMGAVAEKKGPGEVAGTVTGQKRRAEQDSTTVAAFASSLVSGLNSSASEPAKEPAKKSRKHAASDVDLEIESLLNQQSTKEQQSKKVSQEILELLNTTTAKEQSIVEKFRSRGRAQVQEFCDYGTKEECMKASDADRPCRKLHFRRIINKHTDESLGDCSFLNTCFHMDTCKYVHYEIDACMDSEAPGSKDHTPSQELALTQSVGGDSSADRLFPPQWICCDIRYLDVSILGKFAVVMADPPWDIHMELPYGTLTDDEMRRLNIPVLQDDGFLFLWVTGRAMELGRECLNLWGYERVDEIIWVKTNQLQRIIRTGRTGHWLNHGKEHCLVGVKGNPQGFNQGLDCDVIVAEVRSTSHKPDEIYGMIERLSPGTRKIELFGRPHNVQPNWITLGNQLDGIHLLDPDVVARFKQRYPDGIISKPKNL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPPAHKRDTNVRNLSAPYNIPSQSARVAAGNAAINRRRSSPVENSPGNGFPVSEDATDYPSGTTSENESLPLNRAPRSLREVASELAQEETLPVETSDLNIDVESEVFDLEDINFQNDADDINQRFTYNNHPASVENSLTNVNSIHAQPTTISDMIDLTDETSYDPRKQKFEQGKNPSTTNAEIEKEEPSKKQVVPSSQRLADYKCVICLDSPENLSCTPCGHIFCNFCILSALGTTAATQKCPVCRRKVHPNKVICLEMMLGSQKKKS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNQDKSKETSELDDLALPRSIIMRLVKGVLPEKSLVQKEALKAMINSATLFVSFLTSASGEIATNNNRKILMPQDVLNALDEIEYPEFSKTLKKHLEAYELALKEKRLKLPNVSDVDNRKKAKIDAHDTTPLDEEKDELEEERIAEDIAQNEVEQNIDDVEDLEEVNDTLDANAESPQIETIHLTDATGNPIEDSSESDSEESLQLNDSS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTDPRRRTGRHFLTPENLSSTLQITNLPPEWNQDIITSVVAGSGPVIDIKAKNDPRTGKLTGVLFDYLTSKDCKRAWEILNRIENFPVKIEQIIPPNYKDHLRETANKNSQKQVLQLNRDSYPFEAGLELPFEMVTEVPIPRRPPPPQAANNTNSVSNNTNIQFPDILSKASKHLPSFQDGSIIAPDKISQNLSKIPPLQLIEIISNLKILSNQENIQKSQLESFLDTNSDITISVTQALLEMGFIDYSVVTKVLKSQVGEAPSLLSSNNTSNSNTPVSVIRNNTPLHVPSNEVSNNPNNMPLNVAMPMPMSTPPFIPLPLQQQPFGFAPPGPFMPPAQGPSMGQPVLANQLGQVQQQNISSTEGPSNANKANDSGTINMAKLQLLPENQQDMIKQVLTLTPAQIQSLPSDQQLMVENFRKEYII", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MALPIIVKWGGQEYSVTTLSEDDTVLDLKQFLKTLTGVLPERQKLLGLKVKGKPAENDVKLGALKLKPNTKIMMMGTREESLEDVLGPPPDNDDVVNDFDIEDEVVEVENREENLLKISRRVKEYKVEILNPPREGKKLLVLDVDYTLFDHRSCAETGVELMRPYLHEFLTSAYEDYDIVIWSATNMKWIEAKMKELGVSTNANYKITFMLDSAAMITVHTPRRGLIDVKPLGVIWGKFSEFYSKKNTIMFDDIGRNFLMNPQNGLKIRPFMKAHLNRDKDKELLKLTQYLKEIAKLDDFLDLNHKYWERYLSKKQGQ", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAAPLLHTRLSGDVTAAASATLSASRTGLSDMLALESDFFNSPPKKTVRFGGTVTEVLLKYKKGETNDLELLKNQLSDPDIKDDQIINWLLEFRSSVMYLTKDFEQLINIILRLPWLNRSQRVVEEYLAFLGNLVSAQTVFLRPCLSMIASHFVPPRVIVKEGGIDVSDSDDEDDNLPAIFDTCHRALQIITRYVPSTPWFLMPILVEKFPFVRKSERTLECYVHNLLRISLYFPTLRREILELVIEKLLKLDVSVSRQDIEDAEEKAAQTCGGTDTTEGLFNMDEDEDTDPEKKADQEQPNQMAHPTAERLDVLLCLLLSYIEDVCRVHGKIDNNKTKDLYRDLISIFDKLLLPTHASCHVQFFMFFLCSFKLGFAEAFLEHLWKKLQDPNNPAIIRQAAANYIGSFLARAKFIPLITVKTCLDLLVNWLHMYLTNQDSGTKAFCDVALHGPFYSACQAVFYTVVFRHKQLLSGNLKQGLQYLQSLNFERIVLSQLNPLKICLPQVVNFFAAITNKYQLVFCYTIMERNSRQMLPVIRSTAGGDSVQTCTNPLDTFFPFDPCVLKRSKKFIDPIYQIWEDGSAEELQEFKKSTKKEVVEDEDDDFLKGEVPQSDTVTGLTPSSFDTHFQSPSSSVGSPPVLYIPGQSPLLTRIYD", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MARFVPSPPPNCLSYKSEGRLGEQDWQAHFKVPCCGVDPSQLESEEAEVDVRERDTQRDREPKRARDLTLRDSCTDNSMQFGTRTTAAEPGFMGTWQNADTNLLFRMSQQVPLACAGRVLGADFCPNLEEPDQRLEVQAIRCTLVNCTCECFQPGKINLRTCDQCKHGWVAHALDKLSTQHLYHPTQVEIVQSNVVFDISSLMLYGTQAVPVRLKILLDRLFSVLKQEEVLHILHGLGWTLRDYVRGYILQDAAGKVLDRWAIMSREEEIITLQQFLRFGETKSIVELMAIQEKEGQAVAVPSSKTDSDIRTFIESNNRTRSPSLLAHLENSNPSSIHHFENIPNSLAFLLPFQYINPVSAPLLGLPPNGLLLEQPGLRLREPSISTQNEYNESSESEVSPTPYKSDQTPNRNALTSITNVEPKTEPACVSPIQNSAPVSDLSKTEHPKSSFRIHRMRRMGSASRKGRVFCNACGKTFYDKGTLKIHYNAVHLKIKHRCTIEGCNMVFSSLRSRNRHSANPNPRLHMPMLRNNRDKDLIRATSGAATPVIASTKSNLTLTSPGRPPMGFTTPPLDPVLQNPLPSQLVFSGLKTVQPVPPFYRSLLTPGEMVSPPTSLPTSPIIPTSGTIEQHPPPPSEPIVPAVMMGTHEPSADLAPKKKPRKSSMPVKIEKEIIDTADEFDDEDDDPNDGGTVVNDMSHDNHCHSQDEMSPGMSVKDFSKHNRTRCISRTEIRRADSMTSEDQEPERDYENESESSEPKLGEESMEGDEHLHSEVSEKVLMNSERPDENHSEPSHQDVIKVKEEFTDPTYDMFYMSQYGLYNGGGASMAALHESFTSSLNYGSPQKFSPEGDLCSSPDPKICYVCKKSFKSSYSVKLHYRNVHLKEMHVCTVAGCNAAFPSRRSRDRHSANINLHRKLLTKELDDMSLDSSQPSLSKDLRDEFLMKIYGAQHPLGLDGREDASSPAGTEDSHLNGYGRGMAEDYMVLDLSTTSSLQSSSSVHSSRESDAGSDEGILLDDIDGASDSGESTHKAEAPTLPGSLGAEVSGSLMFSSLSGSNGGIMCNICHKMYSNKGTLRVHYKTVHLREMHKCKVPGCNMMFSSVRSRNRHSQNPNLHKNIPFTSID", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQACGGGAAGRRAFDSICPNRMLALPGRALLCKPGKPERKFAPPRKFFPGCTGGSPVSVYEDPPDAEPTALPALTTIDLQDLADCSSLLGSDAPPGGDLAASQNHSHQTEADFNLQDFRDTVDDLISDSSSMMSPTLASGDFPFSPCDISPFGPCLSPPLDPRALQSPPLRPPDVPPPEQYWKEVADQNQRALGDALVENNQLHVTLTQKQEEIASLKERNVQLKELASRTRHLASVLDKLMITQSRDCGAAAEPFLLKAKAKRSLEELVSAAGQDCAEVDAILREISERCDEALQSRDPKRPRLLPEPANTDTRPGNLHGAFRGLRTDCSRSALNLSHSELEEGGSFSTRIRSHSTIRTLAFPQGNAFTIRTANGGYKFRWVPS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKRKTAEVKGEKERNSKQISLEEDKIKGMFNPKIWDKTFQDGLKKEIEDSQPYNWGTIHELVNDDLLRAVRKEIETEIHFTKKETDIYRVNQSGDLANLSGLDWDDLSRLPNLFKLRQILYSKQYRDFFGYVTKAGKLSGSKTDMSINTYTKGCHLLTHDDVIGSRRISFILYLPDPDRKWKSHYGGGLRLFPSILPNVPHSDPSAKLVPQFNQIAFFKVLPGFSFHDVEEVKVDKHRLSIQGWYHIPQVGEEGYIPGEEEAWVRNNTSTLAQIESNVLEDFEFPKDERNILSFHEVKHFEKMLKGDAGAKTDNTPKESMTSVISDSVKLSEAEFTYLSQYISPEHLSSKGIEKLQKQFVENSSLQIESFLNDDKSELLKKVIKQKELEQECPYHSKDVKAPWKTAIPPHKARYLYIDGKEYRNFQTEADILEALNNNDLPNFQFTKDAIKIISDASGNSRENNFDAELALIDLAVFHKSTIFKKYLALLTSLCPVSEQILIRRFRPGMDFTLATKCRFNELLKSNPDIIDAVLEGTLCLTPSAGWESGELGGYELYMMDDDEDNKQYLKEDVEDASVYRADDSGDSVLINDPPAWNTFNLVLRDESVLEFVKYVSWSAKSSRWDVKMKWDVKSCDEDGQEDEA", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRRKGRCHRGSAARHPSSPCSVKHSPTRETLTYAQAQRMVEIEIEGRLHRISIFDPLEIILEDDLTAQEMSECNSNKENSERPPVCLRTKRHKNNRVKKKNEALPSAHGTPASASALPEPKVRIVEYSPPSAPRRPPVYYKFIEKSAEELDNEVEYDMDEEDYAWLEIVNEKRKGDCVPAVSQSMFEFLMDRFEKESHCENQKQGEQQSLIDEDAVCCICMDGECQNSNVILFCDMCNLAVHQECYGVPYIPEGQWLCRHCLQSRARPADCVLCPNKGGAFKKTDDDRWGHVVCALWIPEVGFANTVFIEPIDGVRNIPPARWKLTCYLCKQKGVGACIQCHKANCYTAFHVTCAQKAGLYMKMEPVKELTGGGTTFSVRKTAYCDVHTPPGCTRRPLNIYGDVEMKNGVCRKESSVKTVRSTSKVRKKAKKAKKALAEPCAVLPTVCAPYIPPQRLNRIANQVAIQRKKQFVERAHSYWLLKRLSRNGAPLLRRLQSSLQSQRSSQQRENDEEMKAAKEKLKYWQRLRHDLERARLLIELLRKREKLKREQVKVEQVAMELRLTPLTVLLRSVLDQLQDKDPARIFAQPVSLKEVPDYLDHIKHPMDFATMRKRLEAQGYKNLHEFEEDFDLIIDNCMKYNARDTVFYRAAVRLRDQGGVVLRQARREVDSIGLEEASGMHLPERPAAAPRRPFSWEDVDRLLDPANRAHLGLEEQLRELLDMLDLTCAMKSSGSRSKRAKLLKKEIALLRNKLSQQHSQPLPTGPGLEGFEEDGAALGPEAGEEVLPRLETLLQPRKRSRSTCGDSEVEEESPGKRLDAGLTNGFGGARSEQEPGGGLGRKATPRRRCASESSISSSNSPLCDSSFNAPKCGRGKPALVRRHTLEDRSELISCIENGNYAKAARIAAEVGQSSMWISTDAAASVLEPLKVVWAKCSGYPSYPALIIDPKMPRVPGHHNGVTIPAPPLDVLKIGEHMQTKSDEKLFLVLFFDNKRSWQWLPKSKMVPLGIDETIDKLKMMEGRNSSIRKAVRIAFDRAMNHLSRVHGEPTSDLSDID", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKKGGGRNKGFPQDDESSISLRQLMVNEGLIPSLEDEVKRRGVINQLRKIVVRWVKNVAWQHRLPQNQIDATNATILPYGSYGLGVYGSESDIDALCIGPFFASIAEDFFISLRDMLKSRREVSELHCVKDAKVPLIRFKFDGILVDLPYAQLRVLSIPNNVDVLNPFFLRDIDETSWKILSGVRANKCILQLVPSLELFQSLLRCVKLWAKRRGVYGNLNGFLGGVHMAILAAFVCGYQPNATLSSLLANFFYTFAHWQWPTPVVLLEDTYPSTGAPPGLMPIQLPCGSHQYCNSTITRSTFYKIVAEFLLGHNLTKDYLKLNFSWKDLFELYPYANTYTWFTKIHLSAANQEDLSDWVGWVKSRFRCLLIKIEEVYGICDPNPTEYVETYTKQPNIVFYWGLQLRTINVSDIESVKIDFLKNVNSGSFRGTVGRIQLTLVKASQLPKNGECGSNNRSKKVTKTCWRIREDKQCNNVPVYSKHLPGYVVGYQKMVNREADGMEVKC", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNKSLGPVSFKDVAVDFTQEEWQQLDPEQKITYRDVMLENYSNLVSVGYHIIKPDVISKLEQGEEPWIVEGEFLLQSYPDEVWQTDDLIERIQEEENKPSRQTVFIETLIEERGNVPGKTFDVETNPVPSRKIAYKNSLCDSCEKCLTSVSEYISSDGSYARMKADECSGCGKSLLHIKLEKTHPGDQAYEFNQNGEPYTLNEESLYQKIRILEKPFEYIECQKAFQKDTVFVNHMEEKPYKWNGSEIAFLQMSDLTVHQTSHMEMKPYECSECGKSFCKKSKFIIHQRTHTGEKPYECNQCGKSFCQKGTLTVHQRTHTGEKPYECNECGKNFYQKLHLIQHQRTHSGEKPYECSYCGKSFCQKTHLTQHQRTHSGERPYVCHDCGKTFSQKSALNDHQKIHTGVKLYKCSECGKCFCRKSTLTTHLRTHTGEKPYECNECGKFFSRLSYLTVHYRTHSGEKPYECNECGKTFYLNSALMRHQRVHTGEKPYECNECGKLFSQLSYLTIHHRTHSGVKPYECSECGKTFYQNSALCRHRRIHKGEKPYECYICGKFFSQMSYLTIHHRIHSGEKPYECSECGKTFCQNSALNRHQRTHTGEKAYECYECGKCFSQMSYLTIHHRIHSGEKPFECNECGKAFSRMSYLTVHYRTHSGEKPYECTECGKKFYHKSAFNSHQRIHRRGNMNVIDVGRLL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAPDISKKRLPCKVLVFNLPPTLPEQVFLQSINSFLPHVEWHRFSKGKATVGTRSELLSFAYLKFQSATAVQEFFRVYQGHTFIDKKNNTYRAIVTIAPYQKIPPSKVKADSLEGSLEQDPKFQEFKVQRESYSQTASNDDVIEKLQTSTPLLQYLAEKKNAVVEKGKSKPSKKSVKAKKKLRLAEKPASNNSKAGKSSQESKKSSKAPAESAAAVIKEDKVSDRKKSKKKPKKTPVSNSTASQASENASDKKTKEKKSSGKQKIASKKKDQLTTDNV", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MENSEKTEVVLLACGSFNPITNMHLRLFELAKDYMNGTGRYTVVKGIISPVGDAYKKKGLIPAYHRVIMAELATKNSKWVEVDTWESLQKEWKETLKVLRHHQEKLEASDCDHQQNSPTLERPGRKRKWTETQDSSQKKSLEPKTKAVPKVKLLCGADLLESFAVPNLWKSEDITQIVANYGLICVTRAGNDAQKFIYESDVLWKHRSNIHVVNEWIANDISSTKIRRALRRGQSIRYLVPDLVQEYIEKHNLYSSESEDRNAGVILAPLQRNTAEAKT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSFMNPVDMVDEDAADLQFPKEFENAETLLISEVHMLLDHRKRQNESADEEQEFSEVFMKTYAYTDSFRKFKNKETIMSARSLLMQKKLHKFELAALGNLCPEAPEEAKALIPSLEGRFEDEELRQILDDIGTKRSLQY", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNAPIIDPLQGDFPEVIEEYLEHGVIKCVAFNHRGSLLAAGCADGGCVIWDFETRGIAKEIRDNDCSAAITSVSWSKYGHRLLVSAADKSLTLWDVSTGEKIARTILQQTPLQARLNPGLSSPSLCLACPLSSAPMIVDFDIDCTTLLPVSVPEMPDVLAPPQRSKCPESNPPFSPAAACFNKCGDLVYIGNSKGEILIVDYKSVRVLALVSASGAAPVKNIVFSRNGQYLLTNSHDRTIRIYENLLPAKNVLKSLEDLGKNIDGLDGIEKMKTVGSKCLTLFREFQDSVTKMHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPIIVSVSLAGLVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPETEKVKVLDVNEDEEVDIDTVEKDAFSDSDMSVEELRYLPAEPIPDTNDQQDNLVESIKLIEGQISASPASEEAGQNGHHASSPQAEEMGETRGKRKRKPSEKAMELQAEKAKPLKGSGKTVRAKNRAAFDQETDDSINGGDDDDDAYY", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKPRPGVFVDRKLKQRVIQYLSSNRCGKYVDTGILASDLQRLYSVDYGRRKRNAFRIQVEKVFSIISSEKELKNLKELEDGHLAKRARQDEEDEYTESYSDDDSNMEDYPDPQSANPMNSSLLSLYRRGNSESVSTTPKWGQREATTSTPLLTSKTGSVPLKTPARESEGGWFIDKTPGGKKESLPLDLSDDQSNSKKQDSEIQILKDSSLLESDKKRKGRAKGKGNKRKTENLQEVDGEIEALLQKKAKARSTELQISNVKFEDVGGNDATLKEVCKMLIHMRHPEVYQHLGVVPPRGVLLHGPPGCGKTLLAHAIAGELDLPILKVAAPEIVSGVSGESEQKLRELFDQAVSNAPCIVFIDEIDAITPKREVASKDMERRIVAQLLTCMDDLNNVAATARVLVIGATNRPDSLDPALRRAGRFDREVCLGIPDEAARERILQTLCRKLRLPETFNFCHLAHLTPGFVGADLMALCREAAMCAVNRVLMKQQAQQKKKPEIEGLPSEGDQEERLGAEPTSETQDELQRLLGLLRDQDPLSEEQMQGLCIELNDFIVALAEVQPSAKREGFVTVPNVTWADIGALEDIRDELIMAILAPVRNPDQFRTLGLGTPAGILLAGPPGCGKTLLAKAVANESGLNFISVKGPELLNMYVGESERAVRQVFQRAKNSAPCVIFFDEVDALCPRRSDRETGASVRVVNQLLTEMDGLETRQQVFILAATNRPDIIDPAILRPGRLDKTLFVGLPPPADRVAILKTITKNGTKPPLDEDVNLETIANDLRCNCYTGADLTALVREASLCALRQEITAQKNGVGAGELKVSHKHFEDAFKKVKPSISIKDQVMYEALQRSLSQ", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLSGKKAAAAAAAAAAAATGTEAGPGTAGGSENGSEVAAQPAGLSGPAEVGPGAVGERTPRKKEPPRASPPGGLAEPPGSAGPQAGPTVVPGSATPMETGIAETPEGRRTSRRKRAKVEYREMDESLANLSEDEYYSEEERNAKAEKEKKLPPPPPQAPPEEENESEPEEPSGVEGAAFQSRLPHDRMTSQEAACFPDIISGPQQTQKVFLFIRNRTLQLWLDNPKIQLTFEATLQQLEAPYNSDTVLVHRVHSYLERHGLINFGIYKRIKPLPTKKTGKVIIIGSGVSGLAAARQLQSFGMDVTLLEARDRVGGRVATFRKGNYVADLGAMVVTGLGGNPMAVVSKQVNMELAKIKQKCPLYEANGQAVPKEKDEMVEQEFNRLLEATSYLSHQLDFNVLNNKPVSLGQALEVVIQLQEKHVKDEQIEHWKKIVKTQEELKELLNKMVNLKEKIKELHQQYKEASEVKPPRDITAEFLVKSKHRDLTALCKEYDELAETQGKLEEKLQELEANPPSDVYLSSRDRQILDWHFANLEFANATPLSTLSLKHWDQDDDFEFTGSHLTVRNGYSCVPVALAEGLDIKLNTAVRQVRYTASGCEVIAVNTRSTSQTFIYKCDAVLCTLPLGVLKQQPPAVQFVPPLPEWKTSAVQRMGFGNLNKVVLCFDRVFWDPSVNLFGHVGSTTASRGELFLFWNLYKAPILLALVAGEAAGIMENISDDVIVGRCLAILKGIFGSSAVPQPKETVVSRWRADPWARGSYSYVAAGSSGNDYDLMAQPITPGPSIPGAPQPIPRLFFAGEHTIRNYPATVHGALLSGLREAGRIADQFLGAMYTLPRQATPGVPAQQSPSM", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNDWMPIAKEYDPLKAGSIDGTDEDPHDRAVWRAMLARYVPNKGVIGDPLLTLFVARLNLQTKEDKLKEVFSRYGDIRRLRLVRDLVTGFSKGYAFIEYKEERAVIKAYRDADGLVIDQHEIFVDYELERTLKGWIPRRLGGGLGGKKESGQLRFGGRDRPFRKPINLPVVKNDLYREGKRERRERSRSRERHWDSRTRDRDHDRGREKRWQEREPTRVWPDNDWERERDFRDDRIKGREKKERGK", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MIRTVKPKNARAKRALVKREAKLVENVKQALFIPGQSCNKNLHDIMVDLSALKKPDMKRFNRKNDIHPFEDMSPLEFFSEKNDCSLMVLMTSSKKRKNNMTFIRTFGYKIYDMIELMVADNFKLLSDFKKLTFTVGLKPMFTFQGAAFDTHPVYKQIKSLFLDFFRGESTDLQDVAGLQHVISMTIQGDFQDGEPLPNVLFRVYKLKSYKSDQGGKRLPRIELVEIGPRLDFKIGRIHTPSPDMVTEAHKKPKQLEMKTKKNVELDIMGDKLGRIHMGKQDLGKLQTRKMKGLKSKFDQGTEEGDGEVDEDYEDEASYSDDGQEYEEEFVSATDIEPSAKRQKK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASATAAAAPGEAEETTRLRKPRFSFEENQILIREVRAHYPQLYGAQSRRVSVAERRRVWDSIATKINGITSWKRTGQEVQKRWNDFKRRTKEKLARVPHSTQGAGPAAEDAFSAEEETIFAILGPGVAGPGAGSGAEESRAAASSQPQASTASTQRYVLSEDRRQDRRADTPAQSKGGSSSPESWARPSCNPQEAKERESTSPAAMQPVQLPRLALSPPLPAPPPPPTALAQVAPSSPSPTPPRPTSAPEQSLDFLRAQQETANAIRELAGTLRQGLAKLSEALSALLPLLPGTPADPLPPPPPPPPPPPPKPVLPPSAPKVELAPEPVSVVAAVVDGAVVAARGVIISPRSEEGVPKPLPPAPPLPLHDSPPHKRRKGFPTRKRRGRWKSP", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MACATLKRALDWESMNQRPPKRRRCNPFGQAGSNAGPASPSRDGPSTSAGLPHTPSNRFAKDSTEPSPFSESSLAKMSPDKMAESLCNEIKRLHKRKQLPITSSALERMQDSESSGSEMGPESPRRPDSPQNLMRHGEKALFTFKQVQLICESMIKERENQLRERYESVLTTKLAEQYDAFVKFTYDQIQRRYEAAPSYLS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MILEGGGVMNLNPGNNLLHQPPAWTDSYSTCNVSSGFFGGQWHEIHPQYWTKYQVWEWLQHLLDTNQLDANCIPFQEFDINGEHLCSMSLQEFTRAAGTAGQLLYSNLQHLKWNGQCSSDLFQSTHNVIVKTEQTEPSIMNTWKDENYLYDTNYGSTVDLLDSKTFCRAQISMTTTSHLPVAESPDMKKEQDPPAKCHTKKHNPRGTHLWEFIRDILLNPDKNPGLIKWEDRSEGVFRFLKSEAVAQLWGKKKNNSSMTYEKLSRAMRYYYKREILERVDGRRLVYKFGKNARGWRENEN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDSTKEKCDSYKDDLLLRMGLNDNKAGMEGLDKEKINKIIMEATKGSRFYGNELKKEKQVNQRIENMMQQKAQITSQQLRKAQLQVDRFAMELEQSRNLSNTIVHIDMDAFYAAVEMRDNPELKDKPIAVGSMSMLSTSNYHARRFGVRAAMPGFIAKRLCPQLIIVPPNFDKYRAVSKEVKEILADYDPNFMAMSLDEAYLNITKHLEERQNWPEDKRRYFIKMGSSVENDNPGKEVNKLSEHERSISPLLFEESPSDVQPPGDPFQVNFEEQNNPQILQNSVVFGTSAQEVVKEIRFRIEQKTTLTASAGIAPNTMLAKVCSDKNKPNGQYQILPNRQAVMDFIKDLPIRKVSGIGKVTEKMLKALGIITCTELYQQRALLSLLFSETSWHYFLHISLGLGSTHLTRDGERKSMSVERTFSEINKAEEQYSLCQELCSELAQDLQKERLKGRTVTIKLKNVNFEVKTRASTVSSVVSTAEEIFAIAKELLKTEIDADFPHPLRLRLMGVRISSFPNEEDRKHQQRSIIGFLQAGNQALSATECTLEKTDKDKFVKPLEMSHKKSFFDKKRSERKWSHQDTFKCEAVNKQSFQTSQPFQVLKKKMNENLEISENSDDCQILTCPVCFRAQGCISLEALNKHVDECLDGPSISENFKMFSCSHVSATKVNKKENVPASSLCEKQDYEAHPKIKEISSVDCIALVDTIDNSSKAESIDALSNKHSKEECSSLPSKSFNIEHCHQNSSSTVSLENEDVGSFRQEYRQPYLCEVKTGQALVCPVCNVEQKTSDLTLFNVHVDVCLNKSFIQELRKDKFNPVNQPKESSRSTGSSSGVQKAVTRTKRPGLMTKYSTSKKIKPNNPKHTLDIFFK", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MIQNGGNSYVDSNMNETQNDTTDNFDAEMQDLNGYISEIVDEARNVSEVDAKFLGDTSALQAEGLWSDEESDYEGSDDESNFSKTASRTEDDIANEEWEENLKAVAGFRKVRKGHKGRGRVSRADMLPSVEVQQMLSLANHLFAQEGNFDEAQKLAEEIVRIDNNVIAAWKMLGECHRQRGNGRVNIEKCLIAWMAAAHLKPKDHELWFTCAKLSESLEFWDQADYCYNRAVSAKPPNKSELKKYIWNRSVLNKEHGSLKKAAEGFKFLLQSSPYNASILKNLAEIYIKIHAPREILKQFEIAWKYFYQYPAPPIGNDIFDLPTLNLYAELLLLDHQWSNLIRLINRGVRWFRGRKSESFWDEFDDDREWDVDERRREFPNASEEHTNKEAYLLPHLFRTKLGIARLKTGELPEAELHFSVIKNLPPDYAWGMLYDIAKAYMDIERLDLALEYFVLICNHEPAQNIGLWYNMGVCYLELKEYEHAQQCMEAILIVDNSNTNALIKLAEINELQDNRDAALEIVTNIFEQRRNINELEREQSQNEDHEKNVGSQLFVGNQKVPQDKWEKRARISRSKEEARQFTIWKTEETQRRFHKLDILRQSLKKEENVSESLNEWLAIASELIDEFVSIKAFFPSEKKARARAGLLTRRTRYASLNDQLTSMINRLNDSLTRTKYGDLDLDTILRTGYFRNVSIDAWYQLFVEFSLRLTKVGSVQQAYDVLTTAMGAILFDQDTIKRQNLRWCMLACSMYARDPQGALTPLRWVFTTFQFRQDTYRLFSAVLSQGYECSRAFVDSANQKFLLRLIKLMDQLMSNSLVSGAATLVKNDDGLATVPTSYDPVLVLLYGHIMARNRSWIPAINYYSRAFAINPDCPITNLSLGLAYLHRAMQRLSDNRHYQILQGFTFLYRYYDLRVNEGLGEKQEALYNLGKAYHFIGLEHYAVKYYEAVLGLSPMSQGDKMTSSESTVSTTYDFGFEAAYNLRLIYICSGNIKLAFQISSKYLIF", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGKRQHQKDKMYITCAEYTHFYGGKKPDLPQTNFRRLPFDHCSLSLQPFVYPVCTPDGIVFDLLNIVPWLKKYGTNPSNGEKLDGRSLIKLNFSKNSEGKYHCPVLFTVFTNNTHIVAVRTTGNVYAYEAVEQLNIKAKNFRDLLTDEPFSRQDIITLQDPTNLDKFNVSNFYHVKNNMKIIDPDEEKAKQDPSYYLKNTNAETRETLQELYKEFKGDEILAATMKAPEKKKVDKLNAAHYSTGKVSASFTSTAMVPETTHEAAAIDEDVLRYQFVKKKGYVRLHTNKGDLNLELHCDLTPKTCENFIRLCKKHYYDGTIFHRSIRNFVIQGGDPTGTGTGGESYWGKPFKDEFRPNLSHTGRGILSMANSGPNSNRSQFFITFRSCAYLDKKHTIFGRVVGGFDVLTAMENVESDPKTDRPKEEIRIDATTVFVDPYEEADAQIAQERKTQLKVAPETKVKSSQPQAGSQGPQTFRQGVGKYINPAATKRAAEEEPSTSATVPMSKKKPSRGFGDFSSW", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSLLDPRQFLLPAFYLDPTTQALLAQAASTSPCNKISSSSSFRISDILEQSPNNSSHSNDHDPSPQSIKSDFSTSPRASSPGGDRMGSPGSCKKSRKARTIFTDKQLQELENTFEKQKYLSVQDRMDLAHRMGLTDTQVKTWYQNRRTKWKRQATSGMDLLSEPGNLSAVQNLIRSSPYWANYITALPMGTQLPMMGLPMSMIVPPAHAFQPSSSSNSPSTHISSESPQLDVSSNSE", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAEPTGLLEMSELPGDSSVPQVGTASGVSDVLRGAVGGGVRVQEAREGPVAEAARSMARMPGPVPGPIPSSVPGLASAPDPHQQLAFLEINRQLLFREYLDGSSMIPVRLLRDFEERRRLFVEGCKAREAAFDADPPQMDFAAVAFTVALTASEALSPLAD", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKMRGEKRRDKVNPKSSQRKLNWIPSFPTYDYFNQVTLQLLDGFMITLSTDGVIICVAENISSLLGHLPAEIVGKKLLSLLPDEEKDEVYQKIILKFPLLNSETHIEFCCHLKRGNVEHGDSSAYENVKFIVNVRDICNEFPVVFSGLFSSHLCADFAACVPQEDRLYLVGNVCILRTQLLQQLYTSKAVSDEAVLTQDSDEEPFVGELSSSQGQRGHTSMKAVYVEPAAAAAAAAISDDQIDIAEVEQYGPQENVHMFVDSDSTYCSSTVFLDTMPESPALSLQDFRGEPEVNPLYRADPVDLEFSVDQVDSVDQEGPMDQQDPENPVAPLDQAGLMDPVDPEDSVDLGAAGASAQPLQPSSPVAYDIISQELELMKKLKEQLEERTWLLHDAIQNQQNALELMMDHLQKQPNTLRHVVIPDLQSSEAVPKKQQKQHAGQVKRPLPHPKDVKCFCGLSLSNSLKNTGELQEPCVAFNQQQLVQQEQHLKEQQRQLREQLQQLREQRKVQKQKKMQEKKKLQEQKMQEKKKLQEQRRQKKKKLQERKKWQGQMLQKEPEEEQQKQQLQEQPLKHNVIVGNERVQICLQNPRDVSVPLCNHPVRFLQAQPIVPVQRAAEQQPSGFYQDENCGQQEDESQR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDDKDIDKELRQKLNFSYCEETEIEGQKKVEESREASSQTPEKGEVQDSEAKGTPPWTPLSNVHELDTSSEKDKESPDQILRTPVSHPLKCPETPAQPDSRSKLLPSDSPSTPKTMLSRLVISPTGKLPSRGPKHLKLTPAPLKDEMTSLALVNINPFTPESYKKLFLQSGGKRKIRGDLEEAGPEEGKGGLPAKRCVLRETNMASRYEKEFLEVEKIGVGEFGTVYKCIKRLDGCVYAIKRSMKTFTELSNENSALHEVYAHAVLGHHPHVVRYYSSWAEDDHMIIQNEYCNGGSLQAAISENTKSGNHFEEPKLKDILLQISLGLNYIHNSSMVHLDIKPSNIFICHKMQSESSGVIEEVENEADWFLSANVMYKIGDLGHATSINKPKVEEGDSRFLANEILQEDYRHLPKADIFALGLTIAVAAGAESLPTNGAAWHHIRKGNFPDVPQELSESFSSLLKNMIQPDAEQRPSAAALARNTVLRPSLGKTEELQQQLNLEKFKTATLERELREAQQAQSPQGYTHHGDTGVSGTHTGSRSTKRLVGGKSARSSSFTSGEREPLH", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSQDRILLDLDVVNQRLILFNSAFPSDAIEAPFHFSNKESTSENLDNLAGTILHSRSITGHVFLYKHIFLEIVARWIKDSKKKDYVLVIEKLASIITIFPVAMPLIEDYLDKENDHFITILQNPSTQKDSDMFKILLAYYRLLYHNKEVFARFIQPDILYQLVDLLTKEQENQVVIFLALKVLSLYLDMGEKTLNDMLDTYIKSRDSLLGHFEGDSGIDYSFLELNEAKRCANFSKLPSVPECFTIEKKSSYFIIEPQDLSTKVASICGVIVPKVHTIHDKVFYPLTFVPTHKTVSSLRQLGRKIQNSTPIMLIGKAGSGKTFLINELSKYMGCHDSIVKIHLGEQTDAKLLIGTYTSGDKPGTFEWRAGVLATAVKEGRWVLIEDIDKAPTDVLSILLSLLEKRELTIPSRGETVKAANGFQLISTVRINEDHQKDSSNKIYNLNMIGMRIWNVIELEEPSEEDLTHILAQKFPILTNLIPKLIDSYKNVKSIYMNTKFISLNKGAHTRVVSVRDLIKLCERLDILFKNNGINKPDQLIQSSVYDSIFSEAADCFAGAIGEFKALEPIIQAIGESLDIASSRISLFLTQHVPTLENLDDSIKIGRAVLLKEKLNIQKKSMNSTLFAFTNHSLRLMEQISVCIQMTEPVLLVGETGTGKTTVVQQLAKMLAKKLTVINVSQQTETGDLLGGYKPVNSKTVAVPIQENFETLFNATFSLKKNEKFHKMLHRCFNKNQWKNVVKLWNEAYKMAQSILKITNTENENENAKKKKRRLNTHEKKLLLDKWADFNDSVKKFEAQSSSIENSFVFNFVEGSLVKTIRAGEWLLLDEVNLATADTLESISDLLTEPDSRSILLSEKGDAEPIKAHPDFRIFACMNPATDVGKRDLPMGIRSRFTEIYVHSPERDITDLLSIIDKYIGKYSVSDEWVGNDIAELYLEAKKLSDNNTIVDGSNQKPHFSIRTLTRTLLYVTDIIHIYGLRRSLYDGFCMSFLTLLDQKSEAILKPVIEKFTLGRLKNVKSIMSQTPPSPGPDYVQFKHYWMKKGPNTIQEQAHYIITPFVEKNMMNLVRATSGKRFPVLIQGPTSSGKTSMIKYLADITGHKFVRINNHEHTDLQEYLGTYVTDDTGKLSFKEGVLVEALRKGYWIVLDELNLAPTDVLEALNRLLDDNRELFIPETQEVVHPHPDFLLFATQNPPGIYGGRKILSRAFRNRFLELHFDDIPQDELEIILRERCQIAPSYAKKIVEVYRQLSIERSASRLFEQKNSFATLRDLFRWALRDAVGYEQLAASGYMLLAERCRTPQEKVTVKKTLEKVMKVKLDMDQYYASLEDKSLEAIGSVTWTKGMRRLSVLVSSCLKNKEPVLLVGETGCGKTTICQLLAQFMGRELITLNAHQNTETGDILGAQRPVRNRSEIQYKLIKSLKTALNIANDQDVDLKELLQLYSKSDNKNIAEDVQLEIQKLRDSLNVLFEWSDGPLIQAMRTGNFFLLDEISLADDSVLERLNSVLEPERSLLLAEQGSSDSLVTASENFQFFATMNPGGDYGKKELSPALRNRFTEIWVPSMEDFNDVNMIVSSRLLEDLKDLANPIVKFSEWFGKKLGGGNATSGVISLRDILAWVEFINKVFPKIQNKSTALIQGASMVFIDALGTNNTAYLAENENDLKSLRTECIIQLLKLCGDDLELQQIETNEIIVTQDELQVGMFKIPRFPDAQSSSFNLTAPTTASNLVRVVRAMQVHKPILLEGSPGVGKTSLITALANITGNKLTRINLSEQTDLVDLFGADAPGERSGEFLWHDAPFLRAMKKGEWVLLDEMNLASQSVLEGLNACLDHRGEAYIPELDISFSCHPNFLVFAAQNPQYQGGGRKGLPKSFVNRFSVVFIDMLTSDDLLLIAKHLYPSIEPDIIAKMIKLMSTLEDQVCKRKLWGNSGSPWEFNLRDTLRWLKLLNQYSICEDVDVFDFVDIIVKQRFRTISDKNKAQLLIEDIFGKFSTKENFFKLTEDYVQINNEVALRNPHYRYPITQNLFPLECNVAVYESVLKAINNNWPLVLVGPSNSGKTETIRFLASILGPRVDVFSMNSDIDSMDILGGYEQVDLTRQISYITEELTNIVREIISMNMKLSPNATAIMEGLNLLKYLLNNIVTPEKFQDFRNRFNRFFSHLEGHPLLKTMSMNIEKMTEIITKEASVKFEWFDGMLVKAVEKGHWLILDNANLCSPSVLDRLNSLLEIDGSLLINECSQEDGQPRVLKPHPNFRLFLTMDPKYGELSRAMRNRGVEIYIDELHSRSTAFDRLTLGFELGENIDFVSIDDGIKKIKLNEPDMSIPLKHYVPSYLSRPCIFAQVHDILLLSDEEPIEESLAAVIPISHLGEVGKWANNVLNCTEYSEKKIAERLYVFITFLTDMGVLEKINNLYKPANLKFQKALGLHDKQLTEETVSLTLNEYVLPTVSKYSDKIKSPESLYLLSSLRLLLNSLNALKLINEKSTHGKIDELTYIELSAAAFNGRHLKNIPRIPIFCILYNILTVMSENLKTESLFCGSNQYQYYWDLLVIVIAALETAVTKDEARLRVYKELIDSWIASVKSKSDIEITPFLNINLEFTDVLQLSRGHSITLLWDIFRKNYPTTSNSWLAFEKLINLSEKFDKVRLLQFSESYNSIKDLMDVFRLLNDDVLNNKLSEFNLLLSKLEDGINELELISNKFLNKRKHYFADEFDNLIRYTFSVDTAELIKELAPASSLATQKLTKLITNKYNYPPIFDVLWTEKNAKLTSFTSTIFSSQFLEDVVRKSNNLKSFSGNQIKQSISDAELLLSSTIKCSPNLLKSQMEYYKNMLLSWLRKVIDIHVGGDCLKLTLKELCSLIEEKTASETRVTFAEYIFPALDLAESSKSLEELGEAWITFGTGLLLLFVPDSPYDPAIHDYVLYDLFLKTKTFSQNLMKSWRNVRKVISGDEEIFTEKLINTISDDDAPQSPRVYRTGMSIDSLFDEWMAFLSSTMSSRQIKELVSSYKCNSDQSDRRLEMLQQNSAHFLNRLESGYSKFADLNDILAGYIYSINFGFDLLKLQKSKDRASFQISPLWSMDPINISCAENVLSAYHELSRFFKKGDMEDTSIEKVLMYFLTLFKFHKRDTNLLEIFEAALYTLYSRWSVRRFRQEQEENEKSNMFKFNDNSDDYEADFRKLFPDYEDTALVTNEKDISSPENLDDIYFKLADTYISVFDKDHDANFSSELKSGAIITTILSEDLKNTRIEELKSGSLSAVINTLDAETQSFKNTEVFGNIDFYHDFSIPEFQKAGDIIETVLKSVLKLLKQWPEHATLKELYRVSQEFLNYPIKTPLARQLQKIEQIYTYLAEWEKYASSEVSLNNTVKLITDLIVSWRKLELRTWKGLFNSEDAKTRKSIGKWWFYLYESIVISNFVSEKKETAPNATLLVSSLNLFFSKSTLGEFNARLDLVKAFYKHIQLIGLRSSKIAGLLHNTIKFYYQFKPLIDERITNGKKSLEKEIDDIILLASWKDVNVDALKQSSRKSHNNLYKIVRKYRDLLNGDAKTIIEAGLLYSNENKLKLPTLKQHFYEDPNLEASKNLVKEISTWSMRAAPLRNIDTVASNMDSYLEKISSQEFPNFADLASDFYAEAERLRKETPNVYTKENKKRLAYLKTQKSKLLGDALKELRRIGLKVNFREDIQKVQSSTTTILANIAPFNNEYLNSSDAFFFKILDLLPKLRSAASNPSDDIPVAAIERGMALAQSLMFSLITVRHPLSEFTNDYCKINGMMLDLEHFTCLKGDIVHSSLKANVDNVRLFEKWLPSLLDYAAQTLSVISKYSATSEQQKILLDAKSTLSSFFVHFNSSRIFDSSFIESYSRFELFINELLKKLENAKETGNAFVFDIIIEWIKANKGGPIKKEQKRGPSVEDVEQAFRRTFTSIILSFQKVIGDGIESISETDDNWLSASFKKVMVNVKLLRSSVVSKNIETALSLLKDFDFTTTESIYVKSVISFTLPVITRYYNAMTVVLERSRIYYTNTSRGMYILSTILHSLAKNGFCSPQPPSEEVDDKNLQEGTGLGDGEGAQNNNKDVEQDEDLTEDAQNENKEQQDKDERDDENEDDAVEMEGDMAGELEDLSNGEENDDEDTDSEEEELDEEIDDLNEDDPNAIDDKMWDDKASDNSKEKDTDQNLDGKNQEEDVQAAENDEQQRDNKEGGDEDPNAPEDGDEEIENDENAEEENDVGEQEDEVKDEEGEDLEANVPEIETLDLPEDMNLDSEHEESDEDVDMSDGMPDDLNKEEVGNEDEEVKQESGIESDNENDEPGPEEDAGETETALDEEEGAEEDVDMTNDEGKEDEENGPEEQAMSDEEELKQDAAMEENKEKGGEQNTEGLDGVEEKADTEDIDQEAAVQQDSGSKGAGADATDTQEQDDVGGSGTTQNTYEEDQEDVTKNNEESREEATAALKQLGDSMKEYHRRRQDIKEAQTNGEEDENLEKNNERPDEFEHVEGANTETDTQALGSATQDQLQTIDEDMAIDDDREEQEVDQKELVEDADDEKMDIDEEEMLSDIDAHDANNDVDSKKSGFIGKRKSEEDFENELSNEHFSADQEDDSEIQSLIENIEDNPPDASASLTPERSLEESRELWHKSEISTADLVSRLGEQLRLILEPTLATKLKGDYKTGKRLNMKRIIPYIASQFRKDKIWLRRTKPSKRQYQIMIALDDSKSMSESKCVKLAFDSLCLVSKTLTQLEAGGLSIVKFGENIKEVHSFDQQFSNESGARAFQWFGFQETKTDVKKLVAESTKIFERARAMVHNDQWQLEIVISDGICEDHETIQKLVRRARENKIMLVFVIIDGITSNESILDMSQVNYIPDQYGNPQLKITKYLDTFPFEFYVVVHDISELPEMLSLILRQYFTDLASS", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNDRSSRRRTMKDDETFEISIPFDEAPHLDPQIFYSLSPSRRNFEEPPEAASSALALMNSVKTQLHMALERNSWLQKRIEDLEEERDFLRCQLDKFISSARMEAEDHCRMKPGPRRMEGDSRGGAGGEASDPESAASSLSGASEEGSASERRRQKQKGGASRRRFGKPKARERQRVKDADGVLCRYKKILGTFQKLKSMSRAFEHHRVDRNTVALTTPIAELLIVAPEKLAEVGEFDPSKERLLEYSRRCFLALDDETLKKVQALKKSKLLLPITYRFKR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSDMLPLATYSLNVEPYSPTPALNFKIPVTIRITMAAIDPEPFDDDKKPSTLRIIKRNPELTRGEYYNQDNNDGLEEDESESEQEADVPKRSVKSKKGKAVEQSESEDSEDENEIDDEFEECVLLTLSPKGQYQQALDITIAPEEDVQFVVTGSYTISLTGNYVKHPFDNSSDSDEDEEDYYSDEESSNGEEEEEEEEEDDEELSSGDDDLDDLVDASDIESRLDELVKKDEKKKNNKKDSKRKHEEDEEESAKPAEKKQTTKKDKKAEKVKDSEESKPKPKTKLLEGGIIIEDRVTGKGPHAKKGTRVGMRYVGKLKNGKVFDKNTKGKPFVFKLGQGEVIKGWDIGVAGMAVGGERRIVIPAPYAYGKQALPGIPANSELTFDVKLVSMK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGLKGYSVGEGGGEIVEVQGGHIIRATGRKDRHSKVFTSKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKTAIDKLELGETTTTTTRQEPVNTKPESPTLVFQRENNDQTQFVAANLDPEDAMKTFFPATTTTNGGGGTNINFQNYPHQDDNNMVSRTTTPPPNLSQDLGLSLHPFQGNNNTVVVPETNNFTTTHFDTFGRISGWNHHDLTMTSSSSSEHQQQEQEERSNGGFMVNHHPHHHHHQPSMMTLLNSQQQQVFLGGQQQQQQRGTLQSSLFPHSFRSWDHHQTTSDHHHHQNQASSMFASSSQYGSHGMMMMQGLSFPNTTRLLHGEEATQPNSSSSPPNSHL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEANSGGGGGAEGGRAVTGGGGGGGGSDVELVSKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPSSGISWFLDLFNYYVNSEEHELFSKELQLDSKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSSPDEGWAAFRNILAEIHEASGLFVMPNQVKPSDGQEHLVDDVGAGFIPGHGSQQPSSSEHNVDRTIDSPGQEETGMTGVSKVIRADQKRFFFDLGNNNRGHFLRISEVAGSDRSSIILPLSGLKQFHEVIGHFVEITKDKIEGMTGANVRTVDPPQR", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSKLLETLEEEKTVEQKPRSQEEEDHQDSSKKEELLESLCTPTSSDHKIPEVETCPPPPRKRPREISLTKKTRLSKDLRFFEATDVGSQEVETLFVHEPNHVRKKRRSNSA", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDNTNRLRHLRSRKQSKFTLGDTAEVNSVKWEFINMTEQEEDLIFRMHRLVGDRWDLIAGRVVGREAKDIERYWIMRNCDHCSHKRRRVHKFYRFSISPP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASMNQPQPYMDVHSHLSSGQTYASHPATAGALTHYQYPQQPPVLQPTSTYGPASSYSQYPYPNSVASSQSVPPPTTSISSQVPAQLLPLPVTNHPVPTHGYGNNSGTPMQGYVYDPTGQMAPPGAKPRVTATLWEDEGSLCYQVEAKGVCVARREDNGMINGTKLLNVAGMTRGRRDGILKSEKVRNVVKIGPMHLKGVWIPFDRALEFANKEKITDLLYPLFVQHISNLLYHPANQNQRNMTVPDSRRLEGPQPVVRTPQAQQPPSLHHHSLQTPVPSHMSQPGGRPSLDRAHTFPTPPASASSLIGITSQNNSYDWNPGMNSSVPNTQPLSIDTSLSNARSMPTTPATTPPGNNLQGMQSYQPQSGYDSKPYYSAAPSTHPQYAPQQPLPQQSMAQYGHSMPTSSYRDMAPPSSQRGSVTEIESDVKTERYGQGTVAKTEPEQEQEYAQPDSGYNTGRGSYYTTNPSVGGLAHDHSQLTPDMTGSPQQNGSGRMTPRTSNTAPQWAPGYTTPPRPAAASSLYNIVSDTRGTSGANGSTSDNYSVASNSGYSTGMNGSMGSNKRMRDDDDDRIVPPDSRGEFDTKRRKTLTETPVGGPVGGVPLGLQPMKAGGSLISARR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDLHSILQPISTPSASVVTAPLPATIALPSPNYYYPPVAQGHYPVNNMWSLPSNVRVISSHGAPGHQTSASQPSTVMPALETNASNAQYYPAYSVINGNNSVQVASPAYTVSHSPHSFSNPRYVAVPQKSTSPNQVCSYCEPLPNHLTKTKSCSIPPILNSSDRSPLSLPTPYPVQYSTQPVSLPQPIAAPAPPSAESSKSTISDEDVAWQLIRLGALSSNSVKSSPSKSFVSISSPVQSTVKPTKASGVVKSEKVEKRSLPPQDFGNASSSTSAKRRRPDHNHTSTLDASSSNTSLASTGPMTVSSSTVERKGKEASEVNPNSTSSVTFSDFAAAISRSRCSRCKKSKKGCDRQRPCGRCRDAGLNSEDCISDDDMPVSNARKPRGRGRGRPKTKN", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MADFLARDYRSQGEAAHEMLPTFLIGKILRERIVDSIYWKEQCFGLNACSLVDRAVRLEYIGGQYGNQRPTEFICLLYKLLQIAPEKEIIQQYLSIPEFKYLRALAAFYVRLTWDDVEVHQTLEPLLRDYRKLRIRTNSEIRLTYLDEVVDDLLNAEVVCDISLPPLRSRLQLEDLDLLEPLSSSSDEEDDDEEQISKLESNEGAVDRNI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTSPSSDEDIIDIRVIKEEPESEPDSEAEPATTTNSTDSEDSVEQENKKLLETEKNRKREQKHKMLPNGTTSGTSDTGNQVPATSSAASSVDYTAMNAQDYLPTYSNTTLNYQPYQYQTAANGLLNYNNYSQYATANQLGSNYISPANFMQGGGISPLGFTTGTTGATTAAASVATSSASAVIGRSNGRSSSTVAASPADRSYSGVSGGQGQELTIQEFETVTEKIRRHGTYGQSKPPYSYISLITMAIQKSNSRQLTLSEIYNWIMDLFPYYQNNQQRWQNSIRHSLSFNDCFVKVARSPDKPGKGSFWTLHEHCGNMFENGCYLRRQKRFKVKEREPSRKKRNANSQQLHQQQHIPKMEIKEEDPTSITTTSSLGAYSLIPQISTKKEIKEELKAVQDATAAAANLGLIDPSGTPSAVNHSQPTSVISSVGTLGTTQAQMTLNGQYASPYLYSSDFATILPQSQNFLNNTLYNTTSSYPGIDYTNGVYQNTLYSSTNPNSAANL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPGPRPRKGPKTSGQGAETAKQLGLFVEFNPEDMLLGVDETEDDGDLEAELLALTGETASRSRKPAPKGQAPLPMAHIEKLAADCMRDVEEDEEEEGLEDDADLLTELQEVLGEDEEAGLLDGSEAASPDLCEEKTWDNTELPVEQAACQQAVPAAAQAGGPRGLQALLEERIRNYREAAASAKEAGEAAKARRCERGLKTLQSQLATVRKGGKICEDEIPPPVALGKRPPAPQERAIKNPEIDSPGPCAMEPGNLSQPESSLPAIAPLPDSDPDPQALLLARQREYKAAALDAKRAGDLDRARELMRIGKRFGTVLEALEKGQPVDLSGMPPAPADLKALPQASKASSATQGLSPAVEQMQPVMASDLPATPVAPAEPTTVLDALQQRLNKYREAGIQARANGDERKARMHDRIAKQYQDAVRAHQAGQKVDFAELPVPPGFPPIPGLEPRKGSEQDSVAATLATAQKLASEDAALVDDDEESDTPAQAPLAKKPAQTLVSPSHLLTEPKASSSKESLSPSVREQVTLLEARKLQYQRAALQAKRRQDLEQAKSHLRVAKSLEAQIIQARAGQPIDLSKVPSPLTDEEGDFILIHHEDLRLSQKAEEVYAQLQKMLQEQQAKCLLFSKQYMHQGNVAETTRFERLAEDRKKQLEILQLAQAQGLDPPSHHFELKTFQTVRIFSELNSTEMHLIIVRGMNLPAPPGVTPDDLDAFVRFEFHYPNSDQAQKSKTAVVKNTNSPEFEQVFKLNINRNHRGFRRVIQSKGIKFEIFHKGSFFRSDKLVGTAHLKLERLEKECEIREIMEVLDGRKPTGGKLEVKVRLREPLSSQDVQTVTENWLVLEPRGL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRCNACWRDLEGRAISTTCGHLLCTEDASKILSNDGACPICDQVLSKSLMKPVDINPNEEWINMAMAGISPQILMKSAYRSVMFYIAQRDLEMQYKMNRVVAQCRQKCEGMQAKFSEKMEQVHTAYQKMGKRCQMMEQEVENLTKDKQELQEKFSEKSRQKRKLDEMYDQLRSEYESVKRTAIQPANNFYPRHQEPDFFSNPAVNMMENRETIRKDRSFFSPATPGPKDEIWPARQNSSNSGPFDISTDSPAIPSDLGNRRAGRGHPVYGGGGTANPQSTLRNLILSPIKRSQLSRSRPQLFTL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNEEEHNKSVHWSIVYRQLGNLLEQYEVEIARLKSQLVLEKKLRIQVEKELESVKTKQISSSASSKVSSNTIQELDSTTDEDEIPGSDTVDEEDPSLNAPFSEKNQSVKIPPHSPTLPVQNASAFVKPISVPLGNVKEEKFLDTNPIGAESFESSDGEMHLRARSPEDMILLRETQPLAPLDINTLGVSDNRQKKGTEKKRPFEPEFLNDDVIRGNKRKALPAYECPDCQKFYELHGPVKESSVAPTWNDENRLGGGSLPNCKHQPLVQKVGRHRKLNIPKPIPNGFWESDFVD", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPGKLRSDAGLESDTAMKKGETLRKQTEEKEKKEKPKSDKTEEIAEEEETVFPKAKQVKKKAEPSEVDMNSPKSKKAKKKEEPSQNDISPKTKSLRKKKEPIEKKVVSSKTKKVTKNEEPSEEEIDAPKPKKMKKEKEMNGETREKSPKLKNGFPHPEPDCNPSEAASEESNSEIEQEIPVEQKEGAFSNFPISEETIKLLKGRGVTFLFPIQAKTFHHVYSGKDLIAQARTGTGKTFSFAIPLIEKLHGELQDRKRGRAPQVLVLAPTRELANQVSKDFSDITKKLSVACFYGGTPYGGQFERMRNGIDILVGTPGRIKDHIQNGKLDLTKLKHVVLDEVDQMLDMGFADQVEEILSVAYKKDSEDNPQTLLFSATCPHWVFNVAKKYMKSTYEQVDLIGKKTQKTAITVEHLAIKCHWTQRAAVIGDVIRVYSGHQGRTIIFCETKKEAQELSQNSAIKQDAQSLHGDIPQKQREITLKGFRNGSFGVLVATNVAARGLDIPEVDLVIQSSPPKDVESYIHRSGRTGRAGRTGVCICFYQHKEEYQLVQVEQKAGIKFKRIGVPSATEIIKASSKDAIRLLDSVPPTAISHFKQSAEKLIEEKGAVEALAAALAHISGATSVDQRSLINSNVGFVTMILQCSIEMPNISYAWKELKEQLGEEIDSKVKGMVFLKGKLGVCFDVPTASVTEIQEKWHDSRRWQLSVATEQPELEGPREGYGGFRGQREGSRGFRGQRDGNRRFRGQREGSRGPRGQRSGGGNKSNRSQNKGQKRSFSKAFGQ", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSEKGGKGLKSSLKSKDGGKDGSSTKLKKGRKIHFDQRTPPANYKILNVSSDQQPFQSSAAKCGKSDKPTKSSKNSLHSFELKDLPENAECMMDCEAFQILDGIKGQLVGLSEDPSIKIPVSYDRALAYVESCVHYTNPQSVRKVLEPLKTYGISDGEMCVIANASSESVDEVLAFIPSLKTKKEVINQPLQDALEELSKLKKSE", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNRFGTRLVGATATSSPPPKARSNENLDKIDMSLDDIIKLNRKEGKKQNFPRLNRRLLQQSGAQQFRMRVRWGIQQNSGFGKTSLNRRGRVMPGKRRPNGVITGLAARKTTGIRKGISPMNRPPLSDKNIEQYFPVLKRKANLLRQNEGQRKPVAVLKRPSQLSRKNNIPANFTRSGNKLNHQKDTRQATFLFRRGLKVQAQLNTEQLLDDVVAKRTRQWRTSTTNGGILTVSIDNPGAVQCPVTQKPRLTRTAVPSFLTKREQSDVKKVPKGVPLQFDINSVGKQTGMTLNERFGILKEQRATLTYNKGGSRFVTVG", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEETGDSKLVPRDEEEIVNDNDETKAPSEEEEGEDVFDSSEEDEDIDEDEDEARKVQEGFIVNDDDENEDPGTSISKKRRKHKRREREEDDRLSEDDLDLLMENAGVERTKASSSSGKFKRLKRVGDEGNAAESESDNVAASRQDSTSKLEDFFSEDEEEEESGLRNGRNNEYGRDEEDHENRNRTADKGGILDELDDFIEDDEFSDEDDETRQRRIQEKKLLREQSIKQPTQITGLSSDKIDEMYDIFGDGHDYDWALEIENEELENGNDNNEAEEEEIDEETGAIKSTKKKISLQDIYDLEDLKKNLMTEGDMKIRKTDIPERYQELRAGITDYGNMSSEDQELERNWIAEKISVDKNFDANYDLTEFKEAIGNAIKFITKENLEVPFIYAYRRNYISSREKDGFLLTEDDLWDIVSLDIEFHSLVNKKDYVQRFYAELHIDDPIVTEYFKNQNTASIAELNSLQDIYDYLEFKYANEINEMFINHTGKTGKKHLKNSSYEKFKASPLYQAVSDIGISAEDVGENISSQHQIHPPVDHPSSKPVEVIESILNANSGDLQVFTSNTKLAIDTVQKYYSLELSKNTKIREKVRSDFSKYYLADVVLTAKGKKEIQKGSLYEDIKYAINRTPMHFRRDPDVFLKMVEAESLNLLSVKLHMSSQAQYIEHLFQIALETTNTSDIAIEWNNFRKLAFNQAMDKIFQDISQEVKDNLTKNCQKLVAKTVRHKFMTKLDQAPFIPNVRDPKIPKILSLTCGQGRFGADAIIAVYVNRKGDFIRDYKIVDNPFDKTNPEKFEDTLDNIIQSCQPNAIGINGPNPKTQKFYKRLQEVLHKKQIVDSRGHTIPIIYVEDEVAIRYQNSERAAQEFPNKPPLVKYCIALARYMHSPLLEYANLTSEEVRSLSIHPHQNLLSSEQLSWALETAFVDIVNLVSVEVNKATDNNYYASALKYISGFGKRKAIDFLQSLQRLNEPLLARQQLITHNILHKTIFMNSAGFLYISWNEKRQKYEDLEHDQLDSTRIHPEDYHLATKVAADALEYDPDTIAEKEEQGTMSEFIELLREDPDRRAKLESLNLESYAEELEKNTGLRKLNNLNTIVLELLDGFEELRNDFHPLQGDEIFQSLTGESEKTFFKGSIIPVRVERFWHNDIICTTNSEVECVVNAQRHAGAQLRRPANEIYEIGKTYPAKVIYIDYANITAEVSLLDHDVKQQYVPISYSKDPSIWDLKQELEDAEEERKLMMAEARAKRTHRVINHPYYFPFNGRQAEDYLRSKERGEFVIRQSSRGDDHLVITWKLDKDLFQHIDIQELEKENPLALGKVLIVDNQKYNDLDQIIVEYLQNKVRLLNEMTSSEKFKSGTKKDVVKFIEDYSRVNPNKSVYYFSLNHDNPGWFYLMFKINANSKLYTWNVKLTNTGYFLVNYNYPSVIQLCNGFKTLLKSNSSKNRMNNYR", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSKSGFGSYGSISAADGASGGSDQLCERDATPAIKTQRPKVRIQDVVPCNVNQLLSSTVFDPVFKVRGIIVSQVSIVGVIRGAEKASNHICYKIDDMTAKPIEARQWFGREKVKQVTPLSVGVYVKVFGILKCPTGTKSLEVLKIHVLEDMNEFTVHILETVNAHMMLDKARRDTTVESVPVSPSEVNDAGDNDESHRNFIQDEVLRLIHECPHQEGKSIHELRAQLCDLSVKAIKEAIDYLTVEGHIYPTVDREHFKSAD", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKRDHHQFQGRLSNHGTSSSSSSISKDKMMMVKKEEDGGGNMDDELLAVLGYKVRSSEMAEVALKLEQLETMMSNVQEDGLSHLATDTVHYNPSELYSWLDNMLSELNPPPLPASSNGLDPVLPSPEICGFPASDYDLKVIPGNAIYQFPAIDSSSSSNNQNKRLKSCSSPDSMVTSTSTGTQIGGVIGTTVTTTTTTTTAAGESTRSVILVDSQENGVRLVHALMACAEAIQQNNLTLAEALVKQIGCLAVSQAGAMRKVATYFAEALARRIYRLSPPQNQIDHCLSDTLQMHFYETCPYLKFAHFTANQAILEAFEGKKRVHVIDFSMNQGLQWPALMQALALREGGPPTFRLTGIGPPAPDNSDHLHEVGCKLAQLAEAIHVEFEYRGFVANSLADLDASMLELRPSDTEAVAVNSVFELHKLLGRPGGIEKVLGVVKQIKPVIFTVVEQESNHNGPVFLDRFTESLHYYSTLFDSLEGVPNSQDKVMSEVYLGKQICNLVACEGPDRVERHETLSQWGNRFGSSGLAPAHLGSNAFKQASMLLSVFNSGQGYRVEESNGCLMLGWHTRPLITTSAWKLSTAAY", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDDDQQFCLRWNNHQSTLISVFDTLLENETLVDCTLAAEGKFLKAHKVVLSACSPYFATLLQEQYDKHPIFILKDVKYQELRAMMDYMYRGEVNISQDQLAALLKAAESLQIKGLSDNRTGGGVAPKPESSGHHRGGKLSGAYTLEQTKRARLATGGAMDTSGDVSGSREGSSSPSRRRRKVRRRSMENDAHDNSNSSVLQAAASNQSILQQTGAGLAVSALVTTQLSSGPAAGTSSQASSTQQQQPLTSTNVTKKTESAKLTSSTAAPASGASASAAVQQAHLHQQQAQTTSDAINTENVQAQSQGGAQGVQGDDEDIDEGSAVGGPNSATGPNPASASASAVHAGVVVKQLASVVDKSSSNHKHKIKDNSVSSVGSEMVIEPKAEYDDDAHDENVEDLTLDEEDMTMEELDQTAGTSQGGEGSSQTYATWQHDRSQDELGLMAQDAQQRDPQASKQDKGEQTEGAQDEFELDDCLLESNDIVITQNKDGFVLHVKKLGNITAAKLEENQAVAQQQGQAAVTVTGPAGQPTPTITELLNAAAASHSEPKPTLTTLTSTPIKLPSSECELINIKKIIPATTTIATHHPHTSSTIIHPHHIIQHVSQEPHHQEHHQQHQTIHIEEVPQTSQQHHQQQHHHQLQTVQPTHTQVQSIITAHPGQTINLVGLRNVQLADSKPIASRIRYSRGKIIGPTVQNLQIVETHEPIQHQHHELSDGTKYEISEIDLNNPNASAAIISDLVKYAEIDDIELPDGTKIGIGFAPSEITEHMQTSGGETHITTIEHEPQELQTVHQHEQTQQTHHIHAGQLQTHHIQTVVQSSSGQQQHDQQQHHQHHSIELQDDDGVETITPEELGMHDSSKSYTILTTRPMKEESEHDPSGMTYELSLSDSSLGPCDDPESRYVCRHCGKKYRWKSTLRRHENVECGGKEPCHPCPYCSYKAKQRGNLGVHVRKHHPEKPQLESKRGRKV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVGKARSSNFTLSEKLDLLKLVKPYVKILEEHTNKHSVIVEKNRCWDIIAVNYNAIGVDRPPRTAQGLRTLYKRLKEYAKQELLQQKETQSDFKSNISEPTKKVMEMIPQISSFCLVRDRNHIQSANLDEEAQAGTSSLQVMLDHHPVAITVEVKQEEDIKPPPPLVLNSQQSDTLEQREEHELVHVMERSLSPSLSSVDMRMTSSPSSIPRRDDFFRHESGEHFRSLLGYDPQILQMLKEEHQIILENQKNFGLYVQEKRDGLKRRQQLEEELLRAKIEVEKLKAIRLRHDLPEYNSL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDNSIVEENGNSSAASGSNDVVDVVAQQAAAAVGGGGGGGGGGGGGNPQQQQQNPQSTTAGGPTGATNNAQGGGVSSVLTTTANCNIQYPIQTLAQHGLQVSIWGPGAWCQLSSVRCYGSQPEVATKDVQSVIQANPSGVIQTAAGTQQQQQALAAATAMQKVVYVAKPPNSTVIHTTPGNAVQVRNKIPPTFPCKIKPEPNTQHPEDSDESLSDDDSQHHRSELTRRPSYNKIFTEISGPDMSGASLPMSDGVLNSQLAGTGAGGNAANSSLMQLDPTYYLSNRMSYNTNNSGIAEDQTRKREIRLQKNREAARECRRKKKEYIKCLENRVAVLENQNKALIEELKSLKELYCQTKND", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MQRRQSSKHSKRPLQVHHSNQTDLSAWRKGGTVDTEKSAQNRQSLSDQKNDNEQDSLEQALSYFEKIQDRVSLKKSEVLQKHLSTMESIALKRGLPPEGFDVLLDVALSGKLADTVNTRLLKSLIPASAIPESSIVSSVSWFCVSKCSSNIQLLFLRWLITMFDFIDHKEQVHALYGIFFSFLNDEKLCPYICHVLYLLTRKENVKPFRVRRLLDLQSKMGMQPHLQALLSLYKLFCPELVSITLPQKMKTYFKNADGPWKAAINAVRQRNQANSTVPQPLLLGTAQPHSRKRKWNTQLIVPASSANAQNLVVGGKMSRADSYSANESFPVEQLRTFPQLLQNIHRLEFPSQMGSVLTNPLLLHYMNCSKDESVYLRLYYWMGQTLQEECTWCVVDNNQYEEEFRGFLETVYKAECFLQEGFPSCEEFLYRSLPLWDGVSCRSQILQLVSWIPLSTFSEMKSQLCDPLAQLFFTSSLYFKCSVLESLKELLQNWLNWHVVQLDSESDSQFSSLNTTLSGLVNGVAELINFVGRISTAALHLEKSHTFLLYFILDFYETVCDIYLKYKLPLLIMPPAGVFYPALLSMDSVNLNQLCYIMYRYRTNLIAAKENEMSKKKIQQFKFSSQTYQEYNQYIIAMVGCLWTSSAFQKDNHPEGIRLDDELLKKTGVREYKNSFNIVYHPALMCYAVDFLQQAWPDDTTFNFNLIKGKKWNWYLRYLYGQGLEGLKLFIESSINRVSKASQSKAEDEDEKV", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPKRKAAGDVSQEPKRRSARLSAMPVPFTPELKPKRASTSRKTKTTNVVEENKDASTIPIPETKPEDVKDECNMENAENGEAKIMEAPIPKMEAEEVKEQINEDTEEDGGEKKEAVAAEAKDDELKANIQDVEKDEDGKEHKDTGEEVEDGKIEEEGLNEKPGTAKSEDAEVSKDEEEKGDNEKGEDGKEEGDEKEEEKDDKEGDTGTEKEVKEQNKEAEEDDGKCKEEENKEVGKEGQPEEDGKEDLHEEVGKEDLHEEDGKEGQPEEDGKEIHHEEDGKEGQPEEDGKEYLHEEDGEEGQPKEDQKEGQPEEDGKEDQPEEDGKEGQCKEDGKEGHHEEGGKEDLHEEDGKEKDGGKEDRKEEGEQEVAVDEGSDENKVEAEEEGAENKDFKQDGEKEEPLSIV", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MHLPAGPTMVANNTQVLAAAAAAAAAAAAAVAQGPGPQQSSNATTASAIAINPAQSLANTSTHSASSTGSSTPDLSTNNTSSSSNATTSPQNSAKMPSSMTDMFASLHEMLQEYHGELAQTGSPSILCSALPNHWRSNKSLPGAFKVIALDDVPDGTLVSIKCGNDENYCGELRNCTTTMKNQVAKFNDLRFVGRSGRGKSFTLTITIATYPVQIASYSKAIKVTVDGPREPRSKQSYGYPHPGAFNPFMLNPAWLDAAYMTYGYADYFRHQAAAQAAQVHHPALAKSSASSVSPNPNPSVATSSSSAVQPSEYPHPAAAVAAAAGQPAAMMPSPPGAAPATPYAIPQFPFNHVAAAAAAKAATPHAFHPYNFAAAAGLRARNAALHHQSEPVHVSPASSRPSSSSPTQQHVLLKLNTSIETSSIHEQSASDGDSDDEQIDVVKSEFDLDKSLDVAPLRMRCDLKAPSAMKPLYHESGPGAVANSRQPSPETTTKIKSAAVQQKTVWRPY", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTKYNSGSSEMPAAQTIKQEYHNGYGQPTHPGYGFSAYSQQNPIAHPGQNPHQTLQNFFSRFNAVGDASAGNGGAASISANGSGSSCNYSHANHHPAELDKPLGMNMTPSPIYTTDYDDENSSLSSEEHVLAPLVCSSAQSSRPCLTWACKACKKKSVTVDRRKAATMRERRRLRKVNEAFEILKRRTSSNPNQRLPKVEILRNAIEYIESLEDLLQESSTTRDGDNLAPSLSGKSCQSDYLSSYAGAYLEDKLSFYNKHMEKYGQFTDFDGNANGSSLDCLNLIVQSINKSTTSPIQNKATPSASDTQSPPSSGATAPTSLHVNFKRKCST", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLETYSNLVAVVGSCISKPNLIVLLEQEKEPWMAVNEETGRPSPDLEADYDAENISPQNRIYNRKFSKQSIKQLSRTFDPKGSWFSNGPNYSTFHGLRDCQSDAGQQITNKEGVPPHTCQTLAHNTEKPYECKECGKCFGCRSTLTQHQSVHTGEKPYECKECGKAFRLPQQLTRHQKCHSGEKPFSHNEGRQAFQHPNLLKYPKAIHTGAKAFACRECGKSFNRVSSLVEHGLIHADVKPYECNECGKAFKRHRSFVRHQKIHSGERPFQCKDCGKGFIVLAHLTRHQSSHSEEKPFECEECGKKFRTARHLVKHQRIHSGEKPFECNVCGSAFRLQLYLSEHQKTHMEEKYLECNVCGKAFRLQDILSEHLKTHTEENPFKCKLCGSSFPHKYQLNKHLTVHTDGKPYQCKECGKCFRQRSKLTEHESIHTGKKPFQCEACGKSLANTLLIHHQKSHSGERPFECKECGKAFLLPSQLNSHKIVHTSKRPFECKVCGKSFKRESNLIQHGAVHAGVKSYECSECGKGFIDRSSLFHHRKIHSDEKPFKCQECGKAFVVLAYLIEHQSIHTGEKPFECELCGSAFRCRSQLNKHLRIHTVRNITTVKNVGRPLVEYETCFDILNIIVIRSLMM", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDKYALLQRAKLHLDFIHANSTTHSFLFGALAELLDNARDAGAVRLDVFSVDNETLQGGFMLCFLDDGCGMSPDEASDVIYFGTSKKRLSTLKFIGQYGNGLKSGSMRIGKDCILFTKKEETMTCLFFSQTFCEKEGLTEVVVPIPSWLTRTRESITDDPQKFFTELSIIFKYSPFKTEAELMQQFDMIYGRCGTLLIIYNLKLLLSGEPELDVTTDKEDILMAEAPEEIPERRSFRAYTAVLYFEPRMKIFIQAKRVQTKHLCYSLYKPRKYQYTTSSFKGKFKTEVQKAEEAVKRAELLFKEVQAKVNQPDRIALSSTQDALQKALQDVDTKHKSLRQKQRALRKARTLSLFFGVNTEDQHQAGMFIYSNNRLIKMYEKVGPQLKMKSLLGAGIIGIVNIPLETMEPSHNKQEFLNVQEYNHLLKVMGQYLIQYCKDIGISNRNLTLFWDEFKYQHSKDTDSSLESLQWRRRQAMGIPFILQCDLCLKWRVLPSSSNYQEKGLPDLWICASNPNNLENSCNQIERLPSIPLGTVNRRPPSKDERERQLQESVQRYQDKLVEAQPQKSQLIVTSKIPEFKSSCLSSALKEKSKLGRIQPSGADLTQGSPSSVKLSFMQRSQKRSTEDTHSDVEFICMTKIPKKSVKKTVKYLQPGHAPALLENLKLEDTAQVSSREIKKQQSESLVQAGKASTDVASSRDPTVTMVWDQSSTKVSLKQEEEEEVPLIKPDKQELCDDTPVVKGNSSALHWKSLPGVQMEDLSPRSGHKINSVSGDCQLPASPMPSQSMSVEETARKLLSNLREILLYFVPEFQLSSEFECTSVEELITNPELERCPENINEKLKTCFNQIQNIYMAQYEKRLKRKMQSIVYEANRRGLLNQVFLGQCELKRKRTEEKLSDLRAKLALLLQKLQLGGPAGDPQQIDAYLEDLLKEDRLPTALHEKSPESA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSLVQSAMFNHHMGPSHTRSRSFELFQEAKTLRVAACKRSYSDDLNISTKRARTAPPSPPYETATPTRASRLVISNLVKPTSNLRSRSLSPNKKFSSPLSPSSSPIATVTSPISSPQNIKIKLPSISDALNLPPITSKEPVKLKPIVPTVSLDYFDTYKPNDENWRYGLLDKIAKESKHFHLNQYNYLNDHAKPSFDSKLSSKIQQSSIANGKKINFPYESNYTYLNKTYLNDVKNYPEYLELAAESLLQLKERQLPPPPLQSHQKQHPPPPPHLPVQGLLPVPAPPVSHFSSSYTTPQAPTFYHPPSQQQQQTPPPQTLHHQQPQPPISTHKFIPISPPSAKKSRSDLLKSPPKHHHHHAPRVCISCGSDQSPCWRPSWSIKQGQLCNSCGLRYKKTKARCLNDKCKKIPAKGEWTLMQSKGKETFEDGIEGYSCIACGWRVEVLPKS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQTRSSSSPSANHRRETQLQIADPTSFCGKIMPGWTVVNRPRSSNNGVVDTYFIEPGTGRQFSSLEAIHRHLAGEVNDRRLTRAGSFFQDKTRVYEGSRTKQDHCGVEYASKGFRLPRGWSVEEVPRKNSHYIDKYYVERKTGKRFRSLVSVERYLRESRNSIEQQLRVLQNRRGHSKDFRLPDGWIVEEKPRRSSSHIDRSYIEPGTGNKFRSMAAVERYLISVGNITLDSVSMVHSERLPLLMNRNGIRFQSEVIDPNPPKKVKWVLTGSGGNMFTANVRGSNVSSLVKHTWSEAFVSLIEDRS", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAVIPAKKRGRPRKSVVAEVPYDSLASPVSENSGSKRPRRNASKKAVANFAQLVHAGRDDVINTTQVNNVDDTDDDDFVLNDEGDGEESDNVEIEFENELESTKNEVADLNSSGSGASVRPSGRRNTVQKLRLKKNSTKNMKSSSPGSSLGQKGRPIRLLKDLSSARDKIERIYGLNKEKLLLLAKVKEGFETSVFDFPFKNIQPDSPYFVCLDPPCKKESAYNKVIGDKNRTVYHEINKTEFENMIKLRTKRLKLLIGEVDAEVSTGDKIEFPVLANGKRRGFIYNVGGLVTDIAWLNIEENTDIGKDIQYLAVAVSQYMDEPLNEHLEMFDKEKHSSCIQIFKMNTSTLHCVKVQTIVHSFGEVWDLKWHEGCHAPHLVGCLSFVSQEGTINFLEIIDNATDVHVFKMCEKPSLTLSLADSLITTFDFLSPTTVVCGFKNGFVAEFDLTDPEVPSFYDQVHDSYILSVSTAYSDFEDTVVSTVAVDGYFYIFNPKDIATTKTTVSRFRGSNLVPVVYCPQIYSYIYSDGASSLRAVPSRAAFAVHPLVSRETTITAIGVSRLHPMVLAGSADGSLIITNAARRLLHGIKNSSATQKSLRLWKWDYSIKDDKYRIDSSYEVYPLTVNDVSKAKIDAHGINITCTKWNETSAGGKCYAFSNSAGLLTLEYLS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MADGVDHIDIYADVGEEFNQEAEYGGHDQIDLYDDVISPSANNGDAPEDRDYMDTLPPTVGDDVGKGAAPNVVYTYTGKRIALYIGNLTWWTTDEDLTEAVHSLGVNDILEIKFFENRANGQSKGFALVGVGSEASSKKLMDLLPKRELHGQNPVVTPCNKQFLSQFEMQSRKTTQSGQMSGEGKAGPPGGSSRAAFPQGGRGRGRFPGAVPGGDRFPGPAGPGGPPPPFPAGQTPPRPPLGPPGPPGPPGPPPPGQVLPPPLAGPPNRGDRPPPPVLFPGQPFGQPPLGPLPPGPPPPVPGYGPPPGPPPPQQGPPPPPGPFPPRPPGPLGPPLTLAPPPHLPGPPPGAPPPAPHVNPAFFPPPTNSGMPTSDSRGPPPTDPYGRPPPYDRGDYGPPGREMDTARTPLSEAEFEEIMNRNRAISSSAISRAVSDASAGDYGSAIETLVTAISLIKQSKVSADDRCKVLISSLQDCLHGIESKSYGSGSRRERSRERDHSRSREKSRRHKSRSRDRHDDYYRERSRERERHRDRDRDRDRERDREREYRHR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAINPWASWGALTDQSWGMTAVDPWASWALCPQYPAWHVEGSLEEGRRATGLPAAQVQEPVTFKDVAVDFTQEEWGQLDLVQRTLYRDVMLETYGHLLSVGNQIAKPEVISLLEQGEEPWSVEQACPQRTCPEWVRNLESKALIPAQSIFEEEQSHGMKLERYIWDDPWFSRLEVLGCKDQLEMYHMNQSTAMRQMVFMQKQVLSQRSSEFCGLGAEFSQNLNFVPSQRVSQIEHFYKPDTHAQSWRCDSAIMYADKVTCENNDYDKTVYQSIQPIYPARIQTGDNLFKCTDAVKSFNHIIHFGDHKGIHTGEKLYEYKECHQIFNQSPSFNEHPRLHVGENQYNYKEYENIFYFSSFMEHQKIGTVEKAYKYNEWEKVFGYDSFLTQHTSTYTAEKPYDYNECGTSFIWSSYLIQHKKTHTGEKPYECDKCGKVFRNRSALTKHERTHTGIKPYECNKCGKAFSWNSHLIVHKRIHTGEKPYVCNECGKSFNWNSHLIGHQRTHTGEKPFECTECGKSFSWSSHLIAHMRMHTGEKPFKCDECEKAFRDYSALSKHERTHSGAKPYKCTECGKSFSWSSHLIAHQRTHTGEKPYNCQECGKAFRERSALTKHEIIHSGIKPYECNKCGKSCSQMAHLVRHQRTHTGEKPYECNKCGKSFSQSCHLVAHRRIHTGEKPYKCNQCERSFNCSSHLIAHRRTHTGEKPYRCNECGKAFNESSSLIVHLRNHTGEKPYKCNHCEKAFCKNSSLIIHQRMHSGEKRFICSECGKAFSGHSALLQHQRNHSEEKLN", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAPKKAVAAPEGGNKENAAVKGSSKVKVKRKSIKLVKTQSHPPTLSMVVEVLKKNTERKGTSVQAIRTRILSAHPTVDPLRLKFLLRTALNKGLEKGILIRPLNSSATGATGRFKLAKPVKTTKAGKENVASENVDPNAEQETQKKAPKKEKKAKTEKEPKGEKTKAVAKKAKEDSDEKPKVAKSKKDKEAKEVDKANKEAKEVDKANKEAKEVDKAPAKKPKAKTEAAKAEGGGKAKKEPPKAKAKDVKAQKDSTDEGAPVKAGKKGKKVTN", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGDSGDPFLRNPNAAVQARAKVQNRANVLQLKLMGQSHPTGLTNNLLKLFEPRPPLEYKPPPEKRKCPPYTGMAQFVSNFAEPGDPEYAPPKPEVELPSQKRERIHKLRLEKGVEKAAEDLKKYDPNNDPNATGDPYKTLFVSRLNYESSESKIKREFESYGPIKRVHLVTDQLTNKPKGYAFIEYMHTRDMKAAYKQADGQKIDGRRVLVDVERGRTVPNWRPRRLGGGLGTSRVGGGEEIVGEQQPQGRTSQSEEPSRPREEREKSREKGKERERSRELSHEQPRERSRDRPREDKHHRDRDQGGRDRDRDSRRDRDRTRDRGDRDRRDRDRGRDRTSRDHDRDRSRKKERDYEGGEYEHEGGGRSRERDAEYRGEPEETRGYYEDDQGDTDRYSHRYDKMEEDDFRYEREYKRSKRSESREYVR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MACLNPVPKLYRSVIEDVIEGVRNLFAEEGIEEQVLKDLKQLWETKVLQSKATEDFFRNSIQSPLFTLQLPHSLHQTLQSSTASLVIPAGRTLPSFTTAELGTSNSSANFTFPGYPIHVPAGVTLQTVSGHLYKVNVPIMVTETSGRAGILQHPIQQVFQQLGQPSVIQTSVPQLNPWSLQATTEKSQRIETVLQQPAILPSGPVDRKHLENATSDILVSPGNEHKIVPEALLCHQESSHYISLPGVVFSPQVSQTNSNVESVLSGSASMAQNLHDESLSTSPHGALHQHVTDIQLHILKNRMYGCDSVKQPRNIEEPSNIPVSEKDSNSQVDLSIRVTDDDIGEIIQVDGSGDTSSNEEIGSTRDADENEFLGNIDGGDLKVPEEEADSISNEDSATNSSDNEDPQVNIVEEDPLNSGDDVSEQDVPDLFDTDNVIVCQYDKIHRSKNKWKFYLKDGVMCFGGRDYVFAKAIGDAEW", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNSLASNTPLNGTPVSEAPATSSEPVNMFETMVANPIKVSRLQSNGVLTGPAANTKSIHYSLANFNVFQSLPKETARGVDDLTRMEMALLSGIPEEIKWSLKKYLTYSNKAPYMISLRTLPDLLPLFKTFILPLERIVEGLNKSSICDSKAMDSLQMGLNALLILRNLAQDTDSVQILVKDREIKSFILFILKKFQCVATGDNKWQLYEGNATFFNELTHYTLDLMEAISSYIAPAMKDDHYFQTLVSILNYTKDRYMVISILRSLSRLLVRSKANEESAADNLDHKTLSLIVSFLLLECDSELIIASLDFLYQYILPGSQRITELFKSKECSLILEATLPNLLSYNIATPDYHLLQKHKIRLIKRLKPPAPKEPPNLSEDLFQQLFKLNEPLRSTAWLRCCFEPVQEAEFTQISLWRSYESKFGQPVRESGRKLLPAVEFIKNVSNAFNNAAAIVITDPVTGKKRFVIKGIQPRFKALGIADGERESQVPISALKSKFLNDSKEITPARQNSIPEVKFPQELSDVSKVACTFLCLLSNDTDDGAGSAFCQRIRPLVLHKLADIPPLTLALSEYMENTSGL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSGFSLSEYLTKFQTTDRESYPRLQDPSRELNVIIDQLAVSPEQIDASPDSLEALIDLCHDFPHLTPKLQTQLSYLISSSLSNLSKDIKANLSSNVNFTEIGGLIPQWKRHLEEYGYLIQVLLTFLQDELHKVSSQSTNLNRSAKNSKNDSANVELFKRDCNQMENLLESITKLLEINLSKIFQTTPEKDLFIGLFTRPLFVLLEIEPVTKVSSLKMFIQRILAMCVKNHGQSSSIQSSLMTNLTYFLHLSVFNAELLKLLNDEYNYPQLTEDILKEISTRVFNAKDTTGPKAISNFLIKLSELSPGIMLRQMNLVITLLNNSSITLRCSVVEACGNIVAELAQDPQTMEHYKQQIAVLIELLEERFQDSNPYVRTKAIQGCSKICDLSSKFNKSKAKFTSLAVRSLQDRSSLVRRNSVKLLSKLLLKHPFKAIHGSQLRLSEWEEYLKGSESQLNSTLKKVESQETLNDTIERSLIEEEVEQDEGQCRTELEGSFNKSAELSRIENEVENINATNTSVLMKLKLMIVYYKDAISFIKEIHKSIELISNLLFSKNRNEVLESMDFLVLADAFDIELSEFGIKKMLHLVWMKGTNDEGTSISVHLIECYKQLFLTAPDSCNMQEKAAHIAKNLINLSIGASIADLASLEQLLGMMYEQKLIDQHVINILWAIYNSASKASMQKEQNVNNRDSEKGFSKEQIHGSIIILGMLSLADNEIALKGLESLLNIGLGAVGLKDLTLCRYSCLALERMVPKRSTIITKAINQELEDVAVKKLYAIIINYTKDNEYYPMCEQALSALFTISSKPDILATDLIREKTMMTFGKPEEEDSILSLEQSSRVVSLSQLLFIVGQVAIKTLVYLEKCEAEFKKRKIEAETRNGKVKNQGADVTNTTQDNGGDKELEMIGGTNEDDFTDAIQFVKENELLFGEKSILGKFCPIVEEIVSNSSRFSDPMLQRTATLCLEKLMCLSSKYCEKSLPLLITVMEKSPDPTIRSNAVLGLGDMAVCFNNLVDENTDYLYRRLHDENLMVQRTCLMTVTFLILAGQVKVKGQLGEMAKCLDNPDQGISDMCRLFFTELASKDNAIYNGFIDIFSNLSSDDLLGKESFKKIIKFLLTFIDKERHQKQLNEKLVGRLRKCETQKQWDDIAFVLNNLPYKNEDVTALLEQGFKVVSAKE", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAAEVYFGDLELFEPFDHPEESIPKPVHTRFKDDDGDEEDENGVGDAELRERLRQCEETIEQLRAENQELKRKLNILTRPSGILVNDTKLDGPILQILFMNNAISKQYHQEIEEFVSNLVKRFEEQQKNDVEKTSFNLLPQPSSIVLEEDHKVEESCAIKNNKEAFSVVGSVLYFTNFCLDKLGQPLLNENPQLSEGWEIPKYHQVFSHIVSLEGQEIQVKAKRPKPHCFNCGSEEHQMKDCPMPRNAARISEKRKEYMDACGEANNQNFQQRYHAEEVEERFGRFKPGVISEELQDALGVTDKSLPPFIYRMRQLGYPPGWLKEAELENSGLALYDGKDGTDGETEVGEIQQNKSVTYDLSKLVNYPGFNISTPRGIPDEWRIFGSIPMQACQQKDVFANYLTSNFQAPGVKSGNKRSSSHSSPGSPKKQKNESNSAGSPADMELDSDMEVPHGSQSSESFQFQPPLPPDTPPLPRGTPPPVFTPPLPKGTPPLTPSDSPQTRTASGAVDEDALTLEELEEQQRRIWAALEQAESVNSDSDVPVDTPLTGNSVASSPCPNELDLPVPEGKTSEKQTLDEPEVPEIFTKKSEAGHASSPDSEVTSLCQKEKAELAPVNTEGALLDNGSVVPNCDISNGGSQKLFPADTSPSTATKIHSPIPDMSKFATGITPFEFENMAESTGMYLRIRSLLKNSPRNQQKNKKASE", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MARVVRPQKNHVDLDIYQSSYMVDYKPFGKYKYSRVTPQEQAKLDAQLQSKEFYQPKPNPNPKLEEGYPAFRRPYMTALDLGVPGFFPPQERVTTRKDDGRFTTTCHYAYPASLALYLAQQDPYWLHQRADFPCLMEPERQPAPEVGKGYLLLPGCLCDHHQRVKVPILNRWGPLMPFYQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEDSQMDTSSPTESSSEVNFTAEEDKSQETRSAAGVCYCGKERNLNIVELLCATCSRWVHETCVSYQLGKGKLLPFITNYVFVCKNCSASGLESFRKSQATISQMCHCAIANMQQAASRDGRRQIQFSKDKEIIPYIEQYWEAMTTMPRRLTQSWYSTVQRSLVKDVQTLFTYEEHAEHGAMYGLFHQDLRIIKPNYESMSKSGALRLTDDGYTQASLSKNNRQKRKFPGTDSGPTGKKGRPSSDITANVKLPPHGYPLEHPFNKDGYRYILAEPDPHAPFRQEFDESSDWAGKPIPGWLYRILVPHSVLLALHDRAPQLKISEDRLAVTGERGYCMVRATHSVNRGCWYFEVTIEEMPDGAATRLGWGREYGNLQAPLGYDKFGYSWRSRKGTKFTESHGKHYSDAYVEGDTLGFLIELPEEASLDYLPNTFKDRPLVKFKSHLYYEDKDKITETLKNLHILQGSRIEFFKNGQSQGVAFEDIYAGSYFPAISIHKSATVSVNFGPAFKYPEVLVEHKAKGMHDRVEELITEQCLADTLYLTEHDGRLRLDNMGL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAKRNRSQFRIQERTAKKRKHEDSLLEGNVFQNAPEDMDENTIYSAKGSSWDEEEQDYEMVPRKNRSDTSNLVEGLPIKVNGKVERKLHKAQEKPKDDDEEDEDSNDSSEDDEGPNEEQEAEAKEDEPDTEEKILQLKEDIADLVTKVMEEPEENTAALGRLCKMVESKNPNTCKFSMLALVPVFKSIIPGYRIRPLTETEKKEKVSKEVSKLRNFEQALVYNYKNYVGRLQSLSKTPSNAAPIQVSLGILATQAAKELISTASHFNFRTDIFTLLLRRICKPRISTDPTSIQIIQTFETLLNEDEEGSISFEILRIFNKILKTRNFNIEESVLNMLLSLDVLHDYDPNTKLKGNVSAPKLKKKDRVHLSKKQRKARKEMQQIEEEMRNAEQAVSAEERERNQSEILKIVFTIYLNILKNNAKTLIGSVLEGLTKFGNMANFDLLGDFLEVMKELISDTEFDNLSSAEVRKALLCIVSAFSLISNTQYMKVNVDLSKFVDGLYALLPYICLDADIELSYRSLRLADPLNNEIIKPSVNVSTKAELLLKALDHVFFRSKSGTKERATAFTKRLYMCISHTPEKTSIAILKFIDKLMNRYPEISGLYSSEDRIGNGHFIMEADNPSRSNPEAATLWDNALLEKHYCPVVTKGLRSLSSRSKECSK", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGAAGSKLEKALGDQFPEGERYFGFENFGNTCYCNSVLQALYFCVPFREQLLEYYTSNKSVADAEENLMTCLADLFSQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNYLLNEVVDILEKEAKATKTEHETSSSSSPEKIANGLKVPQANGVVHKEPIVTWVHNIFQGILTNETRCLRCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLHAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEPYADVEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIEESAVQTFFGSSQEYSSNTDHGYILFYESLGPTK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGGFLVLNSSNNVVRTVPSKKRKHPEYKSKIRELISGIRSDNLREAVRLPQGVDINEWFAMNTVDFFNQISLLYATLEEFCTQTTCPVMNAGRYEYRWADGTTITKPKTVSAPKYVEYLIDWVETEIDNEAIFPKNPGEPFPPNFEDFVKRILRKLFRVYAHIYYSHFHEIVALNEQAHLNTCFKHFLLFVSEFQLVDKEKEMAPIKSLVETMLDQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSSGECSNVQLDDHRKNNLEIDEGREFESKEEAFEFYKEYANSVGFTTIIKASRRSRMTGKFIDAKFVCTRYGSKKEDIDTGLGTDGFNIPQARKRGRINRSSSKTDCKAFLHVKRRQDGRWVVRSLVKEHNHEIFTGQADSLRELSGRRKLEKLNGAIVKEVKSRKLEDGDVERLLNFFTDMQVENPFFFYSIDLSEEQSLRNIFWVDAKAMHGCRPRVILTKHDQMLKEAVLEVFPSSRHCFYMWDTLGQMPEKLGHVIRLEKKLVDEINDAIYGSCQSEDFEKNWWEVVDRFHMRDNVWLQSLYEDREYWVPVYMKDVSLAGMCTAQRSDSVNSGLDKYIQRKTTFKAFLEQYKKMIQERYEEEEKSEIETLYKQPGLKSPSPFGKQMAEVYTREMFKKFQVEVLGGVACHPKKESEEDGVNKRTFRVQDYEQNRSFVVVWNSESSEVVCSCRLFELKGFLCRHAMIVLQMSGELSIPSQYVLKRWTKDAKSREVMESDQTDVESTKAQRYKDLCLRSLKLSEEASLSEESYNAVVNVLNEALRKWENKSNLIQNLEESESVTAQDLPIHEEQNNTYDMNKDDNVADTGQEYSLQEVWKVTALQEQRNRYSILDDYLSAQHMSHEMGQINSMASNRNGYCSVHQNIHSLQGQSITHPRLYETEQSSFRPEAMYERLQDMVKDLN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTVASDDSPKEARGIPFLDQKSRKLANELLEPCLPFIQFNLGEIEQRAKHYLNTVPTSKDGNTKAHYLLAGSGINAEQTWKKIESLSLQVRPPTTLELSFTDVDMFLKYHREKNVLNSLEALVQNTQIAFDQYLEEEWRSKAAKSRPSFDNILLENKKRVSFYPFSVQRSQKFASTLKMCLEEEALHGFQSKLVSSFCEVAREFAHDTKSLLLYESWKLLSSVILDKDSVTVFGNKGIISKAFDIETEDGSVNSRFYQRISDCSRKFLEAQFFEVLNKEIAKTPQAALVGGVPSIRNKIRAYLNIRLLRNGVWINPDLEIIQDVPIWAFIFYLLRCGFLKEAVDFTEENRDLFEKVAEKFPFYINAYAKAPNGILPRQLRSQLFSEFNQTIRLQESSDPYKYAVYKIIGRCDLSKTSCPSICSVTEDYIWFQLILSREFTEKSVSAHEFFSLEDVQHILLSYGSDYFTNNGSNPVMYFFLLMLCGLYERAINFLYPYFPTDAVHFAITCAYYGLLRTAPSSSVVSNEPGKIQSMLVETKSGKPSLEFDRLLIDYTQTCQELSPVMSACYLIPMCKIDKYISMCHKSLCSLVLSTRDYVNLLGDIRGDGERTPSFLENHRSLIGLSSVKEYLSKITLTAAKQADDQGLLSDAILLYHLAEDYDAAVTVINRRLGSALLRFLDQFVFPDKLISLTKSMMDVYNRNPSLYAKVDYKNRETTNLLLLTVEAFNAYTNKDYEQALSSLQQLEILPLDPLDSDCETFVVRKLAKEFRFLNENLLQNVPGIVLIAMNSLKELYAKQKSSSFGNDAISVDKLRLYRQKARRIVMYSFLIEYRMPSQILEQLNRCEIEMT", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEVDADLELLTSLLEENEAAERNGVVSHEASSELDEFDELFDGDEDGSYHGSDNGTEEQTIGGVEEDFTTLFGDIDDIKEEEAAASDTKKQSSSVCQEKSKDELEDELRKMQAQMKKLQEQLQKTALAKTSSPGNPKKSPENKMVQSGKTSRTSPLIERKKTDSNTVAPQLTSPTVPKAKLPDAPKRKQNLSDKSPVQKKMASFLSPPEKSSARPGQSTATQPITNTLKSPVGQQYHVEKFSGLRIRKPRVSSSEMERKMNGRKLIRLAQLQNKIATEKLEEEDWVTFGVIVKKITPQSSNNGKTFSIWRLNDLKDLDKYISLFLFGDVHKEHWKTDQGTVIGLLNANPMKPKEGTDEVCLSVDNPQKVLLMGDAVDLGTCKARKKNGDPCTQMVNLNDCEYCQYHVQAQYKKVSSKRADLQSSYSGHVPKKMARGANGLRERLCQGGFHYGGVSSMAYAATLGSTTAPKKTVQSTLSNMVVRGAEAIALEARQKIAAAKNVVQTDEFKELMTLPTPGALNLKKHLSGVSPQANCGKEGQPIQSISASTLLKQQKQQMLNARKKRAEESQKRFLESTEKSEKSSTLTSSACSVFQSPKQGAEFPNAQKMATPKLGRGFAEGDDVLFFDISPPPAPKLSTSAEAKKLLAIQKLQAKGQTLAKTDPNSIKRKRGSSSEELVAQRVASHASTSPKSPDENEPAIKKHRDQLAYLESEEFQKILNAKSKHTGILKEAEVEIQEHYFDPLVKKEQLEEKMQSIREQSCRVVTCKTCKYTHFKPKETCVSENHDFHWHNGVKRFFKCPCGNRTISLDRLPKKHCSTCGLFKWERVGMLKEKTGPKLGGETLLPRGEEHGKFLNSLK", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGCPNCGSTTFESDTASGNTYCTQCGVVVEQDAIVSEVTFGEASTGAAVVQGSLVSNDQTHARTFGGPYRNQGSVESRELTIANGRRRISALAIALKLNERHIEAAVRYFTLAINNNFIKGRRSQYVVASCLYIVCRISKTSHMLIDFSDILQINVFKLGSTFLKLCRVLRPNLPLLDPSLYISRFASLLEFGPETHRVANDAIRLVARMNRDWMQIGRRPAGICGACLLIAARMNNFRRSVREVVHVVKVADITIQKRLDEFKLTESGDLSIADFRNIWLEGQSDPPSFTKNQKFQQYGAQKVSNIDHTQEYMSPIKRTPDFDGNEVKSEELSQTVKVESQETPVHLKADEREIRKEVTETLKGDELRKISLQVNVKFSEEEVTLEDVDDDEIEDILLDKDEILTKTQVWMELNKDYLAEEEAKNLKLQEDLKKGIVRQPRKRRRYRPRDSTSDGIADTAAESAKEMMQQRAFSKKINYEALDMLFDEEQS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAASETVRLRLQFDYPPPATPHCTAFWLLVDLNRCRVVTDLISLIRQRFGFSSGAFLGLYLEGGLLPPAESARLVRDNDCLRVKLEERGVAENSVVISNGDINLSLRKAKKRAFQLEEGEETEPDCKYSKKHWKSRENNNNNEKVLDLEPKAVTDQTVSKKNKRKNKATCGTVGDDNEEAKRKSPKKKEKCEYKKKAKNPKSPKVQAVKDWANQRCSSPKGSARNSLVKAKRKGSVSVCSKESPSSSSESESCDESISDGPSKVTLEARNSSEKLPTELSKEEPSTKNTTADKLAIKLGFSLTPSKGKTSGTTSSSSDSSAESDDQCLMSSSTPECAAGFLKTVGLFAGRGRPGPGLSSQTAGAAGWRRSGSNGGGQAPGASPSVSLPASLGRGWGREENLFSWKGAKGRGMRGRGRGRGHPVSCVVNRSTDNQRQQQLNDVVKNSSTIIQNPVETPKKDYSLLPLLAAAPQVGEKIAFKLLELTSSYSPDVSDYKEGRILSHNPETQQVDIEILSSLPALREPGKFDLVYHNENGAEVVEYAVTQESKITVFWKELIDPRLIIESPSNTSSTEPA", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDFTAQPKPATALCGVVSADGKIAYPPGVKEITDKITTDEMIKRLKMVVKTFMDMDQDSEDEKQQYLPLALHLASEFFLRNPNKDVRLLVACCLADIFRIYAPEAPYTSHDKLKDIFLFITRQLKGLEDTKSPQFNRYFYLLENLAWVKSYNICFELEDCNEIFIQLFRTLFSVINNSHNKKVQMHMLDLMSSIIMEGDGVTQELLDSILINLIPAHKNLNKQSFDLAKVLLKRTVQTIEACIANFFNQVLVLGRSSVSDLSEHVFDLIQELFAIDPHLLLSVMPQLEFKLKSNDGEERLAVVRLLAKLFGSKDSDLATQNRPLWQCFLGRFNDIHVPVRLESVKFASHCLMNHPDLAKDLTEYLKVRSHDPEEAIRHDVIVTIITAAKRDLALVNDQLLGFVRERTLDKRWRVRKEAMMGLAQLYKKYCLHGEAGKEAAEKVSWIKDKLLHIYYQNSIDDKLLVEKIFAQYLVPHNLETEERMKCLYYLYASLDPNAVKALNEMWKCQNMLRSHVRELLDLHKQPTSEANCSAMFGKLMTIAKNLPDPGKAQDFVKKFNQVLGDDEKLRSQLELLISPTCSCKQADICVREIARKLANPKQPTNPFLEMVKFLLERIAPVHIDSEAISALVKLMNKSIEGTADDEEEGVSPDTAIRSGLELLKVLSFTHPTSFHSAETYESLLQCLRMEDDKVAEAAIQIFRNTGHKIETDLPQIRSTLIPILHQKAKRGTPHQAKQAVHCIHAIFTNKEVQLAQIFEPLSRSLNADVPEQLITPLVSLGHISMLAPDQFASPMKSVVANFIVKDLLMNDRSTGEKNGKLWSPDEEVSPEVLAKVQAIKLLVRWLLGMKNNQSKSANSTLRLLSAMLVSEGDLTEQKRISKSDMSRLRLAAGSAIMKLAQEPCYHEIITPEQFQLCALVINDECYQVRQIFAQKLHKALVKLLLPLEYMAIFALCAKDPVKERRAHARQCLLKNISIRREYIKQNPMATEKLLSLLPEYVVPYMIHLLAHDPDFTRSQDVDQLRDIKECLWFMLEVLMTKNENNSHAFMKKMAENIKLTRDAQSPDESKTNEKLYTVCDVALCVINSKSALCNADSPKDPVLPMKFFTQPEKDFCNDKSYISEETRVLLLTGKPKPAGVLGAVNKPLSATGRKPYVRSTGTETGSNINVNSELNPSTGNRSREQSSEAAETGVSENEENPVRIISVTPVKNIDPVKNKEINSDQATQGNISSDRGKKRTVTAAGAENIQQKTDEKVDESGPPAPSKPRRGRRPKSESQGNATKNDDLNKPINKGRKRAAVGQESPGGLEAGNAKAPKLQDLAKKAAPAERQIDLQR", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MIIKKKESFKSPGRLWRVTKIRKLTAAKKNELQNRKCNQASNEEKKILYKSNFLDRFIPSKANSDAFRIMENAFTEKLNTQESLLPDILNLNVKGVLHYKDNKKQKTTRLIESTNYQRQTIHGASSSLVIEVEENGHLSNMQGSLYETPLRILDAPGLLDDFYISPLAWSTNGELAVALAQNVYLWSEISGPSIMELSPTTYEVSSLAYSSDGGFLAIARVNGFVEIWNRKTKNNRCDYKFHHDGDISCMAWSPINWTLLVGGSTGNIYVYRRTKSMMRRVHTIKKVHQEQVCGLEWNYDGTQFASGGNDNLVCIFDIDSLENKKFYWIHLAAVKALAFCPWQKSLLAVGTGSNDQQIYFYDTFRGHRIHSLFCGAQVTSVIWSRRYKEFCYSLGYSPEGTNSSLIVYRWPQLTKVFDIPSAAIDGWGQDLRTIMAIHTHRKYSNNTWEEGEYVVVANSDETVKFYKIWGNEMQEIHNDRVLYREGIFGSHILEMLENIPEQVLTNGVR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAPCEGQAFAVGVEKNWGAVVRSPEGTPQKIRQLIDEGIAPEEGGVDAKDTSATSQSVNGSPQAEQPSLESTSKEAFFSRVETFSSLKWAGKPFELSPLVCAKYGWVTVECDMLKCSSCQAFLCASLQPAFDFDRYKQRCAELKKALCTAHEKFCFWPDSPSPDRFGMLPLDEPAILVSEFLDRFQSLCHLDLQLPSLRPEDLKTMCLTEDKISLLLHLLEDELDHRTDERKTTIKLGSDIQVHVTACILSVCGWACSSSLESMQLSLITCSQCMRKVGLWGFQQIESSMTDLDASFGLTSSPIPGLEGRPERLPLVPESPRRMMTRSQDATFSPGSEQAEKSPGPIVSRTRSWDSSSPVDRPEPEAASPTTRTRPVTRSMGTGDTPGLEVPSSPLRKAKRARLCSSSSSDTSSRSFFDPTSQHRDWCPWVNITLGKESRENGGTEPDASAPAEPGWKAVLTILLAHKQSSQPAETDSMSLSEKSRKVFRIFRQWESLCSC", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSDIRKWFMKAHEKGNGSAPKSTSSKAGPVKNAAETAPIKSEQASEDLETADRRKTSKYFGKDKTKVKDEKEVEAIPAKRKLKTESDDLVKPRPRKVTKVVDDDDDDFDVPISRKTRDTTPSKKLKSGSGRGIASKTVDNDDDDDGEDKETPLKSAGRGRGGRAAPGASTGGRGRGGGRGGFMNFGERKDPPHKGEKEVPEGTPDCLAGLTFVISGTLDSLEREEAEDLIKRHGGRITGSVSKKTTYLLCDEDIGGRKSEKAKELGTKFLTEDGLFDIIRSSKPVKKSLPERSNKGTEKICAPPKTSPQKEETRGKPLAKSSPKKVPPAKGKNKIIETSLPWTEKYRPKVPNEIVGNQSLVTQLHNWLSHWHDQFGGTGSKGKGKKLNDAGSKKAVLLSGTPGIGKTTSAKLVSQMLGFQAVEVNASDSRGKANSNIAKGIGGSNANSVKELVNNEAMAANFDRSKHPKTVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLPLNYRKPTKQQMAKRLMHIAKAEGLEINEIALEELAERVNGDIRLAVNQLQYMSLSMSVIKYDDIRQRLLSSAKDEDISPFTAVDKLFGYNGGKLRMDERIDLSMSDPDLVPLLIQENYLNYRPSGKDEAKRMDLLARAAESIADGDIINVQIRRYRQWQLSQSCCVASSILPASLLHGSREVLEQGERNFNRFGGWLGKNSTAGKNRRLMEDLHVHVLASRESSAGRETLRVDYLPLLLSRLTSPLQTLPKDEAVSEVVDFMNSYSISQEDFDTILELGKFKGRENPMEGVPPPVKAALTKKYNEMNKTRMVRVADMVQLPGVKKAPKKRIAAMLEPTVDSLRDEDGEPLADNEEGNGSDAEEDSEEATDGEKLESNLKNLNARGIQVELDLKGAGSSGSRKAAGKGRGRGKAADTSAEKKATGRGSGAKRKR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSSESPQDQPQKEQISNNVGVTTNSTSNEETSRSQDDNVKEVNGNDDTKEEEQEEDAELDDLFGDDNDDDDDDDVKKSETEKSDSDSDEDDEGENINHRSRHRESLGLDDDEAEEQAMYTRKFYGEDANNFSDQDETTHTFKEENVELVRHIIPSKANVNETASHNEIFYARIPNFLTIDPIPFDPPSFEAKVNERASNSASREDQLDDRLIDENTVRWRYSRDKDQHVFKESNTQIVQWSDGTYSLKVGEECTDILVNDTSNTFLTVSHDQQELIQCYEGGEIKKTLMFIPTSTNSKIHQKLSKAVIRRNQRQSKGPGTYIVSMDPEVEKKELERKQSQILRDRRRRQLKEKEKQESPDAAFETGFRKQNSPTTYGASRRNEYEEDDFLVDDDEEEEAAFDDEEDDNEEEEEEEDADEENASRLRNLKREGAAMYREEEEEEKDRSETKRRRVAVIEDDEDED", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MIGNSVYISPSPSPSDHSLSPNPNLCISAMEEQLVVDDDDDDLAPPPIPDLDIDLEDDDDACCHGLLHIAPNHEEETGCDENAFANEKCLMAPPPTPGMEFESYDDAYSFYNSYARELGFAIRVKSSWTKRNSKEKRGAVLCCNCQGFKLLKDAHSRRKETRTGCQAMIRLRLIHFDRWKVDQVKLDHNHSFDPQRAHNSKSHKKSSSSASPATKTNPEPPPHVQVRTIKLYRTLALDTPPALGTSLSSGETSDLSLDHFQSSRRLELRGGFRALQDFFFQIQLSSPNFLYLMDLADDGSLRNVFWIDARARAAYSHFGDVLLFDTTCLSNAYELPLVAFVGINHHGDTILLGCGLLADQSFETYVWLFRAWLTCMLGRPPQIFITEQCKAMRTAVSEVFPRAHHRLSLTHVLHNICQSVVQLQDSDLFPMALNRVVYGCLKVEEFETAWEEMIIRFGMTNNETIRDMFQDRELWAPVYLKDTFLAGALTFPLGNVAAPFIFSGYVHENTSLREFLEGYESFLDKKYTREALCDSESLKLIPKLKTTHPYESQMAKVFTMEIFRRFQDEVSAMSSCFGVTQVHSNGSASSYVVKEREGDKVRDFEVIYETSAAAQVRCFCVCGGFSFNGYQCRHVLLLLSHNGLQEVPPQYILQRWRKDVKRLYVAEFGSGRVDIMNPDQWYEHLHRRAMQVVEQGMRSKEHCRAAWEAFRECANKVQFVTEKPS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRSYSNPENGGQINDNINYSEKRPTMLPENLSLSNYDMDSFLGQFPSDNNMQLPHSTYEQHLQGEQQNPTNPNYFPPEFDENKVDWKQEKPKPDAPSFADNNSFDNVNSSKLTNPSPVQPNIVKSESEPANSKQNEVVEATSVEKAKENVAHESGTPESGGSTSAPKSKKQRLTADQLAYLLREFSKDTNPPPAIREKIGRELNIPERSVTIWFQNRRAKSKLISRRQEEERQRILREQRELDSLNQKVSQAFAHEVLSTSPTSPYVGGIAANRQYANTLLPKPTRKTGNFYMKSGPMQSSMEPCIAESDIPIRQSLSSTYYNSLSPNAVPVSSQRKYSASSYSAIPNAMSVSNQAFDVESPPSSYATPLTGIRMPQPESDLYSYPREVSPSSGGYRMFGHSKPSSYKASGPVRPPNMATGHMRTSSEPTSYDSEFYYFSCTLLVIGLWKRLRASPQDLMCFYSPPKKLFAYLIQFQGIQYRIEYSFFVIESIHVFRVEEPLLNELSATASSRDKPAPNEYWLQMDIQLSVPPVFHMITSEGQGNCTDFTEGNQASEVLLHSLMGRATSMFQMLDRVRRASPELGSVIRLQKGLNPHQFLDPQWANQLPRQPDSSVFDHQGRNPPIQGLSHDTSSEYGNKSQFKRLRSTSTPARQDLAQHLLPPKTNTEGLMHAQSVSPITQAMKSANVLEGSSTRLNSYEPSVSSAYPHHNLALNLDNTQFGELGTSNISYPLSAPSDVGSLPRASNSPSRPVMHPNTQGINTEIKDMAAQFPNSQTGGLTPNSWSMNTNVSVPFTTQNREFGGIGSSSISTTMNAPSQQLSQVPFGDVSLATENSVPSYGFEVPSEESVYAQARTNSSVSAGVAPRLFIQTPSIPLASSAGQDSNLIEKSSSGGVYASQPGASGYLSHDQSGSPFEDVYSPSAGIDFQKLRGQQFSPDMQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MADSRNGNARAPPSGVPPKAGNTYSIDVKNFISRARALYEHWKKHSADLWGSADALAIATPPASDDLRYLKSSALNIWLLGYEFPDTIMVFTKKQIHFLCSRNKASLLEVVKKPAHDELKLDVIMHVKPKGDDGTGLMDAIFRAIRDLSRGDGNDSQVVGHIAREAPEGKLLETWTERLKNANFQFVDITGGLSDLFAVKDDTEVMSVKKAAYLAYSVMKNVVVPNLESAIDEEKDVTHSALMDLTEKAILEPTKASVKLKPENVDICYPPIFQSGGKFDLKPSAASNDELLTYDPASIIICAVGARYNSYCSNVARTYLIDATSLQSKAYEVLLKAHEAAIDALRSGRKINTVYQAALSVVEKNAPEFVDKLTKSAGTGIGLEFRESGLNINAKNDKVLRPKMAFNVSLGFQNLECESESRSKNKKFSLLLADTVLVTDQKPELLTKCSKSVKDVAYSFKEDEEEEKPRKKARTSGSENYITKTALRSDDHVVSKEELRKQHQAELARQKNEETARRLAGDSSGAGDSRSTAKTSADVVAYKNVNDMPHKELMIQVDTRNEAVLLPIYGSLVPFHVATIRTVSGNQDTNRNCYIRIIFNVPGTPFNPHDSNSLKNQGAIYLKEVSFRTKDSRHSSEVTQQIKTLRRQVMARESERAERATLVTQEKLQLAGNKFKPLRLSELWIRPPFSGRKKIPGTLEAHANGFRYSTTRPDERVDVLFANIKHAFFQPAEKEMITLLHFHLHNHIMVGTKKTKDVQFYVEVMDVVQSLGGGRRSAYDPDEIDEEQRERDRKNKINMDFNHFANRVNDMWQLPQFASLDLEFDQPLRELGFHGVPHKTSAFIIPTSSCLVELIEYPFLVVSLSEIEIVNLERVGFGQKNFDMAIIFKDFKKDVLRVDSVPTSSLEGIKEWLDTTDIKYYESKLNLNWRQILKTITDDPQSFIDDGGWEFLNLDGSDSESGGSEESDKGYEPSDVEVESESEDEASESESLVESDDDEEEDSEQESEEEKGKTWDELEREATNADREHGVESDSEEERKRRKMKAFGKSRPGTSGGGGSSSMKNMPPSKRKHR", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MATKDPTAVERANLLNMAKLSIKGLIESALSFGRTLDSDYPPLQQFFVVMEHCLKHGLKGRKSFLSYNKTIWGPLELVEKLYPEAEEIGASVRDLPGLKTPLGRARAWLRLALMQKKMADYLRCLIIQRELLSEFYEYHALMMEEEGAVIVGLLVGLNVIDANLCVKGEDLDSQVGVIDFSMYLKNEEEIGNKERNVQIAAILDQKNYVEELNRQLNSTVSSLHSRVDSLEKSNTKLIEELAIAKNNIIKLQEENHQLRSENELILMRTRQHLEVTKVDVETELQTYKHSRQGLDEMYNDARRQLRDESQLRQDVENELSVQVGMKHEMELAMKLLEKDIHEKQDTLIGLRQQLEEVKAINIEMYQRLQGSEDGLKEKNEIIARLEEKTNKITTAMRQLEQRLQQAEKAQKEAEAEDEKYAQECLSQSDSLQRQISQKEQQLVQLETDLKIEKEWRQTLQEDLQKEKDVLSHLRHETQKVISLKKEFLNLQDENQQLKRIYQEQEQALQELGSKLCESKLKIDDIKEANKALQGLVWLKDKDATHCKLCEKEFSLSKRKHHCRNCGEIFCNACSDNELPLPSSPKPVRVCDSCHAMLIQRCSSNMP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSGESSSGSTEHCIKVVPTHGGRYVQYNVYGQLFEVSRKYVPPIRPIGRGACGIVCAAVNSVTGEKVAIKKIGNAFDNIIDAKRTLREIKLLRHMDHENVITIKDIVRPPQRDIFNDVYIVYELMDTDLQRILRSNQTLTSDQCRFLVYQLLRGLKYVHSANILHRDLRPSNVLLNSKNELKIGDFGLARTTSDTDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTGQPLFPGKDYVHQLRLITELVGSPDNSSLGFLRSDNARRYVRQLPRYPKQQFAARFPKMPTTAIDLLERMLVFDPNRRISVDEALGHAYLSPHHDVAKEPVCSTPFSFDFEHPSCTEEHIKELIYKESVKFNPDH", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDHSIEVTFRVKTQQVIIPEQNIRGNELPLRRWQMELLMLDATGKEVEPTILSKCIYHLHSSFKQPKRRLNSLPFFIKETGWGEFNLKIECFFIGNAGKFSIEHDLTFEDDAYAVDYTVDVPHEFSHLNSELSKYFDLPWKVVSPEEEMSLRIADLPWIKSLALIDEDMMTDVVQMILNDPAVQRAIENHPRREQFFMFITQLPDDLLMKIQAFLKLPNKNSTKQERTNFGSDAIHKDEPVKAHNKLK", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVSRVQLPPEIQLAQRLAGNEQVTRDRAVRKLRKYIVARTQRAAGGFTHDELLKVWKGLFYCMWMQDKPLLQEELGRTISQLVHAFQTTEAQHLFLQAFWQTMNREWTGIDRLRLDKFYMLMRMVLNESLKVLKMQGWEERQIEELLELLMTEILHPSSQAPNGVKSHFIEIFLEELTKVGAEELTADQNLKFIDPFCRIAARTKDSLVLNNITRGIFETIVEQAPLAIEDLLNELDTQDEEVASDSDESSEGGERGDALSQKRSEKPPAGSICRAEPEAGEEQAGDDRDSGGPVLQFDYEAVANRLFEMASRQSTPSQNRKRLYKVIRKLQDLAGGIFPEDEIPEKACRRLLEGRRQKKTKKQKRLLRLQQERGKGEKEPPSPGMERKRSRRRGVGADPEARAEAGEQPGTAERALLRDQPRGRGQRGARQRRRTPRPLTSARAKAANVQEPEKKKKRRE", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSSIEQLYSTTQFPSLSSCNTNINNTNNNNNINNINNNTNINTGNLLKNTFFKNNIIYNTNNNSINNNSNNNIINNNNNNLLNSNNQNSFQNQNNLNSIHNHNNNNNNNNSNNNNTSNSENLDSLKSALLSSNIFNGSSSSIAHQNLINLIISSQNNNNNNGNSNSNTPDQKSTMADILLNSSVPDYFEWCSDIINMPKDGNEKSNNSNGGNNNGNNNGNNNNNHNNNNNNNSNNNNNNNSDSVPSPQNNYQSPQSDNQSSMVPSFNFSNQNSANSSNNNNNNNGSSNNNNNVRSEPTTPNDESNNNSNNTNNNNNNNNNNNNNQQQQLSSNQNSSPLIYSVPSYYVPNYGVVLSAPMKGNSSSSILPPQAWPQNNNNNNGNSNSNNGNNNASGFSDFMFNGSNIDYNSIMNNNNNNNNNNNNNNNNNNNNNNNSNNNNNNSNNNNGNNGGMFNGGFVNNNNHNNNNNNNNNNGNLNFHNFLQFQNQLQNSNQQQQQQQLQQQQQLQQHQQQQQQLQQQQLQQQFNIGKPCNINQKKGRGANNNNNNMPIGNQASLVISHPSLKMEGHHHLQQQQQQQHHHQHQQHQQNGNGNNTQIVNSAFLDLSSPDSHNQMSPSSPICLPESPMGAQHWESGLDPSSLQKQNEINPPISVRSNVVFFEPYLAGEPGRHKACWNLLEPMHRNYYQPIQFKLPSFPDTSLPITQIDDKTGIFDSQRFLAFNNPQAMSKYESYRIYIHPSLGYSGNAKRFKQQPDVNEKALILDGNVYDGHLNPIYNCKICTEYYQTKSYFSANPHAKGKVLLVKNNILTRVKDGGFTLSLKPMCCSGHNSHIPLYFHFTLTNPLTNEVVLQSLINVNVKQWKKSVPNKSKKQRFE", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDRSGFGEISSPVIREAEVTRTARKQSAQKRVLLQASQDENFGNTTPRNQVIPRTPSSFRQPFTPTSRSLLRQPDISCILGTGGKSPRLTQSSGFFGNLSMVTNLDDSNWAAAFSSQRSGLFTNTEPHSITEDVTISAVMLREDDPGEAASMSMFSDFLQSFLKHSSSTVFDLVEEYENICGSQVNILSKIVSRATPGLQKFSKTASMLWLLQQEMVTWRLLASLYRDRIQSALEEESVFAVTAVNASEKTVVEALFQRDSLVRQSQLVVDWLESIAKDEIGEFSDNIEFYAKSVYWENTLHTLKQRQLTSYVGSVRPLVTELDPDAPIRQKMPLDDLDREDEVRLLKYLFTLIRAGMTEEAQRLCKRCGQAWRAATLEGWKLYHDPNVNGGTELEPVEGNPYRRIWKISCWRMAEDELFNRYERAIYAALSGNLKQLLPVCDTWEDTVWAYFRVMVDSLVEQEIQTSVATLDETEELPREYLGANWTLEKVFEELQATDKKRVLEENQEHYHIVQKFLILGDIDGLMDEFSKWLSKSRNNLPGHLLRFMTHLILFFRTLGLQTKEEVSIEVLKTYIQLLIREKHTNLIAFYTCHLPQDLAVAQYALFLESVTEFEQRHHCLELAKEADLDVATITKTVVENIRKKDNGEFSHHDLAPALDTGTTEEDRLKIDVIDWLVFDPAQRAEALKQGNAIMRKFLASKKHEAAKEVFVKIPQDSIAEIYNQCEEQGMESPLPAEDDNAIREHLCIRAYLEAHETFNEWFKHMNSVPQKPALIPQPTFTEKVAHEHKEKKYEMDFGIWKGHLDALTADVKEKMYNVLLFVDGGWMVDVREDAKEDHERTHQMVLLRKLCLPMLCFLLHTILHSTGQYQECLQLADMVSSERHKLYLVFSKEELRKLLQKLRESSLMLLDQGLDPLGYEIQL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTSKKRKTLLDADDDNDNFDEDDSGSDFDDDEDPDQIEVPGGGRDLNTAVTYAQNIRSGVGVAPKGGIPIPISGAKIVVGNNKIKPISLLRINNNNNNIVTSVNNRNNNNIISTNGSSNNNNNSINNNSQIIKTTTVTTTPTTVGATPTVGGVALGGKLTVIPIAGRNVALDNNLSNMPKKLNNMVTAMGSPAARSSGNAGTTGSSQGGAIGSTSSYLNSLTTNELMNLAAYVAAKGSNAPPPPPPSTAANSVRHSPTGGIPNPGGNFFGGSAAASTSASAANFNMAASLLAQMSYAGGASQIRALKVAGNIGGVGNNQKPPPIATTPGSGGPAGGAPGSGVKGNNSMMEAVQKLIAMNPEYLTSGIPNTVFQMFMQSMQRPQATPSPNQPMNPGAMVTSAAAAAAHASAVAYVQQEEDEVDYEEMGVAETYADYWPAKLKLGKKHPDAVVETASLSSVEPCDVYYKLSLPLETINSGHLSALQLESITYASQAHDHLLPDGSRAGFLIGDGAGVGKGRTIAGIIYENYLKGRKKALWISVSNDLKYDAERDLSDIGATRIEVHALNKFKYAKISSDVNNNCKRGVIFSTYSALIGESNNKTGKYRSRFRQLLQWCGEDFEGLIIFDECHKAKNLCPVGSGKPTKTGQTVLELQQKLPKARVVYASATGASEPKNMAYMVRLGLWGQGTAFGNFNDFITAVERRGVGAMEIVAMDMKLRGMYIARQLSFKGVSFKIEEVPLSKEFRKIYDQSVELWVEAMQKFTEAAELIDAESRMKKTMWGQFWSSHQRFFKYLCIAAKVNHAVLVARESIKYGKCVVIGLQSTGEARTLDQLERDDGELTDFVSTAKGVFQSFVERHFPAPDRNRINRILGLYDETPSLSSVADSTSSLSNNSNITTAAGKRKGSNNNDNRSTKIKKKKRSGSWECSDSEDENTDMKRNRKRDGGNSNSDSDEANSDDDLKSDIDDEDEDHDVDSDQRSVASDASSDFNPFFSGSDSDIDPWVNARSKKSKKAQKKSKKKVKKEKTKKEITTSSATDPSGSTAMSATVVAALNAVKNRKSQLSTQDKIQDLLQKKQELKGTVTPVGVNGVKLNYGPPPKDAIERACTMKEELLRKIERLGARLPPNTLDQLIDELGGPDNVAEMTGRRGRVVQTDDGSIQYESRTESDVPLETLNITEKQRFMDGEKDVAIISEAASSGISLQSDRRVFNQRRRVHITLELPWSADRAIQQFGRTHRSNQVNAPEYIFLISDLAGERRFASTVAKRLESLGALTHGDRRATETRDLSQFNIDNKYGRQALETVMRTIMGYESPLVPPPTDYSGEFFKDIAGALVGVGIIVNSESHPGVLSLDKDYNNISKFLNRILGCPVDLQNRLFKYFTDTMTAIIQQAKRGGRFDLGILDLGAAGENVTRVRLIRFVRKHATGVAPTEMHTVRVERGMIWQEAIDKYADLFNENEGFYLSHQLRNQKRTAIMVVILESRNSSSTSSTTDLDSGSKKKKTHSKKEIMCQIYRPNTGLQVRHESLFELEKKYRKVASEEAEPHWTEQYDASVNTCSHAYWNGNCRNVSLGNDCEVGLRQRLYHVLAGSVLSVWGRVEHILNTRSNSKMQVIRMKTTEGEKIVGTLIPKSCFEPLVADLRSDSEKQEEFNY", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVDIAEAMPTTASSLPSDEALRKFKCPECTKAFKFKHHLKEHIRIHSGEKPFECQQCHKRFSHSGSYSSHMSSKKCVQQASPSMVTPFNPYQLMMYRNIMLQLQTPQVSFLPSTAANNMDYMSLLQANLFQSLENGTSPTPTQEPSAPASPEPKIEVVDEPEVSSEVKTEVKTEVKTEDSVPEESITPAVSMSLSPAPEQNGNESMNNGGSGSDGKSSPDWRPLRSRSFLNDSQVAVLQNHFKRNPFPSKYELSAVAEQIGVNKRVVQVWFQNTRAKERRSNRLPSMPRGSVASAAAAAATSPTVWQTPVQLMAAWASQFSNGNNSLTASQDERNNENTDEVMDHDGLKDGKETPLDLTLSTDDTEPEWSPEKLIGFLDQTGGVIQELLRQAGNGFVTNQEDEEEKPIKAEESPVSSGSSSIWPSFIGQYPSILDSASLSVLEKALDQQKSSEDDASSLCSNESKLLKFPTTPLKEEEGLFSCDQCDKVFGKQSSLARHKYEHSGQRPYKCDICEKAFKHKHHLTEHKRLHSGEKPFQCDKCLKRFSHSGSYSQHMNHRYSYCKPYREQPASPSDVLNGGSVTVSPSSSNTPPPST", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVQQLSLFGSIGDDGYDLLISTLTTISGNPPLLYNSLCTVWKPNPSYDVENVNSRNQLVEPNRIKLSKEVPFSYLIDETMMDKPLNFRILKSFTNDKIPLNYAMTRNILHNTVPQVTNFNSTNEDQNNSKHTEDTVNESRNSDDIIDVDMDASPAPSNESCSPWSLQISDIPAAGNNRSVSMQTIAETIILSSAGKNSSVSSLMNGLGYVFEFQYLTIGVKFFMKHGLILELQKIWQIEEAGNSQITSGGFLLKAYINVSRGTDIDRINYTETALMNLKKELQGYIELSVPDRQSMDSRVAHGNILI", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSVHVKEEPVLVPNCDVENTELAVFNGNGESELENFGTCVDEITDRVNQLEQKVVEVEHFYSTKDGAAQTNTSKSNSGGKKIAISQPNNSKGNSAGKEKSKGKHVSSPDLMRQFATMFRQIAQHKWAWPFLEPVDVKGLGLHDYYKVIEKPMDLGTIKKKMESSEYSNVREIYADVRLVFKNAMRYNEEKEDVYVMAESLLEKFEEKWLLIMPKLVEEEKKQVDEEAEKHANKQLTMEAAQAEMARDLSNELYEIDLQLEKLRESVVQRCRKLSTQEKKGLSAALGRLSPEDLSKALKMVSESNPSFPAGAPEVELDIDVQTDVTLWRLKVFVQEALKAANKSSGGTNAQNNNNTGTGEINKNNAKRRREISDAINKASIKRAKKA", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLASSRQPRHAFVEHHHQLSSSTLHRSGSPQTGTLRQDATTPTLATSVGPSMDRSASDYSQSGLPSPYPSNCGDNQSEAQSVTVDTSSAAQYNASAQQEVRSNNPGNYSASATPTSEYGVYPASARSSSFPDHLQQRSYHPASNHSGSSGDPSIAAPSPTYGAPAQYSPYGPPSQDMSHGYAHPGSNLYAQPRPDWSGYGQQHGAPLTPGHHVFPQTPTSAPPQARPNQVYSFVPIPGAQQHKRPRRRYEEIERMYKCGWQGCEKAYGTLNHLNAHVTMQSHGQKRTPEEFKEIRKEWKARKKEEEAARKADEERQRQAAQSQGGSTEGQAGSDVSQSSNGYAGARGAVQLPPIGYQAGQYPAATSTSVQQQPLPDYNASYMQGYQPASPYGGSNQAMYNQR", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDTLDRVVKPKTKRAKRFLEKREPKLNENIKNAMLIKGGNANATVTKVLKDVYALKKPYGVLYKKKNITRPFEDQTSLEFFSKKSDCSLFMFGSHNKKRPNNLVIGRMYDYHVLDMIELGIENFVSLKDIKNSKCPEGTKPMLIFAGDDFDVTEDYRRLKSLLIDFFRGPTVSNIRLAGLEYVLHFTALNGKIYFRSYKLLLKKSGCRTPRIELEEMGPSLDLVLRRTHLASDDLYKLSMKMPKALKPKKKKNISHDTFGTTYGRIHMQKQDLSKLQTRKMKGLKKRPAERITEDHEKKSKRIKKN", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTEGVTVQKVQSASSNGHKPNTVISQIISEMQSLLGSSFEVYTKTMTDFLIGQLSRKEMKSMLLTFAGKSNFDKLHNSIIFHILKLMQKNNDTFSALHHLPWFKRKKVDNSLFLHKKISSQNAQVRLIKRIVMSLSYKDRARIKTALKEKPVTPSLISGLLLETRIAKLPKIPVSRDKLNSVFVNDIKAGYVAPLACETLELPDSESLKERITAISLENGLLGGVQKGVSDIILAGLESHLKNILSRCFSILNKNIRQKNTENDAAGFTINDLNLAWTIEPHAFVEQYPQKLRMPFLLHDSYTEDEISICAESPSYMLASNDAQSDRNSVASLLDEVLS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MADVEVRKEKKKKKIKEEPLDGDDIGTLQKQGNFQIKPSSKIAELDTSQWPLLLKNFDKLNIRSNHYTPLAHGSSPLNRDIKEYMKTGFINLDKPSNPSSHEVVAWIKKILKVEKTGHSGTLDPKVTGCLIVCIDRATRLVKSQQSAGKEYVAIFKLHGAVESVAKVRQGLEKLRGALFQRPPLISAVKRQLRVRTVYDSKLLDYDETRNMGVFWVSCEAGSYIRTMCVHLGLVLGVGGQMLELRRVRSGIQSERDGMVTMHDVLDAMWLYENHKDESMLRRVIKPLEGLLVNHKRIIMKDSSVNAVCYGAKITLPGVLRYEDGIEIDQEIVICTTKGEAICLAIALMTTATMASCDHGVVAKIKRVIMERDTYPRKWGLGPKASAKKALIAAGKLDKFGRPNENTPKEWLTGYVDYNAKKPAAQEVSPTNGSSEPSKRKLSTSSVEETAAAAVSEETPSKDKKKKKKKHKGDEEAPEAAEEEAEPVEKEKKKKKKKDKDRDRDEAQE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRPRRRGLAYHHTKPKGQLSQGHYPTTSNDGQRRKVGNSEAFQSFDIWKNLDRIRSTKKNAGQFIKGSLLILPMRTEDKQQFDECMDELHKYISKDILRCYPQKEQKDEGMLFYIVLKDFNILDSCFVLSVLLAFQKRLWMAPSEKSYFRVPKNINLTGSFYLPKNIETGRGHIITSYRREQPSSSIVEVGFNVVPDFQQFQVKACHVSKFMNELSNFFSQVEFGKCEANVINYFKREYNRTYSQISLALYELPLIGDGLFDIKSYISKTRPIIETSKAQMIKHISEMKAYNEISGLQGDQFPRQQRPLSNSPSSNSISSSQTIEAGATSYQTQPQRHAVNKPSNVLNSSNRHSGPKTFEDGRYSEGNKPGFMTQDEIKQHCIGTIKASMDAVKKKSSYQILKTYVRCPRQNYIDIVYQNLNDLRSKTNCNIVVLNLNNLHESQMWLESLNTTNYTIFAQAPHPSTIRVISIGGVGEYIVKALELILNILEH", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNADDQYYPQAPIYKEPCAFQRSQGQDYNPSPPACLYMGRQQQAAYSNPLVALDPGSPPDISPYEVPPISEEPIVPHLHHHHYHHHHHHPGIPHPHHQMPFPDDNESGTLEERNRTLLPFPWMKSTKSHTWKGQWTDGSYIMEQEENKRTRTAYTRAQLLELEKEFLFNKYISRPRRVELAVMLNLTERHIKIWFQNRRMKWKKEEDKKRGRGSDPEQDSVVSSADVLKDEPQCLGNSQKTGDLVLSSPLPTSSQPNQVPSIGSLRQAEKR", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSKLSFRARALDASKPLPVFRCEDLPDLHEYASINRAVPQMPTGMEKEEESEHHLQRAISAQQVYGEKRDNMVIPVPEAESNIAYYESIYPGEFKMPKQLIHIQPFSLDAEQPDYDLDSEDEVFVNKLKKKMDICPLQFEEMIDRLEKGSGQQPVSLQEAKLLLKEDDELIREVYEYWIKKRKNCRGPSLIPSVKQEKRDGSSTNDPYVAFRRRTEKMQTRKNRKNDEASYEKMLKLRRDLSRAVTILEMIKRREKSKRELLHLTLEIMEKRYNLGDYNGEIMSEVMAQRQPMKPTYAIPIIPITNSSQFKHQEAMDVKEFKVNKQDKADLIRPKRKYEKKPKVLPSSAAATPQQTSPAALPVFNAKDLNQYDFPSSDEEPLSQVLSGSSEAEEDNDPDGPFAFRRKAGCQYYAPHLDQTGNWPWTSPKDGGLGDVRYRYCLTTLTVPQRCIGFARRRVGRGGRVLLDRAHSDYDSVFHHLDLEMLSSPQHSPVNQFANTSETNTSDKSFSKDLSQILVNIKSCRWRHFRPRTPSLHDSDNDELSCRKLYRSINRTGTAQPGTQTCSTSTQSKSSSGSAHFAFTAEQYQQHQQQLALMQKQQLAQIQQQQANSNSSTNTSQNLASNQQKSGFRLNIQGLERTLQGFVSKTLDSASAQFAASALVTSEQLMGFKMKDDVVLGIGVNGVLPASGVYKGLHLSSTTPTALVHTSPSTAGSALLQPSNITQTSSSHSALSHQVTAANSATTQVLIGNNIRLTVPSSVATVNSIAPINARHIPRTLSAVPSSALKLAAAANCQVSKVPSSSSVDSVPRENHESEKPALNNIADNTVAMEVT", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNIRMGTKGKRPLRSLTPRDKIHAIQRIHDGESKASVARDIGVPESTLRGWCKNEDKLRFMSRQSATDNLCADALGDKMDGGGGGGGGAGGGGLLGPPEKRQRLDGALPLNFSNKLKFDELAFKRSPLNGLDYSTNKNLADLGYNGLPVDYAAFNGGVKAKVFGADINRPAADPSLNAISPLSSLTHLSGLTGISQSPLAISFNELTTNLNLLAQLNPGLAAMSGLNSFPAGAGNLRTPKPSGQTPLQVQSPRSDSGDRSAQGLSVKNWAKQKPGEGQGSLNLSIKNEGKGGDIKSPSPSIAPSQMGGPMTLSNLAEDPLLYWLKSQQTMLGLNPLYPPTIPMGVTSPPIRSSTPQHMSQLAQTPPIPSAPLTPSSTPSGSLDEKNAAWYNWCKAFGASLHTLNPNAATLAALQANQLQQQVATTAAEGGSMGDPSNILYSHLTKETETPSVRSLSSNEQNPEADEATETDLDGEVEPEASGKPEDLSAAGKVMTPSQSPIAHSSGSRSPEPKAKTKTPETTNSTSECKKILDNMLFKMGGMEATGPLMPEQGSSESEGSFQDTSNPHTNNNDVSASNNNNNNNSNKTDEEEKAKYLDCTADEDIEAIRHGEKFLQWLENCSNPRVTAVQLMQLRFLIAAIKSGNETPMIEKSALPEDSEEHAAEEEGSGRGKSRRRK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGKRNKKVTQGKRAYNDKSEIVLENKQFEGYYKKQNLFRGKPNDEFDSFMEYMRKPLPTTFRICGYRHHAFELKNHFEKYYVPSLKNVVHEGQTIPPPTVLPWYPDGLAYIVDAQKDVIRKSPPLKRLQRFLVSENEAGNINRQEAVSMLPPLFLDVEPHHVILDMCAAPGSKTAQLIEAVYKKANIKDAAHDSKNLKSVEGLVIANDADPKRAQMLVHQINRLNSPNILVVNHDASTMPNIYVKGSSPSDGLNVIEEKKILKFDRILADVPCSGDGTFRKNLSLWREWSANSAFSLHPLQLRILIRGLQLLKVGGCLVYSTCSINPIENEAVVTAALKATGGAVSLVDVSKKLPLLKRDPGLLSWKVLDDSLNEFQSPAENTNDKIELTESMWPLPEEEMSKLHIERCARLYPHMQNTGGFFVAVLQKTDPINSRSFDPKKYTASMEILPPENKRQRTEKGVDEASNSTLTKSGNSYFDEEPFVYINPDDTSIKTIVDFYGIDPSFPRDQFFVRNQSGIPVRSIYFACSLFKEIIEANTNRVKFVHGGVRFFVKQEISQLLKDFSLKANKDICNFRIHSNGVNIISPFLNEKHFYDAGLKDLKILVKNEYPHVEQFSESGMLKKEFEKMPLGCNILRVDAQTKDGALMDMLILQPIWRSPTSCNLMLARKEKQNLSLELFGMDV", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRRFKRKHLTAIDCQHLARSHLAVTQPFGQRWTNRDPNHGLYPKPRTKRGSRGQGSQRCIPEFFLAGKQPCTNDMAKSNSVGQDSCQDSEGDMIFPAESSCALPQEGSAGPGSPGSAPPSRKRSWSSEEESNQATGTSRWDGVSKKAPRHHLSVPCTRPREARQEAEDSTSRLSAESGETDQDAGDVGPDPIPDSYYGLLGTLPCQEALSHICSLPSEVLRHVFAFLPVEDLYWNLSLVCHLWREIISDPLFIPWKKLYHRYLMNEEQAVSKVDGILSNCGIEKESDLCVLNLIRYTATTKCSPSVDPERVLWSLRDHPLLPEAEACVRQHLPDLYAAAGGVNIWALVAAVVLLSSSVNDIQRLLFCLRRPSSTVTMPDVTETLYCIAVLLYAMREKGINISNRIHYNIFYCLYLQENSCTQATKVKEEPSVWPGKKTIQLTHEQQLILNHKMEPLQVVKIMAFAGTGKTSTLVKYAEKWSQSRFLYVTFNKSIAKQAERVFPSNVICKTFHSMAYGHIGRKYQSKKKLNLFKLTPFMVNSVLAEGKGGFIRAKLVCKTLENFFASADEELTIDHVPIWCKNSQGQRVMVEQSEKLNGVLEASRLWDNMRKLGECTEEAHQMTHDGYLKLWQLSKPSLASFDAIFVDEAQDCTPAIMNIVLSQPCGKIFVGDPHQQIYTFRGAVNALFTVPHTHVFYLTQSFRFGVEIAYVGATILDVCKRVRKKTLVGGNHQSGIRGDAKGQVALLSRTNANVFDEAVRVTEGEFPSRIHLIGGIKSFGLDRIIDIWILLQPEEERRKQNLVIKDKFIRRWVHKEGFSGFKRYVTAAEDKELEAKIAVVEKYNIRIPELVQRIEKCHIEDLDFAEYILGTVHKAKGLEFDTVHVLDDFVKVPCARHNLPQLPHFRVESFSEDEWNLLYVAVTRAKKRLIMTKSLENILTLAGEYFLQAELTSNVLKTGVVRCCVGQCNNAIPVDTVLTMKKLPITYSNRKENKGGYLCHSCAEQRIGPLAFLTASPEQVRAMERTVENIVLPRHEALLFLVF", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEKESVYNLALKCAERQLTSMEFSNLYKEFFNEKFPSLIQEEEEDTTTTANINEVKKASDLVDTPSNNTAATADTTHLHEALDIVCSDFVKILNLEKPLILADYIVEVLLVNYNSDMIKCFLPKLNSVRNSLLLAHFFSKSCSFFAKLSDTLIIDQVRKDLGNVIVPNILSLDMNSMNKELIAIVSKLLQTTLKLSPSPILLTSAGCKNGSFTLLNQLSQTNKLLFKRVSQTFEAKLHFKDTKPFLNKDSTNEFVGSPSLTSPQYIPSPLSSTKPPGSVNSAAKYKDMKLLRYYKNIWLNNKIINWEISNPDFLSKYSAITSSIFQESFNSVQNLDQLLTDLIETSFTCFAQFVSNKQYHQANSNLTLLERKWVIFITKHLPLLILENSSRSPRVVTNALDNIDEKVVKAIRIYFTEKDDNKTNNEDLFDDYPSTSLDIRHDFIKGLIMLNLQPASVINNYLREDQMIDTSILPTRDDLFVRNLQGIQEVVHNTNSFIISSLDTLELESITESITHDSSNGLFQVLHNFESVAPTKQREIVKAFLSIFEDAIKELNYNRIAKICALLFFNFSHSLTTILSFSSPAALMKTLIKFVDLSRNGRNGSNGNDESSEYETINISLSFSWAILLIINLTQTYGISVVDVALKYPELSIKNSFIINFISNLPNVSDKYYLEESNVNDSDMLTKSHNTVQSWLCDLFVNGSITDQLIQNIETRQLANLIPFIVKQVLLSVEIGVLTDISSLIGGFEYFLQPLLLVGLIKTFYWLEQFLSCVKNDTISEDILQGIFNLLNTLFNPVTLNEDSKAFHTAVLRLNAIPLLKVLRKFRVQSQSNYGIYSSDAQGDPNLEPLIAKLVAVLNVSPVYDVDPRIINSENDYSRKQLGYGKFLILNENPINKIMTNQINSFWSLHSSTYYNLDYLFELIELVTPKSFLFDVLKTLEYKLATYGVPGSENKRGSLDSEHVFDYFFYFLVLYDVKTAEEASQLIEYMENDAKKSKGDVDIKGEDLHEKNDSAEVRQETQPKAEATQDDDFDMLFGENDTSTQAYEEEEENEDNDGNNRTNNVPMIKAEETPSKTNKISILKRHSFAVLLHERKLLNDLALENGEITKTENEKFISYHDKYLCMLKTCVF", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MHTGGETSACKPSSVRLAPSFSFHAAGLQMAAQMPHSHQYSDRRQPSISDQQVSALPYSDQIQQPLTNQVMPDIVMLQRRMPQTFRDPATAPLRKLSVDLIKTYKHINEVYYAKKKRRHQQGQGDDSSHKKERKVYNDGYDDDNYDYIVKNGEKWMDRYEIDSLIGKGSFGQVVKAYDRVEQEWVAIKIIKNKKAFLNQAQIEVRLLELMNKHDTEMKYYIVHLKRHFMFRNHLCLVFEMLSYNLYDLLRNTNFRGVSLNLTRKFAQQMCTALLFLATPELSIIHCDLKPENILLCNPKRSAIKIVDFGSSCQLGQRIYQYIQSRFYRSPEVLLGMPYDLAIDMWSLGCILVEMHTGEPLFSGANEVDQMNKIVEVLGIPPAHILDQAPKARKFFEKLPDGTWSLKKTKDGKREYKPPGTRKLHNILGVETGGPGGRRAGESGHTVADYLKFKDLILRMLDYDPKTRIQPYYALQHSFFKKTADEGTNTSNSVSTSPAMEQSQSSGTTSSTSSSSGGSSGTSNSGRARSDPTHQHRHSGGHFAAAVQAMDCETHSPQVRQQFPAPLGWSGTEAPTQVTVETHPVQETTFHVAPQQNALHHHHGNSSHHHHHHHHHHHHHGQQALGNRTRPRVYNSPTNSSSTQDSMEVGHSHHSMTSLSSSTTSSSTSSSSTGNQGNQAYQNRPVAANTLDFGQNGAMDVNLTVYSNPRQETGIAGHPTYQFSANTGPAHYMTEGHLAMRQGADREESPMTGVCVQQSPVASS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDEFDGFTRPTSSNSSANRNSNNSMNRVENNNSNSDSANTVDSRGDAHTRMRQGFEKSFPSSPNKKRPRTNEGDRFIPSRDASTELWTGFTKVEGPLTPVKKKQSVADRNFTTLLRSELFGSNDETFNNSPIATPNTTIGVSTPRTDSGIDDIELTQRTPPSSSHTSSSILQNTPVTPSRKIFHYLSPRDRNKSSYGKKAQYQDNPNRTIYSLSPVRSITKDLISASRLEGRELPSIPYRVLDAPGLAGDFYLNLLDWGQCNMLAVALASRVYLWSGISSEVTVMHNFYPTDTVTSLRWVQRGTHLAVGTHNGSVEIWDAATCKKTRTMSGHTERVGALSWNDHVLSSGGRDNHILHRDVRAPEHYFRVLTAHRQEVCGLEWNSNENLLASGGNDNALMVWDKFEEKPLYSFHNHIAAVKAITWSPHQRGILASGGGTADRTIKLWNTQRGSMLHNIDTGSQVCNLLWSKQTNEFISTHGFMENEVALWNYPSVSRVGTLKGHTDRVLYLAMSPNGENIVTGAADETLRFWKLFDSKSKHSASTMSSPFDPTMKIR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEEQPRERSEAGAEACEEKRGLSQAAEERIEDRISLLLRLRAQTKQQLLEYKSMIDTNEEKTPEQIMQEKQIEVKIEELENEIEDVKSNIEMKSLALSRMKLSVALRDNMENMGPENCVLTDDMKHILKLQKLIMKSQEESSELEKKLLDVRKKRLQLKQASRSKLLEIQIEKNKQKEDVDKMENSEMIKTMKKKLQTEIKITTVVQHTFQGLILASKTNWAEDPALRETVLQLEKDLNTL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MARATFDMNAAGLEARGGGHTEHGPLPFSVESLLEAERVPGSESGELGVERPLGASKPGAWPPPVAHSCPPRAPSPPPCTLRKHKTNRKPRTPFTTAQLLALERKFHQKQYLSIAERAEFSSSLSLTETQVKIWFQNRRAKAKRLQEAELEKLKLAAKPLLPAAFALPFPLGTQLHSSAATFGGNAVPGILAGPVAAYGMYYLS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MMGEFHTQFQQIKQNNINNNNNNNNNNSNNNNNFDPITSLTNNNNNKIISPLNSNRQQPNSNTNNNSNNKNNNKSNLLDIILDNNNLENNIDNNNNNNNNNNNNNNNNNNNNSKSIINLIDNNNNNNKNNNNNNNNNNILKIPIDYTPVDKNTESIFKGFSPFSFKQQQPIDTSDQQQKETKKLQLNINSNNKNNNNIINNSNNNNNINNIESNNNNLNSASTSPIPTPTSLTAVSVPSSSPLPSPTSPNTILQSPTTTTTTTTTTSNSSVSPQPTQINKTDKYLLPMSAPRSCEFCGSSQTPTWRRGPSGKGSLCNACGIKWRLKGKDGIFKPSQKQQNRQKPIMSAQKQPKQQQNQPQQQQPQQPQQPQQPQQQNQQQNQQQSIQSQPQQRQNNHLILNQQTINQHLQMLSKQHQQQASQSMFQQQQLHNNQHSNDSNYNSYNNNNNNNNNNYSQHEQLPPISSYNSMNNNNNSNNSNNNNNNNNYSQIPQYGSDDNVSVPNQSPDSYKPSKKRKPEFIHTDTSGNPIEFEKGYYCKYCKKTWPQSSFRNSQQFGAHCSNCSRKPKGELEELISIKKKLSKKKSKEGNYYQEEDGAVPYWDMNRYNNNNNNNNGINNNNNNNNNNNNNNSNNNGSYNNNNSNNNNNNNRIEPIVNNNINNFNSINNNNSNNNNNNNSTSQNAPLLQRLIHVVENQLLEPNELSSIREDIDIMKNDLILRKKKRIAQLNDLKQKANNDVQILKANIEKGSNQRSNESKSFITDLKQEIDKRLNQYTHIYIPPDHHLVPSSTNYLSIDSLQNSSSSNNYGTIHNSNNNNNNNNNNNKRSLVNLSPELIHSPLKKPMVGGYRDEQGMKHFSIDGDNLSLPSIS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEVKRLKVTELRSELQRRGLDSRGLKMDLAQRLQEALDAEMLEDEAGVGGAGPGGACKAEPRPVAASGGGPGGDEEEEDDDEEEDEEALLEDEDEEPPPAQALGQAAQPPPEPPETSAMEAESEASDTPAEATAGSGGVNGGEEHDNGKGEEDGPEERSGDETPGSEAPGDKAVEEQGDDQDSEKSKPAGSDGERRGVKRQRDEKDEHGRAYYEFREEAYHSRSKSPPPPEEEAKDEEEDQTLVNLDTYTSDLHFQISKDRYGGQPLFSEKFPTLWSGARSTYGVTKGKVCFEAKVTQNLPMKEGCTEVSLLRVGWSVDFSCSQLGEDEFSYGFDGRGLKAENGQFEEFGQTFGENDVIGCFANFETEEVELSFSKNGEDLGVAFRISKESLADRALLPHVLCKNCVVELNFGQKEEPFFPPPEEFVFIHAVPVEERVRTAVPPKTIEECEVILMVGLPGSGKTQWALKYAKDNPERRYNVLGAETVLTQMRMKGLEEPEMDPKSRDLLVQQASQCLSKLVQIASRSKRNFILDQCNVYNSGQRRKLLLFKTFSRKVVVVVPNEEDWKRRLELRKEVEGDDVPESIMLEMKANFSLPEKCDYMDEVTYGELEKEEAQPIVTKYKEEARKLLPPSEKRTNRRNNRNKRNRQNRSRGQGYVGGQRRGYDNRAYGQQYWGQSGNRGGYRNFYDRYRGDYERFYSRDYEYNRYRDYYRQYNRDWQNYYYHHQQDRDRYYRNYYGYQGYR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEKVFSDEEISGNHHWSVNGMTSLNRSASEWAFNRFIQESSAAADDGESTTACGVSVSSPPNVPVDSEEYRAFLKSKLNLACAAVAMKRGTFIKPQDTSGRSDNGGANESEQASLASSKATPMMSSAITSGSELSGDEEEADGETNMNPTNVKRVKRMLSNRESARRSRRRKQAHLSELETQVSQLRVENSKLMKGLTDVTQTFNDASVENRVLKANIETLRAKVKMAEETVKRLTGFNPMFHNMPQIVSTVSLPSETSNSPDTTSSQVTTPEIISSGNKGKALIGCKMNRTASMRRVESLEHLQKRIRSVGDQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLTEEELKRLYRIQKTLMQMLRDRGYFIADSELTMTKQQFIRKHGDNMKREDLVTLKAKRNDNSDQLYIFFPDEAKVGVKTMKMYTNRMKSENVFRAILVVQQNLTPFARTCISEISSKFHLEVFQEAEMLVNIKEHVLVPEHQVLTTEEKKTLLERYTVKETQLPRIQVTDPIARYFGLKRGQVVKIIRPSETAGRYVTYRYVV", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDRNREARRVPMAAAGNGLSRRRHRAGSFRDSPEEEGPVELPEAARLRDRGGSNKKDRDRERDRDRERERERDRERDRLNSRSKRRRGERLMMVHGNLDDGGDDSSEESVNDDEEYDDGGVGPPSSLKMLPPTSNNISAASFSSSLSNHHNGGSGNLHHHHHSHNNNHQRKNNFPPTKVFRSSPSPAPVSPLVSTWKAADEMIGVSVPRKARSACTKRPHESWASSTTGGGVFASGEQIHRQISSTSPANRVSPASILASPSPPAPTSPSSSSISVRKKLPSGTKQKPLPPKSSSSKLSSPVAVQDEIEIEIAEVLYGMMRMPSTSKQEAAGNDLTEAAKSTVEVKSRVSSPISNPQTLPQSSITLAANSSSSNVSAIAPKRKKPRHVKYEDDNSSRVTTIKSEAEAPSKSQVPFSNQLKSSGSGEGNSSVLDSIIPLTRESNASLDSEKKENNLSKDETILPKVESSSGFRSDGEGAKSSSPEKEKFEIDLMAPPPVRSSSERGGEMMECVAAEAKPKVTEVETEAKPLLKEDRSDPAIHDSQEKKRPRMVAEAEHHKFERNCELKLDLDKSDHVGLVNKHHVQKPPPQQQLSVPDKTAQASHLPLHMSMPGWPGGLPTMGYMAPTQGVVPTDTSSLSAAAMQPPPHLLFNQPRPKRCATHCYIARNIQSHQQFTKMNPFWPAAAGSAPMYGTKACNLSLMPPTELQGSVLGRSSNPVQDKNSQSTSKSSETAQRNQLMLQQALPPGAANSILHGPTFIFPLGQQPHAAATIAAASVRPPNSGITSSGPTATSTSMNGSASATPAGAPTMSFSYPAMPGNETQYLAILQNNGYPFPVPAHVGAQPAYRGAPGQPMPFFNGSFYSSQMIQPPHHQPQKQHQQQLTGQMLQSHAPNNQNGSASTGSSAAQKHLQNQQLRPPINHGNSQGFPTHKVQSQPLNFQQRQQPRENATQHSETVGEDSPSTADSRGSRSNVAYGQNYGMQMQPTNLGLMSSPAPGGGVVGSSSSHGEKKSQQQVSKAGVESFQSPGYAMTFATFNGANTAPTLNMSSIAQNHAMFHSMPEAARQGYQMMAAQAAQQKMNYGASLEDGKSGSIGGAATANNTPEEQRKSGGGAIGKTSGGNGGQSIAFSNKQDLADASVSAVTSGSIVDSSSRLLNLGSALPQSSGSLPTSHHQQLLQQQQQQHMQRSQSQQPYTTMYLQKQQRYATSVAASAARTKGPVVSNGSGFPDHNMTTSPAGTTKFANANSGFPQNLVQSSSNQVQSQQWKNNSPRTTNTTQAQSPSMLSPSTSVAAASSLRNIPHKQQSRPQQSQISFAANSKPMTSGSPMQQVQGGTNHQAPSPPMLVGSPSTSSVSKNASGSPRTTASASSAANKGGQASTTTHSASQPSKNLQPASAASSAGGRNNGPSVLGNPTTSSGSKSQQQQQLPKHGLQPQAQLFFSNPYMQAQHQHQQQQITISPSGGYYIQRHQQQSGSAPAVPVTGAVTATSDPAKAIAAASAANNMKGGGGMGKTQQHQLGPPGFTNVHAVSSAVQVKPVDQKQQAGE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTFVDDDEEEDFSVPQSASNYYFEDDDKEPVSFARLPIQWSVEEKVDGSGLGFYLRGRSDNGLLPLHKLVKAWRYDLSNFQPEISVLTKDNIWIKLEEPRKSYGELIRTVLVTLHSIQFLRRNPQASEKALWEKLTRSLRSYDVKPSQNDLVDHIGLIAEAAKRDRNLANSKFILAFLTKKPTKRRLPDEDNAKDDFIVGDEDTYVASDEDELDDEDDDFFESVCAICDNGGEILCCEGSCLRSFHATKKDGEDSLCDSLGFNKMQVEAIQKYFCPNCEHKIHQCFICKNLGSSDNSSGAAEVFQCVSATCGYFYHPHCVTRRLRLGNKEESEALERQIIAGEYTCPLHKCSVCENGEVKTDSNLQFAVCRRCPKSYHRKCLPREISFEDIEDEDILTRAWDGLLHNRVLIYCQEHEIDEELLTPVRDHVKFPFTEEQKVFVKEQRRILESHVGRDKARLKVKDPALQDTCGKASKNSFRSSFPSSKDGFSTKKHGLVSSVPDHSRKRKDIDPSIKHKMVPQKSQKMMEDSREAGKNKLGVKEARDAGKSKISLGERLFSYTQEPNPVKPGRVIPVDSKHNKTDSIASKEPGSEIPTLDNDSQRRLLAVMKKATEEITMGTILKKFKIQSTMSTHSTRNVVDKTITMGKVEGSVQAIRTALKKLEEGGNIEDAKAVCEPEVLSQILKWKDKLKVYLAPFLHGARYTSFGRHFTNPEKLQQIVDRLHWYADDGDMIVDFCCGSNDFSCLMNAKLEETGKKCLYKNYDLFPAKNNFNFERKDWMTVSKDELEPGSKLIMGLNPPFGVNASLANKFITKALEFRPKILILIVPPETERLDKKKSSYVLIWEDKTFLSGNSFYLPGSVNEEDKQLEDWNLVPPPLSLWSRSDFAAKHKKIAEKHCHLSRDVGSSKLKIVEEEANASLHPLGASDGMCDDIPMEKDELEVAECVNKILVSEKIDTVETVARVHQSDHLSRRSQLKKEGKTKDYSGRKLGKSMDSNNVDWKSNDMEEDQGELSRAPESIKVKIPEMTSDWQSPVRSSPDDIYAVCTSISTTTPQRSHEAVEASLPAITRTKSNLGKNIREHGCKVQGTGKPEVSRDRPSSVRTSREDIYTVRPSPENTGQKPFEAFEPSYGASLSHFDDGLAAKYGGFGGGYRMPDPPFLPDQFPLRNGPNEMFDFRGYSDLDRGIGQREYPQQYGGHLDPMLAPPPPPNLMDNAFPLQQRYAPHFDQMNYQRMSSFPPQPPLQPSGHNLLNPHDFPLPPPPPSDFEMSPRGFAPGPNPNYPYMSRSGGWIND", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MMVFRVEELVTGKKNSNGSSGEFLPGEFRNGEYEAAVALEKQEDLKTLPANSVNLGEEQRKSEKVREAELKKKKLEQRSKLENLEDLEIIVQLKKRKKYKKTKVPVVKEPEPEIITEPVDVPRFLKAALENKLPVVEKFLSDKNSPDVCDEYKRTALHRACLEGHLAIVEKLMEAGAQIEFRDMLESTAIHWACRGGNLDVLKLLLNKGAKISARDKLLSTALHVAVRTGHYECAEHLIACEADLNAKDREGDTPLHDAVRLNRYKMIRLLMTFGADLNVKNCAGKTPMDLVLHWQNGTKAIFDSLKENAYKNSRIATF", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MQSESGIVPDFEVGEEFHEEPKTYYELKSQPLKSSSSAEHPGASKPPISSSSMTSRILLRQQLMREQMQEQERREQQQKLQAAQFMQQRVAVSQTPAINVSVPTTLPSATQVPMEVLKVQTHLENPTKYHIQQAQRHQVKQYLSTTLANKHASQVLSSPCPNQPGDHAMPPVPGSSAPNSPMAMLTLNSNCEKEAFYKFEEQSRAESECPGMNTHSRASCMQMDDVIDDIISLESSYNEEILGLMDPALQMANTLPVSGNLIDLYSNQGLPPPGLTISNSCPANLPNIKRELTACIFPTESEARALAKERQKKDNHNLIERRRRFNINDRIKELGTLIPKSNDPDMRWNKGTILKASVDYIRKLQREQQRAKDLENRQKKLEHANRHLLLRVQELEMQARAHGLSLIPSTGLCSPDLVNRIIKQEPVLENCSQELVQHQADLTCTTTLDLTDGTITFTNNLGTMPESSPAYSIPRKMGSNLEDILMDDALSPVGVTDPLLSSVSPGASKTSSRRSSMSAEETEHAC", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPLPVALQTRLAKRGILKHLEPEPEEEIIAEDYDDDPVDYEATRIEGLPPSWYKVFDPSCGLPYYWNVETDLVSWLSPHDPNFVVTKSAKKVRNNNADAEDKSDRNLEKVDRNHEKSDRSHEKPDRSHEKADRNHEKNDRERERNYDKVDRERDRDRERERAFDKADREEGKDRRHHRREELAPYPKNKKATSRKDEELDPMDPSSYSDAPRGTWSTGLPKRNEAKTGADTTAAGPLFQQRPYPSPGAVLRANAEASRTKQQD", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAHGVPFDHNYYIVECKEETNAEAQAGAMAATSTEEAPGAVEVAQAAVASSHDSGGAIGCATVKESESDSESESDSESESDSSDSSDESDDDSSTSDEDTSDPEEAAAPSVAAVAAAAAPPTVPAAAAIQIPGPYRYRPPRRHVRRRRRGPPFHFAQWQVEEMESLFEETQYPDLLTRGELARTLNVPEVKVKVWFTNRRAKQRKIERREMLRNIPPGAEDFIFITDFEEPS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEGEAVEAIVEESETFIKGKERKTYQRRREGGQEDEACHIAPNQADGGEVVQDVNSGVQMVMMEHLDPTLLQMKTEVMEGAVPQETEATVDDTQIITLQVVNMEEQPINLGELQLVQVPVPVTVPVATTSVEELQGAYENEVSKGGLQEGEPMICHTLPLPEGFQVVKVGANGEVETLEQGELQPQEDPNWQKDPDYQPPAKKTKKNKKSKLRYTEEGKDVDVSVYDFEEEQQEGLLSEVNAEKVVGNMKPPKPTKIKKKGVKKTFQCELCSYTCPRRSNLDRHMKSHTDERPHKCHLCGRAFRTVTLLRNHLNTHTGTRPHKCPDCDMAFVTSGELVRHRRYKHTHEKPFKCSMCDYASVEVSKLKRHIRSHTGERPFQCSLCSYASRDTYKLKRHMRTHSGEKPYECYICHARFTQSGTMKMHILQKHTENVAKFHCPHCDTVIARKSDLGVHLRKQHSYIEQGKKCRYCDAVFHERYALIQHQKSHKNEKRFKCDQCDYACRQERHMVMHKRTHTGEKPYACSHCDKTFRQKQLLDMHFKRYHDPNFVPAAFVCSKCGKTFTRRNTMARHADNCSGLDGGEGENGGETKKGKRGRKRKMRSKKEDSSDSEENAEPDLDDNEDEEETAVEIEAEPEVSAEAPAPPPSKKRRGRPPGKAATQTKQSQPAAIIQVEDQNTGEIENIIVEVKKEPDAETVEEEEEAQPAVVEAPNGDLTPEMILSMMDR", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGKVSKSTKKFQSKHLKHTLDQRRKEKIQKKRIQGRRGNKTDQEKADAAGTREQQQLKKSAKEEVFKDMSVETFFEKGIEIPKENKKLKKKTTKEQSDEDSSSSEEEEDMGQSMAKLAEKDPEFYKYLEENDKDLLDFAGTNPLDGIDSQDEGEDAERNSNIEEKSEQMELEKEKIELSLKLVRKWKKQLHDSPSLKLLRNIISAFKVAVNLNKEENIEDYKYAITDEKAFHELMFMVLKDVPQAIQKMAPYKIVKGARTLPNGGNVSRVSSIVKSHAGSLLILLNDITNTETAALVLHSVNELMPYLLSYRRILKELIKSIVGVWSTTRELETQIASFAFLINTTKEFKKSMLETTLKTTYSTFIKSCRKTNMRSMPLINFQKNSAAELFGIDEVLGYQVGFEYIRQLAIHLRNTMNATTKKSSKINSAEAYKIVYNWQFCHSLDFWSRVLSFACQPEKENGSESPLRQLIYPLVQVTLGVIRLIPTPQFFPLRFYLIKSLIRLSQNSGVFIPIYPLLSEILTSTAFTKAPKKSPNLAAFDFEHNIKCTQAYLNTKIYQEGLSEQFVDLLGDYFALYCKNIAFPELVTPVIISLRRYIKTSTNVKLNKRLSTVVEKLNQNSTFIQEKRSDVEFGPTNKSEVSRFLNDVAWNKTPLGSYVAVQREVKEEKARLMRESMEEQDKERETEEAKLLNSLESDDDNEDVEMSDA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLSHADLLDARLGMKDAAELLGHREAVKCRLGVGGSDPGGHPGDLAPNSDPVEGATLLPGEDITTVGSTPASLAVSAKDPDKQPGPQGGPNPSQAGQQQGQQKQKRHRTRFTPAQLNELERSFAKTHYPDIFMREELALRIGLTESRVQVWFQNRRAKWKKRKKTTNVFRAPGTLLPTPGLPQFPSAAAAAAAAMGDSLCSFHANDTRWAAAAMPGVSQLPLPPALGRQQAMAQSLSQCSLAAGPPPNSMGLSNSLAGSNGAGLQSHLYQPAFPGMVPASLPGPSNVSGSPQLCSSPDSSDVWRGTSIASLRRKALEHTVSMSFT", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSLIHPDTAKYPFKFEPFLRQEYSFSLDPDRPICEFYNSREGPKSCPRGPLCPKKHVLPIFQNKIVCRHWLRGLCKKNDQCEYLHEYNLRKMPECVFFSKNGYCTQSPDCQYLHIDPASKIPKCENYEMGFCPLGSSCPRRHIKKVFCQRYMTGFCPLGKDECDMEHPQFIIPDEGSKLRIKRDDEINTRKMDEEKERRLNAIINGEV", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPRYLCDYCQVWLTHDSQSVRKAHNAGRAHIQNVQDYYTKVAQEEAQKQLEERASSGFLKKGNGSLDLPYAYAFPPKYNVFNLGCPPPPYIVSANTYMAPKGMNAMNAAAFVPMMPAVNLTNQVAFSAPQTTASSNTQLTQQQQSLPQTNEHQRARTHSNANNHFTKTHHQGQRSHQRFVRA", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASELAMNNSDLPTSPLAMEYVNDFDLMKFEVKKEPVETDRIISQCGRLIAGGSLSSTPMSTPCSSVPPSPSFSAPSPASGSEQKAHLEDYYWMTGYPQQLNPEALGFSPEDAVEALISNSHQLQGGFDGYARGAQQLAAAAGAGAGASLGGSGEEMGPAAAVVSAVIAAAAAQSGGAPHYHHHHHHATGHHHHPTAGAPGAAGSASASASGAGGAGGGGPASAGGGGGGGGGGTAGAGGALHPHHAAGGLHFDDRFSDEQLVTMSVRELNRQLRGVSKEEVIRLKQKRRTLKNRGYAQSCRFKRVQQRHVLESEKNQLLQQVDHLKQEISRLVRERDAYKEKYEKLVSSGFRENCSSSDNPSSPEFFM", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPRVYIGRLSYNVREKDIQRFFSGYGRLLEIDLKNGYGFVEFEDSRDADDAVYELNSKELCGERVIVEHARGPRRDRDGYSYGSRSGGGGYSSRRTSGRDKYGPPVRTEYRLIVENLSSRCSWQDLKDFMRQAGEVTYADAHKERTNEGVIEFRSYSDMKRALDKLDGTEINGRNIRLIEDKPRTSHRRSYSGSRSRSRSRRRSRSRSRRSSRSRSRSISKSRSRSRSRSKGRSRSRSKGRKSRSKSKSKPKSDRGSHSHSRSRSKDKYGKSRSRSRSRSPKENGKGDIKSKSRSRSQSRSHSPLPAPPSKARSMSPPPKRASRSRSRSRSRSRSSSRD", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPTPVAAARQCLSPAAVPALDAAVASSRRRAHAQTTSLHLISSLLAPPAPPLLRDALARARSAAYSPRVQLKALDLCFAVSLDRLPSVSASSSSSGAADEPPVSNSLMAAIKRSQANQRRNPDTFHFYHQAATAQTPAAVKVELSHLVLAILDDPVVSRVFAEAGFRSGDIKLAILRPAPPMPLLGRLPTRTRPPPLFLCSFAAADDADVPSPAGNLAGAGEENCRRIAEILSRGRNPMLVGVGAASAADDFAAASPYRIIHVDPNTIDRSDLGVAAAMASATSGLIISIGDLKQLVPDEDAEAQEKGRRVVAEVTRVLETHSKVGRVWVMGWSATYETYLAFLSKFPLVDKDWDLQLLPITAVHAAATAGPAAAAAGLMPPATTVAAFSKPAASLMDSFVPFGGFLCDNYEENSLTANSCPQALRCQQCNDKYEQEVATIISASGITAEDHHQGGLPSLLQNGSMMGPNNGFDPVKARDDRMVLNSKILNLRKKWNEYCLRLHQDHQRINRDPYKPFPRYIGVPTDKERSANSSKGSESVGVQKDVIKPCAVSAVHSSSTARPISSPSVTNKRNEDLVLNLQARHSKSDENLQERGMQSQHGTLSNVDNPDDHVSPSSAAPVETDLVLGTPRECSSKGSSSTCSKRVEDSERSVHLVPKKVDDLNLKHPQLSVQPNSCSWSSINVGKTSHSTLHSVASGGFSAFGQWQKRSPLAAQNSDLSNYKLLVERLFKVVGRQEEALSAICESIVRCRSTESRRGPNRNDIWLCFHGSDSMAKKRIAVALAELMHGSKDNLIYLDLNLQDWDDSSFRGKTGIDCIVEQLSKKRQSVLFLDNIDRADCLVQDSLSDAIKSGRFQDMRGKVVDINDSIVVLSRSMIQGSKNGLEEGLSFSEEKILATRGHRLKILVEPGRAITSGCPSGKVVVSPRHFLTKIQASLCSGSISKRKLSISDDQEKLQESPSSSKRLHRTSSVPFDLNLPVDEDEPLDADDDSSSHENSYGNTEKSIDALLHSVDGSINFKPFDFDKLADDMLQEFSNILRKNLGSECMLEIDVGAMEQILAAAWKSEEDRKPVPTWLEQVFARSLDELKLKRKHVSSSTLRLVACEDTVPAVKGDGLGVLLPPRIILDC", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSKGLKPQKSTKRKDTDSSAKFDSLKSKKPKLVSGEQQQHVKPKFGKPKSAGDKEQSTNLSKKERRVQAKELTEARKKKRKPHYNLEQELVSLWEKMRRRNIGKEDRSKLISEAIRKMKGKVPEIAVSHVSSRVLQTCVKFCSQAEKDVLFTELQPQFLNLASNKYAVHFIQKMLDGASKQQLAACISSLRGHVAPLLRHVFGSLVVEHAYHLGSAAQKQELLAELYSTELQLFKGLTTSNEKTVVDIIAKLGLQKGAVNRHMTAIIQPILEKGIVDHTITHKLLIEYLTIADKTSAADVLQLLTGSLLLRMVHTRDGSRLAMLSIKHGSAKERKKIIKAMKEHVKKMAFDQFGSMVLACIFSIVDDTKLVTKIIVRELEATLKDLVMDKNGRRPLLQLLHPNSSRYLSHDDLAALDLSVPSLCSMDKSETSSKTKDTDGNEIGEETKDEQEDTVAEHSDHEENVTAMGGKKDPLVRRQELLVNSGLAERLIDVCVENAEEFLQSKFGNEVMYEVAIGGSDGILCPSLSEKLCELYEAISSVAAEPKPQESEKDSEHILENFHSSRTIRRLVLNRPGFASILFKKALSGKCRSWAQGHCSKILSAFVETEDVQVREMAKTELQVLVNEGTLKISATKKPE", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLESLQPESELLHDEPDPGEKVYECDECRKTFSLEQHFVEHKKTHGGEKSPECTGCGEEFSKASSLTRHLRSRSRRESYKCGNCGRTFSQRGNFLSHQKQHAEERPSESKKTPVPMTTIVRNQRNAGNKPYACKECGKAFNGKSYLKEHEKIHTGEKPFECNQCGRAFSQKQYLIKHQNVHSGKKPFKCNECGKAFSQKENLIIHQRIHTGEKPYECKGCGKAFIQKSSLIRHQRSHTGEKPYTCKECGKAFSGKSNLTEHEKIHIGEKPYKCNECGTIFRQKQYLIKHHNIHTGEKPYECNKCGKAFSRITSLIVHVRIHTGDKPYECKVCGKAFCQSSSLTVHMRSHTGEKPYGCNECGKAFSQFSTLALHMRIHTGEKPYQCSECGKAFSQKSHHIRHQRIHIH", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEMAVANHRERSSDSMNRHLDSSGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECSILANIEPKQIKVWFQNRRCRDKQRKEASRLQSVNRKLSAMNKLLMEENDRLQKQVSQLVCENGYMKQQLTTVVNDPSCESVVTTPQHSLRDANSPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQRCNGVAARACGLVSLEPMKIAEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQTYAPTTLAPARDFWTLRYTTSLDNGSFVVCERSLSGSGAGPNAASASQFVRAEMLSSGYLIRPCDGGGSIIHIVDHLNLEAWSVPDVLRPLYESSKVVAQKMTISALRYIRQLAQESNGEVVYGLGRQPAVLRTFSQRLSRGFNDAVNGFGDDGWSTMHCDGAEDIIVAINSTKHLNNISNSLSFLGGVLCAKASMLLQNVPPAVLIRFLREHRSEWADFNVDAYSAATLKAGSFAYPGMRPTRFTGSQIIMPLGHTIEHEEMLEVVRLEGHSLAQEDAFMSRDVHLLQICTGIDENAVGACSELIFAPINEMFPDDAPLVPSGFRVIPVDAKTGDVQDLLTANHRTLDLTSSLEVGPSPENASGNSFSSSSSRCILTIAFQFPFENNLQENVAGMACQYVRSVISSVQRVAMAISPSGISPSLGSKLSPGSPEAVTLAQWISQSYSHHLGSELLTIDSLGSDDSVLKLLWDHQDAILCCSLKPQPVFMFANQAGLDMLETTLVALQDITLEKIFDESGRKAICSDFAKLMQQGFACLPSGICVSTMGRHVSYEQAVAWKVFAASEENNNNLHCLAFSFVNWSFV", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPRCTYQLEQNPGFLPDGPGVHARAHCQDLSGPYGHEFATSESLGGRVGKTRAPQSGARSRMERAGPAGEEGGAREGRLLPRAPGAWVLRACAERAALEVGAASADTGVRGCGARGPAPLLASAGGGRARDGTWGVRTKGSGAALPSRPASRAAPRPEASSPPLPLEKARGGLSGPQGGRARGAMAHVGSRKRSRSRSRSRGRGSEKRKKKSRKDTSRNCSASTSQGRKASTAPGAEASPSPCITERSKQKARRRTRSSSSSSSSSSSSSSSSSSSSSSSSSDGRKKRGKYKDKRRKKKKKRKKLKKKGKEKAEAQQVEALPGPSLDQWHRSAGEEEDGPVLTDEQKSRIQAMKPMTKEEWDARQSIIRKVVDPETGRTRLIKGDGEVLEEIVTKERHREINKQATRGDCLAFQMRAGLLP", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGGTINAAIKQKFENEIFDLACFGENQVLLGFSNGRVSSYQYDVAQISLVEQWSTKRHKKSCRNISVNESGTEFISVGSDGVLKIADTSTGRVSSKWIVDKNKEISPYSVVQWIENDMVFATGDDNGCVSVWDKRTEGGIIHTHNDHIDYISSISPFEERYFVATSGDGVLSVIDARNFKKPILSEEQDEEMTCGAFTRDQHSKKKFAVGTASGVITLFTKGDWGDHTDRILSPIRSHDFSIETITRADSDSLYVGGSDGCIRLLHILPNKYERIIGQHSSRSTVDAVDVTTEGNFLVSCSGTELAFWPVDQKEGDESSSSDNLDSDEDSSSDSEFSSPKKKKKVGNQGKKPLGTDFFDGL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSNANNSAMNHITLPPISSFDNLIKAAERQYNGEASSASTHPTLPNMNISNGSGSAGASSSMLSYQLLPHSNDVSRSNSSSSFLPSVQQPTEGSASASETSSSASPSRSISPILKVAGPSSVGGAGVSTPHSTKINKPRKKKQCPICRNFYANLTTHKATHLTPEDRPHKCPICHRGFARNNDLLRHKKRHWKDEILSQSGVLSNHNDGKGGSVSPNDDDTHEKMTPMNSVTDYAQLKSLHQIKGTFKCPFNSTLIQLDMDMYPYKLKPLNFETSNCHQTGVFSRCDTFKNHLKALHFEYPPGTKKKDRNVVPGRCKHCGLKFENVDVWLNEHVGKQCGYKYH", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDNFAEGDFTVADYALLEDCPHVDDCVFAAEFMSNDYVRVTQLYCDGVGVQYKDYIQSERNLEFDICSIWCSKPISVLQDYCDAIKINIFWPLLFQHQNSSVISRLHPCVDANNSRASEINLKKLQHLELMEDIVDLAKKVANDSFLIGGLLRIGCKIENKILAMEEALNWIKYAGDVTILTKLGSIDNCWPMLSIFFTEYKYHITKIVMEDCNLLEELKTQSCMDCIEEGELMKMKGNEEFSKERFDIAIIYYTRAIEYRPENYLLYGNRALCFLRTGQFRNALGDGKRATILKNTWPKGHYRYCDALSMLGEYDWALQANIKAQKLCKNDPEGIKDLIQQHVKLQKQIEDLQGRTANKDPIKAFYENRAYTPRSLSAPIFTTSLNFVEKERDFRKINHEMANGGNQNLKVADEALKVDDCDCHPEFSPPSSQPPKHKGKQKSRNNESEKFSSSSPLTLPADLKNILEKQFSKSSRAAHQDFANIMKMLRSLIQDGYMALLEQRCRSAAQAFTELLNGLDPQKIKQLNLAMINYVLVVYGLAISLLGIGQPEELSEAENQFKRIIEHYPSEGLDCLAYCGIGKVYLKKNRFLEALNHFEKARTLIYRLPGVLTWPTSNVIIEESQPQKIKMLLEKFVEECKFPPVPDAICCYQKCHGYSKIQIYITDPDFKGFIRISCCQYCKIEFHMNCWKKLKTTTFNDKIDKDFLQGICLTPDCEGVISKIIIFSSGGEVKCEFEHKVIKEKVPPRPILKQKCSSLEKLRLKEDKKLKRKIQKKEAKKLAQERMEEDLRESNPPKNEEQKETVDNVQRCQFLDDRILQCIKQYADKIKSGIQNTAMLLKELLSWKVLSTEDYTTCFSSRNFLNEAVDYVIRHLIQENNRVKTRIFLHVLSELKEVEPKLAAWIQKLNSFGLDATGTFFSRYGASLKLLDFSIMTFLWNEKYGHKLDSIEGKQLDYFSEPASLKEARCLIWLLEEHRDKFPALHSALDEFFDIMDSRCTVLRKQDSGEAPFSSTKVKNKSKKKKPKDSKPMLVGSGTTSVTSNNEIITSSEDHSNRNSDSAGPFAVPDHLRQDVEEFEALYDQHSNEYVVRNKKLWDMNPKQKCSTLYDYFSQFLEEHGPLDMSNKMFSAEYEFFPEETRQILEKAGGLKPFLLGCPRFVVIDNCIALKKVASRLKKKRKKKNIKTKVEEISKAGEYVRVKLQLNPAAREFKPDVKSKPVSDSSSAPAFENVKPKPVSANSPKPACEDVKAKPVSDNSSRQVSEDGQPKGVSSNSPKPGSEDANYKRVSCNSPKPVLEDVKPTYWAQSHLVTGYCTYLPFQRFDITQTPPAYINVLPGLPQYTSIYTPLASLSPEYQLPRSVPVVPSFVANDRADKNAAAYFEGHHLNAENVAGHQIASETQILEGSLGISVKSHCSTGDAHTVLSESNRNDEHCGNSNNKCEVIPESTSAVTNIPHVQMVAIQVSWNIIHQEVNTEPYNPFEERQGEISRIEKEHQVLQDQLQEVYENYEQIKLKGLEETRDLEEKLKRHLEENKISKTELDWFLQDLEREIKKWQQEKKEIQERLKSLKKKIKKVSNASEMYTQKNDGKEKEHELHLDQSLEISNTLTNEKMKIEEYIKKGKEDYEESHQRAVAAEVSVLENWKESEVYKLQIMESQAEAFLKKLGLISRDPAAYPDMESDIRSWELFLSNVTKEIEKAKSQFEEQIKAIKNGSRLSELSKVQISELSFPACNTVHPELLPESSGDDGQGLVTSASDVTGNHAALHRDPSVFSAGDSPGEAPSALLPGPPPGQPEATQLTGPKRAGQAALSERSPVADRKQPVPPGRAARSSQSPKKPFNSIIEHLSVVFPCYNSTELAGFIKKVRSKNKNSLSGLSIDEIVQRVTEHILDEQKKKKPNPGKDKRTYEPSSATPVTRSSQGSPSVVVAPSPKTKGQKAEDVPVRIALGASSCEICHEVFKSKNVRVLKCGHKYHKGCFKQWLKGQSACPACQGRDLLTEESPSGRGWPSQNQELPSCSSR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGKDNKEHKESKESKTVDNYEARMPAVLPFAKPLASKKLNKKVLKTVKKASKAKNVKRGVKEVVKALRKGEKGLVVIAGDISPADVISHIPVLCEDHSVPYIFIPSKQDLGAAGATKRPTSVVFIVPGSNKKKDGKNKEEEYKESFNEVVKEVQAL", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSDLVMFEPGASTSTDVPTNTDQTAMSTTQVVDALECDTFEGLMNVNETYVEILDSALLSVQQHLDDNLKRQQQLKEEYRLYNRADITKRKVPVHLYMPPYFKDDNNMYPPMSSEAREKQVLKWFDPMMKEEKKWTPSEIKTLRTSVKNALVAHQVQPWCSRRDIVASKLRDADITTSNFDRRQWTMELEDLMRKIAYVREKSEEEVLTASADYTVVPWTAIANFDFKGSRTEWAVKSKWYNELNPKWNKEHWSNEEVEKLKYLRESPKFVSWPMLALNLGTNRTSYQCMEKYKTEVSQHSKEWSQDEDTKLIALTKITSINGHIQWDKVAQCMPGRTRQQVRTRFSHTLDASVKHGRWTDQEDVLLVCAVSRYGAKDWAKVAQAVQNRNDSQCRERWTNVLNRSAHVNERFTLVEDEQLLYAVKVFGKGNWAKCQMLLPKKTSRQLRRRYLQLIAAKLRLAAGFCNAVDAMKSGRRAPEEDELEQEDIVEAEQIPNELMKEVYEKFANENPDMNETPEEFYKRVSALERPAAARIRALKNKPDYQKIQDKINEIVQKHKNAAEIDKELHSSEILSSLTITEVDVRYMIERSKTLTRYYEARQFRKNVDQIGCRVRPIKIDLDPETMPTFDPNDAEDEKQMVIVESLCSVIRAHDVKEWGTKFWNEHRFTAPKYAKRFVENMVINKSKEVAEWYLHVNSKSCNQNDVHCPAKSTLPPTAASFDLHKMLQKARSGLNRLSAEHFYPLDVSLAQQFNFKNDEREGLDGDRRMHIGLSDEVTNSKEYANFYARMRSILLEPMRLGIARESSSDETKRLVRCLAEERACDEEQQVTCDQIRRRRMPDDEIYVTPTSISRELNNGMKIDTTELLANLDKNSAKKIRMKRKIGEVRCDTKIKLLPLVILATVATVAARPARPPRSSAGTPTPSHVSIDTESNISLKVELD", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MALETVPKDLRHLRACLLCSLVKTIDQFEYDGCDNCDAYLQMKGNREMVYDCTSSSFDGIIAMMSPEDSWVSKWQRVSNFKPGVYAVSVTGRLPQGIVRELKSRGVAYKSRDTAIKT", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEVRPKESWNHADFVHCEDTESVPGKPSVNADEEVGGPQICRVCGDKATGYHFNVMTCEGCKGFFRRAMKRNARLRCPFRKGACEITRKTRRQCQACRLRKCLESGMKKEMIMSDEAVEERRALIKRKKSERTGTQPLGVQGLTEEQRMMIRELMDAQMKTFDTTFSHFKNFRLPGVLSSGCELPESLQAPSREEAAKWSQVRKDLCSLKVSLQLRGEDGSVWNYKPPADSGGKEIFSLLPHMADMSTYMFKGIISFAKVISYFRDLPIEDQISLLKGAAFELCQLRFNTVFNAETGTWECGRLSYCLEDTAGGFQQLLLEPMLKFHYMLKKLQLHEEEYVLMQAISLFSPDRPGVLQHRVVDQLQEQFAITLKSYIECNRPQPAHRFLFLKIMAMLTELRSINAQHTQRLLRIQDIHPFATPLMQELFGITGS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKRRQKRKHLEIEESKEAGISKSQEDISHPESTGVPKAQSPGVGEVSSASEYFSCVSSPQKLIHRSKGTWKLLQDSSKPRSPLDQVPEGEATTAPSQQASSSCPSYKTCVSSLCMNKEERGMKIYYMQVQMKKGVAISWDTKETSESLEKQPRMEEATLPEGVWVGTPPSDVSTRNLLSDSEPIGEEKEHEEKPESDSPPGSPAVEERPRAKTPDWLVTMENGFRCMACCRVFATMESLQEHVQYGIREGFSCHVFHLTMAQLIGSMESESTQEEEEDHTEETEKPKEEKAEEQQPTEEDVGMKKPWSQCPGCVFDSPKDRRRRKDHCDNSGS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEGIQHPIPRTVEEVFSDFRGRRAGLIKALSTDVQKFYHQCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWISLVAVHSDSWLISVAFYFGARFGFGKNERKRLFQMINDLPTIFEVVTGNAKQSKDQSANHNSSRSKSSGGKPRHSESHTKASKMSPPPRKEDESGDEDEDDEQGAVCGACGDNYGGDEFWICCDACEKWFHGKCVKITPAKAEHIKHYKCPSCTTSKKMKA", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MELRSFSRQPDGILANPRLGREEVLEGEHPQDARLARQSIWLSPSLIAEYIQLFFNFIIGTIGLSLAIKFILMIRNDVNLKLEHNVREELDKIATCKSRYFENQCEPHMRVPALEVRCNEWSKCMNKEIVSGSDYQWAKAWARTLAEVINAFFEAFSIRSFLFILISIIGIIFVTNTSFGSYRVYLNNKDTKSVRHA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSDLDEDLLALAGADESEEEDQVLTTTSAKRAKNNDQSLSKKRRIEVGSVEDDDEEDDYNPYSVGNADYGSEEEEEANPFPLEGKYKDESDREHLESLPEMERETLLFERSQIMQKYQERKLFRARGRDMKEQQQRAKNDEDSRKTRASTRSTHATGHSDIKASKLSQLKKQRARKNRHYSDNEDEDDEEDYREEDYKDDEGSEYGDDEEYNPFDRRDTYDKREEVEWAEEEDEQDREPEISDFNKLRIGRSFVAKFCFYPGFEDAVKGCYGRVNVGTDKRTGKTSYRMVRIERVFLQKPYNMGKFYTNQYFGVTQGKDRKVFQMNYFSDGLFAEDEYQRYLRALDNSQMIKPSLHSLSNKTKEVMDFVNTPLTDKTTDEVVRHRMQFNKKLSGTNAVLEKTVLREKLQYAKETNNEKDIAKYSAQLRNFEKRMSVYEKHHENDQSDIKKLGELTSKNRKLNMSNIRNAEHVKKEDSNNFDSKSDPFSRLKTRTKVYYQEIQKEENAKAKEIAQQEKLQEDKDAKDKREKELLVAQFRRLGGLERMVGELDIKFDLKF", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSQTPIKKEESNDQDDKFEFKKYINEGKLPLKADNPKKKPQLGTIQANQPIPSIFDNLFNLFKVINTTYTFLYLRNSLTITFPLLNSSVKQSLKKELTIGDLSQLREICPQIIELNYKSLASLALEINKNVYTDLNPELYTGSTVSQSSEYVLVIELLETQERSSKRRRREGPTMKANIQRQKLDFNNLKKAIELRNQKFLQGIKEYIKKCQLTELDPTQQLLTQSRKNQPVPPDSPSIPNDSIENCNLNTKACSIEELLNEIASESSYEGQIVQEALHTYPAVEAQYGALSRPLSQELINALYTSRNIEKTYKHQADAINHLWNGFHVIVSTSTSSGKSLIYQIPILQSLLEDNQSTAFFVFPTKSLAQDQKKSLIDILSYMPTLKNIRVDTFDGDTPLESRESIIRSANIIFTNPDMLHQTILPNANRWYYFFKNLKLFVLDEAHVYNGIFGVHVAFVLRRMRRIAEYFGNSQYRFVSCSATIEDPLQHMKKIFGVDNIKLINYTSSPSGSKKFVMWNPPYVDPKHPDDGKKSAISEASKLLIKFAEKRVRTIVFCRVRKTCESLMRLVRQELKTKQKGDLLSKIQSYRAGYTVQERRKIESEMFNGKLYGIIATNALELGIDIGSLDAVITIGFPYSLSNLRQQFGRAGRRNKSSLAVYIVETFPVDQFYLKHPILIHTQPNAELTLDLTNEVLLASHLQCAAYELPINIRSDEKFFGNQIQDICEANLEMVEESYRPHPKYLPFPASQVRIRSVSEDMFTLVDVTNDKNVILELLEPFRVALTAYEGAVYVYQGKTFIIRLLNINKRIITAHQVDVEWSTLQRDFTDVDPVRSLMKKTMHGSTNIYFGAVKATLHVFGYFKVNKQKDILDVVDITDHPVEIDSRGFWIDVPWHIIEVLSLKKINGAASIHAAQHALLSLMPIFISNSGNDIRTECKAGEKEYKEAKSERRRPSRLIFYDNCGDSSGAGLCNKAYEHTDELITMAIERIESCDCKVREGCPGCITSSKFEGGVCSGEVLDKVGALILLKMLLCQHVNLDIYADGPEIDSYHALRTLIPSC", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGSSANTETNGNAPPPSSNQKPPATNGVDGSHPPPPPLTPDQAIIESDPSKKRKMGMLPLEVGTRVMCRWRDGKHHPVKVIERRRIHNGGQNDYEYYVHYTEFNRRLDEWTQLDQLDLDSVECAVDEKVEDKVTSLKMTRHQKRKIDETHIEGHEELDAASLREHEEFTKVKNISTIELGKYEIETWYFSPFPPEYNDCVKLFFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYAQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEEAYNLACILTLPSYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKGNISIKELSDVTAIKAEDILSTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDASKLIWTPYKDQS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MATPPKRSCPSFSASSEGTRIKKISIEGNIAAGKSTFVNILKQLCEDWEVVPEPVARWCNVQSTQDEFEELTMSQKNGGNVLQMMYEKPERWSFTFQTYACLSRIRAQLASLNGKLKDAEKPVLFFERSVYSDRYIFASNLYESECMNETEWTIYQDWHDWMNNQFGQSLELDGIIYLQATPETCLHRIYLRGRNEEQGIPLEYLEKLHYKHESWLLHRTLKTNFDYLQEVPILTLDVNEDFKDKYESLVEKVKEFLSTL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDNIMQSSMPPGFRFHPTEEELVGYYLDRKINSMKSALDVIVEIDLYKMEPWDIQARCKLGYEEQNEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKAVLSKNSVIGMRKTLVYYKGRAPNGRKSDWIMHEYRLQNSELAPVQEEGWVVCRAFRKPIPNQRPLGYEPWQNQLYHVESSNNYSSSVTMNTSHHIGASSSSHNLNQMLMSNNHYNPNNTSSSMHQYGNIELPQLDSPSLSPSLGTNKDQNESFEQEEEKSFNCVDWRTLDTLLETQVIHPHNPNILMFETQSYNPAPSFPSMHQSYNEVEANIHHSLGCFPDS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGEVERTRQSSSSLLTFKRKRALEACDSCRKQKTRCLAGSVEDENRACLRCRSLNMDCSLADPNHFIRKVENDNMDAISANINSKLENRLKVLEKAISSITNSPIAGQISLKSEKDVFLQGLLSMDEIELLLEIFIERYGKRWLSVDYSASQYMELLYTKSHLMLATACLIALRHNPSLKARIYTDVLNIVDRLISEELLTTSPSLQFFEAVSMLTLYRPLRLSQKQDLWLLSGFALQHRTLSSTKGWFNGFAGSSATLTYLDIVPARTWNHLCHGHLVMCMGYRRHAMLDENTFDDCRNILTNTKANEFDGNILGMLSVYSMLYRMLRSPTLDLDYAIFQLEEWRKEWCHLWEQPEPQYSRIAYFYSYNVVYEASIQTATDGNDFANIPRYVSMVQSYALKTIDAIFELSAYDMSRCSDHVLFHAGFASASLLRLIYAAKTKEVDTSIVQPKVLNDLVTKIWKWLLVISVDQYHLATKFANYLKEYQKTVNEGTAESTWFKGPLRPVSSTTLQALKPYNLGVATVERG", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPNDPSDMNNELHNENTIRILISSDPHVGYGEKDPVRGNDSFVSFNEILEIARERDVDMILLGGDIFHDNKPSRKALYQALRSLRLNCLGDKPCELELLSDTSLTTGDTAVCNINYLDPNINVAIPVFSIHGNHDDPSGDGRYSALDILQVTGLVNYFGRVPENDNIVVSPILLQKGFTKLALYGISNVRDERLYHSFRENKVKFLRPDLYRDEWFNLLTVHQNHSAHTPTSYLPESFIQDFYDFVLWGHEHECLIDGSYNPTQKFTVVQPGSTIATSLSPGETAPKHCGILNITGKDFHLEKIRLRTVRPFIMKDIILSEVSSIPPMVENKKEVLTYLISKVEEAITEANAQWYEAQGTVPVVENEKPPLPLIRLRVDYTGGYQTENPQRFSNRFVGRVANATDVVQFYLKKKYTRSKRNDGLYTSAVEDIKINSLRVESLVNEYLKTNRLECLPEDSLGEAVVNFVEKDDRDAIKECVETQLNKQINLLVKKRVTEENLEQEISSIINDLPKISTTKRKDYEELPEEVSETSINIAEHTPVLKHTSSLLDHHSPLATSSSEHEMEATPSPALLKKTNKRRELPSSLTKKNTRTPQRSKEVKKVPARKLSQSTKKSDKNTQSTLLFYDPSSTTEAQYLDNEDDEILDD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGENEDEKQAQAGQVFENFVQASTCKGTLQAFNILTRHLDLDPLDHRNFYSKLKSKVTTWKAKALWYKLDKRGSHKEYKRGKSCTNTKCLIVGGGPCGLRTAIELAYLGAKVVVVEKRDSFSRNNVLHLWPFTIHDLRGLGAKKFYGKFCAGSIDHISIRQLQLILFKVALMLGVEIHVNVEFVKVLEPPEDQENQKIGWRAEFLPTDHSLSEFEFDVIIGADGRRNTLEGFRRKEFRGKLAIAITANFINRNSTAEAKVEEISGVAFIFNQKFFQDLKEETGIDLENIVYYKDCTHYFVMTAKKQSLLDKGVIINDYIDTEMLLCAENVNQDNLLSYAREAADFATNYQLPSLDFAMNHYGQPDVAMFDFTCMYASENAALVRERQAHQLLVALVGDSLLEPFWPMGTGCARGFLAAFDTAWMVKSWNQGTPPLELLAERESLYRLLPQTTPENINKNFEQYTLDPGTRYPNLNSHCVRPHQVKHLYITKELEHYPLERLGSVRRSVNLSRKESDIRPSKLLTWCQQQTEGYQHVNVTDLTTSWRSGLALCAIIHRFRPELINFDSLNEDDAVENNQLAFDVAEREFGIPPVTTGKEMASAQEPDKLSMVMYLSKFYELFRGTPLRPVDSWRKNYGENADLSLAKSSISNNYLNLTFPRKRTPRVDGQTGENDMNKRRRKGFTNLDEPSNFSSRSLGSNQECGSSKEGGNQNKVKSMANQLLAKFEESTRNPSLMKQERRVSGIGKPVLCSSSGPPVHSCCPKPEEATPSPSPPLKRQFPSVVVTGHVLRELKQVSAGSECLSRPWRARAKSDLQLGGTENFATLPSTRPRAQALSGVLWRLQQVEEKILQKRAQNLANREFHTKNIKEKAAHLASMFGHGDFPQNKLLSKGLSHTHPPSPPSRLPSPDPAASSSPSTVDSASPARKEKKSPSGFHFHPSHLRTVHPQLTVGKVSSGIGAAAEVLVNLYMNDHRPKAQATSPDLESMRKSFPLNLGGSDTCYFCKKRVYVMERLSAEGHFFHRECFRCSICATTLRLAAYTFDCDEGKFYCKPHFIHCKTNSKQRKRRAELKQQREEEATWQEQEAPRRDTPTESSCAVAAIGTLEGSPPVHFSLPVLHPLLG", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVYIHFPNNLTEEEQMLQAKYQKLKKKKKALQAHKAPKPEPESSLTLKRPTDARDAREVARKLIKSGAIPAIQKQTKQDQTSFKRPKGQERAKRSTSETTVASYQPFSSTQNDVAQETIISEIIKEEPRRQNLYQHFATERDREERGMPEKVPMDTAQPEKPRAGNTIFVSGNKVTEDFLKKTFNDYGTIVNVSMEIEKSRGFVSFAKPESADRAIAEIHGKNVNGINLQVQLARRQPQIEPINDASSSAVWSSIAASKSQKGSHKDHREMVQYDEDFLL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSMELDQSLDAIIASKPKGGIRKRRARSNKPKPTKNAKPAVNTASALKSVISEESKIIVSNLPTDVTEAQVKELFVKSIGPCKRVSLAYGPNGRSKGIATIIFSRPGDATRAYEQYEGRLVDGTRKMKVEIILDPSRQLNSLAARVSPASNASATASKNGAKSSKRKTTRRRRTPNRPKKSAEELDKEMDDYFGSNEKE", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGRSRGNFQNFEDPTQRTRKKKNAANVENFESTSLVPGAEGGGKYNCDYCQKDITGKIRIKCAVCPDFDLCIECMSVGAEITPHKCDHPYRVMGNLTFPLICPDWSADDEMLLLEGLEIYGLGNWAEVAEHVGTKSKEQCLEHYRNIYLNSPFFPLPDMSHVAGKNRKELQAMAKGRIDDKKAEQNMKEEYPFSPPKVKVEDTQKESFVDRSFGGKKPVSTSVNNSLVELSNYNQKREEFDPEYDNDAEQLLAEMEFKENDTPEEHELKLRVLRIYSKRLDERKRRKEFIIERNLLYPNPFEKDLSQEEKVQCRRLDVFMRFHSKEEHDELLRNVVSEYRMVKRLKDLKEAQVAGCRSTAEAERYLGRKRKRENEEGMNRGKESGQFGQIAGEMGSRPPVQASSSYVNDLDLIGFTESQLLSESEKRLCSEVKLVPPVYLQMQQVMSHEIFKGNVTKKSDAYSLFKIDPTKVDRVYDMLVKKGIAQL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEIPNPPTSKCITYWKRKVKSEYMRLRQLKRLQANMGAKALYVANFAKVQEKTQILNEEWKKLRVQPVQSMKPVSGHPFLKKCTIESIFPGFASQHMLMRSLNTVALVPIMYSWSPLQQNFMVEDETVLCNIPYMGDEVKEEDETFIEELINNYDGKVHGEEEMIPGSVLISDAVFLELVDALNQYSDEEEEGHNDTSDGKQDDSKEDLPVTRKRKRHAIEGNKKSSKKQFPNDMIFSAIASMFPENGVPDDMKERYRELTEMSDPNALPPQCTPNIDGPNAKSVQREQSLHSFHTLFCRRCFKYDCFLHPFHATPNVYKRKNKEIKIEPEPCGTDCFLLLEGAKEYAMLHNPRSKCSGRRRRRHHIVSASCSNASASAVAETKEGDSDRDTGNDWASSSSEANSRCQTPTKQKASPAPPQLCVVEAPSEPVEWTGAEESLFRVFHGTYFNNFCSIARLLGTKTCKQVFQFAVKESLILKLPTDELMNPSQKKKRKHRLWAAHCRKIQLKKDNSSTQVYNYQPCDHPDRPCDSTCPCIMTQNFCEKFCQCNPDCQNRFPGCRCKTQCNTKQCPCYLAVRECDPDLCLTCGASEHWDCKVVSCKNCSIQRGLKKHLLLAPSDVAGWGTFIKESVQKNEFISEYCGELISQDEADRRGKVYDKYMSSFLFNLNNDFVVDATRKGNKIRFANHSVNPNCYAKVVMVNGDHRIGIFAKRAIQAGEELFFDYRYSQADALKYVGIERETDVL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "METESGNQEKVMEEESTEKKKEVEKKKRSRVKQVLADIAKQVDFWFGDANLHKDRFLREQIEKSRDGYVDISLLVSFNKMKKLTTDGKLIARALRSSAVVELDLEGTRIRRKKPLGERPKDEDERTVYVELLPKNVNHSWIERVFGKCGNVVYISIPHYKSTGDPKGFAFVEFETKEQAAKAIEFLNNPPEEAPRKPGIFPKTVKNKPIPALRVVEEKKKKKKKKGRMKKEDNIQAKEENMDTSNTSISKMKRSRPTSEGSDIESTEPQKQCSKKKKKRDRVEASSLPEVRTGKRKRSSSEDAESLAPRSKVKKIIQKDIIKEASEASKENRDIEISTEEEKDTGDLKDSSLLKTKRKHKKKHKERHKMGEEVIPLRVLSKSEWMDLKKEYLALQKASMASLKKTISQIKSESEMETDSGVPQNTGMKNEKTANREECRTQEKVNATGPQFVSGVIVKIISTEPLPGRKQVRDTLAAISEVLYVDLLEGDTECHARFKTPEDAQAVINAYTEINKKHCWKLEILSGDHEQRYWQKILVDRQAKLNQPREKKRGTEKLITKAEKIRLAKTQQASKHIRFSEYD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MARRVNKKKSPVKAARKIDGQIGRRVVDGVRAKSSRQRHQAVLYQAPTPTVIRRKTTKTAIVKKTVVVVKKGGKVVKKSSKTGQKVKAVKAPKVKAPSKKGNDRLTPRVITEYQENPFFYDPQVPEYISASVYHRWITRAVRNGNMKEIKDYYKSKKCQKSAIYTSFAYSFDTSACDEALRQDIKFATEFFKMNNKMEVDNSYHPGKEPNLLQKKTTGRKNYYMLGRHTRQIEMGRGGKEGNNALLNYDTRTDEPNPLTKLIEDNVTYTKLYQLCKIPDGPIVEHHIEMHFVTAVRMGHRDLASALAQGPVKMHCNDLHRATLKDQKLPAKILPVSVAKKAYMNKNITPLHTAAISNSTHMLEAMRAVYPTINIPDQDNWYTMHYAACAPGTAPMEFLLKNGGSVTMLTKQTETPLHVAARAGRAVNCTFLMKEMLDLEKGDDGESTIRADRSIINARTRSGNSALHLAVLRNNLDVVDALLAEPTIVVDNPTSTGQNRLTPLMMACGKGYLEMAKKLVEKGALVEGKDKKKRTPLIHAMLNGQIHTAAFLLAKGASLTLADSSGNTAAHYAAAYGFLDCLKLLASIDDNILSEPNDWQLYPLSVAYLKGHYGIVTWLLEGPHKDKANINAKDNNGATLLSNLLSYADETMHKELLSQIEYLVARKADASLADSSGQTPLHLFSMQRIILKGSGEAAENDAMRMTLDNYKKCFNTLIKAGAKVDVYDHEDNTPLHYALTNGNLMLFNLMLDKVANKRNLFEKWANHQNFLHEILALPMKVYGDQVLWKGETLTKPAYDVLPILKELHENLPDLFEKWISEVNKAGYSPIVEAIKQYQALAANKKLRGEADQNGNPGFGNAIARGRVHNQFGRDRMNQSQAPHCDSYELKTFISTVNELFEWVIRLGPFQLTQKYINSENSAAVTLANLAMSIPIECGRHQQNQLALFKILIKLSKEFNKVDEFLTQKNEKDDVLIVQAIMFDKPNVVELILDTASEMHLIHGTHNAIKENELEVVVHKTIIMYMIEMRMWELIPKVNASSEFWKSKDAKGNSVWHYAARVNSHKTVGLFKMIESKGVRRETNDDGRSVLHVATLACDGSADSVLEPIAWLSTRCPIDAVDKFNRTALHYAFGNENDFKEGNVPFGESDPIAVVSLLSSLIRPEQIEIADVNGNTILHLAAIKNSTICLMTLIRKKCHVDLKNKDGNTPLALAVHHGRQSSALTLIQANADVTEKIFVPALKPTSDFDQNSSGTEAEKFWKWHGKEKKVLEDLHTTIPASVVSKGGSWEAMVYVLLDVLGQNTGSMAQLTDAALRRGQLNLANQLLKSIEALIDGAVLNSSYDLLDTFAEKCFGALTSEETIEKTVLNRIILTRGLGLKQPETMKIIRTALQNGNWNLLNFLKSEMGTAWKNQKIETPTENPIRSLLIYMNEKSVSSEAIGFLEELRQMRGVNIDALCQLEIPGKFKKILDYGLIPPISFAVLQENPNMIRALRNAGASLKTQDDYGRTPLMYAIMTNNRSVVDAIVGDGKLAVVLHKQKAVATGPRCVAVPMRFGATSRAFIPAAAFASVPARVESDEEEEDNSGSESGEDGAASENKSEHGSENGESGNGSDDEDDDDDDSSPPPAKKSRIAKEAAGPSTGPKRKKLVITDPSLFSARDHKENNPLHYFIEPLAWENVELLGDLAAANKTAIVQCLIDKRSPNPIELAAMKMNRRMKSEMLKIVKNAAFPRPIKETKLTLQQVHIEPLSDVDEDAAKFLAKWVEEKDKKKTSEAPKPHKSSTYSTNGLVSFCDETQQYFDVLMNKTDLMYGRCGFHNFYRMQIIKRRDAELFILFTNWGRIGSGMGEFQTTPFNSLELAAKEFKSIFKSKSGNEWAPLANFRDMPKKYRLVETDSTPTSLAEIELTWKKNTEKDPIRRMIADISDAKTLKTYASQVQMYGGSSQPFGRFTKENIEKAKLVLDKLEKNANRIKQMVEAQTGVVESNLLDAYITTSELSGDYYSLIPSGEYEFSNLTRLDNVEEIARHRARLNRCQEIETATRLLCAAEFRQDLDRVDYIRSAIQCEYRLETPDSDISQRLLQWIHNSGGKQAKVKMILEISPMLSTEKFEPFVNDDNQKFLWHGTKATNLMSILKNGFLIDPPSACKNGNLFGSGIYLADSFEKSTHYCQPSAGGINYMLVCQTALGKVRTLDTIPYHYMNQSSSSAEKYEDTLHYIGDRFPAGSLTNDGVGMPLLPLRKRDPIQGSNYGFGTLDFSEYIVRNPNRVLPKYIVMYK", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTLSGSGSASDMSGQTVLSADDADIDVVGEGDEALDKDSECESTAGHTDEVGELGGKEIPRSPSGSGTEAEGKGESQQQQQEGIQNKPKNSLVKPPYSYIALITMSILQSPQKKLTLSGICEFISNRFPYYREKFPAWQNSIRHNLSLNDCFVKIPREPGNPGKGNYWTLDPQSEDMFDNGSFLRRRKRFKRQQQDSLREQTALMMQSFGAYSLASPYGRHYGLHPAAYTHPAALQYPYIPPVGHMLPPAVPLLPSSELTRKAFSSQLSPSLQLQLSSLSSTAASIIKSEPSSRPSFSIENIIGVSAASSAAPHTFLRPPVTVQSALMSHQPLALSRSTAAIGPILSVPTNLISGQFLPTAAAAVAKWPAQ", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAKRVAEKELTDRNWDEEDEVEEMGTFSVASEEVMKNRAVKKAKRRNIGFESDSGGAFKGFKGLVVPSGGGGFSGFGGGSGGKPLEGLTNGNSTDSATPFSSAKTAAEPKAAFGSFAVNGPTTLVDKKISSPKCNSSNQPPSSGPASSTSCTGNTYHKQLAGLNCSVRDWIVKHVNTNPLCDLTPIFKDYERYLATIEKQLENGGSSSSERQTDRATAAMEPPSLFGSTKLQQDSPFSFHGNKAEDTSEKLEFTAEKKSDAAQGATSASFNFGKKIESSVLGSLSSGSLTGFSFSPGNSSLFGKDAAQSKAASSPFSAKASESQAGGSSSECRDGEEEESDEPPKVVVTEVKEEDAFYSKKCKLFYKKDNEFKEKGVGTLHLKPTATQKTQLLVRADTNLGNILLNVLIPPNMPCTRTGKNNVLIVCVPNPPLDEKQPTLPVTMLIRVKTSEDADELHKILLQKKDV", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNTALDDLHGDLVTLEDNEIINNSDHSSSHSTSHEEEDEEEDDTEDIELIEKDGNKILSSRIHPEDEIINDGLNIWIPVQMLKKNIAKFWSHFLAIEKKLTKVKCKHCGEILTRSDASLTKTFRSHLKTKHNISANKNFYSMNFTVGDSNLKNNTSSTEITRRHGYDSLTFNSDQSFKCFDIGKLQSSNYLSISQLVAIVIASENLPLNFFENVSFKSLLSKFHRIPPLTTNIIEESIIGLSKSIDELIRRSISRNDTQLPFTIHLSDSKESNQPLYLKYSREIRAQLSNLDLSHLISVNFTELAGKRSLFSLQLFDNTNKVSKGLPLSIFVRKTTDIDISVWQEQLNNLYSKYPGLQKSVISITLPQSHYTMVLENRNSHNFTFHSGSVREIKYHTCIVSELLHCFLQPLFNVPTESMLSSFSVAKENHSGGSLLDSLIDFSHIDLSSTILGKICCLIEEVNLNDSLKSDFLLYCQNYTQPNCNELTSILSCNCDRFSALKSILEKFANLVPFFKSINSHLENESLSESDFRLINTVEETLRTFEQSIEYFASSAPLKFTHTLVFIIKFELYLTEIIRSFKFTKSKKPFEKILARLLKVKDLYLLDDVNLIGAFLYPSIFQSKSLLNEIFGTTSVNKIVHNMTKIVLRYLKNFINITNFRSSNSGGESGRNSGNNLLSDYEAIFMKESRDVELLCNTKLTAPLTEDSLLVQIIRDDLLRYVNRIAHELPNAYHDYLNDNDISFDGSHFTKHELSEENDSNSGEWCLNPMEETFDIHIPISDSIWNNYISSKNKIEVIDILLQLLSVNSTSSIRSELSSLTANQDFSTKLSEETIKIKLLNSQFNLEKINFHSGSIFDAC", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKSDFKFSNLLGTVYRQGNITFSDDGKQLLSPVGNRVSVFDLINNKSFTFEYEHRKNIAAIDLNKQGTLLISIDEDGRAILVNFKARNVLHHFNFKEKCSAVKFSPDGRLFALASGRFLQIWKTPDVNKDRQFAPFVRHRVHAGHFQDITSLTWSQDSRFILTTSKDLSAKIWSVDSEEKNLAATTFNGHRDYVMGAFFSHDQEKIYTVSKDGAVFVWEFTKRPSDDDDNESEDDDKQEEVDISKYSWRITKKHFFYANQAKVKCVTFHPATRLLAVGFTSGEFRLYDLPDFTLIQQLSMGQNPVNTVSVNQTGEWLAFGSSKLGQLLVYEWQSESYILKQQGHFDSTNSLAYSPDGSRVVTASEDGKIKVWDITSGFCLATFEEHTSSVTAVQFAKRGQVMFSSSLDGTVRAWDLIRYRNFRTFTGTERIQFNCLAVDPSGEVVCAGSLDNFDIHVWSVQTGQLLDALSGHEGPVSCLSFSQENSVLASASWDKTIRIWSIFGRSQQVEPIEVYSDVLALSMRPDGKEVAVSTLKGQISIFNIEDAKQVGNIDCRKDIISGRFNQDRFTAKNSERSKFFTTIHYSFDGMAIVAGGNNNSICLYDVPNEVLLKRFIVSRNMALNGTLEFLNSKKMTEAGSLDLIDDAGENSDLEDRIDNSLPGSQRGGDLSTRKMRPEVRVTSVQFSPTANAFAAASTEGLLIYSTNDTILFDPFDLDVDVTPHSTVEALREKQFLNALVMAFRLNEEYLINKVYEAIPIKEIPLVASNIPAIYLPRILKFIGDFAIESQHIEFNLIWIKALLSASGGYINEHKYLFSTAMRSIQRFIVRVAKEVVNTTTDNKYTYRFLVSTDGSMEDGAADDDEVLLKDDADEDNEENEENDVVMESDDEEGWIGFNGKDNKLPLSNENDSSDEEENEKELP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSNRTYADNLFPQQVAEQHEEQMSSGSSPKSNSPSRSISSVEAANSRIHIGWMATTLDVAENLDRHVATFCTRLGEFKYNFVVYPIGGVVRAFWTPNGSAENHPPVIDLPDVQLRNDLWESYVVGKISPWIDCDSSDPAFASLSEEHLLKELSYICYLGLQTMAIELTRISSPRTAAILKKWIWTRNSRFTVWVQLPSAIEKCKDYDAFTIEHVDLWTIWADFRKNCGNFSGVYFQVALTISSELPDELTELKLVDRWKAEPLAAFVIESGLFISGRNGEASIPSAHINLLKHLWTTDALRIVLRATTDTFKYNTSIKSEYSQALRHAVRNVNYRSRPDVGEGSNDSTHYLNVIEYKDVLQAPLQPLSENLDSGVYNTFEQDQIKYDVYGEAVVGALKDLGADGRKTVVIYLLGGGRGPIGTKILKSEREYNNTFRQGQESLKVKLYIVEKNPNAIVTLKYMNVRTWKRRVTIIESDMRSLPGIAKDRGFEQPDIIVSELLGSFGDNELSPECLDGVTGFLKPTTISIPQKYTSYVKPIMSTHIHQTIKAQSIPYLSRAIPSHGRGEPELDEDEMWIQKYPQGHVRNNMDQIYVVYLSKYIPLAETTKPVFTFEHPNFMNSSNERSDSIEFVMDRNADLMGFAGYFDLQLYKTVMLSIEPSTHTPGMVSWFPAVIPLRDQLRVGEGDRISLKIDRKVDNTGVWYEWHVEKKKTNGESVSTPIQNPNGESYYMRM", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVTQTNPVPVTYPTDAYIPTYLPDDKVSNLADLKKLIEMDSRLDLYLTRRRLDTSINLPTNTKTKDHPPNKEMLRIYVYNTTESSPRSDSGTPADSGKTTWTLRIEGKLLHESANGKHPFSEFLEGVAVDFKRLKPLGMGKKRKRDSSLSLPLNLQQPEYNDQDSTMGDNDNGEDEDSAEAESREEIVDALEWNYDENNVVEFDGIDIKRQGKDNLRCSITIQLRGVDGGKVQYSPNLATLIGMQTGSVNDAVYSIYKYILINNLFVTEQTEAQDGSNDAEDSSNENNNKNGAGDDDGVEGSTPKDKPELGEVKLDSLLQKVLDTNAAHLPLMNVVQTVNKLVSPLPPIILDYTIDLSKDTTYGATTLDVDVSHILHQPQPQPNLQKEEETDAEDTAKLREITKLALQLNSSAQKYQFFHELSLHPRETLTHYLWSSKQNELVLQGDQYFNEDAARTSDIYSNNNNDRSLMGNISLLYSQGRL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKGGTSKFKTHTETLYKKKKWSSVSEKRPQKCPSQCLESKQPQVSVLGKRRRASQTPAQETLESEWPQKAKRKKRRREPQTPAQETLESEWPQKAKKKKRRGEPQTPTQESLESEQPPVSLLGKRRRESQTPAQENSESEQPRKAKRRRKKRKGSQQPTSSLLKTPETFLKAKKTTSAHKKKKNSVLEVDMETGIILVDKENMENLLETSRKDVDIVYVDMSKGQRSAKVRETGELPAAKPQEHGCRELLGDVRSRKKQKHLQKVAPWDVVQGSQPESISLPPSEPLSSEDLEGKSTEAAVFCKKKSKKNVFRSQELEPIPDSLDDSETISERLDSTHHGGAVGAGEECESTKESHSIKKKSKKKKHKSVALATSSDSASVTDSKAKNALVDSSEGSGAVREEDVDHRPAEAEAQACSTEKHREAMQRLEPTHEEESNSESASNSAARHISEDRRESDDSDVDLGSAVRQLREFIPDIQERAATTIRRMYRDDLGRFKEFKAQGVAIRFGKFSAKENKQIEKNVQDFLSLTGIESADKLLYTDRYPEEKTLITNLKRKHAFRLHIGKGIARPWKLVYYRAKKIFDVNNYKGRYNEEDTKKLKAYHSLHGNDWKKIGAMVARSSLSVALKFSQIGGTRNQGAWSKAETQRLIKAVEDVILKKMSPQELRELDSKLQEDPEGRLSIVREKLYKGISWVEVEARVETRNWMQCKSKWTEILTKRMTHGGFVYRGVNALQAKITLIERLYELNVNDANEIDWEDLASAIGDVPPPFVQAKFYKLKAACVPFWQKKTFPEIIDYLYKNSLPLLKEKLDKKMKKKDGQIQTPAAPKQDFLFKDIFHCDDDSDEGSPEEPSASDVQ", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPSLSQPFRLATLPKIASLSNFSLQADYVQVADGTFNESTNNITLGISGSSISQYIINPTPKLTFDYPIPSTNIITACNAEKGQANIDGNIEASTDDEANNEKTINTQKKRNVEIWAFGLMVNKGNYTLNVITKALEDTTDTSNDHLSESDIDNKAYTGSDEFLSQYKIKAKAKVMSIKIDTKNSLVIAILQNGLIEIFDFKLTLLHSFDISYDNLKYAKWFTENGTEYVFVLCPLQDDKVCYKLLELTDCGSGESSPIKELSSTIIEGFSFENSKLCYQFGKLYKLNQGKIYIYSLPHCQLQQVIEFPMVDKLSPGDDLISFQPVSVNRVLLTVNNVIYLLDLLHCSTLSQRELTHVKTFQLLKSAVINSEKSHNSKTIAIGISTKNGPNPTSSLEIINIDVGTNTLKDSLGKSFQVGNNDSSVILKPLFDDKDINDKRVKCNDVSGDSSVPVLHCNEVIEKLSALQDNDITSFDDIFFKELKIKEEHYTEKDRYISDPGFLNKVLDLIFGKFSGNDYPKTLTFLLTHPLFPLSRTRNLLSLLRDQPRLFKQAIVTCPNLPLNELLEELFSIRNRELLLDISFRILQDFTRDSIKQEMKKLSKLDVQNFIEFITSGGEDSSPECFNPSQSTQLFQLLSLVLDSIGLFSLEGALLENLTLYIDKQVEIAERNTELWNLIDTKGFQHGFASSTFDNGTSQKRALPTYTMEYLDI", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSDDPGQMLLIYDDPSDQRSLSLDDASSTEESPDDNNLSLEAVHNAIPYLGQIFLTHDTAYEFYSTFAKRCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPIKTLSEGKPQRNRRSSRCGCQAYLRISKLTELGSTEWRVTGFANHHNHELLEPNQVRFLPAYRSISDADKSRILMFSKTGISVQQMMRLLELEKCVEPGFLPFTEKDVRNLLQSFKKLDPEDENIDFLRMCQSIKEKDPNFKFEFTLDANDKLENIAWSYASSIQSYELFGDAVVFDTTHRLSAVEMPLGIWVGVNNYGVPCFFGCVLLRDENLRSWSWALQAFTGFMNGKAPQTILTDHNMCLKEAIAGEMPATKHALCIWMVVGKFPSWFNAGLGERYNDWKAEFYRLYHLESVEEFELGWRDMVNSFGLHTNRHINNLYASRSLWSLPYLRSHFLAGMTLTGRSKAINAFIQRFLSAQTRLAHFVEQVAVVVDFKDQATEQQTMQQNLQNISLKTGAPMESHAASVLTPFAFSKLQEQLVLAAHYASFQMDEGYLVRHHTKLDGGRKVYWVPQEGIISCSCQLFEFSGFLCRHALRVLSTGNCFQVPDRYLPLRWRRISTSFSKTFRSNAEDHGERVQLLQNLVSTLVSESAKSKERLDIATEQTSILLSRIREQPVSSLAIRDISSSVQRNF", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVTLITEKLQNQSLDDLTCKTYNINLYSSEKLNKSGSLFSFEINEDSPWKALNGGCPIQTDARNSAYPFPVCPFSTGPASNGALQWQQEPSSTSMVSGWISELNLNENSGQPLAPPTKRHCRSLSEPDELARCRSPWKPGNSKVWTPVSKRRCNSGGSATLQRCNSHGSATLQRSTSISLPQNILSLNNVFTVTSFNTSPVPRPSSASSGFVDSSEGSTSSSTRWNSGGPCDFNPRRRLSLSQEHITETGNLLPSANSTPTSTPELSRRQGLLRCRSQPCVLNEKKSRLKRRREEDVRWNRPSLDFFKMTRTLKNSKSLCSLDYEDDDDDTQMKTIVSSPCDSNDLMNIITPGSSPMKEQLDEVRHHGSCQGSFKTRDYKKAAAVCESDEDTSDCESTEEGIFPLDCGDLDLEQIENN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSELTKELMELVWGTKSSPGLSDTIFCRWTQGFVFSESEGSALEQFEGGPCAVIAPVQAFLLKKLLFSSEKSSWRDCSEEEQKELLCHTLCDILESACCDHSGSYCLVSWLRGKTTEETASISGSPAESSCQVEHSSALAVEELGFERFHALIQKRSFRSLPELKDAVLDQYSMWGNKFGVLLFLYSVLLTKGIENIKNEIEDASEPLIDPVYGHGSQSLINLLLTGHAVSNVWDGDRECSGMKLLGIHEQAAVGFLTLMEALRYCKVGSYLKSPKFPIWIVGSETHLTVFFAKDMALVAPEAPSEQARRVFQTYDPEDNGFIPDSLLEDVMKALDLVSDPEYINLMKNKLDPEGLGIILLGPFLQEFFPDQGSSGPESFTVYHYNGLKQSNYNEKVMYVEGTAVVMGFEDPMLQTDDTPIKRCLQTKWPYIELLWTTDRSPSLN", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSLSHLLRRLCTTTTTTRSPLSISFLHQRIHNISLSPANEDPETTTGNNQDSEKYPNLNPIPNDPSQFQIPQNHTPPIPYPPIPHRTMAFSSAEEAAAERRRRKRRLRIEPPLHALRRDPSAPPPKRDPNAPRLPDSTSALVGQRLNLHNRVQSLIRASDLDAASKLARQSVFSNTRPTVFTCNAIIAAMYRAKRYSESISLFQYFFKQSNIVPNVVSYNQIINAHCDEGNVDEALEVYRHILANAPFAPSSVTYRHLTKGLVQAGRIGDAASLLREMLSKGQAADSTVYNNLIRGYLDLGDFDKAVEFFDELKSKCTVYDGIVNATFMEYWFEKGNDKEAMESYRSLLDKKFRMHPPTGNVLLEVFLKFGKKDEAWALFNEMLDNHAPPNILSVNSDTVGIMVNECFKMGEFSEAINTFKKVGSKVTSKPFVMDYLGYCNIVTRFCEQGMLTEAERFFAEGVSRSLPADAPSHRAMIDAYLKAERIDDAVKMLDRMVDVNLRVVADFGARVFGELIKNGKLTESAEVLTKMGEREPKPDPSIYDVVVRGLCDGDALDQAKDIVGEMIRHNVGVTTVLREFIIEVFEKAGRREEIEKILNSVARPVRNAGQSGNTPPRVPAVFGTTPAAPQQPRDRAPWTSQGVVHSNSGWANGTAGQTAGGAYKANNGQNPSWSNTSDNQQQQSWSNQTAGQQPPSWSRQAPGYQQQQSWSQQSGWSSPSGHQQSWTNQTAGQQQPWANQTPGQQQQWANQTPGQQQQLANQTPGQQQQWANQTPGQQQQWANQNNGHQQPWANQNTGHQQSWANQTPSQQQPWANQTTGQQQGWGNQTTGQQQQWANQTAGQQSGWTAQQQWSNQTASHQQSQWLNPVPGEVANQTPWSNSVDSHLPQQQEPGPSHECQETQEKKVVELRN", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDRGWSGLTLDSSSLDLLNPNRISHKNHRRFSNPLAMSRIDEEDDQKTRISTNGSEFRFPVSLSGIRDREDEDFSSGVAGDNDREVPGEVDFFSDKKSRVCREDDEGFRVKKEEQDDRTDVNTGLNLRTTGNTKSDESMIDDGESSEMEDKRAKNELVKLQDELKKMTMDNQKLRELLTQVSNSYTSLQMHLVSLMQQQQQQNNKVIEAAEKPEETIVPRQFIDLGPTRAVGEAEDVSNSSSEDRTRSGGSSAAERRSNGKRLGREESPETESNKIQKVNSTTPTTFDQTAEATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRSILITTYEGNHNHPLPPAAVAMASTTTAAANMLLSGSMSSHDGMMNPTNLLARAVLPCSTSMATISASAPFPTVTLDLTHSPPPPNGSNPSSSAATNNNHNSLMQRPQQQQQQMTNLPPGMLPHVIGQALYNQSKFSGLQFSGGSPSTAAFSQSHAVADTITALTADPNFTAALAAVISSMINGTNHHDGEGNNKNQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPLKEDDCCLFAEEYDGPPLSYNIPCAVPINVEKIPVAAVVSPVCISDNMSFPVIQPILSVESKKFLIDSVSPTSVIANCGSNQLELVSDSITVSPTSVIEHTEEEEEEEGGDGEDCELSSSGELLLRSCSVKESLDLNESSSNPLVPDWESNESVLSMDYPSSRVTGDCVSETNGDGKKQPVVTFLGIASDDGFEEEESCSNLRRVRVVPVKKQPQTKGKKGSCYRCFKGSRFTEKEVCLVCDAKYCNSCVLRAMGSMPEGRKCVTCIGFPIDESKRGSLGKCSRMLKRLLNDLEVKQIMKTERFCEANQLPAEYVYVNGQPLYPEELVTLQTCSNPPKKLKPGDYWYDKVSGLWGKEGEKPYQIISPHLNVGGPISPEASNGNTQVFINGREITKVELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTKGYIWGKAGTKLLCAVLSLPVPSKSTANASGEQLYSANSRSILDHLEHRTLQKILLVGNSGSGTSTIFKQAKILYKDVPFLEDERENIKVIIQTNVYGYLGMLLEGRERFEEEALALRNTKQCVLENIPADEGDAKSNDKTVTMYSIGPRLKAFSDWLLKTMAAGNLGVIFPAASREYAPLVEELWRDAAIQATYKRRSELGLLPSVASYFLERAIDVLTPDYEPSDLDILYAEGVTSSSGLACLDFSFPQTASEENLDPSDHHDSLLRYQLIRVPSRGLGENCKWIDMFEDVGMVVFVVSMSDYDQVSEDGTNKMLLTKKLFESIITHPIFENMDFLLILNKYDLLEEKVERVPLARCEWFQDFNPVVSRHRGSNNGNPTLGQLAFHFMAVKFKRFYSSLTGKKLFVSSSKSLDPNSVDSSLKLAMEILKWSEERTNICMSEYSMYSTEPSSFSN", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKIKLIDSVVRSFKVAKIFRENTDKINAIDFAPNGEHLISCSEDDQIVIYDCEKGTQSRTVNSKKYGVDLIHFTHANNTAIHSSTKVDDTIRYLSLHDNKYLRYFPGHTKKVISLCISPVEDTFLSGSLDKTLRLWDLRSPNCQGLMHLSGRPIAAYDPEGLIFAAGVNSESIKLYDLRSFDKGPFVTFKLNQEKECDWTGLKFSRDGKTILISTNGSVIRLVDAFHGTPLQTFTGYPNNKGIPIEASFSPDSQFIFSGSTDGRVHIWNADTGNKVSVLNGDHPGPVQCVQFNPKYMMLASACTNMAFWLPTSEEGL", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAAEEEAAAGGKVLREENQCIAPVVSSRVSPGTRPTAMGSFSSHMTEFPRKRKGSDSDPSQSGIMTEKVVEKLSQNPLTYLLSTRIEISASSGSRVEDGEHQVKMKAFREAHSQTEKRRRDKMNNLIEELSAMIPQCNPMARKLDKLTVLRMAVQHLRSLKGLTNSYVGSNYRPSFLQDNELRHLILKTAEGFLFVVGCERGKILFVSKSVSKILNYDQASLTGQSLFDFLHPKDVAKVKEQLSSFDISPREKLIDAKTGLQVHSNLHAGRTRVYSGSRRSFFCRIKSCKISVKEEHGCLPNSKKKEHRKFYTIHCTGYLRSWPPNIVGMEEERNSKKDNSNFTCLVAIGRLQPYIVPQNSGEINVKPTEFITRFAVNGKFVYVDQRATAILGYLPQELLGTSCYEYFHQDDHNNLTDKHKAVLQSKEKILTDSYKFRAKDGSFVTLKSQWFSFTNPWTKELEYIVSVNTLVLGHSEPGEASFLPCSSQSSEESSRQSCMSVPGMSTGTVLGAGSIGTDIANEILDLQRLQSSSYLDDSSPTGLMKDTHTVNCRSMSNKELFPPSPSEMGELEATRQNQSTVAVHSHEPLLSDGAQLDFDALCDNDDTAMAAFMNYLEAEGGLGDPGDFSDIQWTL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDNNYNDNVNGWAEMEPSQPMGGLRLPTQNMDPPEQNNESQLSELPRMKIDNDYASPIERQSVITSGTNNYEPKVETVTSFFHTGIDYSNFGMLDQTTMQPFYPLYSGIPVNTLGTFSGYTNSIYDKPSLYDPSIPTINIPSTYPTVAPTYECVKCSQSCGAGMKAVNGGMMCVNCSTPKTTYSPPVAYSTSLGQPPILEIPSEQPTAKIAKQSSKKSSSSNRGSNGSASRRQGLVCSNCNGTNTTLWRRNAEGDPVCNACGLYFKLHHIPRPTSMKKEGALQTRKRKSKSGDSSTPSTSRARERKFERASSSTEKAQRSSNRRAGSAKADRELSTAAVAAATATYVSHADLYPVSSAAVTLPDQTYSNYYQWNTAATAGLMMVPNDQNYVYAATNYQTGLRPADNIQVHVMPVQDDETKAAARDLEAVDGDS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEDDGGERSSFVAGLIENRAKEVGMAAFDLRSASLHLSQYIETSSSYQNTKTLLRFYDPSVIIVPPNKLAADGMVGVSELVDRCYSTVRKVVFARGCFDDTKGAVLIQNLAAEEPLALGLDTYYKQHYLSLAAAAATIKWIEAEKGVIVTNHSLTVTFNGSFDHMNIDATSVENLELIDPFHNALLGTSNKKRSLFQMFKTTKTAGGTRLLRANLLQPLKDIETINTRLDCLDELMSNEQLFFGLSQVLRKFPKETDRVLCHFCFKPKKVTEAVIGFENTRKSQNMISSIILLKTALDALPILAKVLKDAKCFLLANVYKSVCENDRYASIRKKIGEVIDDDVLHARVPFVARTQQCFALKAGIDGFLDIARRTFCDTSEAIHNLASKYREEFNLPNLKLPFNNRQGFFFRIPQKEVQGKLPNKFTQVVKHGKNIHCSSLELASLNVRNKSAAGECFIRTETCLEALMDAIREDISALTLLAEVLCLLDMIVNSFAHTISTKPVDRYSRPELTDSGPLAIDAGRHPILESIHNDFVSNSIFMSEATNMLVVMGPNMSGKSTYLQQVCLVVILAQIGCYVPARFATIRVVDRIFTRMGTMDNLESNSSTFMTEMRETAFIMQNVTNRSLIVMDELGRATSSSDGLAMAWSCCEYLLSLKAYTVFATHMDSLAELATIYPNVKVLHFYVDIRDNRLDFKFQLRDGTLHVPHYGLLLAEVAGLPSTVIDTARIITKRITDKENKRIELNCGKHHEIHRIYRVAQRLICLKYSRQTEDSIRQALQNLNESFTEERL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPVPDGSVAAAGLGLGLPAADSRGHYQLLLSGRALADRYRRIYTAALNDRDQGGGSAGHPASRNKKILNKKKLKRKQKSKSKVKTRSKSENLENTVIIPDIKLHSNPSAFNIYCNVRHCVLEWQKKEISLAAASKNSVQSGESDSDEEEESKEPPIKLPKIIEVGLCEVFELIKETRFSHPSLCLRSLQALLNVLQGQQPEGLQSEPPEVLESLFQLLLEITVRSTGMNDSTGQSLTALSCACLFSLVASWGETGRTLQAISAILTNNGSHACQTIQVPTILNSLQRSVQAVLVGKIQIQDWFSNGIKKAALMHKWPLKEISVDEDDQCLLQNDGFFLYLLCKDGLYKIGSGYSGTVRGHIYNSTSRIRNRKEKKSWLGYAQGYLLYRDVNNHSMTAIRISPETLEQDGTVMLPDCHTEGQNILFTDGEYINQIAASRDDGFVVRIFATSTEPVLQQELQLKLARKCLHACGISLFDLEKDLHIISTGFDEESAILGAGREFALMKTANGKIYYTGKYQSLGIKQGGPSAGKWVELPITKSPKIVHFSVGHDGSHALLVAEDGSIFFTGSASKGEDGESTKSRRQSKPYKPKKIIKMEGKIVVYTACNNGSSSVISKDGELYMFGKDAIYSDSSSLVTDLKGHFVTQVAMGKAHTCVLMKNGEVWTFGVNNKGQCGRDTGAMNQGGKGFGVENMATAMDEDLEEELDEKDEKSMMCPPGMHKWKLEQCMVCTVCGDCTGYGASCVSSGRPDRVPGGICGCGSGESGCAVCGCCKACARELDGQEARQRGILDAVKEMIPLDLLLAVPVPGVNIEEHLQLRQEEKRQRVIRRHRLEEGRGPLVFAGPIFMNHREQALARLRSHPAQLKHKRDKHKDGSGERGEKDASKITTYPPGSVRFDCELRAVQVSCGFHHSVVLMENGDVYTFGYGQHGQLGHGDVNSRGCPTLVQALPGPSTQVTAGSNHTAVLLMDGQVFTFGSFSKGQLGRPILDVPYWNAKPAPMPNIGSKYGRKATWIGASGDQTFLRIDEALINSHVLATSEIFASKHIIGLVPASISEPPPFKCLLINKVDGSCKTFNDSEQEDLQGFGVCLDPVYDVIWRFRPNTRELWCYNAVVADARLPSAADMQSRCSILSPELALPTGSRALTTRSHAALHILGCLDTLAAMQDLKMGVASTEEETQAVMKVYSKEDYSVVNRFESHGGGWGYSAHSVEAIRFSADTDILLGGLGLFGGRGEYTAKIKLFELGPDGGDHETDGDLLAETDVLAYDCAAREKYAMMFDEPVLLQAGWWYVAWARVSGPSSDCGSHGQASITTDDGVVFQFKSSKKSNNGTDVNAGQIPQLLYRLPTSDGSASKGKQQTSEPVHILKRSFARTVSVECFESLLSILHWSWTTLVLGVEELRGLKGFQFTATLLDLERLRFVGTCCLRLLRVYTCEIYPVSATGKAVVEETSKLAECIGKTRTLLRKILSEGVDHCMVKLDNDPQGYLSQPLSLLEAVLQECHNTFTACFHSFYPTPALQWACLCDLLNCLDQDIQEANFKTSSSRLLAAVMSALCHTSVKLTSIFPIAYDGEVLLRSIVKQVSTENDSTLVHRFPLLVAHMEKLSQSEENISGMTSFREVLEKMLVIVVLPVRNSLRRENELFSSHLVSNTCGLLASIVSELTASALGSEVDGLNSLHSVKASANRFTKTSQGRSWNTGNGSPDAICFSVDKPGIVVVGFSVYGGGGIHEYELEVLVDDSEHAGDSTHSHRWTSLELVKGTYTTDDSPSDIAEIRLDKVVPLKENVKYAVRLRNYGSRTANGDGGMTTVQCPDGVTFTFSTCSLSSNGTNQTRGQIPQILYYRSEFDGDLQSQLLSKANEEDKNCSRALSVVSTVVRASKDLLHRALAVDADDIPELLSSSSLFSMLLPLIIAYIGPVAAAIPKVAVEVFGLVQQLLPSVAILNQKYAPPAFNPNQSTDSTTGNQPEQGLSACTTSSHYAVIESEHPYKPACVMHYKVTFPECVRWMTIEFDPQCGTAQSEDVLRLLIPVRTVQNSGYGPKLTSVHENLNSWIELKKFSGSSGWPTMVLVLPGNEALFSLETASDYVKDDKASFYGFKCFAIGYEFSPGPDEGVIQLEKELANLGGVCAAALMKKDLALPIGNELEEDLEILEEAALQVCKTHSGILGKGLALSHSPTILEALEGNLPLQIQSNEQSFLDDFIACVPGSSGGRLARWLQPDSYADPQKTSLILNKDDIRCGWPTTITVQTKDQYGDVVHVPNMKVEVKAVPVSQKKMSLQQDQAKKPQRIPGSPAVTAASSNTDMTYGGLASPKLDVSYEPMIVKEARYIAITMMKVYENYSFEELRFASPTPKRPSENMLIRVNNDGTYCANWTPGAIGLYTLHVTIDGIEIDAGLEVKVKDPPKGMIPPGTQLVKPKSEPQPNKVRKFVAKDSAGLRIRSHPSLQSEQIGIVKVNGTITFIDEIHNDDGVWLRLNDETIKKYVPNMNGYTEAWCLSFNQHLGKSLLVPVDESKTNTDDFFKDINSCCPQEATMQEQDMPFLRGGPGMYKVVKTGPSGHNIRSCPNLRGIPIGMLVLGNKVKAVGEVTNSEGTWVQLDQNSMVEFCESDEGEAWSLARDRGGNQYLRHEDEQALLDQNSQTPPPSPFSVQAFNKGASCSAQGFDYGLGNSKGDRGNISTSSKPASTSGKSELSSKHSRSLKPDGRMSRTTADQKKPRGTESLSASESLILKSDAAKLRSDSHSRSLSPNHNTLQTLKSDGRMPSSSRAESPGPGSRLSSPKPKTLPANRSSPSGASSPRSSSPHDKNLPQKSTAPVKTKLDPPRERSKSDSYTLDPDTLRKKKMPLTEPLRGRSTSPKPKSVPKDSTDSPGSENRAPSPHVVQENLHSEVVEVCTSSTLKTNSLTDSTCDDSSEFKSVDEGSNKVHFSIGKAPLKDEQEMRASPKISRKCANRHTRPKKEKSSFLFKGDGSKPLEPAKQAMSPSVAECARAVFASFLWHEGIVHDAMACSSFLKFHPELSKEHAPIRSSLNSQQPTEEKETKLKNRHSLEISSALNMFNIAPHGPDISKMGSINKNKVLSMLKEPPLHEKCEDGKTETTFEMSMHNTMKSKSPLPLTLQHLVAFWEDISLATIKAASQNMIFPSPGSCAVLKKKECEKENKKSKKEKKKKEKAEVRPRGNLFGEMAQLAVGGPEKDTICELCGESHPYPVTYHMRQAHPGCGRYAGGQGYNSIGHFCGGWAGNCGDGGIGGSTWYLVCDRCREKYLREKQAAAREKVKQSRRKPMQVKTPRALPTMEAHQVIKANALFLLSLSSAAEPSILCYHPAKPFQSQLPSVKEGISEDLPVKMPCLYLQTLARHHHENFVGYQDDNLFQDEMRYLRSTSVPAPYISVTPDASPNVFEEPESNMKSMPPSLETSPITDTDLAKRTVFQRSYSVVASEYDKQHSILPARVKAIPRRRVNSGDTEVGSSLLRHPSPELSRLISAHSSLSKGERNFQWPVLAFVIQHHDLEGLEIAMKQALRKSACRVFAMEAFNWLLCNVIQTTSLHDILWHFVASLTPAPVEPEEEEDEENKTSKENSEQEKDTRVCEHPLSDIVIAGEAAHPLPHTFHRLLQTISDLMMSLPSGSSLQQMALRCWSLKFKQSDHQFLHQSNVFHHINNILSKSDDGDSEESFSISIQSGFEAMSQELCIVMCLKDLTSIVDIKTSSRPAMIGSLTDGSTETFWESGDEDKNKTKNITINCVKGINARYVSVHVDNSRDLGNKVTSMTFLTGKAVEDLCRIKQVDLDSRHIGWVTSELPGGDNHIIKIELKGPENTLRVRQVKVLGWKDGESTKIAGQISASVAQQRNCEAETLRVFRLITSQVFGKLISGDAEPTPEQEEKALLSSPEGEEKVYNATSDADLKEHMVGIIFSRSKLTNLQKQVCAHIVQAIRMEATRVREEWEHAISSKENANSQPNDEDASSDAYCFELLSMVLALSGSNVGRQYLAQQLTLLQDLFSLLHTASPRVQRQVTSLLRRVLPEVTPSRLASIIGVKSLPPADISDIIHSTEKGDWNKLGILDMFLGCIAKALTVQLKAKGTTITGTAGTTVGKGVTTVTLPMIFNSSYLRRGESHWWMKGSTPTQISEIIIKLIKDMAAGHLSEAWSRVTKNAIAETIIALTKMEEEFRSPVRCIATTRLWLALASLCVLDQDHVDRLSSGRWMGKDGQQKQMPMCDNHDDGETAAIILCNVCGNLCTDCDRFLHLHRRTKTHQRQVFKEEEEAIKVDLHEGCGRTKLFWLMALADSKTMKAMVEFREHTGKPTTSSSEACRFCGSRSGTELSAVGSVCSDADCQEYAKIACSKTHPCGHPCGGVKNEEHCLPCLHGCDKSATSLKQDADDMCMICFTEALSAAPAIQLDCSHIFHLQCCRRVLENRWLGPRITFGFISCPICKNKINHIVLKDLLDPIKELYEDVRRKALMRLEYEGLHKSEAITTPGVRFYNDPAGYAMNRYAYYVCYKCRKAYFGGEARCDAEAGRGDDYDPRELICGACSDVSRAQMCPKHGTDFLEYKCRYCCSVAVFFCFGTTHFCNACHDDFQRMTSIPKEELPHCPAGPKGKQLEGTECPLHVVHPPTGEEFALGCGVCRNAHTF", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAVIRKLLPFPSPNPKRDNRESDDDDETSSGYRIEYSFASEYKGPLIANVPRALPVEVDQIPTALPVSFSSLRSGISYPVAPLVMTKDTKRPPDSGIEKKNGFVDSAAGSSVVLIGRDVVSGSSSSSSSKRLDVPEEVKSPADFRLSPSSPLSASAREEDHLDDDRVSDVGPRAVRFVEPFQSSECDESSYVSDGESIAATHRAERKGKRGSCYRCQLGNRFTEKEVCIVCDAKYCFNCVRRAMGAMPEGRKCQACIGYRIDESKRASLGKCSRMLKRHLTDSELRQVMNAEITCKANQLPSRLIIVNDKPLSEDELYTLQTCPNPPKKLKPGHYWYDKVAGYWGKIGEKPSQIISPNNSIGGYISEKVSNGDTEIYINGREITKPELTMLKWAGVQCEGKPHFWVDSDGSYREEGQKHPIGNIWSKKRAKIACAVFSLPVPPASSAVEPYDVPLYEQKMLNKLLLIGSEKGGATTIYKQARSLYNVSFSLEDRERIKFIIQTNLYTYLAMVLEAHERFEKEMSNDQSSGNVGDETSAKPGNSINPRLKHFSDWVLKEKEDGNLKIFPPSSRENAQTVADLWRVPAIQATYKRLRDTLPRNAVYFLERILEISRSEYDPSDMDILQAEGLSSMEGLSCVDFSFPSTSQEESLESDYQHDTDMKYQLIRLNPRSLGENWKLLEMFEDADLVIFCVSLTDYAENIEDGEGNIVNKMLATKQLFENMVTHPSLANKRFLLVLTKFDLLEEKIEEVPLRTCEWFEDFNPLISQNQTSRHNPPMAQRAFHYIGYKFKRLYDSILEPVNMRGRSFKPKLFVCQVSLESDTVDNALRYAREILKWHVEETSMFQEMSTTSIEASSSS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFAGLQDLGVANGEDLKETLTNCTEPLKAIEQFQTENGVLLPSLQSALPFLDLHGTPRLEFHQSVFDELRDKLLERVSAIASEGKAEERYKKLEDLLEKSFSLVKMPSLQPVVMCVMKHLPKVPEKKLKLVMADKELYRACAVEVKRQIWQDNQALFGDEVSPLLKQYILEKESALFSTELSVLHNFFSPSPKTRRQGEVVQRLTRMVGKNVKLYDMVLQFLRTLFLRTRNVHYCTLRAELLMSLHDLDVGEICTVDPCHKFTWCLDACIRERFVDSKRARELQGFLDGVKKGQEQVLGDLSMILCDPFAINTLALSTVRHLQELVGQETLPRDSPDLLLLLRLLALGQGAWDMIDSQVFKEPKMEVELITRFLPMLMSFLVDDYTFNVDQKLPAEEKAPVSYPNTLPESFTKFLQEQRMACEVGLYYVLHITKQRNKNALLRLLPGLVETFGDLAFGDIFLHLLTGNLALLADEFALEDFCSSLFDGFFLTASPRKENVHRHALRLLIHLHPRVAPSKLEALQKALEPTGQSGEAVKELYSQLGEKLEQLDHRKPSPAQAAETPALELPLPSVPAPAPL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MISFCPVCGKSVKVSFKFCPYCGKALPVEEDGGTQSAVTPHVSSVPGSRRDLNSSFETSPKKVKCSHTVTSLPLSRHSDCDSSGSDNTLTSPDRATGTRSRPLTPKGSPLSNRQSPQTLKRTRVTTSLQALATGTELTDQNGKHWTLGALQIRDDQGILYEAEPTSAVPSESRTQKWRFSLKLDSKDGRLFNEQNFFQRVAKPLQVNKWKKQFLLPLLAIPTCIGFGIHQDKYRFLVFPSLGRSLQSALDDNPKHVVSERCVLQVACRLLDALEYLHENEYVHGNLTAENVFVNPEDLSQVTLVGYGFTYRYCPGGKHVAYKEGSRSPHDGDLEFISMDLHKGCGPSRRSDLQTLGYCMLKWLYGSLPWTNCLPNTEKITRQKQKYLDSPERLVGLCGRWNKASETLREYLKVVMALNYEEKPPYATLRNSLEALLQDMRVSPYDPLDLQMVP", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSEAQLKTSLEALSQNLLPGNQNHCSFDFQLKEIDDSIKQVIKCALVAAEIKNNECLDMLDSGIRELLDAKQRLLLMQQSVDTLANKTSENISDFENKSLLDIYTQIFKELIQEYEEKSDYGKYGTQGEYIEFKKTIWHEQNTDGSDFPSMKTFFNVMNTEEQEADEVMVYSATFDNRCPLTLQPIVHPILSTACNHFYEKDAILSLLNPTCVCPVVGCEARLQRSLLKEDEILERRLRRAQEISNLKEA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVPVSFCFFFLLLLLSAGESSSQLVSGPHITDVNILLPPKMKNPVEYRLQGSDGCFKWSWDHHDILSVTPEFNSSSHCSTSARLRSISPYSGRKETAVYATDIQTGMVIRCKVFIDNFSRIQIFHNSIKLDLDGLSMLRVRAFDNEDNEFSSLVGLQFIWKLMPESGGSTHHLAHVPLKESPLTDCGGLCGYLDIQKKLEDSGVFADLFVVKGTKIGHEKVSVHLLEAPLTHIADEIVLTVAEAMSLEPRSPVYVLMGASFGYTLKVMRGNVPQAVDLPSPHHRWSVLNSSVAQVDSLIGLTKALSLGVTTVVVEDTRVAGHIQGSSINVVTPDTLILYISPWSMSGDLITESKPFPSSMHWYVVSGRQYLIQMKIFSGRPDAHEIYITETDDIKLYGKDSDYWKIVSLPDELSSEYGQRNSRILNAISPGLGELTSTLTYFSGHQESKEVLKVVQEIRVCEKVQFTLNSEDDTPKVLLPWTPAVYQEMELIVTGGCAKASSDYKWFTSDISILSVSAYGIIQAKRPGIATVKVVSTFDSQNFDEVIVEVSIPSSMVMLQNFPVETVVGSHLKAAVTMKALNGATFSRCDAFNSLIKWKTGSESFVIVNATSEMMMLDELRSMDSSPPCSRASIYTASTGRTVLQATLAKEFHYFDKSLSESIDLKATLTIGAYLPLSVRQDSDGNHHGGYWFDKAQEETDFGVSKLYLVPGTYVDVMLLGGPERWDDNVEFTETVKTLYEDEEDLTSRVNVHHEVDRRANMYRISCQKLGSYKLVFLRGNLLGIDHPVPAVAEALLSVHCSLPSSVVLIVDEPVNKLDVIRAASQADRAPGRLRVTPVTVANGQIIRVAAVGISEFGEAFSNSSTLSLRWELTSCNNLAYWDDDYNSKMTKSGWERFLALRNESGLCTVRATVSGIDYSFKSQYSTLLPQGSESTLTDAVRLQLVSTLRVTPEFNLVFFNPNAKVNLSMTGGSCLWEAVVNNSRVAEVIRPPSGLQCSQMMLSPKGLGTTIVTVYDIGVSPPLSALALIKVADVDWIKIASGDEISIMEGSTHSIDLLTGIDDGMTFDSSQYSLMDIMVHIEDDLVEHVTVDEDSLSVGEHVATSSFKIAARRLGITTLYVSARQQSGGKVLSQTIKVEVYSPPRLHPQGIFLVPGASYVLTIEGGPTMNVSVDYTTVDNEVAKIEKSGRLYATSPGNTTIYATIYGSEGAVICQAIGNAEVGLPATAMLVAQSDTVAVGHEMPVSPSFPEGDLLSFYELCSAYKWTIEDEKVLIFIASSINVEENAGFVNVVQGRSAGKTRVTIAFSCDFVSPGLYSESRTYEASMILSVVPDLPLSLGAPMTWVLPPFYTSSGLLPSSSEPQKHRDGQSHRGNIVYSILKDCSSRADFERDTISINGGSVKTTDSNNVACIQAKDRTSGRIEIAACVRVAEVAQIRMKSEGIPFHVIDLAVGGELELPINYYDTLGIPFLEAHGVTTYNVETNHRDVVFIKTVNDQPSAYIKGIKHGKALIRVSIGDNLRKSDYVLVSVGAHIFPQNPVIHTGNLLNFSITGADNEVTGQWFTSNRSVISVNVASGQAKAISQGSTHVTFKGHGLKLQTKVTVLFGNTIYVDSPGETLTNVHVPAEGYKFPVKFRENKFAVTEHGNKATFNCQVDPPFIGYTKPWMDLDTGNTYCLFFPYSPEHLVHSMSITKDMKPHVSFSVDASLKEARRVSGSASALLIGGFSVTGPDKLNINPDSNTTIISLVGNTDVQIHCRNKGRLSISLIKRDDFGIAGHAQYKVNVLRSEQFTDRIIITLPATGQIVEIDVCYDTGESLVASSKDGYSVLLKILWGVLVLVVSVIILMKVIDRQVPTGATGTATYSGNAAQGTPERRSGTVIYHEESPRTPSPFMEYVKRTVDETPYYRREGRRRFNPQNTM", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "METSSSLPLSPISIEPEQPSHRDYDITTRRGVGTTGNPIELCTNHFNVSVRQPDVVFYQYTVSITTENGDAVDGTGISRKLMDQLFKTYSSDLDGKRLAYDGEKTLYTVGPLPQNEFDFLVIVEGSFSKRDCGVSDGGSSSGTCKRSKRSFLPRSYKVQIHYAAEIPLKTVLGTQRGAYTPDKSAQDALRVLDIVLRQQAAERGCLLVRQAFFHSDGHPMKVGGGVIGIRGLHSSFRPTHGGLSLNIDVSTTMILEPGPVIEFLKANQSVETPRQIDWIKAAKMLKHMRVKATHRNMEFKIIGLSSKPCNQQLFSMKIKDGEREVPIREITVYDYFKQTYTEPISSAYFPCLDVGKPDRPNYLPLEFCNLVSLQRYTKPLSGRQRVLLVESSRQKPLERIKTLNDAMHTYCYDKDPFLAGCGISIEKEMTQVEGRVLKPPMLKFGKNEDFQPCNGRWNFNNKMLLEPRAIKSWAIVNFSFPCDSSHISRELISCGMRKGIEIDRPFALVEEDPQYKKAGPVERVEKMIATMKLKFPDPPHFILCILPERKTSDIYGPWKKICLTEEGIHTQCICPIKISDQYLTNVLLKINSKLGGINSLLGIEYSYNIPLINKIPTLILGMDVSHGPPGRADVPSVAAVVGSKCWPLISRYRAAVRTQSPRLEMIDSLFQPIENTEKGDNGIMNELFVEFYRTSRARKPKQIIIFRDGVSESQFEQVLKIEVDQIIKAYQRLGESDVPKFTVIVAQKNHHTKLFQAKGPENVPAGTVVDTKIVHPTNYDFYMCAHAGKIGTSRPAHYHVLLDEIGFSPDDLQNLIHSLSYVNQRSTTATSIVAPVRYAHLAAAQVAQFTKFEGISEDGKVPELPRLHENVEGNMFFC", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MISFCPDCGKSIQAAFKFCPYCGNSLPVEEHVGSQTFVNPHVSSFQGSKRGLNSSFETSPKKVKWSSTVTSPRLSLFSDGDSSESEDTLSSSERSKGSGSRPPTPKSSPQKTRKSPQVTRGSPQKTSCSPQKTRQSPQTLKRSRVTTSLEALPTGTVLTDKSGRQWKLKSFQTRDNQGILYEAAPTSTLTCDSGPQKQKFSLKLDAKDGRLFNEQNFFQRAAKPLQVNKWKKLYSTPLLAIPTCMGFGVHQDKYRFLVLPSLGRSLQSALDVSPKHVLSERSVLQVACRLLDALEFLHENEYVHGNVTAENIFVDPEDQSQVTLAGYGFAFRYCPSGKHVAYVEGSRSPHEGDLEFISMDLHKGCGPSRRSDLQSLGYCMLKWLYGFLPWTNCLPNTEDIMKQKQKFVDKPGPFVGPCGHWIRPSETLQKYLKVVMALTYEEKPPYAMLRNNLEALLQDLRVSPYDPIGLPMVP", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MHMQLRKRKRVDYSGRNQTSDPPSTTTAAVPSIIVPKKRKVVAQNMVSPAIRATTTTLGTSNIIIPKPLQRPKFHNSASLSSPDDDPEKISVLEVQKNLSNLIKRQQRLFYKDIHKPTLAGLKNFEMLRLPNDLKLLQNIVNLLYSFEQLNSDSKTRPVTTSKLKASSQAHSDKLKKMLAERKPPFSHPSHSGTAYHNDIIHEIANLHSINLVDLINLEVYNNNCHTNNTALQTTANSLTLNSIIKKLDKPILKERNNSLVWPHKSRFKAKRNQPSPGQSLINNTDITLYNDV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MHGHGGYDSDFSDDERCGESSKRKKRTVEDDLLLQKPFQKEKHGKVAHKQVAAELLDREEARNRRFHLIAMDAYQRHTKFVNDYILYYGGKKEDFKRLGENDKTDLDVIRENHRFLWNEEDEMDMTWEKRLAKKYYDKLFKEYCIADLSKYKENKFGFRWRVEKEVISGKGQFFCGNKYCDKKEGLKSWEVNFGYIEHGEKRNALVKLRLCQECSIKLNFHHRRKEIKSKKRKDKTKKDCEESSHKKSRLSSAEEASKKKDKGHSSSKKSEDSLLRNSDEEESASESELWKGPLPETDEKSQEEEFDEYFQDLFL", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNFNSTNPYYFTHEKNLNNASKYSELPIAYQEIPLQSLPPYPKVASKLKGVVAGGKENNIASFQKPSSKATRPYIPSYTRLTYSVPPLPIPPPSEQSLDTIIYRNPSVSSSQSQEPEEFFLPLDDGKKPPYSYAMLIGMSIIRSPDRRLTLSAIYDWISNTFSFYNKSNNGWQNSIRHNLSLNKAFMKIERPRNLPGKGHFWSIRPGHEEQFLKLKLRKPGVNSRPAPPVQDVTSSTKYGSSTGSSGFNTFNTSPHIFNQRHQYLQNYYTASLTNIPTISNVNATNFHPLHSQQPYVDTPGIDAPSDLEAKFSDLGVSSVVSVTSPLQSCTNSPSPPLSSPASSASPSESLRNESLGIKSAKSLGLNKDDAPVEGPPVSHLEKDVETPSVHDSVLGFNDTVTNLGKKGLKDGTTNTLQIPAVRLPSLPSSPTIKNPSGLLLKRSNSIDFPTPPKALCPKLFCFRDDIVADDYTKFSLLSPIRSDMSGISASPNTNLKEHRTRILQMLATPDAKQLSSLTSSDAEFWSVTPLKSSILRNGDASKQVTLSESPKGDSLLDGGSLSYFTNNISSVAGLETPSKLPMSKSFDTFEDDFLDPMDMLSFENHFSDFNSNRKVSPVKREVRRKYISSATTIHSSAAQDDTYLPSPTKRKMPLLRQTSTLF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGTAKQNQNRKKFTREYKVKEIQRSITKKTRLRKEYLKALKDEGYAVPEKEPKTVAKESVRKIKEARAIEGKKKLDEKKEIKKQRKRMQKDELNKQRNEQLERIRVSKEKFQRREDRKKKLTQRTRTGQPLMGPKIEDLLDKIKTDDTYTS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MALLGKHCDIPTNGCGSERWNSTFARKDELINSLVSALDSMCSALSKLNTEVACVAVHNESVFVMGTEKGRVFLNTRKELQSDFLRFCRGPLWNDPEAGHPKKVQRCEGGGRSLPRSSLEQCSDVYLLQKMVEEVFDVLYSEAMGRATVVPLPYERLLREPGLLAVQGLPEGLAFRRPAEYDPKALMAILEHSHRIRFKLRRPPDDGGQDTKALVEMNGISLLPKGSRDCGLHGQASKVAPQDLTPTATPSSMANFLYSTSMPNHTIRELKQEVPTCPLTPSDLGMGWPVPEPHVPSTQDFSDCCGQTPAGPAGPLIQNVHASKRILFSIVHDKSEKWDPFIKEMEDINTLRECVQILFNSRYAEALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIHFIIKRMFDERIFTGNKFTKDPMKLEPASPPEDTSTEVCRDSMLDLAGTAWSDMSSVSEDCGPGTSGEIAMLRPIKIEPEELDIIQVTVSDPSPTSEEMTDSLPGHLPSEDSGYGMEMPADKGPSEEPWSEERPAEESPGDVIRPLRKQVEMLFNTKYAKAIGTSEPVKVPYSKFLMHPEELFVLGLPEGISLRRPNCFGIAKLRKILEASNSIQFVIKRPELLTDGVKEPVLDTQERDSWDRLVDETPKRQGLQENYNTRLSRIDIANTLREQVQDLFNKKYGEALGIKYPVQVPYKRIKSNPGSVIIEGLPPGIPFRKPCTFGSQNLERILSVADKIKFTVTRPFQGLIPKPETKILTTGHEAGKTTRPRRLQQDTWQPDEDDANRLGEKVILREQVKELFNEKYGEALGLNRPVLVPYKLIRDSPDAVEVKGLPDDIPFRNPNTYDIHRLEKILKAREHVRMVIINQLQPFAEVCNDPKVPEEDDSNKLGKKVILREQVKELFNEKYGEALGLNRPVLVPYKLIRDSPDAVEVKGLPDDIPFRNPNTYDIHRLEKILKAREHVRMVIINQLQPFGDVCNNAKVPAKDNIPKRKRKRVSEGNSVSSSSSSSSSSSNPESVASTNQISLVVKSRGSELHPNSVWPLPLPRAGPSTAPGTGRHWALRGTQPTTEGQAHPLVLPTR", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MYVPPRTSLRVWPMLCGIRVINAEKSEFNEDQAACGKLCIRRCEFGIEEDQEWLTVCPEEFLTGHYWALFDGHGGPAAAILAANTLHSCLRRQLEAVVEGMIAPQPPMHLSGRCVCPSDPQFVEEKGIQAEDLVIGALENAFQECDDVIGRELEASGQVGGCTALVAVFLQGKLYVANAGDSRAILVRRHEIRQLSSEFTPETERQRIQQLAFTYPELLAGEFTRLEFPRRLKGDDLGQKVLFRDHHMRGWSYKRVEKSDLKYPLIHGQGRQARLLGTLAVSRGLGDHQLRVLDTDIQLKPFLLSIPQVTVLDVHQLAVQEEDVVVMATDGLWDVLSNEQVALLVRSFLTGNQKDDPHRFSELAKMLIHNTQGKDNGATGEGQVSYDDVSVFVIPLHSQAQEGSGH", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MANETQKVGAIHFPFPFTPYSIQEDFMAELYRVLEAGKIGIFESPTGTGKSLSLICGALSWLRDFEQKKREEEARLLETGTGPLHDEKDESLCLSSSCEGAAGTPRPAGEPAWVTQFVQKKEERDLVDRLKAEQARRKQREERLQQLQHRVQLKYAAKRLRQEEEERENLLRLSREMLETGPEAERLEQLESGEEELVLAEYESDEEKKVASRVDEDEDDLEEEHITKIYYCSRTHSQLAQFVHEVKKSPFGKDVRLVSLGSRQNLCVNEDVKSLGSVQLINDRCVDMQRSRHEKKKGAEEEKPKRRRQEKQAACPFYNHEQMGLLRDEALAEVKDMEQLLALGKEARACPYYGSRLAIPAAQLVVLPYQMLLHAATRQAAGIRLQDQVVIIDEAHNLIDTITGMHSVEVSGSQLCQAHSQLLQYVERYGKRLKAKNLMYLKQILYLLEKFVAVLGGNIKQNPNTQSLSQTGTELKTINDFLFQSQIDNINLFKVQRYCEKSMISRKLFGFTERYGAVFSSREQPKLAGFQQFLQSLQPRTTEALAAPADESQASTLRPASPLMHIQGFLAALTTANQDGRVILSRQGSLSQSTLKFLLLNPAVHFAQVVKECRAVVIAGGTMQPVSDFRQQLLACAGVEAERVVEFSCGHVIPPDNILPLVICSGISNQPLEFTFQKRELPQMMDEVGRILCNLCGVVPGGVVCFFPSYEYLRQVHAHWEKGGLLGRLAARKKIFQEPKSAHQVEQVLLAYSRCIQACGQERGQVTGALLLSVVGGKMSEGINFSDNLGRCVVMVGMPFPNIRSAELQEKMAYLDQTLSPRPGTPREGSGGEPVHEGRQPVHRQGHQAPEGFCQRSAPGPAICPAPCPGQAAGLDPSPCGGQSYLWPRHCCCAEVSPGEVGLFLMGNHTTAWRRALPLSCPLETVFVVGVVCGDPVTKVKPRRRVWSPECCQDPGTGVSSRRRKWGNPE", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MFEVKMGSKMCMNASCGTTSTVEWKKGWPLRSGLLADLCYRCGSAYESSLFCEQFHKDQSGWRECYLCSKRLHCGCIASKVTIELMDYGGVGCSTCACCHQLNLNTRGENPGVFSRLPMKTLADRQHVNGESGGRNEGDLFSQPLVMGGDKREEFMPHRGFGKLMSPESTTTGHRLDAAGEMHESSPLQPSLNMGLAVNPFSPSFATEAVEGMKHISPSQSNMVHCSASNILQKPSRPAISTPPVASKSAQARIGRPPVEGRGRGHLLPRYWPKYTDKEVQQISGNLNLNIVPLFEKTLSASDAGRIGRLVLPKACAEAYFPPISQSEGIPLKIQDVRGREWTFQFRYWPNNNSRMYVLEGVTPCIQSMMLQAGDTVTFSRVDPGGKLIMGSRKAANAGDMQGCGLTNGTSTEDTSSSGVTENPPSINGSSCISLIPKELNGMPENLNSETNGGRIGDDPTRVKEKKRTRTIGAKNKRLLLHSEESMELRLTWEEAQDLLRPSPSVKPTIVVIEEQEIEEYDEPPVFGKRTIVTTKPSGEQERWATCDDCSKWRRLPVDALLSFKWTCIDNVWDVSRCSCSAPEESLKELENVLKVGREHKKRRTGESQAAKSQQEPCGLDALASAAVLGDTIGEPEVATTTRHPRHRAGCSCIVCIQPPSGKGRHKPTCGCTVCSTVKRRFKTLMMRRKKKQLERDVTAAEDKKKKDMELAESDKSKEEKEVNTARIDLNSDPYNKEDVEAVAVEKEESRKRAIGQCSGVVAQDASDVLGVTELEGEGKNVREEPRVSS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MQYSHHCEHLLERLNKQREAGFLCDCTIVIGEFQFKAHRNVLASFSEYFGAIYRSTSENNVFLDQSQVKADGFQKLLEFIYTGTLNLDSWNVKEIHQAADYLKVEEVVTKCKIKMEDFAFIANPSSTEISSITGNIELNQQTCLLTLRDYNNREKSEVSTDLIQANPKQGALAKKSSQTKKKKKAFNSPKTGQNKTVQYPSDILENASVELFLDANKLPTPVVEQVAQINDNSELELTSVVENTFPAQDIVHTVTVKRKRGKSQPNCALKEHSMSNIASVKSPYEAENSGEELDQRYSKAKPMCNTCGKVFSEASSLRRHMRIHKGVKPYVCHLCGKAFTQCNQLKTHVRTHTGEKPYKCELCDKGFAQKCQLVFHSRMHHGEEKPYKCDVCNLQFATSSNLKIHARKHSGEKPYVCDRCGQRFAQASTLTYHVRRHTGEKPYVCDTCGKAFAVSSSLITHSRKHTGEKPYICGICGKSFISSGELNKHFRSHTGERPFICELCGNSYTDIKNLKKHKTKVHSGADKTLDSSAEDHTLSEQDSIQKSPLSETMDVKPSDMTLPLALPLGTEDHHMLLPVTDTQSPTSDTLLRSTVNGYSEPQLIFLQQLY", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEDSLDTRLYPEPSLSQVGSWRVSSLPSGSPQLPSPTGPSLETARAHILALGPQQLLAQDEEGDTLLHLFAARGLRWAAYAAAEVLQMYRQLDIREHKGKTPLLVAAAANQPLIVEDLLSLGAEPNATDHQGRSVLHVAATYGLPGVLSAVFKSGIQVDLEARDFEGLTPLHTAVLALNAAMLPASVCPRMQNSQARDRLTCVQMLLQMGASHTSQEIKSNKTILHLAVQAANPTLVQLLLGLPRGDLRAFVNMKAHGNTALHMAAALPPGPPQEAIVRHLLAAGADPTLRNLENEQPVHLLRPGPGPEGLRQLLKRSRTAPPGLSS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNISGSSCGSPNSADTSSDFKDLWTKLKECHDREVQGLQVKVTKLKQERILDAQRLEEFFTKNQQLREQQKVLHETIKVLEDRLRAGLCDRCAVTEEHMRKKQQEFENIRQQNLKLITELMNERNTLQEENKKLSEQLQQKIENDQQHQAAELECEEDVIPDSPITAFSFSGVNRLRRKENPHVRYIEQTHTKLEHSVCANEMRKVSKSSTHPQHNPNENEILVADTYDQSQSPMAKAHGTSSYTPDKSSFNLATVVAETLGLGVQEESETQGPMSPLGDELYHCLEGNHKKQPFEESTRNTEDSLRFSDSTSKTPPQEELPTRVSSPVFGATSSIKSGLDLNTSLSPSLLQPGKKKHLKTLPFSNTCISRLEKTRSKSEDSALFTHHSLGSEVNKIIIQSSNKQILINKNISESLGEQNRTEYGKDSNTDKHLEPLKSLGGRTSKRKKTEEESEHEVSCPQASFDKENAFPFPMDNQFSMNGDCVMDKPLDLSDRFSAIQRQEKSQGSETSKNKFRQVTLYEALKTIPKGFSSSRKASDGNCTLPKDSPGEPCSQECIILQPLNKCSPDNKPSLQIKEENAVFKIPLRPRESLETENVLDDIKSAGSHEPIKIQTRSDHGGCELASVLQLNPCRTGKIKSLQNNQDVSFENIQWSIDPGADLSQYKMDVTVIDTKDGSQSKLGGETVDMDCTLVSETVLLKMKKQEQKGEKSSNEERKMNDSLEDMFDRTTHEEYESCLADSFSQAADEEEELSTATKKLHTHGDKQDKVKQKAFVEPYFKGDERETSLQNFPHIEVVRKKEERRKLLGHTCKECEIYYADMPAEEREKKLASCSRHRFRYIPPNTPENFWEVGFPSTQTCMERGYIKEDLDPCPRPKRRQPYNAIFSPKGKEQKT", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAGRLSESVGSGPGAEAETAADPDAKRDVLEHLCARTHLKQLVMEFDTACPPDEGCNSGAEVNFIESAKETLEEVGKVKSAFESKALVIKRIQLMDALRKRVKENDGCARLIVETMRDIIKLNWEIIQAHQQARVIRENLNDIRRKRYFLKQAEGEKALRIFTTVRKKKEVVRMKIAEKLKFIHRNVQYERKVTTLVQNILQNIIVGCQINWAKDPSLRAIILQLEKDISIQNLL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MENKSLESSQTDLKLVAHPRAKSKVWKYFGFDTNAEGCILQWKKIYCRICMAQIAYSGNTSNLSYHLEKNHPEEFCEFVKSNTEQMREAFATAFSKLKPESSQQPGQDALAVKAGHGYDSKKQQELTAAVLGLICEGLYPASIVDEPTFKVLLKTADPRYELPSRKYISTKAIPEKYGAVREVILKELAEATWCGISTDMWRSENQNRAYVTLAAHFLGLGAPNCLSMGSRCLKTFEVPEENTAETITRVLYEVFIEWGISAKVFGATTNYGKDIVKACSLLDVAVHMPCLGHTFNAGIQQAFQLPKLGALLSRCRKLVEYFQQSAVAMYMLYEKQKQQNVAHCMLVSNRVSWWGSTLAMLQRLKEQQFVIAGVLVEDSNNHHLMLEASEWATIEGLVELLQPFKQVAEMLSASRYPTISMVKPLLHMLLNTTLNIKETDSKELSMAKEVIAKELSKTYQETPEIDMFLNVATFLDPRYKRLPFLSAFERQQVENRVVEEAKGLLDKVKDGGYRPAEDKIFPVPEEPPVKKLMRTSTPPPASVINNMLAEIFCQTGGVEDQEEWHAQVVEELSNFKSQKVLGLNEDPLKWWSDRLALFPLLPKVLQKYWCVTATRVAPERLFGSAANVVSAKRNRLAPAHVDEQVFLYENARSGAEAEPEDQDEGEWGLDQEQVFSLGDGVSGGFFGIRDSSFL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTKPRYNDVLFDDDDSVPSESVTRKSQRRKATSPGESRESSKDRLLILPSMGESYTEYVDSYLNLELLERGERETPIFLESLTRQLTQKIYELIKTKSLTADTLQQISDKYDGVVAENKLLFLQRQYYVDDEGNVRDGRNNDKIYCEPKHVYDMVMATHLMNKHLRGKTLHSFLFSHFANISHAIIDWVQQFCSKCNKKGKIKPLKEYKRPDMYDKLLPMERIHIEVFEPFNGEAIEGKYSYVLLCRDYRSSFMWLLPLKSTKFKHLIPVVSSLFLTFARVPIFVTSSTLDKDDLYDICEEIASKYGLRIGLGLKSSARFHTGGILCIQYALNSYKKECLADWGKCLRYGPYRFNRRRNKRTKRKPVQVLLSEVPGHNAKFETKRERVIENTYSRNMFKMAGGKGLIYLEDVNTFALANEADNSCNNNGILHNNNIGNDNFEEEVQKQFDLTEKNYIDEYDDLAHDSSEGEFEPNTLTPEEKPPHNVDEDRIESTGVAAPMQGTEEPEKGDQKESDGASQVDQSVEITRPETSYYQTLESPSTKRQKLDQQGNGDQTRDFGTSMEL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDQVSRSLPPPFLSRDLHLHPHHQFQHQQQQQQQNHGHDIDQHRIGGLKRDRDADIDPNEHSSAGKDQSTPGSGGESGGGGGGDNHITRRPRGRPAGSKNKPKPPIIITRDSANALKSHVMEVANGCDVMESVTVFARRRQRGICVLSGNGAVTNVTIRQPASVPGGGSSVVNLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGPLMASGPVVIMAASFGNAAYERLPLEEDDQEEQTAGAVANNIDGNATMGGGTQTQTQTQQQQQQQLMQDPTSFIQGLPPNLMNSVQLPAEAYWGTPRPSF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MADSDPGERSYDNMLKMLSDLNKDLEKLLEEMEKISVQATWMAYDMVVMRTNPTLAESMRRLEDAFLNCKEEMEKNWQELLTETKRKQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MELEQREGTMAAVGFEEFSAPPGSELALPPLFGGHILESELETEVEFVSGGLGGSGLRERDEEEEAARGRRRRQRELNRRKYQALGRRCREIEQVNERVLNRLHQVQRITRRLQQERRFLMRVLDSYGDDYRASQFTIVLEDEGSQGTDAPTPGNAENEPPEKETLSPPRRTPAPPEPGSPAPGEGPSGRKRRRVPRDGRRAGNALTPELAPVQIKVEEDFGFEADEALDSSWVSRGPDKLLPYPTLASPASD", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPKETPSKAAADALSDLEIKDSKSNLNKELETLREENRVKSDMLKEKLSKDAENHKAYLKSHQVHRHKLKEMEKEEPLLNEDKERTVLFPIKYHEIWQAYKRAEASFWTAEEIDLSKDIHDWNNRMNENERFFISRVLAFFAASDGIVNENLVENFSTEVQIPEAKSFYGFQIMIENIHSETYSLLIDTYIKDPKESEFLFNAIHTIPEIGEKAEWALRWIQDADALFGERLVAFASIEGVFFSGSFASIFWLKKRGMMPGLTFSNELICRDEGLHTDFACLLFAHLKNKPDPAIVEKIVTEAVEIEQRYFLDALPVALLGMNADLMNQYVEFVADRLLVAFGNKKYYKVENPFDFMENISLAGKTNFFEKRVSDYQKAGVMSKSTKQEAGAFTFNEDF", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVLNGMPITMPVPMPVPMPVPSPPATKSRVAIDWDINDSKMPSVGEFDDFNDWSNGHCRLIYSVQSDEARKHASGWAMRNTNNHNVNILKKSCLGVLLCSAKCKLPNGASVHLRPAICDKARRKQQGKQCPNRNCNGRLEIQACRGHCGYPVTHFWRRDGNGIYFQAKGTHDHPRPEAKGSTEARRLLAGGRRVRSLAVMLARESALSDKLSSLRPTKRQAKTQSIQESKRRRMGASDVLETKQELVVPPTTYLPTSTPTHSTNFNQSQGSYVPAGQGSVISQWNREIHYETEDPCYANGMYSYDMLHSPLSAHSSTGSYYQENKPQQLQHSQYQQQLSPQQHVPVSYDPSQPISSSLQCGMPSYEICDDTSSLTSSSGYCSEDYGYYNGYLPNSLDVSNGSQSQNLSQDASFYTTSSEIFSVFESTLNGGGTSGVDLIYDEATAYQQHQQQGTFPHLTNYQQEPQDQMQSADYYYSNTGVDNSWNIQMDATYHPVNSTDPIYC", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MALEGLRKKYKTRQELVKALTPKRRSIHLNSNGHSNGTPCSNADVLAHIKHFLSLAANSLEQHQQPISIVFQNKKKKGDTSSPDIHTTLDFPLNGPHLCTHQFKLKRCAILLNLLKVVMEKLPLGKNTTVRDIFYSNVELFQRQANVVQWLDVIRFNFKLSPRKSLNIIPAQKGLVYSPFPIDIYDNILTCENEPKMQKQTIFPGKPCLIPFFQDDAVIKLGTTSMCNIVIVEKEAVFTKLVNNYHKLSTNTMLITGKGFPDFLTRLFLKKLEQYCSKLISDCSIFTDADPYGISIALNYTHSNERNAYICTMANYKGIRITQVLAQNNEVHNKSIQLLSLNQRDYSLAKNLIASLTANSWDIATSPLKNVIIECQREIFFQKKAEMNEIDARIFEYK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSEEPAAKRMKNADEFPNLRSEVAGPVKEKLIELDAIQHQLDVMSENAAEEVLKVEQIFNQKRLPIYEKRKKLTTKIDNFWQTAFLNHHLLSTAIPEEQEDLLAALRDLEVQEFEDLRSGFKIIMTFDPNEYFTNEVITKSYHLQSESPSTEITEIEWKENKKPPFPEDGDSAHTFLEWLTYAALPDSDEIAEVIKDDLYVNPLQYYVMPDMQEVEEDDIEDFLNEERGVDENGQRIPRRNISDSLKVDQDESADGQEGEDEEEEDMGEEEEDGVEEEAEGEEEEEDGAIEEEGGDENVEAHVAVNPENTAE", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKCRALYSHDNNILIFGFCVQIMSAGSQTKLNFSVRKTRSSSKRSNAAIIEPPKNPEDSQIIPAVKRLKENLDTESLEQNEVLPPVKNESVLFLEKVFNAVDICVKFHLSINTKPTFVLLENKVSGLTKISLKITHLAQILTVWPESFAITPCFTIHQGKRVATYELSYPRNANLPEAFSRSIEFKRRLEKWLLEHCSETEIPAQQLQALPSLSKNTVNESSLVRKLNLEKSTSRELRIPTQTLEPKFTTNTAKYANELVSCSMLDSSSTLSKSVNSKINLKSHQSSSSVQNSSRKLTSSQLTLRQSSLFDRVRKKQKAMEAKKAEEFKNNLVVHTLAKEKVSFVRIIDLIFVQLSTWPTKRSFSMSEIVTSMQMSISSSLSPDQCAKAIELLSKALPAWCTINLLGNIQVVTFSRIVNGKPYLRSQLIEELQTKASITILSNS", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKRAHPDYSSSDSELDETVEVEKESADENGNLSSALGSMSPTTSSQILARKRRRGIIEKRRRDRINNSLSELRRLVPSAFEKQGSAKLEKAEILQMTVDHLKMLHTAGGKGYFDAHALAMDYRSLGFRECLAEVARYLSIIEGLDASDPLRVRLVSHLNNYASQREAASGAHAGLGHLPWGSAFGHHPHVAHPLLLPQSGHGNTGTSASPTDPHHQGRLAAAHPEAPALRAPPSGGLGPVLPVVTSASKLSPPLLSSVASLSAFPFSFGSFHLLSPNALSPSAPTQAANLGKPYRPWGTEIGAF", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDDERRVLCPENRGLAAYVLQKKQEYAEKPKGLSENLERTFVKGYRSVCDAKDPINTLKDLSQIKGFGKWMVKLMKGYFDTAVESSEQEDLPDNRAGKKANGKKRYIPQRNSVGYALLITLHRRTTNGKEFMRKQELIDAADANGLSHAPVGPEKGKGKAGLGHSKREWYSGWSCMTTLIQKGLVVKSSNPAKYMLTVEGREVANECILRSGLPDSVDILSVDEMDPTPQAKKTPNQNPTCSFTMREELPYVDPRCRAQSAIPSDILEKFTPFGYSKEQVVAAFREVSDGSGDKDPSTLWLSVMCHLRQAEVYNSCPDSRNSKKDSSGPFKSQIRQVDLEGSRAKKFRSCNDGSTLNPCSSGSSHAVKACSSSLASDGTKGITNIPRLPPLQFGETFEEAYDVILILDDREKFATKGSRSRNIVENICSEFNIKIEVRRLPVGDCIWIARHKYLETEYVLDFIAERKNVDDMRSSIRDNRYRDQKLRLQRSGFKKLIYILEGDPNHSDAAESIKTACFTTEILEGFDVLRTHGLGETLRKYGYLTKSIYQYYKLRVNDNDQSKGAASCPSFDSFVKRCQDLDKMTISDVFAIQLMQVPQVTEEIAIAVLDMYPTLLSLASAYSHLEADVSAQEEMLRNRSNNVICASASKNIFKLVWGE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNLVGSYAHHHHHHHSHPPHPMLHEPFLFGPASRCHQERPYFQSWLLSPADAAPDFPAGGPPPTTAVAAAAYGPDARPSQSPGRLEALGSRLPKRKGSGPKKERRRTESINSAFAELRECIPNVPADTKLSKIKTLRLATSYIAYLMDVLAKDAQAGDPEAFKAELKKTDGGRESKRKRELPQQPESFPPASGPGEKRIKGRTGWPQQVWALELNQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSKITLGNESIVGSLTPSNKKSYKVTNRIQEGKKPLYAVVFNFLDARFFDVFVTAGGNRITLYNCLGDGAISALQSYADEDKEESFYTVSWACGVNGNPYVAAGGVKGIIRVIDVNSETIHKSLVGHGDSVNEIRTQPLKPQLVITASKDESVRLWNVETGICILIFAGAGGHRYEVLSVDFHPSDIYRFASCGMDTTIKIWSMKEFWTYVEKSFTWTDDPSKFPTKFVQFPVFTASIHTNYVDCNRWFGDFILSKSVDNEILLWEPQLKENSPGEGASDVLLRYPVPMCDIWFIKFSCDLHLSSVAIGNQEGKVYVWDLKSCPPVLITKLSHNQSKSVIRQTAMSVDGSTILACCEDGTIWRWDVITK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDPGSRWRNLPSGPSLKHLTDPSYGIPREQQKAALQELTRAHVESFNYAVHEGLGLAVQAIPPFEFAFKDERISFTILDAVISPPTVPKGTICKEANVYPAECRGRRSTYRGKLTADINWAVNGISKGIIKQFLGYVPIMVKSKLCNLRNLPPQALIEHHEEAEEMGGYFIINGIEKVIRMLIMPRRNFPIAMIRPKWKTRGPGYTQYGVSMHCVREEHSAVNMNLHYLENGTVMLNFIYRKELFFLPLGFALKALVSFSDYQIFQELIKGKEDDSFLRNSVSQMLRIVMEEGCSTQKQVLNYLGECFRVKLNVPDWYPNEQAAEFLFNQCICIHLKSNTEKFYMLCLMTRKLFALAKGECMEDNPDSLVNQEVLTPGQLFLMFLKEKLEGWLVSIKIAFDKKAQKTSVSMNTDNLMRIFTMGIDLTKPFEYLFATGNLRSKTGLGLLQDSGLCVVADKLNFIRYLSHFRCVHRGADFAKMRTTTVRRLLPESWGFLCPVHTPDGEPCGLMNHLTAVCEVVTQFVYTASIPALLCNLGVTPIDGAPHRSYSECYPVLLDGVMVGWVDKDLAPGIADSLRHFKVLREKRIPPWMEVVLIPMTGKPSLYPGLFLFTTPCRLVRPVQNLALGKEELIGTMEQIFMNVAIFEDEVFAGVTTHQELFPHSLLSVIANFIPFSDHNQSPRNMYQCQMGKQTMGFPLLTYQDRSDNKLYRLQTPQSPLVRPSMYDYYDMDNYPIGTNAIVAVISYTGYDMEDAMIVNKASWERGFAHGSVYKSEFIDLSEKIKQGDSSLVFGIKPGDPRVLQKLDDDGLPFIGAKLQYGDPYYSYLNLNTGESFVMYYKSKENCVVDNIKVCSNDTGSGKFKCVCITMRVPRNPTIGDKFASRHGQKGILSRLWPAEDMPFTESGMVPDILFNPHGFPSRMTIGMLIESMAGKSAALHGLCHDATPFIFSEENSALEYFGEMLKAAGYNFYGTERLYSGISGLELEADIFIGVVYYQRLRHMVSDKFQVRTTGARDRVTNQPIGGRNVQGGIRFGEMERDALLAHGTSFLLHDRLFNCSDRSVAHVCVKCGSLLSPLLEKPPPSWSAMRNRKYNCTLCSRSDTIDTVSVPYVFRYFVAELAAMNIKVKLDVV", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MADSAELKQMVMSLRVSELQVLLGYAGRNKHGRKHELLTKALHLLKAGCSPAVQMKIKELYRRRFPQKIMTPADLSIPNVHSSPMPPTLSPSTIPQLTYDGHPASSPLLPVSLLGPKHELELPHLTSALHPVHPDIKLQKLPFYDLLDELIKPTSLASDNSQRFRETCFAFALTPQQVQQISSSMDISGTKCDFTVQVQLRFCLSETSCPQEDHFPPNLCVKVNTKPCSLPGYLPPTKNGVEPKRPSRPINITSLVRLSTTVPNTIVVSWTAEIGRTYSMAVYLVKQLSSTVLLQRLRAKGIRNPDHSRALIKEKLTADPDSEIATTSLRVSLLCPLGKMRLTIPCRALTCSHLQCFDATLYIQMNEKKPTWVCPVCDKKAPYEHLIIDGLFMEILKYCTDCDEIQFKEDGSWAPMRSKKEVQEVTASYNGVDGCLSSTLEHQVASHNQSSNKNKKVEVIDLTIDSSSDEEEEEPPAKRTCPSLSPTSPLSNKGILSLPHQASPVSRTPSLPAVDTSYINTSLIQDYRHPFHMTPMPYDLQGLDFFPFLSGDNQHYNTSLLAAAAAAVSDDQDLLHSSRFFPYTSSQMFLDQLSAGGSTSLPATNGSSSGSNSSLVSSNSLRESHGHGVASRSSADTASIFGIIPDIISLD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFSSSQFEPNSGFSGGGFMSSQPSQAYESSSSTAKNRDFQGLVPVTVKQITECFQSSGEKSGLVINGISLTNVSLVGLVCDKDESKVTEVRFTLDDGTGRIDCKRWVSETFDAREMESVRDGTYVRLSGHLKTFQGKTQLLVFSVRPIMDFNEVTFHYIECIHFYSQNSESQRQQVGDVTQSVNTTFQGGSNTNQATLLNPVVSSQNNDGNGRKNLDDMILDYLKQPACTARQQGIHIDEIAQQLKIPKNKLEGVVQSLEGDGLIYSTIDEYHFKHVEL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPQPSVSGMDPPFGDAFRSHTFSEQTLMSTDLLANSSDPDFMYELDREMNYQQNPRDNFLSLEDCKDIENLESFTDVLDNEGALTSNWEQWDTYCEDLTKYTKLTSCDIWGTKEVDYLGLDDFSSPYQDEEVISKTPTLAQLNSEDSQSVSDSLYYPDSLFSVKQNPLPSSFPGKKITSRAAAPVCSSKTLQAEVPLSDCVQKASKPTSSTQIMVKTNMYHNEKVNFHVECKDYVKKAKVKINPVQQSRPLLSQIHTDAAKENTCYCGAVAKRQEKKGMEPLQGHATPALPFKETQELLLSPLPQEGPGSLAAGESSSLSASTSVSDSSQKKEEHNYSLFVSDNLGEQPTKCSPEEDEEDEEDVDDEDHDEGFGSEHELSENEEEEEEEEDYEDDKDDDISDTFSEPGYENDSVEDLKEVTSISSRKRGKRRYFWEYSEQLTPSQQERMLRPSEWNRDTLPSNMYQKNGLHHGKYAVKKSRRTDVEDLTPNPKKLLQIGNELRKLNKVISDLTPVSELPLTARPRSRKEKNKLASRACRLKKKAQYEANKVKLWGLNTEYDNLLFVINSIKQEIVNRVQNPRDERGPNMGQKLEILIKDTLGLPVAGQTSEFVNQVLEKTAEGNPTGGLVGLRIPTSKV", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGKRRTQSLIDSNSSDSDSESETNLESDLMSLAKKRKKPQTAAKSSSRSDSDSDWANNKAGAPSSKKKKRQKPSRDSSSSESNWDDDSQDERQPARQSPAQTQQEHKPPEQASQPAQLSEQEEGEVSDSDSDKSKSNSSSSGSDSSSSSSSSDSEFDDGFDDDLMGDDEDRRRLNGLSEKERETEIYKRIEQREIMRTRWEIERKLKLARRGEKNQEKSKNKGERAKKKKEKREKKARKAREAQAPLPTQASTSTLLDVEPKPSNEVRSASPLSTPALNRDAASTSAAVASIMPDDAASSAGVSDYFDHKERSKERKKNVEANKTDDKRSNAMALLKAKREGKAKREEEEAKRMAEKDRDDDKEELDSVSGCKSAVKLKASEIYSDDSGSSDWDEEEKPAGKRSRSNSSKASSESEDEEKAPQRPVFITTREDLNKLRLSRYKMERFVNLPIFESTVLNCFVRISIGNNGQKPVYRVAEIVGVVETGKIYSLGTTRTNRGLRLKHGTQERVFRLEFISNQEFTENEFNKWNEVCQQSHVQMPTIDLIAIKQNDIKKALNYEFKDEDVDKIVEEKNRFRNRPTNYAMKKTCLMKERDAAMLRGDYDIAQDLGQQIDELENRASELDKRRSHTLNLISYINDRNRKKNVEDAEKAILEEARANKGLKISDPFTRRITQPRMGFKGAKKDEDDMQLAPLPPPPPGKKRPNEAGTSSASVRSTDSKDYSLYSLHDFDIDLDVPLPVNTNSVPKPASKPAETVSKRSLNLEDYKKKRGLI", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDTTNNTYARSISSNGNDNFPTPDWQFNGSQLQQNRKSKMNGRSVTNVFPNAFSDAEFEQISMPELNLKRFNPTTLEENNSDLSDMSSWDYMMNVPIRVYNDADTMDPFSLDTIPDSSMDMPFDPLASDYGNAANFPSVPSSLGSNHQFITTPPVNGSNEPTSAQTNHIITANSSPSGNAGSNASASMSVPPPLTPSASTINDQPFSNSFDLPSQVIADGTGAISDINGNPFPMNSPPLDMEPLPSISMDASDSVSEQLVKDASLPSGPFSTDYLENGSDLKRSLGHNQKSDRVSKDVSPQHQANPSTLNNPLKTQNFDSSKNLYTDNKDSSLVSPTGLQSRMEQNPEVRAHPMKDSATSTALRRSHALGAAADSLLPQENSAQIYDGKDVSMVNDNMHSDVRQDSFNKESIKQRIPSLSPPITRSYNAKHRPSLVLGTSVNPHSLSPSQPPVVVPSNTTISSSPPLTSPVKTSANIPNLLPTSELDSSNAPHSQSAATHDLNDVKSYYNTRSSHSVVPNPTNQKVSITGAAADGPNGSAPVDTTPTNSSTTATGAQRKRRKFKFGKQIGPVRCTLQNRVTGEICNTVFSRTYDLIRHQDTIHAKTRPVFRCEICGDQRHFSRHDALVRHLRVKHGR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAGRSDMDPPAAFSGFPALPAVAPSGPPPSPLAGAEPGREPEEAAAGRGEAAPTPAPGPGRRRRRPLQRGKPPYSYIALIAMALAHAPGRRLTLAAIYRFITERFAFYRDSPRKWQNSIRHNLTLNDCFVKVPREPGNPGKGNYWTLDPAAADMFDNGSFLRRRKRFKRAELPAHAAAAPGPPLPFPYAPYAPAPGPALLVPPPSAGPGPSPPARLFSVDSLVNLQPELAGLGAPEPPCCAAPDAAAAAFPPCAAAASPPLYSQVPDRLVLPATRPGPGPLPAEPLLALAGPAAALGPLSPGEAYLRQPGFASGLERYL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPGMSSESGGGELVLFSTKEKTPVLYPLSYGLKSPVHRLSISWGCGNNLRVTVLRNPELRDDDDGEVGGKVVNVRLSGEDGEISDPQWRRIAYGSVSPFALLQSRRNSISSLSKMDMSSSLYQTAWWEYVMEYSRDIKSLLSNTISLPAPLIEDPRSVIKNAEEPTSLKAAWELMELFYADKTCLSWLPERLVDWLSEYDILLSSSHPTIYSKLQDFQKELVGLQAIEDDPRYWEVMASALSVGWLEIVVKLLHLHGSYQLDQLGHRETENGLVEAVAVLISKMPRMRPQLEDGKFGECSAAKPDFMKTRERWQSQITKLECSAFWVQCAHHQTREGLRNMLKIMIGNADCLRAATCNWMELFVSHLLYLRPFTKGLDGMHSLAQKCVQSKPVNTSHKLLRLLIGILGENTEVVLAECSKEFGSWMVAHAMELLTAGSEEGEVLVHEEQRKLGGINMEELHRLVYAQVLSSHALTWQIAPIYLASCEKQGLGLLELLFYRQPVQENQMLIKSLEICRLYELSNVSAKLMKISGVHHWKHGRKGSGIFWLQQARDENCLSVIAQQLFDSVGKSLSDESLKQWEGLVELLGSESQISGGLDFLHKYRDFKRSLKVVHDGKTIDAAHEAVERLVSLMKSPSTPQRFWLPLLHDSLKLLNWPERSLLNVTQTNLMLNKLQELSIARLRPGFIESELSAQAVGSVRLALATNLGRAFLEEC", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGLNAKSVCSTSSTEPNGSIVTTAPSNGEVSSSIVVVVSSSSISSSSDSPIAILPHPDPIPSTSFSSASQRSEEELPGTSAASRTDEMCSCDSQNLAASTAATSNGNKRKRRLSSDSNEDPELGFEPPSAKRQQRLPALYGSEQGNLSSVASSVYTSPVVSVDGQSTQELLSIRSSPAEDLSEAPHSPLPDSPDSPPSPDRGSKQTPVVVRYAAEQVVTSTVVTQKTEDDDLLDDSCEDYSYDEDDEDDVEEEDDDVEIYSSTISPASSGCSQQQAVNGERTPGLPKHQEQIHHPVSDLMINMRTPMSPAVENGLRQCPLPALAWANAADVWRLMCHRDEQDSRLRSISMLEQHPGLQPRMRAILLDWLIEVCEVYKLHRETFYLAVDYLDRYLHVAHKVQKTHLQLIGITCLFVAAKVEEIYPPKIGEFAYVTDGACTERDILNHEKILLQALDWDISPITITGWLGVYMQLNVNNRTPASFSQIGRQKSAEADDAFIYPQFSGFEFVQTSQLLDLCTLDVGMANYSYSVLAAAAISHTFSREMALRCSGLDWQVIQPCARWMEPFFRVISQKAPYLQLNEQNEQVSNKFGLGLICPNIVTDDSHIIQTHTTTMDMYDEVLMAQDAAHAMRARIQASPATALRAPESLLTPPASSHKPDEYLGDEGDETGARSGISSTTTCCNTAASNKGGKSSSNNSVTSCSSRSNP", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNMSDLGWDDEDKSVVSAVLGHLASDFLRANSNSNQNLFLVMGTDDTLNKKLSSLVDWPNSENFSWNYAIFWQQTMSRSGQQVLGWGDGCCREPNEEEESKVVRSYNFNNMGAEEETWQDMRKRVLQKLHRLFGGSDEDNYALSLEKVTATEIFFLASMYFFFNHGEGGPGRCYSSGKHVWLSDAVNSESDYCFRSFMAKSAGIRTIVMVPTDAGVLELGSVWSLPENIGLVKSVQALFMRRVTQPVMVTSNTNMTGGIHKLFGQDLSGAHAYPKKLEVRRNLDERFTPQSWEGYNNNKGPTFGYTPQRDDVKVLENVNMVVDNNNYKTQIEFAGSSVAASSNPSTNTQQEKSESCTEKRPVSLLAGAGIVSVVDEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRSVVPNISKMDKASLLGDAISYIKELQEKVKIMEDERVGTDKSLSESNTITVEESPEVDIQAMNEEVVVRVISPLDSHPASRIIQAMRNSNVSLMEAKLSLAEDTMFHTFVIKSNNGSDPLTKEKLIAAFYPETSSTQPPLPSSSSQVSGDI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MARRNRGVTRRERPNLLITGTPGTGKSTTASALAEATNLRYICIGDLVKEKEFYHGWDNELECHFINEDSVIDELDDAMIEGGNIVDYHGCDFFPQRWFDRVVVLRTENSVLYDRLTNRGYSGTKLSNNLQCEMYQVLLEEAHDSYDEEIVTELQSNTIEDISNNVSTLTDWINAWQP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MELLLSGCEAIEGEPSNVLCHNLHTGTLVSTFRQSSPAKNATCTTLNHLLSAQHTRPQLNIHNFGKEILDQSIILPEILICVQSSPCGSWLAAGTEKGNLYIWSLKSGALIYFFRAHYQPLTILKFSNDGMVLFTASNDGDVFAWLISTLVDQNSTFETSNSSVKAISHFSGHKRSIVSMEIGPGPIVSGRLYTASEDNTIRIWDVSTGNLLTTIALPSTPSCMTVDPSERVVYVGNEKGIIWIPLYTGSSTFSNNVKEKKRVTSVDNTTIPNAIGGMGRVVDYNDSRESSIISCQSPITTLTVSFDASLLISGDKDGNVLVWDSVSRQVLRRLVQYYSPVSFLQCKVDKISFYSNSSLSFPVLKRMITNEYLNSDVRICIQDDGVEQLMQPENILKISSDIVTQGSESSWRAKAETSEMQLKEAKRLFYELKQIHQALWEKYLQK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRGQNRRGYRNIEGRLSLSSHSSHSSPRQTHVTNLRPAEIKVVQDVVDGCYVATDDVLNLSNFSKNTEFVERDMLMCLTKTRVMSVVLQHIGYKYPRISGISFSNNRLCHLDHLSSLSSISKFLKFLDLSHNQVLKVFVFLNFITFFSKISSGEELKKLGTIPVETVFFEGNPVCEKFVQCAEYANFIQKTFPKCSNLDGMEVEPKPDHNRIEQIIPFRNGYYGSDEVRTLVEEFIITYYKIYDGADGQQTRKQLLDAYDTNNSTFTHTVVCLWDPIKFVMYPDSESYRMYLRTSHNVLNQEYFAANRASRISHGAMDIVVALSRLPATIHLMDTFVVDVFLVSATLLGFTLHGTFRDGPSAIKPENTEEHDNYFTRTFMVAPRGEGKVAIVSDQLFISSMSKRRGDQYRMLVETATDIDQ", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSRRALRRLRGEQRGQEPLGPDALKFVLLDDDDAEEEGPKPGLGGRRPGGAGKEGVRVNNRFELINTEDLEDDLVVNGERSDCTLPDSVSSGNKGRAKHGNAETKQDGGATKAGSSEQSNASGKLRKKKKKQKNKKSCTGESSENGLEDIDRILERIEDSSGFSHPGPPPLSSRKHVLYVEHRHLNPDTELKRYFGARAVLGEQRPRQRQRVYPKCTWLTTPKSTWPRYSKPGLSMRLLESKKGLSFFAFDHNEEYQQAQHKFLVAVESMEPNNIVVLLQTSPYHVDSLLQLSDACRFQEDQEMARDLIERALYSMECAFHPLFSLTSGTCRLDYRRPENRSFYLTLYKQMSFLEKRGCPRTALEYCKLILSLEPDEDPLCMLLLIDHLALRARNYEYLIRLFQEWEAHRNLSQLPNFAFSVPLAYFLLSQQTDLPEHELSSARQQASLLIQQALTMFPGVLMPLLEYCSVRPDATVSNHRFFGPDAEISQPPALGQLVSLYLGRSHFLWKEPAIMSWLEENVHEVLQAVDAGDPAVEACENRRKVLYQRAPRNIHRHVILSEIKEAVAALPSDVTTQSVMGFDPLPPLDTIYSYVRPERLSPVSHGNTIALFFRSLLPNYTTEGERLEEGVAGGPNRNQGLNRLMLAVRDMMANFHFNDLEVPREDNPEGEGDWD", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MADPIMDLFDDPNLFGLDSLTDDSFNQVTQDPIEEALGLPSSLDSLDQMNQDGGSGDVGNSSASDLVPPPEETASTELPKESTAPAPESLTLHDYTTQPTSQEQPAQPVLQTSTPTSGLLQVSKSQEILSQGNPFMGVSATAVSPSNTGGQPSQSAPKIVILKAPPNSSVTGAHVAQIQAQGITSTAQPLVAGTANGGKVTFTKVLTGTPLRPGVSIVSGNTVLATKVPGNQAAVQRIVQPSRPVKQLVLQPVKGSAPAGNPGATGPPLKPAVTLTSTPAQGESKRITLVLQQPQSGGPQGHRHVVLGSLPGKIVLQGNQLAALTQAKSAQGQPAKVVTIQLQVQQPQQKIQIVPQPPSSQPQPQPPPSAQPLTLSSVQQAQIMGPGQNPGQRLSVPLKMVLQPQAGSSQGASSGLSVVKVLSASEVAALSSPASCAPHTAGKTGMEENRRLEHQKKQEKANRIVAEAIARARARGEQNIPRVLNEDELPSVRPEEEGEKKRRKKSSGERLKEEKPKKSKTAAASKTKGKSKLNTITPVVGKKRKRNTSSDNSDVEVMPAQSPREDEESSIQKRRSNRQVKRKKYTEDLDIKITDDEEEEEVDVTGPIKPEPILPEPVPEPDGETLPSMQFFVENPSEEDAAIVDKVLSMRVVKKELPSGQYTEAEEFFVKYKNYSYLHCEWATISQLEKDKRIHQKLKRFKTKMAQMRHFFHEDEEPFNPDYVEVDRILDESHSVDKDNGEPVIYYLVKWCSLPYEDSTWELKEDVDEGKIREFKRIQSRHPELKRVNRPQANAWKKLELSHEYKNRNQLREYQLEGVNWLLFNWYNRQNCILADEMGLGKTIQSIAFLQEVYNVGIHGPFLVIAPLSTITNWEREFNTWTEMNTIVYHGSLASRQMIQQYEMYCKDSRGRLIPGAYKFDALITTFEMILSDCPELREIEWRCVIIDEAHRLKNRNCKLLDSLKHMDLEHKVLLTGTPLQNTVEELFSLLHFLEPSQFPSESEFLKDFGDLKTEEQVQKLQAILKPMMLRRLKEDVEKNLAPKQETIIEVELTNIQKKYYRAILEKNFSFLSKGAGHTNMPNLLNTMMELRKCCNHPYLINGAEEKILMEFREACHIIPQDFHLQAMVRSAGKLVLIDKLLPKLKAGGHKVLIFSQMVRCLDILEDYLIQRRYLYERIDGRVRGNLRQAAIDRFSKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIGQSKAVKVYRLITRNSYEREMFDKASLKLGLDKAVLQSMSGRDGNITGIQQFSKKEIEDLLRKGAYAAIMEEDDEGSKFCEEDIDQILLRRTTTITIESEGKGSTFAKASFVASENRTDISLDDPNFWQKWAKKADLDMDLLNSKNNLVIDTPRVRKQTRHFSTLKDDDLVEFSDLESEDDERPRSRRHDRHHTYGRTDCFRVEKHLLVYGWGRWRDILSHGRFKRRMTERDVETICRAILVYCLLHYRGDENIKSFIWDLISPAENGKTKELQNHSGLSIPVPRGRKGKKVKSQSTFDIHKADWIRKYNPDTLFQDESYKKHLKHQCNKVLLRVRMLYYLRQEVIGDQAEKVLGGAIASEIDIWFPVVDQLEVPTTWWDSEADKSLLIGVFKHGYEKYNTMRADPALCFLEKAGRPDDKAIAAEHRVLDNFSDLVEGIDFDKDCEDPEYKPLQGPPKDPDDEGDPLMMMDEEISVIDGDEAPVTQQPGHLFWPPGSALTARLRRLVTAYQRSYKREQMKIEAAERGDRRRRRCEAAFKLKEIARREKQQRWTRREQTDFYRVVSTFGVEYDPDNMQFHWDRFRTFARLDKKTDESLTKYFHGFVAMCRQVCRLPPAAGDEPPDPNLFIEPITEERASRTLYRIELLRRLREQVLCHPLLEDRLALCQPPGLELPKWWEPVRHDGELLRGAARHGVSQTDCNIMQDPDFSFLAARMNYMQNHQAGASAASLSRCSTPLLHQQCTSRTASPSPLRPDVPAEKSPEENAVQVPSLDSLTLKLEDEVVARSRLTPQDYEIRVASSDTAPLSRSVPPVKLEDDDDSDSELDLSKLSPSSSSSSSSSSSSSSSDESEDEKEEKLTADRSRPKLYDEESLLSLTMSQDGFPNEDGEQMTPELLLLQERQRASEWPKDRVLINRIDLVCQAVLSGKWPSNRRSQEMTTGGILGPGNHLLDSPSLTPGEYGDSPVPTPRSSSAASMVEEEASAVTTAAAQFTKLRRGMDEKEFTVQIKDEEGLKLTFQKHRLMANGVMGDGHPLFHKKKGNRKKLVELEVECMEEPNHLDVDLETRIPVINKVDGTLLVGDEAPRRAELDMWLQGHPEFAVDPRFLAYMEERRKQKWQRCKKNNKTELNCLGMEPVQPANSRNGKKGHYAETAFNRVLPGPIAPENSKKRVRRTRPDLSKMMALMQGGSTGSLSLHNTFQHSSSNLQSVSSLGHSSATSASLPFMPFVMGGAAAPPHVDSSTMLHHHHHHPHPHHHHHHHPGLRTTGYPSSPATTTSGTALRLPTLQHEDDDEEEDEDDDDLSQGYDSSERDFSLIDDPMMPANSDSSDDADD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRSMKPPGFSSDLMDEHSVDLLNGSILAAENPSKREVAQDVPGFERKPTKVRKPRVKWTEKETNDLLRGCQIHGVGNWKKILLDERFHFTNRSPNDLKDRFRTILPEDYKKFYPNAKTHMGRPQKIPHTVGLSKSTRKERKQFTPEEDERLLEGFFLHGPCWTRISKDANLGLQNRRSTDLRDRFRNAFPERYAAAGFKLKNNPGNRSKYYQNNMVNDATTPNDSSTTEAAAAAVAAVAAVAASNPNASPQQTTEQPASDELLDWPHHNLPSQFFTSQRNPNYSTDSFLLGQSLSDPFNHTLQSFHPYESLFSAGQPPSLPISPSTSQNSVQPFPFSIQQPPLHLEPPLSSNTLNSSTLPQPNSTDFNTFPPLPSTPRISSEDIPWDNRG", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEFGKHEPGSSLKRNKNLEEGVTFEYSDHMTFSSESKQERVQRILDYPSEVSGRNSQQKEFNTKEPQGMQKGDLFKAEYVFIVDSDGEDEATCRQGEQGPPGGPGNIATRPKSLAISSSLASDVVRPKVRGADLKTSSHPEIPHGIAPQQKHGLALDEPARTESNSKASVLDLPVEHSSDSPSRPPQTMLGSETIKTPTTHPRAAGRETKYANLSSSSSTASESQLTKPGVIRPVPVKSKLLLRKDEEVYEPNPFSKYLEDNSGLFSEQ", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPEARDRIERQVDYPAAFLNRRSHGILLDEPATQHNLFGSPVQRVPSEATGGLGSIGQGSMTGRGGLVRGNFGIRRTGGGRRGQIQFRSPQGRENMSLGVTRRGRARASNSVLPSWYPRTPLRDISAVVRAIERRRARMGEGVGRDIETPTPQQLGVLDSLVPLSGAHLEHDYSMVTPGPSIGFKRPWPPSTAKVHQILLDITRENTGEEDALTPEKKLLNSIDKVEKVVMEEIQKMKSTPSAKRAEREKRVRTLMSMR", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSTFIRGPICGTDNCPSRLWRIIDGRRTCQYGHVMEGDVEFNDDEDDLNGLGAGVITRRLNLTTNATGSFQSSQLTNSQLLQQQQRQSHKKFKKLIGHEAKLLFLKSFQFILKRQIRWLITEMRFPKEFEHVAKIIWLKILKTINDQPQEELKLQLHMTSTISILYLASTHLSLPVYTCDYIKWICTAKMPYFQASEILPKSWRIQLPNYYVSILEGSISPFNGQLYNKIALTCGMIHFKEFFNSEISCQGLLLKLVMQCALPPEFYFYTKQVIEFEETDIRNLTLWERTDERHTGRVSNHAELRVLSYFMLTINWMLSFDRDRQYPLKWILSLTESLTQRTTTSESIGRNIVKVVYPDKPTSSDYFQWSEEETLEFLKWMEKQFLPTQTKSLHNENGSMEMTIDQKIARRKLYKIFPLDREANHDGEFNDSTHQLTFIEDLQERYAKQTPFFESNKIRDSLNYQEANPPARKEAIGRLLTHIASQLLVDFAISKEQLKDCISRIKNACLHRMN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNKDQAEKYQERSLRQKYNLLHVLPTLNSRALSGLYYKNFHNSVKRYQIMLPEQLKSGKFCSHCGCVYVPNFNASLQLTTNTEQGDSDELGGESMEGPKKCIQVNCLNCEKSKLFEWKSEFVVPTFGQDVSPMINSTSSGKVSYAVKKPQKSKTSTGKERSKKRKLNSLTNLLSKRNQEKKMEKKKSSSLSLESFMKS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSGYTGNNYSRYSSTPPRQRGGYHHARRSRGGAGGSYYRGGNASYGARYNSDYEQPPQEGDLRQTGAYYRNGYTDTRPYYSANSRHYQAQPSPRYNNGTNSYHLPQRGNSQDTNGRTTSASQEDNDEKRVKSRYRNMQADHPRQQPMSVGSTSSRNGSSGNSSTSSTSNGLPPPPSVSSITNNRSYHSSAYPYSSSHTYNNYHHRETPPPPPSNGYYAKGYPVHVPENRSNSDGSSSSVVKKKRILDMKDSPFIYLTDFDKNVKKTNNTESECEKAREVFKESDSIDSALEELNLKINSNELELRLLNNQCDKHALNIQLTQEKLDSLLLMQ", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVFFPEAMPLVTLSERMVPQVNTSPFAPAQSSSPLPSNSCREYSLPSHPSTHNSSVAYVDSQDNKPPLVSTLHFSLAPSLSPSSAQSHNTALITEPLTSFIGGTSQYPSASFSTSQHPSQVYNDGSTLNSNNTTQQLNNNNGFQPPPQNPGISKSRIAQYHQPSQTYDDTVDSSFYDWYKAGAQHNLAPPQSSHTEASQGYMYSTNTAHDATDIPSSFNFYNTQASTAPNPQEINYQWSHEYRPHTQYQNNLLRAQPNVNCENFPTTVPNYPFQQPSYNPNALVPSYTTLVSQLPPSPCLTVSSGPLSTASSIPSNCSCPSVKSSGPSYHAEQEVNVNSYNGGIPSTSYNDTPQQSVTGSYNSGETMSTYLNQTNTSGRSPNSMEATEQIGTIGTDGSMKRRKRRQPSNRKTSVPRSPGGKSFVCPECSKKFKRSEHLRRHIRSLHTSEKPFVCICGKRFSRRDNLRQHERLHVNASPRLACFFQPSGYYSSGAPGAPVQPQKPIEDLNKIPINQGMDSSQIENTNLMLSSQRPLSQQIVPEIAAYPNSIRPELLSKLPVQTPNQKMPLMNPMHQYQPYPSS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPTLWSPSTQHHGSSSGSESSPLQKSVRRAQMALSPCSSSILPCDDRDSQGTAEWDSPSTNEDSDFEDSLRRNVKKRAAKQPPKAVPAAKHRKKQSRIVSSGNGKNESVPSTNYLFDAVKAARSCMQSLVDEWLDNYKQDENAGFLELINFFIRACGCKSTVTPEMFKTMSNSEIIQHLTEEFNEDSGDYPLTAPGPSWKKFQGSFCEFVKTLVYQCQYSLLYDGFPMDDLISLLIGLSDSQVRAFRHTSTLAAMKLMTSLVKVALQLSLHKDNNQRQYEAERNKGPEQRAPERLESLLEKRKEFQENQEDIEGMMNAIFRGVFVHRYRDILPEIRAICIEEIGYWMQSYSTSFLNDSYLKYIGWTLHDKHKEVRLKCVKALAGLYSNQELSLRMELFTNRFKDRMVSMVMDRECEVAVEAIRLLTLILKNMEGVLTSADCEKIYSIVYISNRAMASSAGEFVYWKIFHPECGAKAVSDRERRRSPQAQKTFIYLLLAFFMESEHHNHAAYLVDSLWDCAGSYLKDWESLTNLLLQKDQNLGDMQERMLIEILVSSARQAAEGHPPVGRITGKKSLTAKERKLQAYDKMKLAEHLIPLLPQLLAKFSADAENVAPLLQLLSYFDLSIYCTQRLEKHLELLLQQLQEVVVKHVEPEVLEAAAHALYLLCKPEFTFFSRVDFARSQLVDFLTDRFQQELDDLMQSSFLDEDEVYSLTATLKRLSAFYNAHDLTRWEISEPCSRLLRKAVDTGEVPHQVILPALTLVYFSILWTVTHISESTSHKQLMSLKKRMVAFCELCQSCLSDVDPEIQEQAFVLLSDLLLIFSPQMIVGGRDFLRPLVFFPEATLQSELASFLMDHVFLQPGELGNGQSQEDHVQIELLHQRRRLLAGFCKLLLYGVLELDAASDVFKHYNKFYEDYGDIIKETLTRARQIDRCQCSRILLLSLKQLYTELIQEQGPQGLTELPAFIEMRDLARRFALSFGPQQLHNRDLVVMLHKEGIKFSLSELPPAGSSHEPPNLAFLELLSEFSPRLFHQDKRLLLSYLEKCLQRVSKAPNHPWGPVTTYCHSLHPLEITAEASPRGPPHSKKRCVEGPCRPQEEESSSQEESLQLNSGPTTPTLTSTAVKRKQSLRTVGKKQKGRPGPGPGPGPELICSQQLLGTQRLKMSSAPCFQIRCDPSGSGLGKQLTRLSLMEEDEEEELRLLDEEWQRGDKMLHSPSSPSEHGLDLLDTTELNMEDF", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEEKYLPELMAEKDSLDPSFTHALRLVNREIEKFQKGEAKDEEKYIDVVINKNMKLGQKVLIPVKQFPKFNFVGKLLGPRGNSLKRLQEETLTKMSILGKGSMRDKAKEEELRKSGEAKYFHLNDDLHVLIEVFAPPAEAYARMGHALEDIKKFLIPDYNDEIRQAQLQELTYLNGGSENADVPVVRGKSTLRTRGVTTPAITRGRGGVTARPVAVGVPRGTPTPRGVLSTRGPVSRGRGLLTPRARGVPPTGYRPPPPPPTQETYGEYDYDDGYSTAYDDQSYDSYDNSYSTPAQSGADYYDYGHGLGEEAYDSYGQEDWTNSRHKAPSARTAKGVYREQPYGRY", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDEEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHQLFVLEIWEDITEDFPLIDLVKYQSKPSTIYTSTKTDEALLLALQRNDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISLDKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKCVTPMGKHLLRTWFLRPIIDIDVINNRLNTISFFLCCEDVMSALRGTLKSVRDIPHMLKKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLVKDGLCEELDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFIPQLTKAVNFAAELDCILSLAIVARQNNYVRPILTEDSILEIQNGRHALQEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTSEQSTFMIDLHQVGTMLRHATSRSLCLLDEFGKGTLTEDGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGLHCAQLAGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSSQVVGIEPLYIKAEPASPDSPKGSSETETEPPVALAPGPAPTRCLPGHKEEEDGEGAGPGEQGGGKLVLSSLPKRLCLVCGDVASGYHYGVASCEACKAFFKRTIQGSIEYSCPASNECEITKRRRKACQACRFTKCLRVGMLKEGVRLDRVRGGRQKYKRRPEVDPLPFPGPFPAGPLAVAGGPRKTAAPVNALVSHLLVVEPEKLYAMPDPAGPDGHLPAVATLCDLFDREIVVTISWAKSIPGFSSLSLSDQMSVLQSVWMEVLVLGVAQRSLPLQDELAFAEDLVLDEEGARAAGLGELGAALLQLVRRLQALRLEREEYVLLKALALANSDSVHIEDAEAVEQLREALHEALLEYEAGRAGPGGGAERRRAGRLLLTLPLLRQTAGKVLAHFYGVKLEGKVPMHKLFLEMLEAMMD", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MARFFDSRTTIFSPEGRLYQVEYAMEAASQSGTCVGLLAKNGVLLATERSVDKLMDTSIPVPRISWLNENIACCATGNTADGNVLVNQLRMIAQQYQFNFGEMIPCEQLVTNLCDIKQAYTQYGGKRPFGVSFLYMGWDCRFGFQLYQSDPSGNYSGWKATCIGRKSGAAMEMLQKELFSKGYVSPSVEEAKDVAIKVMGMTLGRDSLTPEKLEIAFVQRYGNTTVFHILEKNEIHRLIERNNNLKRRVGS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRGMSYNITHECDAINILSDNLHEGAISEDMVALSGPAIELLENNVGSSKNSYQEDEGSSSIDENAPLISIKRKRRIRTVKSTSNKELVQRKASKPTKQKSVFTPLEQQYLELKKNYQETILAIEVGYKFRFFGKDAKIASEVLGISCYFEHNFLNASVPSYRIDYHLERLINFGLKVAVVRQTETAALKSTSSSRNTLFDRRVARVLTKGTTLDDSFFRFEQTQHGTLQASQFILCVADNVDKSKAKSGRVQVGLIAIQLSSGTTVYDHFQDDFLRSELQTRLSHFQPCELIYSNKLSSESVALLNHYVSTEKTCGRVVRVQHAVQQDVKLALENLQDFFSSKCIMSGSKIIELHMEKVKSLHSLSIICLDMAISYLMEFSLEDLFVASNFYQPFDSISSMVLSKQALEGLELFVNQTDHTPVGSLFWVLDRTYTRFGQRMLQRWLQKPLVDKENIIERLDAVEELAFNSNSQVQAIRKMLYRLPDLEKGLSRIYYQRGFYKAASAFSKNSYSCFKSALLRRLIQQLPSISSIIDHFLGMFDQKEAENNNKVDMFKDIDNFDLSEEPNDVDYELAQEIRELKMSILMVRTEMDFHLQELRDYLEYPNLEFSIWGNVKFCIEVSKGCKKIPPDWIKLSSTRSLFRFHTPKIQSLLIELSSHEENLTISSEKIYRSFLSRISEHYNELRNVTTVLGTLDCLISFARISSQSGYTRPEFSDKELLIHESRHPMIELLSDKSFVPNHIHLSSDGVRCLLITGPNMGGKSSFVKQLALSAIMAQSGCFVPAKSALLPIFDSILIRMGSSDNLSVNMSTFMVEMLETKEVLSKATEKSMVIIDELGRGTSTIDGEAISYAVLHYLNQYIKSYLLFVTHFPSLGILERRFEGQLRCFHMGYLKSKEDFETSVSQSISFLYKLVPGVASKSYGLNVARMAGIPFSILSRATEISENYEKKHRNARKNVFIRKVAKLLMILNAEEIDFKRLFYDLTAFEEI", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNNNIFSTTTTINDDYMLFPYNDHYSSQPLLPFSPSSSINDILIHSTSNTSNNHLDHHHQFQQPSPFSHFEFAPDCALLTSFHPENNGHDDNQTIPNDNHHPSLHFPLNNTIVEQPTEPSETINLIEDSQRISTSQDPKMKKAKKPSRTDRHSKIKTAKGTRDRRMRLSLDVAKELFGLQDMLGFDKASKTVEWLLTQAKPEIIKIATTLSHHGCFSSGDESHIRPVLGSMDTSSDLCELASMWTVDDRGSNTNTTETRGNKVDGRSMRGKRKRPEPRTPILKKLSKEERAKARERAKGRTMEKMMMKMKGRSQLVKVVEEDAHDHGEIIKNNNRSQVNRSSFEMTHCEDKIEELCKNDRFAVCNEFIMNKKDHISNESYDLVNYKPNSSFPVINHHRSQGAANSIEQHQFTDLHYSFGAKPRDLMHNYQNMY", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MENRALDPGTRDSYGATSHLPNKGALAKVKNNFKDLMSKLTEGQYVLCRWTDGLYYLGKIKRVSSSKQSCLVTFEDNSKYWVLWKDIQHAGVPGEEPKCNICLGKTSGPLNEILICGKCGLGYHQQCHIPIAGSADQPLLTPWFCRRCIFALAVRKGGALKKGAIARTLQAVKMVLSYQPEELEWDSPHRTNQQQCYCYCGGPGEWYLRMLQCYRCRQWFHEACTQCLNEPMMFGDRFYLFFCSVCNQGPEYIERLPLRWVDVVHLALYNLGVQSKKKYFDFEEILAFVNHHWELLQLGKLTSTPVTDRGPHLLNALNSYKSRFLCGKEIKKKKCIFRLRIRVPPNPPGKLLPDKGLLPNENSASSELRKRGKSKPGLLPHEFQQQKRRVYRRKRSKFLLEDAIPSSDFTSAWSTNHHLASIFDFTLDEIQSLKSASSGQTFFSDVDSTDAASTSGSASTSLSYDSRWTVGSRKRKLAAKAYMPLRAKRWAAELDGRCPSDSSAEGASVPERPDEGIDSHTFESISEDDSSLSHLKSSITNYFGAAGRLACGEKYQVLARRVTPEGKVQYLVEWEGTTPY", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTEDAEKRRYWLMKAEGEPRYVKGINVAFTFEMLEEITEDGKMESWSGVRNYEARNMIRDEIKIGDYAFLYCSNCKFPHIKGVMRICSNSHPDDSAWNSNDPYYDPKSTPQNPRWYSVGVQSEYKLDRPVTLRELKMHKENQLKSMELLNRSRLSISRVKPEEWKFIHELSKQPEPEEIIKARLQEEEKVAKRKRKLNLSDGENKAKSPYSSISENDASLDIIKRSRIKDVRSQKDNAL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNSESVDSDVAASTSNKGNELCSSSTDITSLSVSSPNESVIHSSHSASEADEYVCKLSYEGNRKKRIYNGSAEAGKEKKLQKQRAQEERIRQKEAERLKREKERQQREQEKKLREQEKIAAKKMKELEKLEKERIRLQEQQRRKEERDQKLREKEEAQRLRQEQILNKERQQLKLNNFFTKGVEKRIAPNENFVADKTDELNEFEKEFRPFFIKHQMSLSKYPSPNESDSFLDEVLSTSKSYPLKLNDIFTPSDAVSSANSLGVSNRNSENEVRQLMSAYQDPSVSKPQEILSCLSQIPIKFIFFYQDVRPPYFGSYTKTHSHGSNVLLNPWLEDEDIDYTYDSEAEWVADEEDDGEDLESEDEEVDNSDDIVEDGDNAFVDDEDDDKDSVNASNTHRSSGPLEVIVEGPVWDSKFLPDFNCLSLIEPISSFSASTYLQIDPKEDLWASQDTAPASSGMTIGPTSSLSDDLQVRFPSEDIPKFIEYVRNSHDNKVFLIENLRHMFPYVTKNIISETLGKVAVRKGKSVSDGWIIKENFASLLSS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTNMAGTATTEFRWKSPPQPPSQEQPISDSDSDSGSDSENHQHRHNDLSNSIFEAYLDCHSSSSPSSIDLAKIQSFLASSSSGAVSCLICLERIKRTDPTWSCTSSCFAVFHLFCIQSWARQCLDLQAARAVTRPSSNPTEPEAVWNCPKCRSSYQKSKIPRRYLCYCGKEEDPPADNPWILPHSCGEVCERPLSNNCGHCCLLLCHPGPCASCPKLVKAKCFCGGVEDVRRCGHKQFSCGDVCERVLDCNIHNCREICHDGECPPCRERAVYKCSCGKVKEEKDCCERVFRCEASCENMLNCGKHVCERGCHAGECGLCPYQGKRSCPCGKRFYQGLSCDVVAPLCGGTCDKVLGCGYHRCPERCHRGPCLETCRIVVTKSCRCGVTKKQVPCHQELACERKCQRVRDCARHACRRRCCDGECPPCSEICGKKLRCRNHKCQSPCHQGPCAPCPIMVTISCACGETHFEVPCGTETNQKPPRCRKLCHITPLCRHGQNQKPHKCHYGACPPCRLLCDEEYPCGHKCKLRCHGPRPPPNREFILKPTKKMLHIQAESTPGSPCPRCPEPVWRPCVGHHLAAEKRMICSDRTQFACDNLCGNPLPCGNHYCSYFCHALDIRSSSLDKRSESCEKCDLRCQKERTPRCQHPCPRRCHPEDCPPCKTLVKRSCHCGAMVHAFECIYYNTMSEKDQMKARSCRGPCHRKLPNCTHLCPEICHPGQCPLPEKCGKKVVVRCKCLTLKKEWVCQDVQAAHRATGSDPKEVPKNQFGVGLLPCDSNCKSKLQVAESVLTQRNVKEIEEKEEPSGKNASKRRKRRGRGQDIQETTRLQKLAVTTKRILMVVMLVAMLAAVSYYGYKGLLWLSDWMNEVEEQRQKSRRYPRI", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MHTGGETSACKPSSVRLAPSFSFHAAGLQMAAQMPHSHQYSDRRQPNISDQQVSALSYSDQIQQPLTNQVMPDIVMLQRRMPQTFRDPATAPLRKLSVDLIKTYKHINEVYYAKKKRRHQQGQGDDSSHKKERKVYNDGYDDDNYDYIVKNGEKWMDRYEIDSLIGKGSFGQVVKAYDRVEQEWVAIKIIKNKKAFLNQAQIEVRLLELMNKHDTEMKYYIVHLKRHFMFRNHLCLVFEMLSYNLYDLLRNTNFRGVSLNLTRKFAQQMCTALLFLATPELSIIHCDLKPENILLCNPKRSAIKIVDFGSSCQLGQRIYQYIQSRFYRSPEVLLGMPYDLAIDMWSLGCILVEMHTGEPLFSGANEVDQMNKIVEVLGIPPAHILDQAPKARKFFEKLPDGTWSLKKTKDGKREYKPPGTRKLHNILGVETGGPGGRRAGESGHTVADYLKFKDLILRMLDYDPKTRIQPYYALQHSFFKKTADEGTNTSNSVSTSPAMEQSQSSGTTSSTSSSSGGSSGTSNSGRARSDPTHQHRHSGGHFAAAVQAMDCETHSPQVRQQFPAPLGWSGTEAPTQVTVETHPVQETTFHVAPQQNALHHHHGNSSHHHHHHHHHHHHHGQQALGNRTRPRVYNSPTNSSSTQDSMEVGHSHHSMTSLSSSTTSSSTSSSSTGNQGNQAYQNRPVAANTLDFGQNGAMDVNLTVYSNPRQETGIAGHPTYQFSANTGPAHYMTEGHLTMRQGADREESPMTGVCVQQSPVASS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "GYKHQCRDRCYGTCGTSCKYGASRPSCACALHGGYCCVARPYHYPYPHPRPVPLPAPAPRPAPHYPVHHPKWPHWRPHYKA", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKSLNDLPAPKSTTTTYYDHSNDAWFKNRVTESETVKSSSIKFKVVPAYLNRQGLRPKNPEDFGDGGAFPEIHLPQYPLLMGKNKSNKPGAKTLPVTVDAQGNVVFDAIVRQNENSRKIVYSQHKDIIPKFLKNEGDLGTVVDEEEELQKEIQETAEETKAAIEKIVNVRLSAAQPSNIARQSGDSQYIKYKPSQQSSAFNSGAKERIIRMVEMPVDPLDPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQDVQINDNFAKLSEALYVAEQKAREAVSMRSKVQKEMVMKDKERKEQELRALAQKARSERTGAAMSMPVSSDRGRSESVDPRGDYDNYDQDRGREREREEPQETREEREKRIQREKIREERRRERERERRLDAKDAAMGKKSKITRDRDRDISEKVALGMASTGGKGGGEVMYDQRLFNQDKGMDSGFAADDQYNLYDKGLFTAQPTLSTLYKPKKDNDEEMYGNADEQLDKIKNTERFKPDKAFTGASERVGSKRDRPVEFEKEEEQDPFGLEKWVSDLKKGKKPLDKIGSGGTMRASGGGGSSSRDDDHGGSGRTKINFERSDRR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEPDGTYEPGFVGIRFCQECNNMLYPKEDKENRILLYACRNCDYQQEADNSCIYVNKITHEVDELTQIIADVSQDPTLPRTEDHPCQKCGHKEAVFFQSHSARAEDAMRLYYVCTAPHCGHRWTE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGRSGKLPSGVSAKLKRWKKGHSSDSNPAICRHRQAARSRFFSRPSGRSDLTVDAVKLHNELQSGSLRLGKSEAPETPMEEEAELVLTEKSSGTFLSGLSDCTNVTFSKVQRFWESNSAAHKEICAVLAAVTEVIRSQGGKETETEYFAALMTTMEAVESPESLAAVAYLLNLVLKRVPSPVLIKKFSDTSKAFMDIMSAQASSGSTSVLRWVLSCLATLLRKQDLEAWGYPVTLQVYHGLLSFTVHPKPKIRKAAQHGVCSVLKGSEFMFEKAPAHHPAAISTAKFCIQEIEKSGGSKEATTTLHMLTLLKDLLPCFPEGLVKSCSETLLRVMTLSHVLVTACAMQAFHSLFHARPGLSTLSAELNAQIITALYDYVPSENDLQPLLAWLKVMEKAHINLVRLQWDLGLGHLPRFFGTAVTCLLSPHSQVLTAATQSLKEILKECVAPHMADIGSVTSSASGPAQSVAKMFRAVEEGLTYKFHAAWSSVLQLLCVFFEACGRQAHPVMRKCLQSLCDLRLSPHFPHTAALDQAVGAAVTSMGPEVVLQAVPLEIDGSEETLDFPRSWLLPVIRDHVQETRLGFFTTYFLPLANTLKSKAMDLAQAGSTVESKIYDTLQWQMWTLLPGFCTRPTDVAISFKGLARTLGMAISERPDLRVTVCQALRTLITKGCQAEADRAEVSRFAKNFLPILFNLYGQPVAAGDTPAPRRAVLETIRTYLTITDTQLVNSLLEKASEKVLDPASSDFTRLSVLDLVVALAPCADEAAISKLYSTIRPYLESKAHGVQKKAYRVLEEVCASPQGPGALFVQSHLEDLKKTLLDSLRSTSSPAKRPRLKCLLHIVRKLSAEHKEFITALIPEVILCTKEVSVGARKNAFALLVEMGHAFLRFGSNQEEALQCYLVLIYPGLVGAVTMVSCSILALTHLLFEFKGLMGTSTVEQLLENVCLLLASRTRDVVKSALGFIKVAVTVMDVAHLAKHVQLVMEAIGKLSDDMRRHFRMKLRNLFTKFIRKFGFELVKRLLPEEYHRVLVNIRKAEARAKRHRALSQAAVEEEEEEEEEEEPAQGKGDSIEEILADSEDEEDNEEEERSRGKEQRKLARQRSRAWLKEGGGDEPLNFLDPKVAQRVLATQPGPGRGRKKDHGFKVSADGRLIIREEADGNKMEEEEGAKGEDEEMADPMEDVIIRNKKHQKLKHQKEAEEEELEIPPQYQAGGSGIHRPVAKKAMPGAEYKAKKAKGDVKKKGRPDPYAYIPLNRSKLNRRKKMKLQGQFKGLVKAARRGSQVGHKNRRKDRRP", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEPNSLQWVGSPCGLHGPYIFYKAFQFHLEGKPRILSLGDFFFVRCTPKDPICIAELQLLWEERTSRQLLSSSKLYFLPEDTPQGRNSDHGEDEVIAVSEKVIVKLEDLVKWAHSDFSKWRCGLRATPVKTEAFGRNGQKEALLRYRQSTLNSGLNFKDVLKEKADLGEDEEETNVIVLSYPQYCRYRSMLKRIQDKPSSILTDQFALALGGIAVVSRNPQILYCRDTFDHPTLIENESVCDEFAPNLKGRPRKKKTCPQRRDSFSGSKDPNNNCDGKVISKVKGEARSALTKPKNNHNNCKKTSNEEKPKLSIGEECRADEQAFLVALYKYMKERKTPIERIPYLGFKQINLWTMFQAAQKLGGYETITARRQWKHIYDELGGNPGSTSAATCTRRHYERLILPYERFIKGEEDKPLPPIKPRKQENNTQENENKTKVSGNKRIKQEMAKNKKEKENTPKPQDTSEVSSEQRKEEETLNHKSAPEPLPAPEVKGKPEGHKDLGARAPVSRADPEKANETDQGSNSEKEAEEMGDKGLAPLLPSPPLPPEKDSAPTPGAGKQPLASPSTQMDSKQEAKPCCFTESPEKDLQGAPFSSFSATKPPLTSQNEAEEEQLPATANYIANCTVKVDQLGSDDIHTALKQTPKVLVVQSFDMFKDKDLTGPMNENHGLNYTPLLYSRGNPGIMSPLAKKKLLSQVSGASLSSSYPYGSPPPLISKKKLIAREDLCSGLSQGHHSQSSDHTAVSRPSVIQHVQSFKNKASEDRKSINDIFKHDKLSRSDAHRCGFSKHQLGSLADSYILKQETQEGKDKLLEKRAVSHAHVPSFLADFYSSPHLHSLYRHTEHHLHNEQSSKYAARDAYQESENGAFLSHKHPEKIHVNYLASLHLQDKKVAAAEASTDDQPTDLSLPKNPHKLTSKVLGLAHSTSGSQEIKGASQFQVVSNQSRDCHPKACRVSPMTMSGPKKYPESLARSGKPHQVRLENFRKMEGMVHPILHRKMSPQNIGAARPIKRSLEDLDLVIAGKKARAVSPLDPAKEASGKEKASEQESEGNKGAYGGHSGAASEGHKLPLSTPIFPGLYSGSLCNSGLNSRLPAGYSHSLQYLKNQTVLSPLMQPLAFHSLVMQRGIFTSPTNSQQLYRHLAAATPVGSSYGDLLHNSIYPLAGINPQAAFPSSQLSSVHPSTKL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEEPQAGDAARFSCPPNFTAKPPASESPRFSLEALTGPDTELWLIQAPADFAPECFNGRHVPLSGSQIVKGKLAGKRHRYRVLSSCPQAGEATLLAPSTEAGGGLTCASAPQGTLRILEGPQQSLSGSPLQPIPASPPPQIPPGLRPRFCAFGGNPPVTGPRSALAPNLLTSGKKKKEMQVTEAPVTQEAVNGHGALEVDMALGSPEMDVRKKKKKKNQQLKEPEAAGPVGTEPTVETLEPLGVLFPSTTKKRKKPKGKETFEPEDKTVKQEQINTEPLEDTVLSPTKKRKRQKGTEGMEPEEGVTVESQPQVKVEPLEEAIPLPPTKKRKKEKGQMAMMEPGTEAMEPVEPEMKPLESPGGTMAPQQPEGAKPQAQAALAAPKKKTKKEKQQDATVEPETEVVGPELPDDLEPQAAPTSTKKKKKKKERGHTVTEPIQPLEPELPGEGQPEARATPGSTKKRKKQSQESRMPETVPQEEMPGPPLNSESGEEAPTGRDKKRKQQQQQPV", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNCAISGEVPVEPVVSTKSGLLFERRLIERHISDYGKCPVTGEPLTIDDIVPIKTGEIIKPKTLHTASIPGLLGTFQNEWDGLMLSNFALEQQLHTARQELSHALYQHDSACRVIARLKKERDEARQLLAEVERHIPAAPEAVTANAALSNGKRAAVDEELGPDAKKLCPGISAEIITELTDCNAALSQKRKKRQIPQTLASIDTLERFTQLSSHPLHKTNKPGICSMDILHSKDVIATGGVDATAVLFDRPSGQILSTLTGHSKKVTSVKFVGDSDLVLTASADKTVRIWRNPGDGNYACGYTLNDHSAEVRAVTVHPTNKYFVSASLDGTWCFYDLSSGSCLAQVSDDSKNVDYTAAAFHPDGLILGTGTSQSVVKIWDVKSQANVAKFDGHTGEVTAISFSENGYFLATAAEDGVRLWDLRKLRNFKSFLSADANSVEFDPSGSYLGIAASDIKVYQTASVKAEWNLIKTLPDLSGTGKATCVKFGSDAQYVAVGSMDRNLRIFGLPGDEKANVDDDSAQDS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEDSPQGLKRSRDPDQDQDDDSGEAGKADGSGGENQERSSDQIELDDDDFQETRPKPKRSRTHPPQQNLIEVVKGNGDLISKAVKIWVERYEDSPSLATTELLSMLFQACGAKYSIKDDLLDETDVDDVVVSLVNLARAGELEDYQSSRKKELKNFKENLVSFWNNLIIECQNGPLFDRVLFDKCMDYIIALSCTPPRVYRQTATLMGLQLVTSFISVANTLGSQRETTQRQLNAESKKRADGPRVDSLNKRLSVTHEQITTLEDMMRKIFTGLFVHRYRDIDNDIRMSCIQSLGIWILSYPSLFLQDLYLKYLGWTLNDKNAGVRKASLLALQKLYEMDENVPTLGLFTQRFSNRMIEMADDVDMSAAVCAIGLVKQLLRHQLIPDDDLGPLYDLLIDQPQEIRRAIGELVYDHLIAQKFNSSPSSLTGHDDSSSEIHIFRMLQILREFSTDPILCVYVIDDVWEYMKAMKDWKCIISMLLDQNPRTGSTTDEDSTNLIRLLFVSIRKAVGEKIIPSTDNRKQYHSKAQREIFENNRKDITVAMMKNYPQLLRKFMADKAKVSSLVEIIIFMKLELYSLKRQEQSFKAAVRLIKDAFFKHGEKEALRSCVKAITFCASESKGELQDFSRGKLKDLEDELLDKITSAIREVKDGNDEYSLLVNLKRLYELQLSKPVLVESMFDEIALTLHNFRNLDEEVICFLLLNMHMYLAWYLHSIINCEAISEASLSSLISKRDTLFEELSYFLNGIEESKKYGNQLSNRICAILAETWCLFRKSNYDSGKLERLGYCPDSVFLEKFWKLCAEMFNTSDETDEEDENKEYIEETNRDVSVIAACKLVASDVVPKDYLGPEIISHLGMHGPGVTGIIKNLITFLRKKEDDISNIYLESLKRAYHRYSSELSSGREESRVDKCLEEWRELAGGLSGMYIGAARNKYRLEILSVVKEGVEFAFRDAPKQLLFLEVAILPFATRLSVSDIIDIKKDVQGRIVHVNTDEDPSGWRPCFTFLETLEEKCLKNEDLQDDKEAANVRRRGRPRKRPETERKRLFDEQSGSDEDESISGGSDREDKLDEDAPLIETIRSAARRKALKGERSKGH", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEEELRVRLNDHQVSKVFPVKPKSTAKPVSESETPESRYWSSFKNHSTPNLVSSVAALAFSPVHPHSLAVAHSATVSLFSSQSLSSSRRFSFRDVVSSVCFRSDGALFAACDLSGVVQVFDIKERMALRTLRSHSAPARFVKYPVQDKLHLVSGGDDGVVKYWDVAGATVISDLLGHKDYVRCGDCSPVNDSMLVTGSYDHTVKVWDARVHTSNWIAEINHGLPVEDVVYLPSGGLIATAGGNSVKVWDLIGGGKMVCSMESHNKTVTSLRVARMESAESRLVSVALDGYMKVFDYGRAKVTYSMRFPAPLMSLGLSPDGSTRVIGGSNGMVFAGKKKVRDVVGGQKKSLNLWSLISDVDESRRRALRPTYFRYFQRGQSEKPSKDDYLVKEKKGLKLTRHDKLLKKFRHKEALVSVLEEKKPANVVAVMEELVARRKLMKCVSNMEEGELGMLLGFLQRYCTVQRYSGLLMGLTKKVLETRAEDIKGKNEFKGLLRNLKREVNQEIRIQQSLLEIQGVIAPLMRIAGRS", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAAVPQNNLQEQLERHSARTLNNKLSLSKPKFSGFTFKKKTSSDNNVSVTNVSVAKTPVLRNKDVNVTEDFSFSEPLPNTTNQQRVKDFFKNAPAGQETQRGGSKSLLPDFLQTPKEVVCTTQNTPTVKKSRDTALKKLEFSSSPDSLSTINDWDDMDDFDTSETSKSFVTPPQSHFVRVSTAQKSKKGKRNFFKAQLYTTNTVKTDLPPPSSESEQIDLTEEQKDDSEWLSSDVICIDDGPIAEVHINEDAQESDSLKTHLEDERDNSEKKKNLEEAELHSTEKVPCIEFDDDDYDTDFVPPSPEEIISASSSSSKCLSTLKDLDTSDRKEDVLSTSKDLLSKPEKMSMQELNPETSTDCDARQISLQQQLIHVMEHICKLIDTIPDDKLKLLDCGNELLQQRNIRRKLLTEVDFNKSDASLLGSLWRYRPDSLDGPMEGDSCPTGNSMKELNFSHLPSNSVSPGDCLLTTTLGKTGFSATRKNLFERPLFNTHLQKSFVSSNWAETPRLGKKNESSYFPGNVLTSTAVKDQNKHTASINDLERETQPSYDIDNFDIDDFDDDDDWEDIMHNLAASKSSTAAYQPIKEGRPIKSVSERLSSAKTDCLPVSSTAQNINFSESIQNYTDKSAQNLASRNLKHERFQSLSFPHTKEMMKIFHKKFGLHNFRTNQLEAINAALLGEDCFILMPTGGGKSLCYQLPACVSPGVTVVISPLRSLIVDQVQKLTSLDIPATYLTGDKTDSEATNIYLQLSKKDPIIKLLYVTPEKICASNRLISTLENLYERKLLARFVIDEAHCVSQWGHDFRQDYKRMNMLRQKFPSVPVMALTATANPRVQKDILTQLKILRPQVFSMSFNRHNLKYYVLPKKPKKVAFDCLEWIRKHHPYDSGIIYCLSRRECDTMADTLQRDGLAALAYHAGLSDSARDEVQQKWINQDGCQVICATIAFGMGIDKPDVRFVIHASLPKSVEGYYQESGRAGRDGEISHCLLFYTYHDVTRLKRLIMMEKDGNHHTRETHFNNLYSMVHYCENITECRRIQLLAYFGENGFNPDFCKKHPDVSCDNCCKTKDYKTRDVTDDVKSIVRFVQEHSSSQGMRNIKHVGPSGRFTMNMLVDIFLGSKSAKIQSGIFGKGSAYSRHNAERLFKKLILDKILDEDLYINANDQAIAYVMLGNKAQTVLNGNLKVDFMETENSSSVKKQKALVAKVSQREEMVKKCLGELTEVCKSLGKVFGVHYFNIFNTVTLKKLAESLSSDPEVLLQIDGVTEDKLEKYGAEVISVLQKYSEWTSPAEDSSPGISLSSSRGPGRSAAEELDEEIPVSSHYFASKTRNERKRKKMPASQRSKRRKTASSGSKAKGGSATCRKISSKTKSSSIIGSSSASHTSQATSGANSKLGIMAPPKPINRPFLKPSYAFS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTDGHHFNNILLGGRGGTNPGQFKVHSGGLAWKRQGGGKTIEIDKADVTAVTWMKVPRAYQLGVRIKAGLFYRFIGFREQDVSNLTNFIQKNMGVTPDEKQLSVSGQNWGGIDIDGNMLTFMVGSKQAFEVSLPDVAQTQMQGKTDVLLELHVDDTTGANEKDSLMDLSFHVPTSNTQFVGDESRPPAHILWETILKFADVGSSEEPVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSIVRLFLLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFETEAVVERDLALSKELLVEKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFINGKNIKIMNLGGDGQGASGVVTDVLRDTDDDAVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPTDDSGDEESDASDSGGEKEKSSKKEASSSKPVQKRKHKARDDEGQEKKKPKKKKDPNAPKRAMTPFMYFSMAERGNMKSSNPDLPTTEIAKKLGEMWQKMSGEEKQPYIQQAQVDKKRYEKESAVYRGEATVDVDSGNESD", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGTSSGSNHPHQMLPPRQQQRSGGGLETALSLVSSDQEPRRESPAESASSQETWPLGDTVAGKKSMSQKTEPDSMEQTVNVMHHVSNADKVSVRDIARERVELVAERMHRLPDEFLDELKNGLKSILEGNVAQSVDEFMFLQKVVQSRTDLSSVTLVRAHRVQLEILVAINTGIQAFLHPNISLSQPSLIEIFVYKRCRNIACQNQLPADDCYCDICTNRKGFCNLCMCTICNKFDFSVNTCRWIGCDLCSHWTHTDCAIRDGQITTGSSAKNNTSGPGEIVFKCRACNRTSELLGWVKDVFQHCAPNWDRESLMKELDFVSRIFRGSEDQRGRKLFWKCEELIDKIKGGLAEATAAKLILMFFQEIESDSAKSFENGEGGRLMAPQDACNRIAEVVQETLRKMEIVAEEKMRMFKKARMALETCDRELEDKAKEVSELKAERQKKKLQIDELERIVRLKQAEADMFQLKANEAKREADRLQRIVLAKMDKSEEEYASNYLKQRLSEAEAEKQYLFEKIKLQENSRVASQSSGGGGDPSQVMMYSKIRDLLQGYNLSPKVDPQLNERNPFRSNP", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDEESLESALQTYRAQLQQVELALGAGLDSSEQADLRQLQGDLKELIELTEASLVSVRKSSLLAALDEERPGRQEDAEYQAFREAITEAVEAPAAARGSGSETVPKAEAGPESAAGGQEEEEGEDEEELSGTKVSAPYYSSWGTLEYHNAMVVGTEEAEDGSAGVRVLYLYPTHKSLKPCPFFLEGKCRFKENCRFSHGQVVSLDELRPFQDPDLSSLQAGSACLAKHQDGLWHAARITDVDNGYYTVKFDSLLLREAVVEGDGILPPLRTEATESDSDSDGTGDSSYARVVGSDAVDSAQSSALCPSLAVVGSDAVDSGTCSSAFAGWEVHTRGIGSRLLTKMGYEFGKGLGRHAEGRVEPIHAVVLPRGKSLDQCVETLQKQTRVGKAGTNKPPRCRGRGARPGGRPAPRNVFDFLNEKLQGQAPGALEAGAAPAGRRSKDMYHASKSAKRALSLRLFQTEEKIERTQRDIRSIQEALARNAGRHSVASAQLQEKLAGAQRQLGQLRAQEAGLQQEQRKADTHKKMTEF", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGYEFGKGLGRHAEGRVEPIHAVVLPRGKSLDQCVETLQKQTRVGKAGTNKPPRCRGRGARPGGRPAPRNVFDFLNEKLQGQAPGALEAGAAPAGRRSKDMYHASKSAKRALSLRLFQTEEKIERTQRDIRSIQEALARNAGRHSVASAQLQEKLAGAQRQLGQLRAQEAGLQQEQRKADTHKKMTEF", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEANWTAFLFQAHEASHHQQQAAQNSLLPLLSSAVEPPDQKPLLPIPITQKPQGAPETLKDAIGIKKEKPKTSFVCTYCSKAFRDSYHLRRHESCHTGIKLVSRPKKTPTTVVPLISTIAGDSSRTSLVSTIAGILSTVTTSSSGTNPSSSASTTAMPVTQSVKKPSKPVKKNHACEMCGKAFRDVYHLNRHKLSHSDEKPFECPICNQRFKRKDRMTYHVRSHEGGITKPYTCSVCGKGFSRPDHLSCHVKHVHSTERPFKCQTCTAAFATKDRLRTHMVRHEGKVSCNICGKLLSAAYITSHLKTHGQSQSINCNTCKQGISKTCMSEETSNQKQQQQQQQQQQQQQQQQQQHVTSWPGKQVETLRLWEEAVKARKKEAANLCQTSTAATTPVTLTTPFSITSSVSSGTMSNPVTVAAAMSMRSPVNVSSAVNITSPMNIGHPVTITSPLSMTSPLTLTTPVNLPTPVTAPVNIAHPVTITSPMNLPTPMTLAAPLNIAMRPVESMPFLPQALPTSPPW", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MFDWEEEELTNMIWGDDAETGDHIVPFKVRSEQLNKKEQIEESKTAEQKITGTKIDLHDKNLGSSSSHNVDEGLPQPDFCMSSWPDTSLTNATKVDQDLSATELSKCLAEPVRYDSTRGGAFLLKQSCFTWVRSFQSNHFKSCVLTLFLPEKTSELGKGPDIFHSSDESKEQGDFDDYSWANIGSFDDLDRMFSDGFAAMMSLYLVMAVSAVVMSYGHLLKITEFEQQENQQFPLTGKANGLSSQSVPSVRVTLKADQYREHKGQPSVEDQPYQQNKMMKFSKMPGTSEARPFQELYGQRIPFSNSAGKCVNQLAPPQSSLMAVNLLSESEGSGTSHYSHMPNQYMANSAFGNLANPYSSVPVISAVQHPDVRNQLMHPSYNPATATSVNMATDASARPSTMTPQEKLEKLRRRQQMQAMLAIQRQQQQFSHQVPVADQSITQNCLQDIPLQLVDKTNLQGLTAMPSFDPSSSLEQDDSGKFAAAVDNSAEFAVLYRLQDVVAKLDMGTRTCIRDSLFRLAGSAAQRHYTSDTSHSNKTSQDDQEVIPREESRYRYAGMPDTEAVTNPTDRTVAHLLFHRPFDMLAAKRMEGPESPASSKMGTEEKGNFPKCSIRETHLTKQKAQKEEGPADSLALGNAPNSGSSSTVGERVVEASQGNKRKL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPLPFEEFQGKGISCFSSFSSSFPQPPSSPLLSHRKARGGEEEEEEVPAAEPTSVLDSLISPTSSSTVSSSHGGNSAVGGGGDATTDEQCGAIGLGDWEEQVPHDHEQSILGLIMGDSTDPSLELNSILQTSPTFHDSDYSSPGFGVVDTGFGLDHHSVPPSHVSGLLINQSQTHYTQNPAAIFYGHHHHTPPPAKRLNPGPVGITEQLVKAAEVIESDTCLAQGILARLNQQLSSPVGKPLERAAFYFKEALNNLLHNVSQTLNPYSLIFKIAAYKSFSEISPVLQFANFTSNQALLESFHGFHRLHIIDFDIGYGGQWASLMQELVLRDNAAPLSLKITVFASPANHDQLELGFTQDNLKHFASEINISLDIQVLSLDLLGSISWPNSSEKEAVAVNISAASFSHLPLVLRFVKHLSPTIIVCSDRGCERTDLPFSQQLAHSLHSHTALFESLDAVNANLDAMQKIERFLIQPEIEKLVLDRSRPIERPMMTWQAMFLQMGFSPVTHSNFTESQAECLVQRTPVRGFHVEKKHNSLLLCWQRTELVGVSAWRCRSS", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPRVVLNGVTVDFPFQPYPCQQEYMTKVLECLQKKVNGILESPTGTGKTLCLLCSTLAWQQHLRDAVSSLKIAERVQGELFASRTLSSWGSAAAASGDSIECYTDIPKIIYASRTHSQLTQVIRELRNTAYRPKVCVLGSREQLCIHPEVKKQESNHMQISLCRKKVASRSCHFYNNVEAKFLEQDLATPILDIEDLVKNGSKQKMCPYYLSRNMKQQADIIFMPYNYLLDAKSRKAHSIDLKGTVVIFDEAHNVEKICEESASFDLTPRDVASGLEIINQVLEEQARVTQQGELQQEFIVDTSSSGLNMELEDIAKLKMILLRLEEAIDAVQLPGDDRGVTKPGSYIFELFAEAQITFQTKGCILESLDQIIQHLAGRTGVFTNTAGLQKLMDIIQIVFSVDPPEGSPGSLVGLGISHSYKVHIHPETSHRRAAKRSDAWSTTASRKQGKVLSYWCFSPSQSMRELVCQGVRTLILTSGTLAPLSSFALEMQIPFPVCLENPHIIDKNQLWVGIVPRGPDGVQLSSAYDKRFSEECLSSLGKALSNIARVVPHGLLVFFPSYPVMEKSLEFWQVQGLARKVEALKPLFVEPRNKGSFSEVIDAYYQQVASPASNGATFLAVCRGKASEGLDFSDMNGRGVIVTGLPYPPRMDPRVVLKMQFLDEMRGRSGVGGQCLSGQEWYQQQASRAVNQAIGRVIRHRHDYGAIFLCDHRFAYADARAQLPSWVRPYLKVYDNFGHVIRDVAQFFRVAQKTMPLPVPQAVTSSVSEGEIALKDATLSSYSLSTRKAMSLDVHVPSLRQKPIGLPAAGDSESSLCGEYEQQTFSAQQRPMGLLAALEYNEQKAGASEEQALGSSTPSLRCEKRLSTEQKGGRKKVRLVNHPEEPMAGTQAGRAKMFMVAVKQALSQANFDTFTQALQHYKSSDDFEALVASLTCLFAEDPKKHTLLKGFYQFVRPHHKQQFEDICFQLTGQRCGYQPGKRELESKLTLSEGVDRQLDPGQHLNQGQPHLSAHPTSKGHTSHCTKVGCAVEKPGQPAVSDYLSDVHKALGSASCNQLTAALRAYKQDDDLDKVVAVVAALTTAKPEHLPLLQRFGMFVRRHHKPQFLQTCADLMGLPTTGKDLELEGPRDESPTVPPELTHEDLKPGPSMSKKPEKTQSKISSFFRQRPDESVRSDDTTPKPMQLPPRLPHELMKPHRSKQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRCLAPRPAGSYLSEPQGSSQCATMELGPLEGGYLELLNSDADPLCLYHFYDQMDLAGEEEIELYSEPDTDTINCDQFSRLLCDMEGDEETREAYANIAELDQYVFQDSQLEGLSKDIFKHIGPDEVIGESMEMPAEVGQKSQKRPFPEELPADLKHWKPAEPPTVVTGSLLVRPVSDCSTLPCLPLPALFNQEPASGQMRLEKTDQIPMPFSSSSLSCLNLPEGPIQFVPTISTLPHGLWQISEAGTGVSSIFIYHGEVPQASQVPPPSGFTVHGLPTSPDRPGSTSPFAPSATDLPSMPEPALTSRANMTEHKTSPTQCPAAGEVSNKLPKWPEPVEQFYRSLQDTYGAEPAGPDGILVEVDLVQARLERSSSKSLERELATPDWAERQLAQGGLAEVLLAAKEHRRPRETRVIAVLGKAGQGKSYWAGAVSRAWACGRLPQYDFVFSVPCHCLNRPGDAYGLQDLLFSLGPQPLVAADEVFSHILKRPDRVLLILDGFEELEAQDGFLHSTCGPAPAEPCSLRGLLAGLFQKKLLRGCTLLLTARPRGRLVQSLSKADALFELSGFSMEQAQAYVMRYFESSGMTEHQDRALTLLRDRPLLLSHSHSPTLCRAVCQLSEALLELGEDAKLPSTLTGLYVGLLGRAALDSPPGALAELAKLAWELGRRHQSTLQEDQFPSADVRTWAMAKGLVQHPPRAAESELAFPSFLLQCFLGALWLALSGEIKDKELPQYLALTPRKKRPYDNWLEGVPRFLAGLIFQPPARCLGALLGPSAAASVDRKQKVLARYLKRLQPGTLRARQLLELLHCAHEAEEAGIWQHVVQELPGRLSFLGTRLTPPDAHVLGKALEAAGQDFSLDLRSTGICPSGLGSLVGLSCVTRFRAALSDTVALWESLQQHGETKLLQAAEEKFTIEPFKAKSLKDVEDLGKLVQTQRTRSSSEDTAGELPAVRDLKKLEFALGPVSGPQAFPKLVRILTAFSSLQHLDLDALSENKIGDEGVSQLSATFPQLKSLETLNLSQNNITDLGAYKLAEALPSLAASLLRLSLYNNCICDVGAESLARVLPDMVSLRVMDVQYNKFTAAGAQQLAASLRRCPHVETLAMWTPTIPFSVQEHLQQQDSRISLR", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEKTLATSHTKRSSPPSPSSAVNTSSTGFNRRTRQRLSDATASVSETDVEDEDEDEEGVEEKIEALQTIVPGGTELGVDALFEETASYILALQCQINAIKVLTTFLERCEKKDMKFGG", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAPTIQTQAQREDGHRSSAHRTVPERSGVVCRVKYGNSLPDIPFDPKFITYPFDQHRFVQYKATSLEKQHKHELLTEPDLGVTIDLINPDTYRIDPNILLDPADEKLLEEEIQAPSSSKRSQQHAKVVPWMRKTEYISTEFNRYGVSNEKVEVKIGVSVKQQFTEEEIYKDRDSQIAAIEKTFEDAQKSISQHYSKPRVTPVEVLPVFPDFKMWINPCAQVIFDSDPAPKDVSAPAGVDMMSQAMIRGMMDEEGNQFVAYFLPNEDTMRKRKRDVEEELDYMPEEVYEYKIAREYNWNVKNKASKGYEENYFFIFRDADGVYYNELETRVRLSKRRAKVGAQSSTNAVLVCKHRDMNEKELEAQEARKAQLENHEPEDEEEELDLEKDMQEDSGEEREKPSDSENSESESEREEEERPADEDEEEEEDEESVKRRRERKSSGSESGDDRQARDEEEIFGSDDDSEEEEEEEEEGGARRRSNSSSVQHSASERASDSSDASDSD", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEMIRGRGIPNGVVQVPNLSANNLLQKFFIASNHFCQRDSEHGENQQEAKKREDEAEEDEKDVELTLGLSLNGQFGTDPRSRKRRHFELGRSSSIPEGFVFDEQRSGGGNGGDMRRIVGRGGSDMFQLDRTRSLPVVTEMDIEKERKVSEKTRAFMESPVTNRGAYLTKDKNRGQAVETEKPRAFLEFKIPPTKEGKKEKDRLVVTGPVNGKGKNGNTAKKQKNNVENSGMEKARNILEDMPCVSTRDVGADGKRVEGFLYWYGGNKEEVKIVCVCHGSFLSPAEFVRHGGGTVSDDDGGDVMINPLRHIVVKLPSSSI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAGVRSLRCSRGCAGGCECGDKGKCSDSSLLGKRLSEDSSRHQLLQKWASMWSSMSEDASVADMERAQLEEEAAAAEERPLVFLCSGCRRPLGDSLSWVASQEDTNCILLRCVSCNVSVDKEQKLSKREKENGCVLETLCCAGCSLNLGYVYRCTPKNLDYKRDLFCLSVEAIESYVLGSSEKQIVSEDKELFNLESRVEIEKSLTQMEDVLKALQMKLWEAESKLSFATCKS", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKVEEHVPLIQESRKRKCQSSENASKRQQLLSKLPLRLHTGNENVDLSPLVSAIRKAKRIVVVTGAGISCDAGIPDFRSSEGLFSSLRAEYKLNCSGKELFDGSVYRDLKSVNIFHAMIRKLHMLSNNARPTDFHLFLSQLAQESKLLRLYTQNIDFLETRLEGLQTCIPLPQSAPWPTTIPLHGTLEVVSCTRCSFLKKFNPDIFDRNGVTVCPDCKTENEVRRIAGKRSVIEGCLRPRIVLYNEIHPDSESIGSVCSQDLKSRPDCLIVAGTSCKIPGVKRIIKEMSNCVHKQKGNVIWLNYDEPTKDFLNLCDLVVQGDLQIAIRRLKPLLDAPSWKLKSHSAKRTSKQKSSEQTKITSSTKITKAIGLNTKSNDSSKKDNTSFQLHQVLNSIEIPKVEIKQEVEYATPSPL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDGNDINIQKNEFSRDQSALLMMDQHSDHKNHESAIGEPGMSYTASQPALSSTEHQTPLVSEASVAAPQNHLNGNSHESVSEMDRNSVDFAIESVLMKARAYNKMGAPKDPRRKQHNPKSEPKIEPHVTDSSDNQAAMSNKMEAEAPKMESNQNYVSNGSEPPFRFVSISNFDEMKTKKKEVQEELKLEVDSDSEEDDVPEQKTPKESDRCGGCGKFTHEDDLIALEEEKKKEKEKPLMSKKKSHHHKKNDFQWIGCDSCQTWYHFLCSGLEQFEYYLYEKFFCPKCVPHTGHSIRYKVVAPHRYRWYSPNEKHLGIEVGSKTWIEDFITRENTVPSPTDDEVCIVEDGYEFRREFEKLGGADNWGKVFMVKDMDGLNMTMPKPGFDLEDVVKIMGSDYEVDTIDVYNQSTYSMKLDTFRKLFRDTKNRPLLYNFLSLEFSDNNEMKEIAKPPRFVQEISMVNRLWPDVSGAEYIKLLQREEYLPEDQRPKVEQFCLAGMAGSYTDFHVDFGGSSVYYHILKGEKIFYIAAPTEQNFAAYQAHETSPDTTTWFGDIANGAVKRVVIKEGQTLLIPAGWIHAVLTPVDSLVFGGNFLHLGNLEMQMRVYHLENAIRKEIRSEEKFYFPNFELLHWMYMRNVLLEKITEANQEGSDMREQEKNIWTASQIMKAEMERWMDRELRLGPEKNAILPTDDKNKIMISVRKQIEIQTKIQNAKNKPMGLKQKRKSRESAERDDEDYCPSSSTAYKKKYTKKAKKDNDDAPKVKKAKKEEVPEEKVPVPEAAGPSEVTAPLTIKIGMGPTEDQKGVVQIFNNQCTSSGRKVKLNQNVADYCGSHLEARVEEIPEKATKSFRELDNELERCEAVHSGEKIKKVKEPKPPKQPKEKKEKPPPKKKEMSSRDRLMKKLKM", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDMKRRIHLELRNRTPAAVQELVLDNCKANDGKIEGLTDEFVNLEFLSLINVGLFSVSDLPKLPKLKKLELSENRIFGGLDRLAEELPSLTHLNLSGNNLKDISTLEPLKRLDCLKSLDLFGCEVTNRSDYRETVFRLLPQLSYLDGYDREDQEAPDSDVEVDSVEEAPDSDGEVDGVDKEEEDEEGEDEEEEEDEDGEEEEDEDEEDEDEDEDVEGEDDEDEVSGEEEEFGHDGEVDEDEEDEDEDEDEEEEESGKGEKRKRETDDEGEDD", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSRHQFDLIMCLKQPGVQTGLLCEKCDGKCPICDSYVRPKRKVRVCENCSFGKQAKNCIICNLNVGVNDAFYCWECCRLGKDKDGCPRILNLGSNRLDRHFEKKKKV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MIVDKLLDDSRGGEGLLDAAGDCGLMTSPLNLAYFYGASPPSAPGAGDTGYLSAVPSAPGSPGSDSSDFSSTSSVSSCGAVESRPRGGARAERPQVEPHMGVGRQQRGPFQGVRVKNSVKELLLHIRSNKQKASGQPVDEFKTQSVNIEQLTDLKSAVSAVGKRKGPDPLSDGPVCKRPALLPSHFVTSPQTPTPGESMEDVRHSESKLDSSAALLQNIINIKNECNPVSLNTVQVSWMSPTVPQNSPRDQCQDFHGGQAFSPPQKYQPFQVSGSPQMMDQASMYQYSPQTQNMQQPPPLPPQQQHQQNYPHNSPLQFSPYSRMSQSPKYDSNLFDTHEPQFCTGQSFVSLLTGPGEPESLAVPVPAPTSIPPQTETQLQTFSLMPSNACEAVVGVHDVGSHSLGTSLSLQNIMGSPMNTTQLGKSFFQWQVEQEESKLANIPQDQFLARDGDGDTFLHIAVAQGRRALSYVLARKMNALHMLDIKEHNGQSAFQVAVAANQHLIVQDLVNLGAQVNTTDCWGRTPLHVCAEKGHSQVLQAIQKGAVRSNQFVDLEATNYDGLTPLHCAVVAHNAVVHELQRNRQSHSPEVQDLLLRNKSLVDTIKCLIQMGAAVEAKDRKSGRTALHLAAEEANLELIRLFLELPSCLSFVNAKAYNGNTALHVAASLQYRVTQLDAVRLLMRKGADPSTRNLENEQPVHLVPDGPVGEQIRRILKGKSIQQRAPPY", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGSKHRVDTKDKKRTRKNAEFGREKRNSGNQELSNEPEKDTIMEGDEAEEDEQNSSSDESSKIIDNEQSDAEEDDDEEEEDDDFPRKKKSKNSKHDDGSTGFSAAVNAILSSHLKAYDRKDPIMARNKKVLKQSESEKLEYKAKKALLAEKKKLLGKARKTDIIPIASGEDRSENIRKVLEKETALRKIAQKGAVKLFNAILATQVKTEKEVSENLSEIKNKEEKKELITEVSKEKFLDLVKAAAGSDNE", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSTLEPEKRRQHEDKSNEIIDSPIFLNKISALPESENVHCLLLKQLIGSPQLKQTWQFNFCVDLNFLLENMHASVFPTVDVRITHGYDSKSDSLARLTAQMNHCPVNVKLYSVYVPMWGTHHSKIMVNFFKDDSCQIVIHTANLVEPDWIGMSQAIFKTPLLYPKANDSLSTSSVPEYGNPSKIRKHEGSLDIKDDRNCDIIDVDSAFENFKHKSDTRSSDDLGVIGRQFQQDFLAYLKNYRHTYELIEKLKMYDFSAIRAIFIGSVPGKFEGEEESSWGLGKLKKILKMLEKDSKKDEKTKFEESDICISQCSSMGSFGPKQEYIAELTDGFGCQRGNWKFLFPTVKEVQQSMLGWQSGSSIHFNILGKTAASQVETLKKGKNLCKWVAMKAGRQRVAPHIKTYMRFSNDGELLRWVLVTSANLSKPAWGTLEGHKAKSRSTRGLRIRSYEAGVLLYPKLFEESQRAPCIMTPTYKTNTPNLDEKRREFYGKRVIGVRMCWDFPPVEYEDKDEIWSPVINRTDKDWLGYVWPPNW", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MASVGPGGYAAEFVPPPECPVFEPSWEEFTDPLSFIGRIRPFAEKTGICKIRPPKDWQPPFACEVKTFRFTPRVQRLNELEAMTRVRLDFLDQLAKFWELQGSTLKIPVVERKILDLYALSKIVASKGGFEIVTKEKKWSKVGSRLGYLPGKGTGSLLKSHYERILYPYELFQSGVSLMGVQMPDLDLKEKVEAEVLSTDIQPSPERGTRMNIPPKRTRRVKSQSDSGEVNRNTELKKLQIFGAGPKVVGLAVGAKDKEDEVTRRRKVTNRSDAFNMQMRQRKGTLSVNFVDLYVCMFCGRGNNEDKLLLCDGCDDSYHTFCLLPPLPDVPKGDWRCPKCVAEECNKPREAFGFEQAVREYTLQSFGEMADNFKSDYFNMPVHMVPTELVEKEFWRLVSSIEEDVIVEYGADISSKDFGSGFPKKDGQRKMLPEEEEYALSGWNLNNMPVLEQSVLAHINVDISGMKVPWLYVGMCFSSFCWHIEDHWSYSINYLHWGEPKTWYGVPSHAAEQLEEVMRELAPELFESQPDLLHQLVTIMNPNVLMEHGVPVYRTNQCAGEFVVTFPRAYHSGFNQGYNFAEAVNFCTADWLPIGRQCVNHYRRLRRHCVFSHEELIFKMAADPECLDVGLAAMVCKELTLMTEEETRLRESVVQMGVVMSEEEVFELVPDDERQCSACRTTCFLSALTCSCNPERLVCLYHPTDLCSCPMQNKCLRYRYPLEDLPSLLYGVKVRAQSYDTWVNRVTEALSASFNHKKDLIELRVMLEDAEDRKYPENDLFRKLRDAVKEAETCGSVAQLLLSKKQKHRQSSDSGKTRTKLTVEELKAFVQQLVSLPCVISQTRQVKNLLDDVEEFHERAQEAMMDETPDSSKLQMLIDMGSSLYVELPELPRLKQELQQARWLDEVRLTLSDPQQVTLDVMKKLIDSGVGLAPHHAVEKAMAELQELLTVSERWEEKAKVCLQARPRHSMANLENIVNEAKNIPAFLPNVLSLKEALQKAREWTAKVEAIQSGNNYAYLEQLESLSAKGRPIPVRLDALPQVESQVAAARAWRERTGRTFLKKNSSHTLLQVLSPRTDIGVYGSGKNRRKKVKEIIEKEKEKDLDLEPLSDLEEGLEESRDTAMVVAVFKEREQKEIEAMHSLRAANLAKMTIVERIEEVKFCICRKTASGFMLQCELCKDWFHNSCVPLPKSSSQKKGSSWQAKDVKFLCPLCMRSRRPRLETILSLLVSLQKLPVRLPEGEALQCLTERAMSWQDKARQALATDELSSALAKLSVLSQRMVEQAAREKTEKIISAELQKAAANPDLQGHLPSFQQSAFNRVVSSVSSSPHQTMDYDDEETDSDEDIRETYGYDMKDTASVKSSSSLEPNLFCDEEIPIKSEEVVTHMWTAPSFCAEHAYSSASKSCSQGSSTPRKQPRKSPLVPRSLEPPVLELSPGAKAQLEELMMVGDLLEVSLDETQHIWRILQATHPPSEDRFLHIMEDDSIEEKPLKMKGKDSSEKKRKRKLEKVEQLFGEGKQKTKELKKIDKPKKKKLKLNVDKSKELNKLAKKLAKEEERKKKKEKAAAAKVELVKESTEKKRERKVLDIPSKYDWSGAEESDDENAVCAAQNCQRPCKDKVDWVQCDGGCDEWFHQVCVGVSAEMAENEDYICINCAKKQGPDSPGQAPPPPFLMSYKLPMEDLKETS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPVMERVAEETVATNNIQLKARVDDVPCNKLDARHNDMVIQSETANSDCPGSSAHRNVDLTKPPPPEEAAGAKLSVEELTLGNYRIVQGSNNTNVDSPRAGKFEHLYRLARGSAFRAGDGDLDSQPRDMDQMLSRIRQQLAGAPSERQNLKPFMSRRSDQNLEAFSERLRAAGENSIMNAPALISEGVQMKTPVSSSNFSQLLLKRAMKGKGVVGKNQETPPEFVSDQDLGSKEKKLDISKSPTPHDVLPLKSSPKGNGMVSHGDGNHSKSSIGISLREFLRSSYAKREKRHGLCLFRQLVELVDSAHSKRLFLLDLRPSLFTLVPSKKLRYIGNFGKNDLESDVDEDLNRRRPVVEESSSGGRDSKKRKMDLHLNSPGNQLQATSTGRPFKRKSPVIDLNMVDARNPDSCELQQQDYIKNLSVSSVSRKQSMSTWLEEQWYTCPEEINGEDIGEKSNIYALGVLLFELLCHCESGEMHAAMMADLRHRILPPTFLSKYPKEAGFCLWLLHPEPSSRPSARDILKSELICEDDSVKSTAAAEEISELLLHFLSSLEVQKKKKASKLLQDIQTLEDDIKEAERRYSSNVSLVRSHGAIEKRVQSSPLDEHCTTSSALFVPTANTDRLMSNIRQLEDAYFFMRSQINLSSSAATARSDKTLKDRDRCSENQNENQDMSTKGKSSDQLEVFFEGLCKFARYSKFETCGTIRSGDLLNSASVVCSLSFDPDEEHIAAAGISKKIKIFDFNAFMNESVGVHYPLVEMVNKSKLSCVCWNSYIKNYLASTDYDGVVQIWDAGTGQGFSQYTEHQKRAWSVDFSPSDPTKFVSGSDDCSVKLWSINEKRSLGTIWSPANVCCVQFSSYSNHLLAFGSADYKVYCYDLRYVKTPWCTLAGHEKAVSYVKFMDSETIVSASTDNSLKLWNLNKTNSSGLSPGACSLTYKGHTNQKNFVGLSVLDGYIACGSETNEVYSYYKSLPMPMTSYKFGSVDPISGNEYFDDNGQFVSSVCWRKKSNMLVAANSTGNMKLLKLV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "PSTTSSKSPKRRAKSPRRKRTGPTVSDLILMAMSASSDRGGLSLAALKKDLKGRGYDVVRNKGRVLMAIKRLVANKSVVKAKGFYKLNKNPPTPRRRVAKRKKPKAKRAKRGRKRKAAPKKKSAKKKRKRRRRKSKSPKKARKARRAKSPRRARSPRRSKSPRKAKRRTTKTRRRAKK", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAMTLLEDWCRGMDVNSQRALLVWGIPVNCDEAEIEETLQAAMPQVSYRMLGRMFWREENAKAALLELTGAVDYAAIPREMPGKGGVWKVLFKPPTSDAEFLERLHLFLAREGWTVQDVARVLGFQNPTPTPGPEMPAEMLNYILDNVIQPLVESIWYKRLTLFSGRDIPGPGEETFDPWLEHTNEVLEEWQVSDVEKRRRLMESLRGPAADVIRILKSNNPAITTAECLKALEQVFGSVESSRDAQIKFLNTYQNPGEKLSAYVIRLEPLLQKVVEKGAIDKDNVNQARLEQVIAGANHSGAIRRQLWLTGAGEGPAPNLFQLLVQIREEEAKEEEEEAEATLLQLGLEGHF", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEGDGSDPEPPDAGEDSKSENGENAPIYCICRKPDINCFMIGCDNCNEWFHGDCIRITEKMAKAIREWYCRECREKDPKLEIRYRHKKSRERDGNERDSSEPRDEGGGRKRPVPDPDLQRRAGSGTGVGAMLARGSASPHKSSPQPLVATPSQHHQQQQQQIKRSARMCGECEACRRTEDCGHCDFCRDMKKFGGPNKIRQKCRLRQCQLRARESYKYFPSSLSPVTPSESLPRPRRPLPTQQQPQPSQKLGRIREDEGAVASSTVKEPPEATATPEPLSDEDLPLDPDLYQDFCAGAFDDHGLPWMSDTEESPFLDPALRKRAVKVKHVKRREKKSEKKKEERYKRHRQKQKHKDKWKHPERADAKDPASLPQCLGPGCVRPAQPSSKYCSDDCGMKLAANRIYEILPQRIQQWQQSPCIAEEHGKKLLERIRREQQSARTRLQEMERRFHELEAIILRAKQQAVREDEESNEGDSDDTDLQIFCVSCGHPINPRVALRHMERCYAKYESQTSFGSMYPTRIEGATRLFCDVYNPQSKTYCKRLQVLCPEHSRDPKVPADEVCGCPLVRDVFELTGDFCRLPKRQCNRHYCWEKLRRAEVDLERVRVWYKLDELFEQERNVRTAMTNRAGLLALMLHQTIQHDPLTTDLRSSADR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSSSSREGSPDWLRSYEAPMTTSLLSLSSSDDDSPYRESEVISSLPLPDDDGDDIVVLETESVELLTRKNSETKVVTKQVSIEQVFSRKKKADASLNLEDSCAGKENGNNVDCEKLSSKHKDAQGGADSVWLVSSDSEPSSPIKQEVTVSTEKDADFVLEATEEEPAVKTVRKEKSPKTKSKSSRKTPKEGNSAQEILKTEDKDTDTTIAEQVTPEKSPKTKSKSSRKTPKEENCAQEILKTEDKDKDTDTDTIIAEEVTTDQKIKPSSGSSSRLPLVLSEKVNRTKVLVECEGDSIDLSGDMGAVGRVVVSDTTGDMYLDLKGTIYKSTIIPSRTFCVVNVGQTEAKIEAIMNDFIQLIPQSNVYEAETMVEGTLEGFTFESDDESNKNAKTAVKPADQSVGTEEETNTKAKPKAKAKGETVIGKKRGRPSKEKQPPAKKARNSAPKKPKAKK", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MESGSNSTSCPMAFAGDNSDGPMCPMMMMMPPIMTSHQHHGHDHQHQQQEHDGYAYQSHHQQSSSLFLQSLAPPQGTKNKVASSSSPSSCAPAYSLMEIHHNEIVAGGINPCSSSSSSASVKAKIMAHPHYHRLLAAYVNCQKVGAPPEVVARLEEACSSAAAAAASMGPTGCLGEDPGLDQFMEAYCEMLVKYEQELSKPFKEAMVFLQRVECQFKSLSLSSPSSFSGYGETAIDRNNNGSSEEEVDMNNEFVDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWSRHYKWPYPSEQQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDATHPHHYFMDNVLGNPFPMDHISSTML", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAETLSGLGDSGAAGAAALSSASSETGTRRLSDLRVIDLRAELRKRNVDSSGNKSVLMERLKKAIEDEGGNPDEIEITSEGNKKTSKRSSKGRKPEEEGVEDNGLEENSGDGQEDVETSLENLQDIDIMDISVLDEAEIDNGSVADCVEDDDADNLQESLSDSRELVEGEMKELPEQLQEHAIEDKETINNLDTSSSDFTILQEIEEPSLEPENEKILDILGETCKSEPVKEESSELEQPFAQDTSSVGPDRKLAEEEDLFDSAHPEEGDLDLASESTAHAQSSKADSLLAVVKREPAEQPGDGERTDCEPVGLEPAVEQSSAASELAEASSEELAEAPTEAPSPEARDSKEDGRKFDFDACNEVPPAPKESSTSEGADQKMSSPEDDSDTKRLSKEEKGRSSCGRNFWVSGLSSTTRATDLKNLFSKYGKVVGAKVVTNARSPGARCYGFVTMSTAEEATKCINHLHKTELHGKMISVEKAKNEPVGKKTSDKRDSDGKKEKSSNSDRSTNLKRDDKCDRKDDAKKGDDGSGEKSKDQDDQKPGPSERSRATKSGSRGTERTVVMDKSKGVPVISVKTSGSKERASKSQDRKSASREKRSVVSFDKVKEPRKSRDSESHSRVRERSEREQRMQAQWEREERERLEIARERLAFQRQRLERERMERERLERERMHVEHERRREQERIHREREELRRQQELRYEQERRPAVRRPYDLDRRDDAYWPEAKRAALDERYHSDFNRQDRFHDFDHRDRGRYPDHSVDRREGSRSMMGEREGQHYPERHGGPERHGRDSRDGWGGYGSDKRMSEGRGLPPPPRRDWGDHGRREDDRSWQGTADGGMMDRDHKRWQGGERSMSGHSGPGHMMNRGGMSGRGSFAPGGASRGHPIPHGGMQGGFGGQSRGSRPSDARFTRRY", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNPVQQPAQHKCPASSLNPPHPKRAQEAPDMGLYCDNFMYQQHNLHPSHRATNFSIGDFTHQANPYLWLGGPGVNNSPSYSPTPAPYIPPAFSAPQRQFLANSAAFGGADLGWMSAASQEELLKRVRPPYSYSALIAMSIQNATDKRLTLSQIYQYVAENFPFYKKSKAGWQNSIRHNLSLNDCFKKMPRDENDPGKGNYWTLDSNCEKMFDNGNFRRKRKPKSETNNIKIAKREEDHVSPKGKESPPMITPSSPKELSPTGHSKCPSPPTVTYTPCLTNFIGSMTAVDSATMNRQGPLGLLNELSQRNLNGLSSFISGSAVDQSPEHQDSSLFYNRSPYYSSLPTSNQKQPPYLQQLHPQQSPLYQGRY", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGSQPPPPGSPLSREEGEAPPLVPAEEGRRRSRRVRLRGSCRHRPSLLSRRELASNGPAVPATASSEIMASAAKEFKMDNFSPKAGTSKLQQTVPADASPDSKCPICLDRFDNVSYLDRCLHKFCFRCVQEWSKNKAECPLCKQPFDSIFHSVRAEDDFKEYVLRPSYNGSFTNPEVRRFRYRTTMTRERSASLYSPSSTVSRRTTTPPDSGVLFEGLGISTRPRDVDIPQFMRQMALRGPTTTDERSLRKIQEQDIINFRRTLYRAGVRVRSIEDGGRYRDISAEFFRRNPACLHRLVPWLKRELTVLFGAHGSLVNIVQHIIMSNVTRYDLESQAFVSDLRPFLLNRTEHFIHEFISFARSPFNMAAFDQHANYDCPPSSEEGSRSDSSVITISPDEAETQELDMNASTVRQAPWDDETPGPSYSSSEQVHVGVSSLLNSSDSSDEELVSGGTTSQIQGVQTNDDVNNDSDSSSDNCVIVGFVKPLAERTPELVELSSDSEELGPYEKVETVKTQEQEQSYSSGDSDVSRASSPRSVLGKDEQMSKSHCDSDTRISSKKEEKRSTSLPAPRDSSSTRGDRVCSPYNHRHRKGGRSRSSDSRSQSRSGHDPRNHRKHGKKRLRNKRSRSRESSSRPRARKDKKRSRTRDSSWSRRSQTLSLSSGSTSRSRSRSSDHGKRRSRSRNRDRYYLRNNYGSKYKWEYTYYSRNKDRDGYESSYRRRTLSRAHYSRQSSSPEFRIQSFSERTNARKKNHSERKYYYYERRRSRSVSSNRSRTTSAGPDRVRNEKPGGKRKYKTRHLEGTSEEAQPAREFTSKGKDSHYQKSKLDGSYKNESDSFSDSRSSDRETKHKRRRRRTRSLSVEIVYEGKATDTSKHHKKKKKKHKKKHKKHHGDNTSRSPVVITIDSDSDGESEVKAGIECSNGSLPQPIQDGAFETKDVVTIEDELGVLDKDCDVTALADDLSTSQTVENCDSPAVPVEQTLDVREESTFASDLESQSSNVSIQAEPSRPVPSPRTSLSSVSPGRDCDVS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAVDSDVESLPRGGFRCCLCHVTTANRPSLDAHLGGRKHRHLVELRAARKAQGLRSVFVSGFPRDVDSAQLSEYFLAFGPVASVVMDKDKGVFAIVEMGDVGAREAVLSQSQHSLGGHRLRVRPREQKEFQSPASKSPKGAAPDSHQLAKALAEAADVGAQMIKLVGLRELSEAERQLRSLVVALMQEVFTEFFPGCVVHPFGSSINSFDVHGCDLDLFLDLGDLEEPQPVPKAPESPSLDSALASPLDPQALACTPASPPDSQPPASPQDSEALDFETPSSSLAPQTPDSALASETLASPQSLPPASPLLEDREEGDLGKASELAETPKEEKAEGAAMLELVGSILRGCVPGVYRVQTVPSARRPVVKFCHRPSGLHGDVSLSNRLALHNSRFLSLCSELDGRVRPLVYTLRCWAQGRGLSGSGPLLSNYALTLLVIYFLQTRDPPVLPTVSQLTQKAGEGEQVEVDGWDCSFPRDASRLEPSINVEPLSSLLAQFFSCVSCWDLRGSLLSLREGQALPVAGGLPSNLWEGLRLGPLNLQDPFDLSHNVAANVTSRVAGRLQNCCRAAANYCRSLQYQRRSSRGRDWGLLPLLQPSSPSSLLSATPIPLPLAPFTQLTAALVQVFREALGCHIEQATKRTRSEGGGTGESSQGGTSKRLKVDGQKNCCEEGKEEQQGCAGDGGEDRVEEMVIEVGEMVQDWAMQSPGQPGDLPLTTGKHGAPGEEGQPSHAALAERGPKGHEAAQEWSQGEAGKGASLPSSASWRCALWHRVWQGRRRARRRLQQQTKEGAGGGAGTRAGWLATEAQVTQELKGLSGGEERPETEPLLSFVASVSPADRMLTVTPLQDPQGLFPDLHHFLQVFLPQAIRHLK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRLNAEFLSQVPSFISPLKETELDLRWYQIPIIENLGVLRDVHDAIDFTDNDIRYLGNFPRMKRLQTLLCGNNRITAIAPDIGKVLPNLKTLSLAQNHLQEIADLDPLASCPQLTNLSCIDNPVAQKQYYRLYLIWRIPSLHILDFERVRRNERLRAEEVFGQIQNPTEIASSIMGVKSRVFDLAALVQSHPEANSPITTGYTLTPEEREKIKEAIKNASSIAEINRLEAMLLEGKIPK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASKRKHQASQKVKEESFKKHTLDSDEEDSDDYEREYLNDSDIEGGEEGVAKVEDDVKVTPFNMKEELEEGHFDKDGHYHWNKETEAKDNWLDNIDWVKIGTQKNAFDPAKDEENSSDEEKNEPVGKAFNLSMNLMKMVEFMKPGETVKMTLQRLGKQRPVLTTLQRIKQKKAGIVDPKTQEISQLTELANEILSKTGNMDIYQDTYESIKAKIADLPGTSKPKVADDIDMYADDFETKELERSKTSSSDSSKPTTTTSEVTWEFKWSQDETDIQGPFSTEKMLKWSQENYFKNGVYVRKCGENTNFYTSNRIDFDLYL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRHSLTKLLAASGSNSPTRSESPEPAATCSLPSDLTRAAAGEEETAAAGSPGRKQQFGDEGELEAGRGSRGGVAVRAPSPEEMEEEAIASLPGEETEDMDFLSGLELADLLDPRQPDWHLDPGLSSPGPLSSSGGGSDSGGLWRGDDDDEAAAAEMQRFSDLLQRLLNGIGGCSSSSDSGSAEKRRRKSPGGGGGGGSGNDNNQAATKSPRKAAAAAARLNRLKKKEYVMGLESRVRGLAAENQELRAENRELGKRVQALQEESRYLRAVLANETGLARLLSRLSGVGLRLTTSLFRDSPAGDHDYALPVGKQKQDLLEEDDSAGGVCLHVDKDKVSVEFCSACARKASSSLKM", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAIPGRQYGLILPKKTQQLHPVLQKPSVFGNDSDDDDETSVSESLQREAAKKQAMKQTKLEIQKALAEDATVYEYDSIYDEMQKKKEENNPKLLLGKDRKPKYIHNLLKAVEIRKKEQEKRMEKKIQREREMEKGEFDDKEAFVTSAYKKKLQERAEEEEREKRAAALEACLDVTKQKDLSGFYRHLLNQAVGEEEVPKCSFREARSGIKEEKSRGFSNEVSSKNRIPQEKCILQTDVKVEENPDADSDFDAKSSADDEIEETRVNCRREKVIETPENDFKHHRSQNHSRSPSEERGHSTRHHTKGSRTSRGHEKREDQHQQKQSRDQENHYTDRDYRKERDSHRHREASHRDSHWKRHEQEDKPRARDQRERSDRVWKREKDREKYSQREQERDRQQNDQNRPSEKGEKEEKSKAKEEHMKVRKERYENNDKYRDREKREVGVQSSERNQDRKESSPNSRAKDKFLDQERSNKMRNMAKDKERNQEKPSNSESSLGAKHRLTEEGQEKGKEQERPPEAVSKFAKRNNEETVMSARDRYLARQMARVNAKTYIEKEDD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEAPAERALPRLQALARPPPPISYEEELYDCLDYYYLRDFPACGAGRSKGRTRREQALRTNWPAPGGHERKVAQKLLNGQRKRRQRQLHPKMRTRLT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNSEQLLHNYVSDSLLTTLISFQEFKQQLQSYTSDEQQLQHWYELLQARDARVTSELEARIKQFFITLRSRLLRFLESEQLSHSLSLETLIDALYKINDLLQQRLQILDDAIQEKTSELAEFENMVRSPSAGDNAIPGLLQIIQSYINLLEEN", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAPKRKQPYTEEGIDFKFTQFQIVPPINQKNFYTEYLKRDDQMYIWRDSAGEKDAKETESESANGDTKQDDSKKSQVEEEEDGIEESELGEEKDNKTIVLHIGSQNLRIGLASNKTPTTVPMVIARKMRAPFAQERCLLKDICHVNEDGNVAFDSEFDSNLKLLDSELKSWLKAQKKRSVPNGTQLVKNYNKISKPETVPPDDDPEKPDWIHFEQDDHVDVICGKEAFLLPLNEYPEYKLFYPIKSGVFNESDYASSQQLLADIYEIFKYSITSLLQIPVSQLSQYSVIFIVPDLYDRVYVEKILDILFFDLHFGKAAIVQESLCTSFGAGMSAACVVDMGAQKTSISCVEEGVVVPNSRIKINYGGDDITLLFMKLLMRSHFPYQDIDLKTPYDWSLANALKIKYCGLSEATYNVQLNSFFSRTPDKGTRKFTFKSLDETMLAPLGFFRPDIFENENKLHDRYTLFPVPVDVYDNQPNNPESLAQTTLLQISTPISNIKANGKDDEEKKEESDLVTPSVKFKPPRVVYCGSLAAPEIKNEKLIYPLDDAINQSIFSACDGNLSDEKAKNLYSSILIVGGAGQFPGFAHLLEERIHSKRANIPTISVIPPPRSMDAQFVAWKGACIYNRIRIVSELWIKNSDWKMLGSRVLQYKTLGYFWTG", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDVDEPNPIVISDSEATDEEISIIYEPEFNENYLWAEENVQEASRSQKIVTERLSLDSTAGESCTPSVVTDTQVTTGLRWSLRKRKAIQKMPYSLERIKHRQLLEGYDISSFDSISNQLTLPKNASTVIHSNDILLTKRTGKPLDEQKDVTIDSIKPENSSVQSQRYDSDEEIPKKRHRTFKDLDQDIVFQSGDSTEDEQDLASTNLQNTQNDEVIFRGRVLNVRTGYRGVLPRVAWEKSLQKQQSSKVTKRKTQLLNHKGVAKRKMNRSAHIEDEEQNLLNDLIAPDDELDIEENAPPDIYLGNLPEDREANEKELKELQEYYESKYSEDAQSAGTSGFNLNEEYRNEPVYELEYDGPGSCISHVSYKDQPIIYLNSRHSDSGFSEQYNISAEDNQSVISLDAAEEHNDGIIDKMLVKPKRIKATNDANFLNTKSKRVRRYKYKYRNSCLAPSTKAIKVGKRSAHKSHLAANNPVSFVSKKNHVIDDYFFEELESQSLEQDDSSSLKPQKKRRKKKAPIYSSFSADLESRRKPVFNTVVEVPTNRYAFTKPNVRNRDSINHDMEFEEEDSNQELGPIMVVLDSILLKKPFEPPNFFKIQLSDKSFLLSKLNPADIATSLQKIFRVIIDKGITDTELVHFNESLIAFLVHLDMPELFDLIGEFHREFRSKVNSLRKKAKPIHFFQIAACQLMFLEISRYNKISAAAKFDMDVKLLDHIVSFFKLLSVCYDSVMKNPMQYLYTSYYILSAVVDVIHKKEALWDLFQKHPFSPHISLLLVNIFPTKVCRWQVLRLDSEFQPLSSAFRFINYCIETCNWNVTNSLILSLDRIFKRRRFSDFEEESDLSQNNKIIYPPTNQLTSRLMFNRYLHLLTLCELSSSDTQRVIPMGDISMNDSLSVLKNRLNLLIVLATRFDLNLEKRFQELTRPLYSKEYLNLHTQNTVRTITTLIMQASLSFLEISRIKNHPFSGKFIASLFDKLVLQQPSISGVTENFLKEFTNLVSKMKRKSVSMLKFLYPSLVAMSQENIFESSFFLLLQVYLKSLDVLGPTWVQNYLFQFIKSKAQENERWIECYCQIGKFLVDSGIFTWWTFFTYNGLDAALHFQLAFHSLIIDFCDTDSFELLKKPLYSIASDLLLISKDDAFYHFLSNLLKRAHIIVADLKPVSDENELLRLAYIFSKALKKNAYQDLLAVFLSLAKKHYDEGDISRNFLAKYLEFLNKNCLTELRNNQLFISLRRELGISSDEDEKCAFWDSFNEAGDILSKAAFVETGIVQACCTGNEIDGYLDNLSTLFTSTMLESPFAFFSDLVIAHIFENRPFFDVNIKNFLLSHFIDLFNKVLKMKFEQVSPDEFAELCKVYRALCIECATDDTFNSNSDLIAAKDAFLVSVLRIADGFWEHDKLLQLRMLDSNMNIPNQIPHTTLQSSLSAIVIKIIESNIGKIEASEPFKTFKNT", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSMLSMDGIVAGGGSSSGGGERSFVLEQKMFNTGPQNKALPTVQSSGSSSNHAPIVGESPLGTVSSTMATGDTGRVGNVTYMSSGMLGATQFMPQNSSHPSTSVMMQQVPPQNGGATRSSPTEMQQCMQAMSEDSIEMRDYNSGVHHMHPHQMQMQQQQQHHQQQYNMSYHNHQQQMQQMHYHQQQQQYQQQQAQHHQMYAPQIQQQQQQPQQQSQQQSAQQPQQSSAALQHVNESSNLSSAGSISDREPEQHGGTPQRPTAPQSSTATDKKTRKRRKAGPTEDQATPKQERKITEFMKVGGEVASGNSVARCLLTEYHQNQGSPKRQPAVQQNGSNSYDSQQQQPQMNQHEMQNSYWGVATPSLGVNNRGTPTPTQQQHYSSDSNSNSNQSPPGQGNQSGRMVRTIDEETQTDSSLSQANPQNADEVAKMNRIIEDHRRQIEELNSKNSLERRKNEASKETIKRLLIDKNQIERKALRDKTAADSPRIGCFKTTRTGDSFRDQWVDGWAFAEMDKKTEQINAERNEIASASALLKKRKPLGIGKEPKRPQAVNSQNDSNGMQPSTSSNTNGDDAIFRRPEEPKEIQYQEYIELDEIYKLRREHLRKEETDLSMEKERLEKEKQHHVRELKRASNESASQFNDHRLLHKRYLMLNLLGKGGFSEVWKAFDIEENRYVACKIHHVNKDWKEEKKANYVKHAMREKDIHKSLDHCRIVKQYDLLTIDNHSFCTVLEYVPGNDLDFYLKQNRSISEKEARSIIMQVVSALVYLNEKSTPIIHYDLKPANILLESGNTSGAIKITDFGLSKIMEGESDDHDLGIELTSQFAGTYWYLPPETFIVPPPKITCKVDVWSIGVIFYQCIYGKKPFGNDLTQQKILEYNTIINAREVSFPSKPQVSSAAQDFIRRCLQYRKEDRADVFELAKHELFRPRGAIRASVAGSLLLVIITLCQHSNRSEDRLCVSNV", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MERDFLGLSDKQYLSNNVKHEVNDDAVEERGLSTKAAREWGKSKVFATSSFMPSSDFQEAKAFPGAYQWGSVSAANVFRRCQFGGAFQNATPLLLGGSVPLPTHPSLVPRVASSGSSPQLTIFYGGTISVFNDISPDKAQAIMLCAGNGLKGETGDSKPVREAERMYGKQIHNTAATSSSSATHTDNFSRCRDTPVAATNAMSMIESFNAAPRNMIPSVPQARKASLARFLEKRKERLMSAMPYKKMLLDLSTGESSGMNYSSTSPT", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAADKGPAAGPRSRAAMAQWRKKKGLRKRRGAASQARGSDSEDGEFEIQAEDDARARKLGPGRPLPTFPTSECTSDVEPDTREMVRAQNKKKKKSGGFQSMGLSYPVFKGIMKKGYKVPTPIQRKTIPVILDGKDVVAMARTGSGKTACFLLPMFERLKTHSAQTGARALILSPTRELALQTLKFTKELGKFTGLKTALILGGDRMEDQFAALHENPDIIIATPGRLVHVAVEMSLKLQSVEYVVFDEADRLFEMGFAEQLQEIIARLPGGHQTVLFSATLPKLLVEFARAGLTEPVLIRLDVDTKLNEQLKTSFFLVREDTKAAVLLHLLHNVVRPQDQTVVFVATKHHAEYLTELLTTQRVSCAHIYSALDPTARKINLAKFTLGKCSTLIVTDLAARGLDIPLLDNVINYSFPAKGKLFLHRVGRVARAGRSGTAYSLVAPDEIPYLLDLHLFLGRSLTLARPLKEPSGVAGVDGMLGRVPQSVVDEEDSGLQSTLEASLELRGLARVADNAQQQYVRSRPAPSPESIKRAKEMDLVGLGLHPLFSSRFEEEELQRLRLVDSIKNYRSRATIFEINASSRDLCSQVMRAKRQKDRKAIARFQQGQQGRQEQQEGPVGPAPSRPALQEKQPEKEEEEEAGESVEDIFSEVVGRKRQRSGPNRGAKRRREEARQRDQEFYIPYRPKDFDSERGLSISGEGGAFEQQAAGAVLDLMGDEAQNLTRGRQQLKWDRKKKRFVGQSGQEDKKKIKTESGRYISSSYKRDLYQKWKQKQKIDDRDSDEEGASDRRGPERRGGKRDRGQGASRPHAPGTPAGRVRPELKTKQQILKQRRRAQKLHFLQRGGLKQLSARNRRRVQELQQGAFGRGARSKKGKMRKRM", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNTILTCQDEYFAGGLGYDCPYFSSTSASTVDVSKETWVSLWASGLLDNRSSNHGPHTQGQLYNMGNSLQEDYLFGDQLSSQISANKQLQDTLLQKEEELSRLHEENNKLKEFLNSAFVKTLAEKTKKLLHQNGQSSFCTNPNSRVPFSSNSTPGSKAKRARRNLYGELTACEAQSSPVVEKWVLQTLGLKDVDTIDDSALANYSAMSLQPKQDSPSSGYSSAHLTPGHSQAATSCSLSPSQCSSASLPESETASPLSSPTYHTPDVAPNKTEVAFSTSLHPHCNVKTHSFPQGQAFVRRDTQGGWKFTWVPKQSE", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSKKKETFTPRANKLKLTTPRRKLKILSSLLDADEDSKMKDQHGYSRVHNDKYRVAKPTQHSTLHESISSRRSSHIHNKSLHEDSARALSWVDSLINRGKSILTTLEKEDALFERSLEEERQRFQLHDSLMNKYTGNSKSHQRLIDLRKSQYGTDTSFQNNDEIPLDSFISSPLPDAEDESSSNIDSDKDEDLEGKQSLIKDFDLENDEYELSEEEKNSDGQSSPSIMILSDEEYAEEGALQDVSNDEYAEEEGQVERKNIGQEQANVENATQISSSDSSEGQNYSEGVEMELEDDIDVESDAEKDESQGAEGTEHSVDFSKYMQPRTDNTKIPVIEKYESDEHKVHQRYSEDGAFDFGSVNISVDDESEDEESQAESYSANAENVYHHNEHELDDKELIEDIESSDSESQSAQESEQGSEDDFEYKMKNEKSTSEETENTSESRDQGFAKDAYTKNKVEQQENDEEPEKDDIIRSSLDKNFHGNNNKSEYSENVLENETDPAIVERENQINDVEGYDVTGKSVESDLHEHSPDNLYDLAARAMLQFQQSRNSNCPQKEEQVSESYLGHSNGSNLSGRSLDESEEQIPLKDFTGENNNNLKTDRGDLSSSVEIEVEKVSEKKLDGSTEKELVPLSTDTTINNSSLGNEDSIYYSLDDADAISENLTDVPLMEIKTTPKYEVVISESVYSSTSYEDNTVAMPPQVEYTSPFMNDPFNSLNDDYEKKHDLLKSTLAALAPAFTKKDAEFVEAGVTKSCLTSTSGHTNIFHTSKETKQVSDLDESTENVTFENENTGDENKNQSKNFPGVANSTDKSTEDNTDEKYFSAINYTNVTGDSSCEDIIETASNVEENLRYCEKDMNEAEMSSGDECVKQNDDGSKTQISFSTDSPDNFQESNDNTEFSSTKYKVRNSDLEDDESLKKELTKAEVVDKLDEEESEDSYEQDYADPEPGNDEGSNENIVKGTKKDTLGIVEPENEKVNKVHEEETLFEANVSSSVNVQNKDMHTDVINQEAQANYEAGERKYYIQNTDTEEAHISIIERIDENAIGNNMEIPERSCVEKTHNEVLFERRATTIENTKALENNTNMHDQVSQACSDSDRDQDSTAEKNVEGSAKHNLDIRVSSSEIESVEPLKPESDRSNIFSSPIRVIGAVVKGVGKVVDVAESFVKKIDVMDSESDDNVDIGDYNQDIFNKSNSTDASVNMKSVSSKERDSDEDEAVILGGVTAEAHNDNGNNSRVINIDPTTNGAYEEDSEVFRQQVKDKENLHKSEEPLVEGLQSEQHFEKKDHSENEEEFDTIYGDITSANIHSNAPDDIKRQQLLKNLSDLENYSQRLIEDSRRGKNQEESDEVNTSRERDLTFEKSVNEKYAGAIEEDTFSELDISIQHPEHEEDLDLSNNQERSIEELNSEPEEAELYELEIEGPTETAASSKMNDDERQRGNIPSTDLPSDPPSDKEEVTDSYPYSNSENITAEKSAPTSPEVYEIFSDTPNEVPMEINDEIPATTLEKHDKTNVTSVLDDRSEHLSSHDVDNEPHDNSINIKVNEGEEPEHQAVDIPVKVEVKEEQEEMPSKSVLEEQKPSMELINDKSSPENNNDEETNREKDKTKAKKKSRKRNYNSRRRKRKITEGSSAASNTKRRRGHEPKSRGQNTHPSVDK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTKEEIADKKRKVVDEEVIEKKKSKKHKKDKKDKKEKKDKKHKKHKKEKKGEKEVEVPEKESEKKPEPTSAVASEFYVQSEALTSLPQSDIDEYFKENEIAVEDSLDLALRPLLSFDYLSLDSSIQAEISKFPKPTPIQAVAWPYLLSGKDVVGVAETGSGKTFAFGVPAISHLMNDQKKRGIQVLVISPTRELASQIYDNLIVLTDKVGMQCCCVYGGVPKDEQRIQLKKSQVVVATPGRLLDLLQEGSVDLSQVNYLVLDEADRMLEKGFEEDIKNIIRETDASKRQTLMFTATWPKEVRELASTFMNNPIKVSIGNTDQLTANKRITQIVEVVDPRGKERKLLELLKKYHSGPKKNEKVLIFALYKKEAARVERNLKYNGYNVAAIHGDLSQQQRTQALNEFKSGKSNLLLATDVAARGLDIPNVKTVINLTFPLTVEDYVHRIGRTGRAGQTGTAHTLFTEQEKHLAGGLVNVLNGANQPVPEDLIKFGTHTKKKEHSAYGSFFKDVDLTKKPKKITFD", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPLNVTVEEETLQIVEEEPQQEITNTILEEDFNLLDNSFQTDISSTSSQNDSKFTCLLVRHAESEHNVRGIRAGARIDSELTVHGYNQAKKLAKSIRNLDIVCVYSSPQKRAKRTAEEITKVANCPLYISDFLMEKDLGSLEGTSFRYTANYRPREPPMKVTNLESRDSLLTRARGFTDILFNEAIGFEGESGKTIVVVSHGIFLPFLLRAILARARTPLPSMIIPWNNASYCLITIDLGGNSIVKMNCNSHLRGIKRTRKLGSSTYDSKQKPITEFCSKLN", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFAVQGNQKFPKGLTKDNIQSLYQQWQVMRNQGATEENNPEFAQISSILRMVQRAHYARMQQMRNQSSEFPDAENTNLRKQQDTLPTTGFNNLPEGKAGMQTLPGRPASNGPTPPNPGNGNVGLNNPSYMNSQASPNIMNAPLQRDTSVPPAPSMVHPHTNTNANSNNLKVYANQLSQQNTSNPTYHNAYDMASMMKNGSRMNNSFPPTTPYPPANDTTVNSSLPHSFASPSSTFEQPHTVQSRAPSVDTTSSSHSFSARNIPANVSMQQQMGRRGSIPVNPSTFSASSPPSGSMLASPYNGYQNDAASFAHSKLPSSANPNTPFNSTATVDVGAAGSHFPYPQPSNLDAINAKTYFQSSSNSPAPYVYRNNLPPSATSFQPSSSRSPSVDPNTVKSAQHIPRMSPSPSASALKTQSHVPSAKVPPTSKLNHAQLAMLKSQIVAYNCLNSPNGQVPPAVQQAIFGRVYGASNEVSPSMPFQQNVPQMSSVKKDTPTRDANMRTSKAPYIQNIPNQFQRRAYSATIPVKNESLAKPSVSPMPLQQSTGKTEVAKRAQFPTNVNYSSCVDPRTYVKTPIPFSKFSSSENLSLIPSLLPPSISWDDVFLSSEIAIACSIANRIDFLEKENRPKSVNKKILQQDKSKSMIELRCLRLLEKQRSLRETINSVIPHSDSLAAGNLRLMFRNVKRQTMQEANLVLALAEKQKTEHAMRQKEKLLTHLRSIMLHRKSIVTKVDKQNKAKTQRCKDIINFHAHLEKEEKKRIERSARQRLQALRADDEAAYLQLLDKAKDTRITHLLKQTDQYLENLTRAVRIQQSNIHSGNTSGKGSNSAELEAPISEEDKNLDYFKVAHRIHEEVEQPKIFVGGTLKDYQLKGLEWMLSLYNNNLNGILADEMGLGKTIQTIAFITYLIEKKNQQGPFLIIVPLSTLTNWIMEFEKWAPSVKKIAYKGPPQLRKTLQSQIRSSNFNVLLTTFEYIIKDRPLLSRIKWVHMIIDEGHRIKNTQSKLTSTLSTYYHSQYRLILTGTPLQNNLPELWALLNFVLPKIFNSIKSFDEWFNTPFANTGGQDKIGLNEEEALLIIKRLHKVLRPFLFRRLKKDVEKELPDKVEKVIKCPLSGLQLKLYQQMKKHGMLFVDGEKGKTGIKGLQNTVMQLKKICNHPFIFEDVERAIDPSGTNVDLLWRAAGKFELLDRILPKLFLTGHKTLMFFQMTQIMTIMEDYLRSKNWKYLRLDGSTKSDDRCSLLAQFNDPKSDVYIFMLSTRAGGLGLNLQTADTVIIFDTDWNPHQDLQAQDRAHRIGQTKEVRILRLITEKSIEENILSRAQYKLDLDGKVIQAGKFDNKSTPEEREAFLRSLLEHDGDDDHDLTYGELQDDELNELISRTDEELVLFKKLDKERAATDIYGKGKPLERLLTVNELPDFYKVEVDSFAVQSSSELEDQYLERKRRRRNSISYTELTLDELNTVDDPSSTLMPRKRGRPRKKTNSGSSLSTPLSQESSLARSGRKNTPSYKQKALRRYCMEIFERLYNLQSEDGRFVNGLFLYPPNRKLYPDYYIIIKRPIALGKIKRNIKNDRYGDVGELIADFMLMFNNAYTYNEEHSIVYEDAKLMEKTLKEVIEDLEKNNSLHAYEEEALNEEQASLVFLENSEAELPLDSGIVSAEDDKVITYEDSSSSYSE", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPNEGIPHSSQTQEQDCLQSQPVSNNEEMAIKQESGGDGEVEEYLSFRSVGDGLSTSAVGCASAAPRRGPALLHIDRHQIQAVEPSAQALELQGLGVDVYDQDVLEQGVLQQVDNAIHEASRASQLVDVEKEYRSVLDDLTSCTTSLRQINKIIEQLSPQAATSRDINRKLDSVKRQKYNKEQQLKKITAKQKHLQAILGGAEVKIELDHASLEEDAEPGPSSLGSMLMPVQETAWEELIRTGQMTPFGTQIPQKQEKKPRKIMLNEASGFEKYLADQAKLSFERKKQGCNKRAARKAPAPVTPPAPVQNKNKPNKKARVLSKKEERLKKHIKKLQKRALQFQGKVGLPKARRPWESDMRPEAEGDSEGEESEYFPTEEEEEEEDDEVEGAEADLSGDGTDYELKPLPKGGKRQKKVPVQEIDDDFFPSSGEEAEAASVGEGGGGGRKVGRYRDDGDEDYYKQRLRRWNKLRLQDKEKRLKLEDDSEESDAEFDEGFKVPGFLFKKLFKYQQTGVRWLWELHCQQAGGILGDEMGLGKTIQIIAFLAGLSYSKIRTRGSNYRFEGLGPTVIVCPTTVMHQWVKEFHTWWPPFRVAILHETGSYTHKKEKLIRDVAHCHGILITSYSYIRLMQDDISRYDWHYVILDEGHKIRNPNAAVTLACKQFRTPHRIILSGSPMQNNLRELWSLFDFIFPGKLGTLPVFMEQFSVPITMGGYSNASPVQVKTAYKCACVLRDTINPYLLRRMKSDVKMSLSLPDKNEQVLFCRLTDEQHKVYQNFVDSKEVYRILNGEMQIFSGLIALRKICNHPDLFSGGPKNLKGLPDDELEEDQFGYWKRSGKMIVVESLLKIWHKQGQRVLLFSQSRQMLDILEVFLRAQKYTYLKMDGTTTIASRQPLITRYNEDTSIFVFLLTTRVGGLGVNLTGANRVVIYDPDWNPSTDTQARERAWRIGQKKQVTVYRLLTAGTIEEKIYHRQIFKQFLTNRVLKDPKQRRFFKSNDLYELFTLTSPDASQSTETSAIFAGTGSDVQTPKCHLKRRIQPAFGADHDVPKRKKFPASNISVNDATSSEEKSEAKGAEVNAVTSNRSDPLKDDPHMSSNVTSNDRLGEETNAVSGPEELSVISGNGECSNSSGTGKTSMPSGDESIDEKLGLSYKRERPSQAQTEAFWENKQMENNFYKHKSKTKHHSVAEEETLEKHLRPKQKPKNSKHCRDAKFEGTRIPHLVKKRRYQKQDSENKSEAKEQSNDDYVLEKLFKKSVGVHSVMKHDAIMDGASPDYVLVEAEANRVAQDALKALRLSRQRCLGAVSGVPTWTGHRGISGAPAGKKSRFGKKRNSNFSVQHPSSTSPTEKCQDGIMKKEGKDNVPEHFSGRAEDADSSSGPLASSSLLAKMRARNHLILPERLESESGHLQEASALLPTTEHDDLLVEMRNFIAFQAHTDGQASTREILQEFESKLSASQSCVFRELLRNLCTFHRTSGGEGIWKLKPEYC", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGDRFIPIRNVSNEFNFSFQSFKECVLSHGSNLRRKTSGTIQRQFMELLSMELFGSQASRSRAFYYGEDKRKIEKKMLDTPDRKSYSLSPISPQSQDMLRQPQKPKRAFPKTPYKILDAPYLKNDFYLNLLDWGQSNVLAVGLASSIYLWSAASGKVVQLHDFGATNHVTSVLWTGKGTQLAVGTDSGVIYIWDIESTKSVRSLKGHSERVAALAWNDNTLTSGGKDEVILHHDLRAPGCCAEMMKVHEQEICGLQWDRSLGQLASGGNDNNLFVWDYRSSRPLHKFEEHTAAVKAIGWSPHQRGILASGGGTIDRCLTIHNTLTGRLQNKLDTGSQVCNMAWSKTSNEIVTTHGFAKNQVSLWKYPSLKNIANLTAHTNRVLYLSMSPDGQSIVTGAGDETLRFWKLFNKKPKEESTLIR", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSSSNSRVHEEQPPTENRRYARPTAQMNRVIEQQPRRRDYFQNNDNSGRRGYNRHENNGNAQDVVRSHYNARPDLGYKKRQFSPIIQLKRFNNWIKSVLIQKFAPHASDYPILVLDMGCGKGGDLIKWDKAGIDGYIGIDIAEVSVNQAKKRYREMHASFDALFYAGDCFSSSINELLPPDQRKFDVVSLQFCMHYAFESEEKVRVLLGNVSKCLPRGGVMIGTIPNSDVIVKHIKMLKPGEKEWGNDIYKVRFPESPPRSFRPPYGIQYYFYLEDAVTDVPEYVVPFEAFRAVAEGYNLELIWVKPFLDILNEEKNSETYGPLMDRMKVVDNEGHRGIGGQEKEAAGFYLAFAFEKRGI", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGRMKVIPEFSNYKIRTPIPIFKNELEIEKYIKGAISYIYSENTAKKLELKKRNDEIKEILNNKNDHEFVEKKIRAIVIQLGDTNLNDRCYLNYLNLYKQPIEFTHGAIMCEKNKYWVAYYASQSLNLDCLNFIIKHKDQFKGLDKDKRIKPIDPKKKISRDLLIGQPITVQCIKEDDLFKFLTVYFNQNGIEFSDSWFSSIVKLIFKGKVYSSDESEKLSTTTTTTTTPNEKYDKKILGEHTVFINKNRDGHLKFSTIPSLLLKISTLMNTGVINEYKQKNYFLETLYNSFPANKHFFNFNEETIQYITNLLLPHLHPLEFKRFNTIPWIIKSKEFIDFIIQHKDFYHLVPSIHKFTKKHENTNLFSASTINDGEEEDDDDDDNDVDGNDDDNNKEKVDDTSNKKDSIVKFKDDITIYLNVRQRFLQFSNFELANYFHTKLLECQKESENQINSNIQSINKEIESLSTSSTNTASSTRSKASSNSNQLKKKEDLEQQIIELQSLLKNKYFSNKEIYYLSFLRALKDCDISTIESIDKVVSIDSDQNNNKFQILFQKSFLENQPLKNGISPEFYDFKKVGPSSHPDVYAEGEYDEHDEYDDYQGKLDNHIDIKDSKFTKDENSRDQLFNYLKSSGFKSFTPSIFCYLLELLLLINTEKSNQQFQEIFKPISTTTTTSTTTTTTTTNLDNINEKIFKLSSDLLIHLVHIVDFKKFSIILDSVNLNRKLILEMIDNCKNKLSFQKRIYEFHDVRSKDYQYDINGHFSTNIKFSDAKDILKKLIDENLFPTSKDWIFIYHSLYISILQSTGVTLNNLLEVNKIYEEHEINYPSVLPNHSNYILDFNNNNNNNNNNNYYGLLNYQPYNNSGNINNESLKNVVSNFVLFYWFHLLDRKLSKLFVRSFSNSNFNEFSSSRLPCLKNSSICRIRSKRHSNTASQIFKSIYELQNFSLLEKYSTFKSYFPEERSYYYNNPSDPFYHPDGSKDFRVLIEEGEFQLAFNHLQLVATKTVSEFPPLTTSRLFQFITLEDVIELINLTTIKNFDESKDESEQQPLLKMWYGKDVKKCKDWILSCAIAKSRLDIVDLLLVKDIEYSTLPSTIEFLTGYKIIKSLFSPECDNQTLEYFLTFSNGIVLPSIKQYLIKDNIATQTKNDVFRVIRHGIGKFELLRTFIPSLGFSSCLIEKMVENRRFETLQYYMEIGLITNEDLTNQQKDQLKYENDLKHLDWVINLNHKKRVNRNQPTFTPNTNSTTATTATPLLQTRSGRTIIPIKK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAKKNRLNTTQRKTLRQKEDEYIENLKTKIDEYDPKITKAKFFKDLPISDPTLKGLRESSFIKLTEIQADSIPVSLQGHDVLAAAKTGSGKTLAFLVPVIEKLYREKWTEFDGLGALIISPTRELAMQIYEVLTKIGSHTSFSAGLVIGGKDVKFELERISRINILIGTPGRILQHLDQAVGLNTSNLQMLVLDEADRCLDMGFKKTLDAIVSTLSPSRQTLLFSATQSQSVADLARLSLTDYKTVGTHDVMDGSVNKEASTPETLQQFYIEVPLADKLDILFSFIKSHLKCKMIVFLSSSKQVHFVYETFRKMQPGISLMHLHGRQKQRARTETLDKFNRAQQVCLFATDVVARGIDFPAVDWVVQVDCPEDVDTYIHRVGRCARYGKKGKSLIMLTPQEQEAFLKRLNARKIEPGKLNIKQSKKKSIKPQLQSLLFKDPELKYLGQKAFISYVRSIYVQKDKQVFKFDELPTEEFAYSLGLPGAPKIKMKGMKTIEQAKERKNAPRQLAFLSKANEDGEVIEDKSKQPRTKYDKMFERKNQTILSEHYLNITKAQAQEDEDDDFISVKRKDHEINEAELPALTLPTSRRAQKKALSKKASLASKGNASKLIFDDEGEAHPVYELEDEEEFHKRGDAEVQKTEFLTKESAVMADIDNIDKQVAKEKKQEKKRKRLEAMRREMEAAMEEEISGDEEEGKTVAYLGTGNLSDDMSDGDMPDSEGHLKKKARTVDYSHGHNPSNSVDDDIIEVEEPQTLEDLESLTAKLIQG", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTKLIAPSEIVGGVPVFKPTYEQFEDFYAYCKAINKYGMKSGVVKVIPPKEWKDKLDLPYSAETLQKIKIKSPIQQHISGNKGLFMVQNVEKNKTYNIIQWKDLSKDYVPPEDPKARRNSRKGSVSKSTKLKLKNFESSFNIDDFEQFRTEYTIDLSDFQNTERLKFLEEYYWKTLNFTTPMYGADTPGSIFPEGLNVWNVAKLPNILDHMETKVPGVNDSYLYAGLWKASFSWHLEDQDLYSINYIHFGAPKQWYSIPQEDRFKFYKFMQEQFPEEAKNCPEFLRHKMFLASPKLLQENGIRCNEIVHHEGEFMITYPYGYHAGFNYGYNLAESVNFALEEWLPIGKKAGKCHCISDSVEIDVKKLAKSWRDNNKESKGTPPLNQLPNPAMPLLHRPTLKEMESSSLRSTSPDVGHFSNFKSKSSGVSSPLLSRMKDYSNIVEPTLEDPTLKLKRISSFQEQPLNKLLKRETSQTAMLTDHEDNIVAMSLTSMANSAASSPRLPLSRLNSSNELSNAQPLLDMTNNTLAFPRPNGPSGLNPLLYISNKNISGISHSAPHSPVNPNISLIKRVKSPNIVTLNISRESSRSPIALNYEARQQHSQQHSFSTPSTVSNLSTSVLGPLSDTNDIKTPHPERPNHKTANRILKKESPVETSKSNLILSKVASTRQEDSFTSRNDDLDKEQGSSPLNSKFAPEEIVLSGKNKIYICKECQRKFSSGHHLTRHKKSVHSGEKPHSCPKCGKRFKRRDHVLQHLNKKIPCISNETTVDAPIMNPTVQPQDGKAAINQQSTPLN", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEDTGIQRGIWDGDAKAVQQCLTDIFTSVYTTCDIPENAIFGPCVLSHTSLYDSIAFIALKSTDKRTVPYIFRVDTSAANGSSEGLMWLRLVQSARDKEEQNLEAYIKNGQLFYRSLRRIAKDEELLVWYGKELTELLLLCPSRSHNKMNGSSPYTCLECSQRFQFEFPYVAHLRFRCPKRLHSADISPQDEQGGGVGTKDHGGGGGGGKDQQQQQQEAPLGPGPKFCKAGPLHHYPSPSPESSNPSAAAGGSSAKPSTDFHNLARELENSRGGSSCSPAQSLSSGSGSGGGGGHQEAELSPDGIATGGGKGKRKFPEEAAEGGGGAGLVGGRGRFVERPLPASKEDLVCTPQQYRASGSYFGLEENGRLFAPPSPETGEAKRSAFVEVKKAARAASLQEEGTADGAGVASEDQDAGGGGGSSTPAAASPVGAEKLLAPRPGGPLPSRLEGGSPARGSAFTSVPQLGSAGSTSGGGGTGAGAAGGAGGGQGAASDERKSAFSQPARSFSQLSPLVLGQKLGALEPCHPADGVGPTRLYPAAADPLAVKLQGAADLNGGCGSLPSGGGGLPKQSPFLYATAFWPKSSAAAAAAAAAAAAGPLQLQLPSALTLLPPSFTSLCLPAQNWCAKCNASFRMTSDLVYHMRSHHKKEYAMEPLVKRRREEKLKCPICNESFRERHHLSRHMTSHN", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAKHHPDLIFCRKQAGVAIGRLCEKCDGKCVICDSYVRPCTLVRICDECNYGSYQGRCVICGGPGVSDAYYCKECTIQEKDRDGCPKIVNLGSSKTDLFYERKKYGFKKR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MADVTARSLQYEYKANSNLVLQADRSLIDRTRRDEPTGEVLSLVGKLEGTRMGDKAQRTKPQMQEERRAKRRKRDEDRHDINKMKGYTLLSEGIDEMVGIIYKPKTKETRETYEVLLSFIQAALGDQPRDILCGAADEVLAVLKNEKLRDKERRKEIDLLLGQTDDTRYHVLVNLGKKITDYGGDKEIQNMDDNIDETYGVNVQFESDEEEGDEDVYGEVREEASDDDMEGDEAVVRCTLSANLVASGELMSSKKKDLHPRDIDAFWLQRQLSRFYDDAIVSQKKADEVLEILKTASDDRECENQLVLLLGFNTFDFIKVLRQHRMMILYCTLLASAQSEAEKERIMGKMEADPELSKFLYQLHETEKEDLIREERSRRERVRQSRMDTDLETMDLDQGGEALAPRQVLDLEDLVFTQGSHFMANKRCQLPDGSFRRQRKGYEEVHVPALKPKPFGSEEQLLPVEKLPKYAQAGFEGFKTLNRIQSKLYRAALETDENLLLCAPTGAGKTNVALMCMLREIGKHINMDGTINVDDFKIIYIAPMRSLVQEMVGSFGKRLATYGITVAELTGDHQLCKEEISATQIIVCTPEKWDIITRKGGERTYTQLVRLIILDEIHLLHDDRGPVLEALVARAIRNIEMTQEDVRLIGLSATLPNYEDVATFLRVDPAKGLFYFDNSFRPVPLEQTYVGITEKKAIKRFQIMNEIVYEKIMEHAGKNQVLVFVHSRKETGKTARAIRDMCLEKDTLGLFLREGSASTEVLRTEAEQCKNLELKDLLPYGFAIHHAGMTRVDRTLVEDLFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQVYSPEKGRWTELGALDILQMLGRAGRPQYDTKGEGILITSHGELQYYLSLLNQQLPIESQMVSKLPDMLNAEIVLGNVQNAKDAVNWLGYAYLYIRMLRSPTLYGISHDDLKGDPLLDQRRLDLVHTAALMLDKNNLVKYDKKTGNFQVTELGRIASHYYITNDTVQTYNQLLKPTLSEIELFRVFSLSSEFKNITVREEEKLELQKLLERVPIPVKESIEEPSAKINVLLQAFISQLKLEGFALMADMVYVTQSAGRLMRAIFEIVLNRGWAQLTDKTLNLCKMIDKRMWQSMCPLRQFRKLPEEVVKKIEKKNFPFERLYDLNHNEIGELIRMPKMGKTIHKYVHLFPKLELSVHLQPITRSTLKVELTITPDFQWDEKVHGSSEAFWILVEDVDSEVILHHEYFLLKAKYAQDEHLITFFVPVFEPLPPQYFIRVVSDRWLSCETQLPVSFRHLILPEKYPPPTELLDLQPLPVSALRNSAFESLYQDKFPFFNPIQTQVFNTVYNSDDNVFVGAPTGSGKTICAEFAILRMLLQSSEGRCVYITPMEALAEQVYMDWYEKFQDRLNKKVVLLTGETSTDLKLLGKGNIIISTPEKWDILSRRWKQRKNVQNINLFVVDEVHLIGGENGPVLEVICSRMRYISSQIERPIRIVALSSSLSNAKDVAHWLGCSATSTFNFHPNVRPVPLELHIQGFNISHTQTRLLSMAKPVYHAITKHSPKKPVIVFVPSRKQTRLTAIDILTTCAADIQRQRFLHCTEKDLIPYLEKLSDSTLKETLLNGVGYLHEGLSPMERRLVEQLFSSGAIQVVVASRSLCWGMNVAAHLVIIMDTQYYNGKIHAYVDYPIYDVLQMVGHANRPLQDDEGRCVIMCQGSKKDFFKKFLYEPLPVESHLDHCMHDHFNAEIVTKTIENKQDAVDYLTWTFLYRRMTQNPNYYNLQGISHRHLSDHLSELVEQTLSDLEQSKCISIEDEMDVAPLNLGMIAAYYYINYTTIELFSMSLNAKTKVRGLIEIISNAAEYENIPIRHHEDNLLRQLAQKVPHKLNNPKFNDPHVKTNLLLQAHLSRMQLSAELQSDTEEILSKAIRLIQACVDVLSSNGWLSPALAAMELAQMVTQAMWSKDSYLKQLPHFTSEHIKRCTDKGVESVFDIMEMEDEERNALLQLTDSQIADVARFCNRYPNIELSYEVVDKDSIRSGGPVVVLVQLEREEEVTGPVIAPLFPQKREEGWWVVIGDAKSNSLISIKRLTLQQKAKVKLDFVAPATGAHNYTLYFMSDAYMGCDQEYKFSVDVKEAETDSDSD", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAHAGSGGSAGRGFGGSRWGRSGSGGHEKLPVHVEDALTYLDQVKIRFGSDPATYNGFLEIMKEFKSQSIDTPGVIRRVSQLFHEHPDLIVGFNAFLPLGYRIDIPKNGKLNIQSPLSSQDNSHSHGDCGEDFKQMSYKEDRGQVPLESDSVEFNNAISYVNKIKTRFLDHPEIYRSFLEILHTYQKEQLHTKGRPFRGMSEEEVFTEVANLFRGQEDLLSEFGQFLPEAKRSLFTGNGSCEMNSGQKNEEKSLEHNKKRSRPSLLRPVSAPAKKKMKLRGTKDLSIAAVGKYGTLQEFSFFDKVRRVLKSQEVYENFLRCIALFNQELVSGSELLQLVSPFLGKFPELFAQFKSFLGVKELSFAPPMSDRSGDGISREIDYASCKRIGSSYRALPKTYQQPKCSGRTAICKEVLNDTWVSFPSWSEDSTFVSSKKTPYEEQLHRCEDERFELDVVLETNLATIRVLESVQKKLSRMAPEDQEKLRLDDCLGGTSEVIQRRAIHRIYGDKAPEVIESLKRNPATAVPVVLKRLKAKEEEWREAQQGFNKIWREQYEKAYLKSLDHQAVNFKQNDTKALRSKSLLNEIESVYDEHQEQHSEGRSAPSSEPHLIFVYEDRQILEDAAALISYYVKRQPAIQKEDQGTIRQLLHRFLPSLFFSQQCPGTSDDSADERDRDRDSAEPERRRPTDEKPPADASPEPPKVLDDVYSLFFANNNWYFFLRLHQTLCARLLKIYRQAQKQLLEHRREQEREQLLCEGRREKAADPAMELRLKQPSEVELEEYYPAFLDMVRSLLEGSIDPTQYEDTLREMFTIHAYIGFTMDKLVQNIARQLHHLVSDDVCLKVVELYLNEQQRGAAGGNLSSRCVRAARETSYQWKAERCMADENCFKVMFLQRRGQVIMTIELLDTEEAQTEDPVEVQHLARYVEQYVGSEGASSSSTEGFLLKPVFLQRNLKKFRRWQCEQVRAMRGEAKSSWKRLMGVESACDVDCRFRLGTHKMVFIVNSEDYMYRRGTLCRAKQVQPLVLLRHHRHFEEWHGRWLEDNVTVAAAGLVQDWLMGEEEEDMVPCKTLCETAHVHGLPVTRYRVQYSRRPASP", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAQDQGEKENPMRELRIRKLCLNICVGESGDRLTRAAKVLEQLTGQTPVFSKARYTVRSFGIRRNEKIAVHCTVRGAKAEEILEKGLKVREYELRKNNFSDTGNFGFGIQEHIDLGIKYDPSIGIYGLDFYVVLGRPGFSIADKKRRTGCIGAKHRISKEEAMRWFQQKYDGIILPGK", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MARPVNTNAETESRGRPTQGGGYASNNNGSCNNNNGSNNNNNNNNNNNNNSNNSNNNNGPTSSGRTNGKQRLTAAQQQYIKNLIETHITDNHPDLRPKSHPMDFEEYTDAFLRRYKDHFQLDVPDNLTLQGYLLGSKLGAKTYSYKRNTQGQHDKRIHKRDLANVVRRHFDEHSIKETDCIPQFIYKVKNQKKKFKMEFRG", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAEPAPAVWPSAPDLTPAPGTPSEAAPPRDNWVYWAMLPPPPPPLSSPVAGSEQSRKGQPHVLPQPPSGALPPFDAQILPAAQPPFDAQAPPDAQSQFSGQQAWNLQASTPWYWGLSPNGFSTYHTSYQSPVTHSYFPRSHDAKFNLPQNRKQKTKKRKEPVFHFFCDTCDRGFKNQEKYDTHMSEHTKCPEVDCSFSAHEKIVQFHWRNMHAPGMKKIKLDTPEEIARWREERRKNYPTLANIERKKKLQLEKAKRGEVLTTTQYGKMKGMSRHSQMAKIRSPGKHHKWRRGGARQRAVVGLGNHARDSKPEVPSKANVDPLGALIHSDSESDKEEKAQRTVVPKEVTPALCSLMSSYGDVSGSDSEPEEAPIKTEAEVLAENHVLHSSPPKSPKQNVQTTGRTVSRSKWENQRNGLRKISLKRKKSHCHPLFEPRTHHPYLLEMLLAPDIRHERNVILQCVRYIIKKDFFGLNTDSVKTEEV", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAADSDDGAVSAPAASDGGVSKSTTSGEELVVQVPVVDVQSNNFKEMWPSLLLAIKTANFVAVDTELSGLGDRKSLLNQCIEERYKAVCHAARTRSILSLGLACFKRQPDKGEHSYLAQVFNLTLLCMEEYVIEPKSVQFLIQHGFNFNQQYAQGIPYHKGNDKGDESQSQSVRTLFLELIRARRPLVLHNGLIDLVFLYQNFYAHLPESLGTFTADLCEMFPAGIYDTKYAAEFHARFVASYLEYAFRKCERENGKQRAAGSPHLTLEFCNYPSSMRDHIDYRCCLPPATHRPHPTSICDNFSAYGWCPLGPQCPQSHDIDLIIDTDEAAAEDKRRRRRRREKRKRALLNLPGTQTSGEAKDGPPKKQVCGDSIKPEETEQEVAADETRNLPHSKQGNKNDLEMGIKAARPEIADRATSEVPGSQASPNPVPGDGLHRAGFDAFMTGYVMAYVEVSQGPQPCSSGPWLPECHNKVYLSGKAVPLTVAKSQFSRSSKAHNQKMKLTWGSS", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTLAASSQRSQIIRSKFRSVLQLRIHRRNQDCTSDSDPWISASGPALAPALPTVPASFLVSPGVLSPEPAYCPWRAPKKESPKNSQHWKEPKVRGNLTYHLYMPPEQRQGPRANLQVERSTLGPPDPPLWEKNSQRPHPRMKPSSAGVSSPSPPSHKLELQTLKLEELTVSELRQQLRLRGLPVSGTKAMLLERMRGGTPPRERPKPRREDKEAAAPWPRLKPKALGTTRLPSTVKASATNRRLKFSGATDPLGAAPAPASVPAPTPSPALAPTPTPAPVPAPAPAPFPTPPASLTLEEELQEAIRRAQLLPNRNIDDILEDQVEPDDLLPPVPLDFPGSFDLLSPSPDSEGFSSVFSSSLPSPTSSLSPSPRALTDSLDWLEALSGGPPLGSGPPGPSIFSADLSDPSGSLLWELLPDPW", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDYDSYQHYFYDYDCGEDFYRSTAPSEDIWKKFELVPSPPTSPPWGLGPGAGDPAPGIGPPEPWPGGCTGDEAESRGHSKGWGRNYASIIRRDCMWSGFSARERLERAVSDRLAPGAPRGNPPKASAAPDCTPSLEAGNPAPAAPCPLGEPKTQACSGSESPSDSENEEIDVVTVEKRQSLGIRKPVTITVRADPLDPCMKHFHISIHQQQHNYAARFPPESCSQEEASERGPQEEVLERDAAGEKEDEEDEEIVSPPPVESEAAQSCHPKPVSSDTEDVTKRKNHNFLERKRRNDLRSRFLALRDQVPTLASCSKAPKVVILSKALEYLQALVGAEKRMATEKRQLRCRQQQLQKRIAYLTGY", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "METESDDATITVVKDMRVRLENRIRTQHDAHLDLLSSLQSIVPDIVPSLDLSLKLISSFTNRPFVATPPLPEPKVEKKHHPIVKLGTQLQQLHGHDSKSMLVDSNQRDAEADGSSGSPMALVRAMVAECLLQRVPFSPTDSSTVLRKLENDQNARPAEKAALRDLGGECGPILAVETALKSMAEENGSVELEEFEVSGKPRIMVLAIDRTRLLKELPESFQGNNESNRVVETPNSIENATVSGGGFGVSGSGNFPRPEMWGGDPNMGFRPMMNAPRGMQMMGMHHPMGIMGRPPPFPLPLPLPVPSNQKLRSEEEDLKDVEALLSKKSFKEKQQSRTGEELLDLIHRPTAKEAATAAKFKSKGGSQVKYYCRYLTKEDCRLQSGSHIACNKRHFRRLIASHTDVSLGDCSFLDTCRHMKTCKYVHYELDMADAMMAGPDKALKPLRADYCSEAELGEAQWINCDIRSFRMDILGTFGVVMADPPWDIHMELPYGTMADDEMRTLNVPSLQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYAMLERIMPRARKLELFARMHNAHAGWLSLGNQLNGVRLINEGLRARFKASYPEIDVQPPSPPRASAMETDNEPMAIDSITA", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTEETITIDSISNGILNNLLTTLIQDIVARETTQQQLLKTRYPDLRSYYFDPNGSLDINGLQKQQESSQYIHCENCGRDVSANRLAAHLQRCLSRGARR", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEENEVESSSDAAPRPGQPEEPSESGLGVCTSEAVSADSSDAATVPGLTEADDSGVGQSSDGGNHSVEEVSESISTDPLPHGCLPDSSSVSRGPVAEMPGGPPALVHSSVLPDPSMLVSDCTASSSDLGSAIDKIIESTIGPDLIQSCITVTSGEEGGAETTQYLILQGPDDGAPMASSMSTSTLANSLAAIEALADGPTSTSACLEPPEEPQGDPSSVAQQPPAPVTEELDLQSLEAMMEVVVVQQFKCKMCQYRSSTKATLLRHMRERHFRPALAAAAAATGKRGRVRKWGTSTKTTEEDRPEEEEEDDDIVDAGAIDDLEEDSDYNPAEDEPRGRQLRLQRPTPSTPRPRRRPGRPRKLPRLETSDLHDGVGQPLVSSQSTQSPPELQDLEAPSSSGLRALGKVGRGLVESGVSQSDAENAAPSCQDEADAPPRRRGRPSRRFLGKKYRKYYYKSPKPLLRPYLCRICGSRFLSHEDLRFHVNSHEAGDPQLFRCLQCSYRSRRWSSLKEHMFNHVGSKPYKCDECSYTSVYRKDVIRHAAVHSQDRKKRPDPTPKLSSFPCPVCGRVYPMQKRLTQHMKTHSTEKPHMCDKCGKSFKKRYTFKMHLLTHIQAVANRRFKCEFCEFVCEDKKALLNHQLSHVSDKPFKCSFCPYRTFREDFLLSHVAVKHTGAKPFACEYCHFSTRHKKNLRLHVRCRHANSFEEWGRRHPEEPPSRRRPFFSLQQIEELKQQHSTAPGPPLSSPGPEAPQEPAPFQSPETPPLLCPDALGGTTIIYQQGAEESTAVATQTALDLLLNMSAQRELGATALQVAVVKSEGIEAELTSTGGQPSPEDTTPRVVTLHMAESGSSVAAESQLGPSDLQQIALPSGPFGGASYSVITAPPVEGRTSASGPPYREEPPGEAAQAVVVSDTLKEAGTHYIMAADGTQLHHIELTADGSISFPSPDTLAPGTKWPLLQCGGPPRDGSEVLSPTKTHHMGGSQGSSTPPPAASHTLGLVVPQSPPSAAASSTKKFSCKVCSEAFPSRAEMESHKRAHAGPAAFKCPDCPFSARQWPEVRAHMAQHSSLRPHQCNQCSFASKNKKDLRRHMLTHTNEKPFSCHVCGQRFNRNGHLKFHIQRLHSIDGRKTGTSTARAPAQTIILNSEEETLATLHTAFQSSHGVLGTERLQQALSQEHIIVAQEQTVTNQEEATYIQEITADGQTVQHLVTSDNQVQYIISQDGVQHLLPQEYVVVPDGHHIQVQEGQITHIQYEQGTPFLQESQIQYVPVSPSQQLVTQAQLEAAAHSAVTAVADAAMAQAQGLFGTEEAVPEQIHQLQHQGIEYDVITLSDD", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPSMLGSMMVASTSAPSLQEALENAGRLIDRQLQEDRMYPDLSELLMVSAPNSPTVSGMSDMDYPLQGPGLLSVPSLPEISTIRRVPLRLSWLNSLDTCSVTAMMGVFPPISRAWLTIDSDIFMWNYEDGGDLAYFDGLSETILAVGLVKPKAGIFQPHVRHLLVLATPVDIVILGLSYANVQTGSGILNDSVCGGLQLLPDPLYSLPTDNTYLLTITSTDNGRIFLAGKDGCLYEVAYQAEAGWFSQRCRKINHSKSSLSFLVPSLLQFTFSEDDPIVQIEIDNSRNILYTRSEKGVIQVYDLGHDGQGMSRVASVSQNAIVCAAGNIARTIDRSVFKPIVQIAVIENSESLDCQLLAVTHAGVRLYFSTCPFRQPLARPNTLTLVHVRLPPGFSASSTVEKPSKVHKALYSKGILLMTASENEDNDILWCVNHDTFPFQKPMMETQMTTRVDGHSWALSAIDELKVDKIITPLNKDHIPITDSPVVVQQHMLPPKKFVLLSAQGSLMFHKLRPVDQLRHLLVSNVGGDGEEIERFFKLHQEDQACATCLILACSTAACDREVSAWATRAFFRYGGEAQMRFPATLPTPSNVGPILGSPMYSSSPVPTGSPYPNPSSLGTPSHGAQPPTMSTPMSAVGNPAMQAASLSGLTGPEIVYSGKHNGICIYFSRIMGNIWDASLVVERVFKSSNREITAIESSVPIQLLESVLQELKGLQEFLDRNSQFSGGPLGNPNTTAKVQQRLLGVMRPENGNTQQMQQELQRKFHEAQLSEKISLQAIQQLVRKSYQALALWKLLCEHQFTVIVGELQKEFQEQLKITTFKDLVIREKEVTGALIASLINCYIRDNAAVDGISLHLQDTCPLLYSTDDAVCSKANELLQRSRQVQSKSERERMLRESLKEYQKISNQVDLPSVCAQYRQVRFYEGVVELSLTAAEKKDPQGLGLHFYKHGEPEEDVVGLQTFQERLNSYKCITDTLQELVNQSKAAPQSPSVPKKPGPPVLSSDPNMLSNEEAGHHFEQMLKLAQRSKDELFSIALYNWLIQADLADKLLQIASPFLEPHLVRMAKVDQNRVRYMDLLWRYYEKNRSFSSAARVLSKLADMHSTEISLQQRLEYIARAILSAKSSTAISSIAADGEFLHELEEKMEVARIQLQIQETLQRQYSHHSSVQDAISQLDSELMDITKLYGEFADPFKLAECKLAIIHCAGYSDPILVHTLWQDIIEKELSDSVTLSSSDRMHALSLKLVLLGKIYAGTPRFFPLDFIVQFLEQQVCTLNWDVGFVIQTMNEIGVPLPRLLEVYDQLFKSRDPFWNRVKSPLHLLDCIHVLLTRYVENPSLVLNCERRRFTNLCLDAVCGYLVELQSMSSSVAVQAITGNFKSLQAKLERLH", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSKSRAEAAAGAPGIILRYLQEQNRPYSAQDVFGNLQKEHGLGKAAVVKALDQLAQEGKIKEKTYGKQKIYFADQNQFDTVSDADLHGLDASIVALTAKVQSLQQSCRHMEAELKELTSALTTPEMQKEIQELKKECAQYTERLKNIKAATNHVTPEEKEKVYRDRQKYCKEWRKRKRMTTELCDAILEGYPKSKKQFFEEVGIETDEDHNVLLPDP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDSDDSFVKTAHVIETSTPENKKLSHRFKSVEIVPPSSSNDDPFGFSSTKGIRLSSINSNDLVNTLSKGFNETSNMSYNRILPSSPPTLEIGEIDYNEALQIRSADENQQSVPTVSIASPSTPELPPSSSPLLPPNGSESSSPIPLSLLSTSSLQQRKITPSNLSNTSKPMDSKQLERLIPVPHGHHLTRLRKKRRRDDDIDLSGLYETKSSSPPAIHSDEDPSYSDSIARSPVKSAFNLRKRRKGVKEKKILKTYHSQDKDTASDNDNNTGSSDEENDNLKELTPGKKEYLKSIKKYFQDVDDYQLHVVNEG", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNLELLESFGQNYPEEADGTLDCISMALTCTFNRWGTLLAVGCNDGRIVIWDFLTRGIAKIISAHIHPVCSLCWSRDGHKLVSASTDNIVSQWDVLSGDCDQRFRFPSPILKVQYHPRDQNKVLVCPMKSAPVMLTLSDSKHVVLPVDDDSDLNVVASFDRRGEYIYTGNAKGKILVLKTDSQDLVASFRVTTGTSNTTAIKSIEFARKGSCFLINTADRIIRVYDGREILTCGRDGEPEPMQKLQDLVNRTPWKKCCFSGDGEYIVAGSARQHALYIWEKSIGNLVKILHGTRGELLLDVAWHPVRPIIASISSGVVSIWAQNQVENWSAFAPDFKELDENVEYEERESEFDIEDEDKSEPEQTGADAAEDEEVDVTSVDPIAAFCSSDEELEDSKALLYLPIAPEVEDPEENPYGPPPDAVQTSLMDEGASSEKKRQSSADGSQPPKKKPKTTNIELQGVPNDEVHPLLGVKGDGKSKKKQAGRPKGSKGKEKDSPFKPKLYKGDRGLPLEGSAKGKVQAELSQPLTAGGAISELL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAPYIMIVEFLCLWSSVSAVNCPFFVFYDAITSLLGFSIIWKPKEKVTIMAEAADFVKNKINNGTYSVANKHKGKSVIWSILCDILKEDETVLDGWLFCRQCQKVLKFLHKNTSNLSRHKCCLTLRRPTELKIVSENDKKVAIEKCTQWVVQDCRPFSAVTGAGFKNLVKFFLQIGAIYGEQVDVDDLLPDPTTLSRKAKSDAEEKRSLISSEIKKAVDSGRASATVDMWTDQYVQRNFLGITFHYEKEFKLCDMILGLKSMNFQKSTAENILMKIKGLFSEFNVENIDNVKFVTDRGANIKKALEGNTRLNCSSHLLSNVLEKSFNEANELKKIVKSCKKIVKYCKKSNLQHTLETTLKSACPTRWNSNYKMMKSILDNWRSVDKILGEADIHVDFNKSSLKVVVDILGDFERIFKKLQTSSSPSICFVLPSISKILELCEPNILDLSAAALLKERILENIRKIWMANLSIWHKAAFFLYPPAAHLQEEDILEIKVFCISQIQVPISYTLSLESTETPRTPETPETPETPETPETPETPETPETPETPESLESPNLFPKKNKTISSENEFFFPKPVTESNSNFNESPLDEIERYIRQRVPLSQNFEVIEWWKNNANLYPQLSKLALKLLSIPASSAELKECFP", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNIDDKLEGLFLKCGGIDEMQSSRAMVVMGGVSGQSAVSGELQESVLQDRSLPHQEILAADEVLQESEMRQQDMISHDELMVHEETVKNDEEQTDTHERLPQGLQYALNVPISVKQEITFTDVSEQLMRDKKQVREPVDLQKKKKRKQRSPAKILTINEDGSLGLKTPKSHVCEHCNAAFRTNYHLQRHVFIHTGEKPFQCSQCDMRFIQKYLLQRHEKIHTGEKPFRCDECGMRFIQKYHMERHKRTHSGEKPYQCEYCLQYFSRTDRVLKHKRMCHENHDKKLNRCAIKGGLLTSEEDSGFSTSPKDNSLPKKKRQKPEKKSSGMDKESVLDKSDTKKDRNDYLPLYSSSTKVKDEYMVAEYAVEMPHSSVGGSHLEDASGEIHPPKLVLKKINSKRSLKQPLEQSQTISPLSTYEDSKVSKYAFELVDKQALLDSEGSADIDQVDNLQEGPSKPVHSSTNYDDAMQFLKKKRYLQAASNNSREYALNVGTIASQPSVTQAAVASVIDENTTASILDSQALNVEIKSNHDKNVIPDEVLQTLLDHYSHKANGQHEISFSVADTEVTSSISINSSDVPEVTQSENVGSSSQASSSDKANMLQEYSKFLQQALDRTSQNDAYLNSPSLNFVTDNQTLPNPPAFSSIDKQVYAAMPINSFRSGMNSPLRTTPDKSHFGLIVGDSQHPFPFSGDETNHASATSTADFLDQVTSQKKAEAQPVHQAYQMSSFEQPFRAPYHGSRAGIATQFSTANGQVNLRGPGTSAEFSEFPLVNVNDNRAGMTSSPDATTGQTFG", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAGARPGVHALQLEPPTVVETLRRGSKFIKWDEEASSRNLVTLRVDPNGFFLYWTGPNMEVDTLDISSIRDTRTGRYARLPKDPKIREVLGFGGPDTRLEEKLMTVVAGPDPVNTTFLNFMAVQDDTVKVWSEELFKLAMNILAQNASRNTFLRKAYTKLKLQVNQDGRIPVKNILKMFSADKKRVETALESCGLNFNRSESIRPDEFPLEIFERFLNKLCLRPDIDKILLEIGAKGKPYLTLEQLMDFINQKQRDPRLNEVLYPPLRSSQARLLIEKYETNKQFLERDQMSMEGFSRYLGGEENGILPLEALDLSMDMTQPLSAYFINSSHNTYLTAGQLAGPSSVEMYRQALLWGCRCVELDVWKGRPPEEEPFITHGFTMTTEVPLRDVLEAIAEAAFKTSPYPVILSFENHVDSAKQQAKMAEYCRSIFGDALLIDPLDKYPLSAGIPLPSPQDLMGRILVKNKKRHRPSTGVPDSSVRKRPLEQSNSALSESSAATEPSSPQLGSPSSDSCPGLSNGEEVGLEKTSLEPQKSLGEESLSREPNVPMPDRDREDEEEDEEEEETTDPKKPTTDEGTASSEVNATEEMSTLVNYVEPVKFKSFEAARKRNKCFEMSSFVETKAMEQLTKSPMEFVEYNKQQLSRIYPKGTRVDSSNYMPQLFWNVGCQLVALNFQTLDLPMQLNAGVFEYNGRSGYLLKPEFMRRPDKSFDPFTEVIVDGIVANALRVKVISGQFLSDKKVGIYVEVDMFGLPVDTRRKYRTRTSQGNSFNPVWDEEPFDFPKVVLPTLASLRIAAFEEGGKFVGHRILPVSAIRSGYHYVCLRNEANQPLCLPALLIYTEASDYIPDDHQDYAEALINPIKHVSLMDQRAKQLAALIGESEAQASTETYQETPCQQPGSQLPSNPTPNPLDASPRWPPGPTTSSTSSSLSSPGQRDDLIASILSEVTPTPLEELRSHKAMVKLRSRQDRDLRELHKKHQRKAVALTRRLLDGLAQARAEGKCRPSPSALGKATNSEDVKEEEEAKQYREFQNRQVQSLLELREAQADVETKRKLEHLRQAHQRLKEVVLDTHTTQFKRLKELNEREKKELQKILDRKRNNSISEAKTREKHKKEVELTEINRRHITESVNSIRRLEEAQKQRHERLVAGQQQVLQQLEEEEPKLLAQLTQECQEQRERLPQEIRRCLLGETAEGLGDGPLVACASNGHAPGSGGHLSSADSESQEENTQL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSGEGNLGKDHEEENEAPLPGFRFHPTDEELLGYYLRRKVENKTIKLELIKQIDIYKYDPWDLPRVSSVGEKEWYFFCMRGRKYRNSVRPNRVTGSGFWKATGIDKPVYSNLDCVGLKKSLVYYLGSAGKGTKTDWMMHEFRLPSTTKTDSPAQQAEVWTLCRIFKRVTSQRNPTILPPNRKPVITLTDTCSKTSSLDSDHTSHRTVDSMSHEPPLPQPQNPYWNQHIVGFNQPTYTGNDNNLLMSFWNGNGGDFIGDSASWDELRSVIDGNTKP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTHSLVCPETVSRVSSVLNRNTRQFGKKHLFDQDEETCWNSDQGPSQWVTLEFPQLIRVSQLQIQFQGGFSSRRGCLEGSQGTQALHKIVDFYPEDNNSLQTFPIPAAEVDRLKVTFEDATDFFGRVVIYHLRVLGEKV", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAASQAVEEMRSRVVLGEFGVRNVHTTDFPGNYSGYDDAWDQDRFEKNFRVDVVHMDENSLEFDMVGIDAAIANAFRRILLAEVPTMAVEKVLVYNNTSIVQDEILAHRLGLIPIHADPRLFEYRNQGDEEGTEIDTLQFRLQVRCTRNPHAAKDSSDPNELYVNHKVYTRHMTWIPLGNQADLFPEGTIRPVHDDILIAQLRPGQEIDLLMHCVKGIGKDHAKFSPVATASYRLLPDITLLEPVEGEAAEELSRCFSPGVIEVQEVQGKKVARVANPRLDTFSREIFRNEKLKKVVRLARVRDHYIFSVESTGVLPPDVLVSEAIKVLMGKCRRFLDELDAVQMD", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDFPGTLRPSLFKAGPLGMTDGPDLSFMCSWRDALTLPGSQPQNCKDPTLSFAKNLLWEPSTPGPLPLLMPPDPDPWDPGVTAQDFLFRGGHCYQYQSQAVLDVTEQLSRFLWDHGDIAFAPLGRLMLENFRLEGNRGYSKKMTIVSAKKLLQDLGGHQPWGCPWASLSRRLRRFSIVGGPVLSRSVSLLMGKLLHEELAMRWEQLLMDEAFTGGALAWLPGRTARAGQLVYPSGGALDKLYFQEVSVTSGGNPRILENPGHVQLRGPVRQVVTSTVQGETLLAVRSDYHCATWKIDKQGPPALLQVMQVEKGATGISLSPHLSGELAICSRSGAVCLWTPQAGLQTIYKDTETLAFRDPSPWRWADFTAHPRVLTVGDRTGVKMVDIQGPPGCGLLLFRAGAEAACQKGERVLLAQYLGQPGQTPPSLHLICTQFSIYLMDERLPLVPMLKWDHGLPSAPLLARLLPPASPGHPRPLLLGGQGGQLQLLHITGEGTSMPQLAGPPQSLPSITESLSAFPLLEPKKQQLLQERLEAPVIGLAAVPLCASAPGLLLFQLSAAGDVFYQHLRLLQASSPRKVPEQATAPSVDQVSTPSWTPQASARCSRWLEDLMELSPTRPLWVAPTFSHRRFLGHMERQKSQETMPQKLRAAMAKGQLLRPGDLSTLPRAEPPPAPQCSQQDELTERLTEAWEGRVTAWWRRHRGETSETQTQSKRPKRRTQLSSTFSSFTSYLDSPDASSAPRSQDLSTSEARLQSPRVPPSQELTQEVWGQGVKRERRQTLRDHTDKLPLKRDTPGPVATPPSQASSLQTMSFRQQTPVHSGSQPPQKKPRMGF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPEGPLVRKFHHLVSPFVGQQVVKTGGSSKKLQPASLQSLWLQDTQVHGKKLFLRFDLDEEMGPPGSSPTPEPPQKEVQKEGAADPKQVGEPSGQKTLDGSSRSAELVPQGEDDSEYLERDAPAGDAGRWLRVSFGLFGSVWVNDFSRAKKANKRGDWRDPSPRLVLHFGGGGFLAFYNCQLSWSSSPVVTPTCDILSEKFHRGQALEALGQAQPVCYTLLDQRYFSGLGNIIKNEALYRAGIHPLSLGSVLSASRREVLVDHVVEFSTAWLQGKFQGRPQHTQVYQKEQCPAGHQVMKEAFGPEDGLQRLTWWCPQCQPQLSEEPEQCQFS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSMQSPVSVQLAPDSASTMVNCTIKSEEKKEPCHEAPQGAAPAVETQPGDPALASQDATNAKAPPQDCASPESSGSPEPKRPAASEAASGSQERLDFNRNLQEVVPAIEKLLSSDWKERFLGRSNVEAKDVKGTQESLAEKELQLLVMIHQLSALRDQLLTAHSEQKNMAAMLFEKQQQQMELARQQQEQIAKQQQQLIQQQHKINLLQQQIQQVNMPYVMIPAFPPSHQPLPVTPDSQLALPIQPIPCKPVEYPLQLLHSPPAPVVKRSGVAAHHPLQEPPQPLNLTAKPKVPELPNTSSSPSLKMNSCGPRPASHGAPTRDLQSSPPSLPLGFLGEGDAVTKAIQDARQLLHSHSGALENSPNTPFRKDLISLDSSPAKERLEESCVHPLEEAMLSCDMDGSRHFSESRNSSHIKRPMNAFMVWAKDERRKILQAFPDMHNSSISKILGSRWKSMTNQEKQPYYEEQARLSRQHLEKYPDYKYKPRPKRTCVVEGRRLRVGEYKALMRTRRQGARQSYTIPPQAGQAQVSSDILFPRAAGLPLARPLVEHYDPQGLDPNMPVIINTCSLREEGEGTDDRHSVADGEMYRYSEDEDSEGDEKSDEELVVLTD", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDTEGFGELLQQAEQLAAETEGISELPHVERNLQEIQQAGERLRSRTLTRTSQETADVKASVLLGSRGLDISHISQRLESLSAATTFEPLEPVKDTDIQGFLKNEKDNALLSAIEESRKRTFGMAEEYHRESMLVEWEQVKQRILHTLLASGEDALDFTQESEPSYVSDVSPPGRSSLDSIEMAYARQIYIYNEKIVSGHLQPNLVDLCASVAELDDKSISDMWAMVKQMTDVVLTPATDALKSRSSVEVRMDFVKQALGYLEQSYKNYTLVTVFGNLHQAQLGGVPGTYQLVRSFLNIKLPAPSPGLQDGEVEGHPVWALIYYCMRCGDLLAASQVVSRAQHQLGEFKTWFQEYMNSKDRRLSPATENKLRLHYRRALRNNTDPYKRAVYCIIGRCDITDNQSEVADKTEDYLWLKLNQVCFDDDGTSSPQDRLTLSQFQKQLLEDYGESHFTVNQQPFLYFQVLFLTAQFEAAIAFLFRMERLRCHAVHVALVLFELKLLLKSSGQSAQLLSHEPGDPPCMRRLNFVRLLMLYTRKFESTDPREALQYFYFLRDEKDSQGENMFLRCVSELVIESREFDMILGKLENDGSRKPGVIDKFTSDTKPIINKVASVAENKGLFEEAAKLYDLAKNADKVLELMNKLLSPVVPQISAPQSNKERLKNMALSIAERYRAQGISANKFVDSTFYLLLDLITFFDEYHSGHIDRAFDIIDRLKLVPLNQESMEERVAAFRNFSDEIRHNLSEVLLATMNILFTQFKRLKGTSPSSATRPQRVIEDRDSQLRSQARALITFAGMIPYRTSGDTNARLVQMEVLMN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKEEEEIGKPAKPKAKKDVAPGRLIDTYAAQCDNCHKWRVIDSQEEYEDIRSKMLEDPFNCQKKQGMSCEEPADIDYDSSRTWVIDKPGLPKTPKGFKRSLVLRKDYSKMDTYYFTPTGKKLRSRNEIAAFVEANPEFRNAPLGDFNFTVPKVMEDTVPPDPKLGSPFPSTTTTTSEKSSVKQSHN", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MESTDSSGGPPPPQPNLPPGFRFHPTDEELVVHYLKRKAASAPLPVAIIAEVDLYKFDPWELPAKASFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLASDGNQKVGVKKALVFYSGKPPKGVKSDWIMHEYRLIENKPNNRPPGCDFGNKKNSLRLDDWVLCRIYKKNNASRHVDNDKDHDMIDYIFRKIPPSLSMAAASTGLHQHHHNVSRSMNFFPGKFSGGGYGIFSDGGNTSIYDGGGMINNIGTDSVDHDNNADVVGLNHASSSGPMMMANLKRTLPVPYWPVADEEQDASPSKRFHGVGGGGGDCSNMSSSMMEETPPLMQQQGGVLGDGLFRTTSYQLPGLNWYSS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSETKPKRDSEYEGSNIKRMRLDDDDDVLRSPTRTLSSSSSSSLAYSVSDSGGFCSVALSEEEDDHLSSSISSGCSSSETNEIATRLPFSDLEAHEISETEISTLLTNNFRKQGISSSENLGETAEMDSATTEMRDQRKTEKKKKMEKSPTQAELDDFFSAAERYEQKRFTEKYNYDIVNDTPLEGRYQWVSLKP", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAFNFGAPSGTSGTSTATAAPAGGFGGFGTTTTTAGSAFSFSAPTNTGSTGLLGGTQNKGFGFGTGFGTSTGTGTGLGTGLGTGLGFGGFNTQQQQQQQQTSLGGLFSQPAQAPAQSNQLINTASALSAPTLLGDERDAILAKWNQLQAFWGTGKGYFNNNIPPVEFTQENPFCRFKAVGYSCMPNNKDEDGLVVLIFNKKETDIRSQQQQLVESLHKVLGGNQTLTVNVEGIKTLPDDQTEVVIYIVERSPNGTSRRVPATTLYAHFEQANIKTQLQQLGVTLSMTRTELSPAQIKQLLQNPPAGVDPIIWEQAKVDNPDSEKLIPVPMVGFKELLRRLKVQDQMTKQHQTRLDIISEDISELQKNQTTTMAKIAQYKRKLMDLSHRTLQVLIKQEIQRKSGYAIQAEEEQLRVQLDTIQGELNAPTQFKGRLNELMSQIRMQNHFGAVKSEEKYYIDADLLREIKQHLKQQQEGLSHLISIIKDDLEDIKLVEHGLNETIHSRGGVFS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSLALGHGTIAGSTAAPLSEEGEVTSGLQALAVEDTGGPSVSASKAEEEGKGSQEEAGREGSRPEEALEAPSAASDERAEGEAEDWCVPCSDEEVELPANGQSWMPPPSEIQRLYELLATQGTLELQAEILPRRPPTPEAQSEEERSDEEPEAKEEEEEKPHMPTEFDFDDEPMTPKDSLIDRRRTPGSSARSQKREARLDKVLSDMKRHKKLEEQILRTGRDLFSLDSEGPSPTSPPLRSSGNSLFPRQRKY", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSAYHVGQLVDKMSNPDKDFRFMACNDLMKDLQTGTIALEDDSTAKVIRALIKLLSDSNGEVQNLAIKCIGLLAQPSKIKTHHLEYLVEELTPHVFSKAEQSRDIHSLTLKAMILNLAPSASSNATTTVVKRMLPKFVDSLSLCAPDDAARVDVLDLIGEVLLRFGDVVPEMHKGSLKVMVDHLYSFRSAIRKKAITGIGHLASVINGELYDELVQDLLKELAQRSPPSSAAQNVQLRTLVIALSTVARASGSRFSKHTPKVVPFLLQYLQIDPGTESEHDDLREASIQGLEVFLYRNPQEVVAFEKEVIQQLTDALAYDPNYEYGDDDEDEQMEDDEDDDEDEYSDDEDVTWKVRRAAAKAIEAMISSHRESLLNLSQKIGPVVIGRFKEREETVRTEIISVYIALLNQISILVPDLQKAVVAADEDSIETDDIVVIGGTKFSTNYLSRSQLAIIQSLADQKDVLLRTITKSMKKHPKTGPKCIELLSALIRTYPSGLEDSLDDIIPAVSNILTDKNASAQGKMTVLSFISNALTLNNPKRFKNLLSPLTTIMTHSISEPFYKVSAEGLAVCCKYIDVLRELSACGGNEEAKKLLVVVEKKFMANDTDQEVRERAISAISMLLAAFKDVLKNETPAILEKMTERIGRDMTCLVAFRASTHIVEAGIIFSSAQLQSILRHVVDYVKKIARSLRMTCLNFVEKLMKHSPAGSIPVEELTCVLGEMSNLISETDLQITNQAFCCLTYAFLNFPTCVSLHMQPILDSIIRLLTSPLIQGLALNSLLNLFTAIVKTDFPEKPTFESLLDSVTSPVYDNVALSRHAHMAIASCAAVITESTQNLEKSRSLAKKLAQQLQTANMSDSIRLFAMITLGELGRRVPDTYSPDFPVKPEDLAIKAFNHHHEDLKSAAAQALGALAVGNLNVYLPFILEQIRTQPKKQYLLLHALKEVIVWESSSEESTKSTDLFRSAIVDIWGMLMANAGGNEDGTRSVVAECLGRLCSFDPESLLPKLKESMRSSDPAIRSSAVSAIKYMINDEKRIVDITLQKQIGDFLAAVRDEDLKVRRVALVVLNSAAHNKPALVRDLLPDLLPAVYEETKLRKELIKEVEMGPFKHQVDEGLDLRKCAFECMFTLLESCVDKIDITQFSSVMEVGLSDQNHDVKLLNYLTLQRVANLAPGQVLQRIDRVCEPLKTQLNVRPRGNAVKQEVEKLEELKKAVIRVVYGLKLKLPEVERNPQFLDLYNTIKHTKELEALANDVLKESQRAVVYDTPMETA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFIVHRRFFQNEKAFTPAGKHEKVADGNKHETLATSEYPKVCASNDLYKILQISAPKVGNFTIHDAPVVGLIDSILEYYLYISVKVRKAWIAGYGSQPQNLICFDWERFTGILDQQSLNSPPLAGFVKPDPTSAEPGFLLLWPASGDLFYWERTSLMNVEVSAPEFPGFLHYRIQLKRYESCKNLVSAEPAGFFLTLSSGRLLHLNLKDSDGNSSIQVRILFPGLHIPNCLLSLYNSIFAFTSGRVIPIRCGSIKGPGERLVYSMHSGSTLRIWEIFGTGDHHLLRGFDIYDIILDSIQESFSYVNRFLILDFSVSTSDPYTLACLVSWRDNASLFNYAAIIISFNHQMIPHVSQFCHVRSYLSAILPSVCRIFLPSPGTVVFCVFDVTFAMFHKVRGKEGTFYVEENLVVNNLTSKSRVLDFGMEDAIYEKSTHTLLKTPALILLTEGHGIIRIESSASYLKTSFSATTYLRSRLSQFASQSPLFREQFLLNFDFTYNLSESEVYNTVFNLCDELYTTPCKKNMSVLETLHSQQRDLMEIVLVAFKYLHLSPSNRLQLWLKVAYCSALADLYELLMCNEKMSNLLRRVLKIMSSNDNIDELFLKKCLNINLLLQHLSSEYSQISGQDASNAQKRIEVIVNVNNVYGTIFGNELAYRQEKISKFTDPSSVIRSDLWTVEVDHLDLLRKQIEDSISLHRDVRDSKDAHILKVSNKLRKQILHLVEQNCLLIFDLHNGTDDTIVKSHNFQKFEEEFGNSRKEWLQYLASVGYLEKAIDLAEKVKDFQTMVILLDCLDPKDPTIKLQKQKACLNKYKEEFADVIFFYLVDTEQYASLLHDFLEYQSLLISFFEANDLLNLLWIFEAQNGNYGRASEILFRDCEPEENRIIGKISQLALAKLYSWTNRIEGENIILSNETEVIENHLAILLIQEQYAEMLSSLVDITEDEGEAVEAIVTSNCVNLSENSIRAWLVSSAVERLIQNEYTTLCEMVDFFSSFSLRECSFKEVDLALELLERATITKDVYFYLKDLVYRRFLLQVNWAEIIDAHEDLESSFFPMVKEIMLESDFLIWLQHMEHNIHDESKHYLKSEKNFLQSVYSSLSESQVEDYQMELFREKQIFSVLCKDRELYILIPKLLDVATKQ", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSGWESYYKTEGDEEAEEEQEENLEASGDYKYSGRDSLIFLVDASKAMFESQSEDELTPFDMSIQCIQSVYISKIISSDRDLLAVVFYGTEKDKNSVNFKNIYVLQELDNPGAKRILELDQFKGQQGQKRFQDMMGHGSDYSLSEVLWVCANLFSDVQFKMSHKRIMLFTNEDNPHGNDSAKASRARTKAGDLRDTGIFLDLMHLKKPGGFDISLFYRDIISIAEDEDLRVHFEESSKLEDLLRKVRAKETRKRALSRLKLKLNKDIVISVGIYNLVQKALKPPPIKLYRETNEPVKTKTRTFNTSTGGLLLPSDTKRSQIYGSRQIILEKEETEELKRFDDPGLMLMGFKPLVLLKKHHYLRPSLFVYPEESLVIGSSTLFSALLIKCLEKEVAALCRYTPRRNIPPYFVALVPQEEELDDQKIQVTPPGFQLVFLPFADDKRKMPFTEKIMATPEQVGKMKAIVEKLRFTYRSDSFENPVLQQHFRNLEALALDLMEPEQAVDLTLPKVEAMNKRLGSLVDEFKELVYPPDYNPEGKVTKRKHDNEGSGSKRPKVEYSEEELKTHISKGTLGKFTVPMLKEACRAYGLKSGLKKQELLEALTKHFQD", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSATESLVAGRTRRANAGNKMRELLEKEHLRMTQQNAEIEKEDEEYNIEEEEEAERDIEISSESSDEEAELKKLEEEGEEVEKILRDEERIKKRKIQKNRAANLQRTLQPPKRPTPSAASEVPKKKYKKIKVDPSARRTSSRMHTVLMAQSTETRLQEAKPRRKYTVSASANRQKGTMTQQQRFEEAAKTEAQNLSSLRNYVHLEEQRRLRLKRNAAKHRQLREPILKFISKTISTEDGREASNYYVAPLEHPLCHSAPPLQMPQHRAVECVITGKPAIYLDPVTQLPISNVQAFQQVREVYNQRYSWSAMLNLFH", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "METVKVTRKDLLLSPENKEIDSLDDVSALLSQRLSNVFEFSIASEEVQSDEVFEDTYPNDDQATFNLFSDVNTVVTKVTEPSIKNSRPLNYYILEDSPERQRQLQASVFTYDQLMKAKEEKWPACQKLHKVVSIKKEESKHRRRPSKKRRIRMKLLREKEEQLKRQAMAAKRNRFRKNVRKLPNKKKH", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSNTNFSTSRSSKSIPELPNLEALRSLWPPPSLNESGDTRSVWTTHTGEPVASSVLSTSGSNNFSSPLKRPAPESHDAPIGRRLMVDDPRLIKHGKYDFSRHCTDYGHSYEWPYFRSLRRESMLYHTSGSYPESQPPYSSYSTDAPHYYHAGSESSAYYDSRSRLHGIQPPPKRRTLSPPPRRLADPVVVGSSRYVEEEVYRRPPYTLASEVPSSASAYQAGYSSYPVRSSPQLSHEDTRHGIASSGSTRYPFVPANTRASHSPSLLEPYAHSLPSSVAPVGAYPEKSSYLLSNSSNDSASRKEKPKARASTPPPLNFSRASEHRNEKGERISMINPRVVLDENGISHRSRYFIMLCDNETAIAHAKKTSIWAVKKDSSKRISDAYKKASVYFIFVAQQTYNALGYAQVVSDLNSTELPFWSDSSHAGGVRIKWIKTCNLFSAEISEIVSHMDHGSEARDGMEMMYDEGSRLCTLINYAIMKRIGRDR", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGDYLLSWSWILDAFETSDENLSQNKFEELLDARIAAFQQIESPVTGTLNSNKTTEGEEAKLSIYDSSHSISKSQLESVKKISDITGYNEAQVAYVLLVHQYELNTQYFSQLDNDSVLAQEFQRRYYAEIISCWKVLAFLLQACTDADSKWHKMATRLIVSIFQTAQRSGENAQSTTPSIFCVRIIDYLSKMTSQAAPASLTFNGEEAISQWYFFHFNLQLQLLRVIFLSTYSLVVCNSEMAISWFNCMKKTRYLHDQEFMHLDIDTGFSMCKEITNVAIIISINFISLEKQVLSFKDNPSFFMLSGNTIISLHDMITQLSNDSIGAAVSLTWGIALHLLSNSPDNIPLIQNSSVVSSKILQNPQNSFQALIIAALKYDPFTLIHRIISSLEDDPYIDGYSKIMATLFSSAVSYVKFSDSTMLCATTLFKTPQVYQLFENNDSVTRLLNFARARFPFEYSQFVLLLIPTFACLTSKQLVSSELLHMTTFTQSLPSGFKAYEIIPEPNVTGNALIELQESLHLDSYGFFFPNAERSLPKGTRGRIVSVDTYPPVVMWDLNYSLWEAVGISLNYIVRNGLINSHKSFVLTVLSSSVPLFQTDVSGACELVHLASEGLDGELDFINVICDLLDYFLSLSVIEDADYQICVSSLRLLREFTRFAATDVWAYVTRSLVCVGSEKGISLEDVIFDYESINGVYDFTLAFFDLYEILLDNCISTSVVPDDFSIRLKTDFVKRAMRFLCEVFANYLDWKYARIIQQYQIGHRFASLITKLLNVTFGIEYFNPKTTVNKKTLPLRELSHYIVQRFLVQQDSNRYLHPLLSVMDLINLLYTDIFSTISSPRAKAAKMWLISSFCAMKTLICLRGFLNLKPSELERELFSRSPDLFNCLPRLLCCIAPILQLLSALILAPWPSETPSLLAYMINSTDIVGRVCIQILTNPIQSTNIEGSVWKFLSSIMKGQQQGLAVLLFSGKKFPLDRMKSLNHNVDVQLTSKSLISLAEKRLDSFSINDILSQVPVFEFIFLSRNFWTASLGNLQQEANFWNRIVDAIKLPLTVKLDGLSSVAQADLYILAAHATRITAIQLHMSKLNKSNSSKKIIIDPLKDSMKDLVQHAFTITAYDSNIHNALTRAFKHENGDLHISDLRNTGLFPLRYGDNYFYNIKLAKNMLLNTEDTSFKISMMMSANENLSLLDAQAALLRSWSIFICAFVEFVKEDATLSILELKIMKWVLKSLAEDTIDVNVVQELSAERAALVFRISQQTLAIPISNEVKEHLQSILLLTWKAITTTKFSIYEDSNGEMAYYRPLLHVLYNTLNRLLSEEKENLSLSVGFVSGLLQLCHRKLSQLFEKAVINPTIEVYGDIVLLNSLHKCIVNSHLIRGLQSLYISYINDSFSVDNCLRLFSWSHSLLVDGQPYFADAALSFLLICSSSPAGAEQIVMNGFFYSIMESPLSTALSTGGLGLDGSSIQYKIWIRGILPLLFNIVKFLGNRIMNDMREFVLLAFPQIQYALLNWCQPPSSISLASIDESFMIVLLFDLLQQFNPALLQEIRLAELKIEMLEASTI", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MESADFYEAEPRPPMSSHLQSPPHAPSSAAFGFPRGAGPAQPPAPPAAPEPLGGICEHETSIDISAYIDPAAFNDEFLADLFQHSRQQEKAKAAVGPTGGGGGGDFDYPGAPAGPGGAVMPGGAHGPPPGYGCAAAGYLDGRLEPLYERVGAPALRPLVIKQEPREEDEAKQLALAGLFPYQPPPPPPPSHPHPHPPPAHLAAPHLQFQIAHCGQTTMHLQPGHPTPPPTPVPSPHPAPALGAAGLPGPGSALKGLGAAHPDLRASGGSGAGKAKKSVDKNSNEYRVRRERNNIAVRKSRDKAKQRNVETQQKVLELTSDNDRLRKRVEQLSRELDTLRGIFRQLPESSLVKAMGNCA", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRGRGRAGSPGGRRRRPAQAGGRRGSPCRENSNSPMESADFYEAEPRPPMSSHLQSPPHAPSSAAFGFPRGAGPAQPPAPPAAPEPLGGICEHETSIDISAYIDPAAFNDEFLADLFQHSRQQEKAKAAVGPTGGGGGGDFDYPGAPAGPGGAVMPGGAHGPPPGYGCAAAGYLDGRLEPLYERVGAPALRPLVIKQEPREEDEAKQLALAGLFPYQPPPPPPPSHPHPHPPPAHLAAPHLQFQIAHCGQTTMHLQPGHPTPPPTPVPSPHPAPALGAAGLPGPGSALKGLGAAHPDLRASGGSGAGKAKKSVDKNSNEYRVRRERNNIAVRKSRDKAKQRNVETQQKVLELTSDNDRLRKRVEQLSRELDTLRGIFRQLPESSLVKAMGNCA", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVIRVFIASSSGFVAIKKKQQDVVRFLEANKIEFEEVDITMSEEQRQWMYKNVPPEKKPTQGNPLPPQIFNGDRYCGDYDSFFESKESNTVFSFLGLKPRLASKAEP", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSSKQATSPFACAADGEDAMTQDLTSREKEEGSDQHVASHLPLHPIMHNKPHSEELPTLVSTIQQDADWDSVLSSQQRMESENNKLCSLYSFRNTSTSPHKPDEGSRDREIMTSVTFGTPERRKGSLADVVDTLKQKKLEEMTRTEQEDSSCMEKLLSKDWKEKMERLNTSELLGEIKGTPESLAEKERQLSTMITQLISLREQLLAAHDEQKKLAASQIEKQRQQMDLARQQQEQIARQQQQLLQQQHKINLLQQQIQVQGHMPPLMIPIFPHDQRTLAAAAAAQQGFLFPPGITYKPGDNYPVQFIPSTMAAAAASGLSPLQLQKGHVSHPQINQRLKGLSDRFGRNLDTFEHGGGHSYNHKQIEQLYAAQLASMQVSPGAKMPSTPQPPNTAGTVSPTGIKNEKRGTSPVTQVKDEAAAQPLNLSSRPKTAEPVKSPTSPTQNLFPASKTSPVNLPNKSSIPSPIGGSLGRGSSLDILSSLNSPALFGDQDTVMKAIQEARKMREQIQREQQQQQPHGVDGKLSSINNMGLNSCRNEKERTRFENLGPQLTGKSNEDGKLGPGVIDLTRPEDAEGSKAMNGSAAKLQQYYCWPTGGATVAEARVYRDARGRASSEPHIKRPMNAFMVWAKDERRKILQAFPDMHNSNISKILGSRWKSMSNQEKQPYYEEQARLSKIHLEKYPNYKYKPRPKRTCIVDGKKLRIGEYKQLMRSRRQEMRQFFTVGQQPQIPITTGTGVVYPGAITMATTTPSPQMTSDCSSTSASPEPSLPVIQSTYGMKTDGGSLAGNEMINGEDEMEMYDDYEDDPKSDYSSENEAPEAVSAN", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTENLQSESIPHEILPKEPFDLPMNNLKSSPKNKDSEKRINNSIAESEQVVDSALSNPETNANEDIIAPQLPSQNSEIIEKNSPVNKLNSSTSLTTHQLASLPKLEVTDHDNVSEAETVVLNEDEEKETSLVGSVSVTEDLGDSSAIGRTILVNNSVEPQMENTANITIVSPSLKESDFESEEKATNDNNGLIETNHNSKLEESSEHEEEEDEESNIERTEDSDHQIPQRGGTLEAPRKGGPRSGVGSRKRKRATVSRKWSTNSESKIKRVALETSQEESDREIADRRSASEQAHEADDEKAIKRKEAFDALLNIETEFTFLRNRLYGKKLLKLNEHEEMIQNETHERFNACIDLITERRDDRVRLATENLMKQLGNIKNVMDYVTKQRKYQLLFDKRRIRQALLTKIATKCFQLLNKQKSVHDPTYITQKTMSYRQSALLQKQRIEYEAAVLCELNSFAGFPTAPIIETASFDDIRNDLLEMGCLSENQD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRRSKADVERYIASVQGSTPSPRQKSIKGFYFAKLYYEAKEYDLAKKYICTYINVQERDPKAHRFLGLLYELEENTDKAVECYRRSVELNPTQKDLVLKIAELLCKNDVTDGRAKYWVERAAKLFPGSPAVYKLKEQLLDCEGEDGWNKLFDLIQSELYVRPDDVHVNIRLVEVYRSTKRLKDAVAHCHEAERNIALRSSLEWNSCVVQTLKEYLESLQCLESDKSDWRATNTDLLLAYANLMLLTLSTRDVQESRELLESFDSALQSVKSLGGNDELSATFLEMKGHFYMHAGSLLLKMGQHSSNVQWRALSELAALCYLIAFQVPRPKIKLIKGEAGQNLLEMMACDRLSQSGHMLLNLSRGKQDFLREIVETFANKSGQSALYDALFSSQSPKDTSFLGSDDIGNIDVREPELEDLARYDVGAIRAHDGSLQHLTWLGLQWNSLPALPGIRKWLKQLFHHLPQETSRLETNAPESICILDLEVFLLGVVYTSHLQLKEKCNSHHSSYQPLCLPLPVCKQLCTERQKSWWDAVCTLIHRKAVPGNAAKLRLLVQHEINTLRAQEKHGLQPALLVHWAKCLQKTGSGLNSFYDQREYIGRSVHYWKKVLPLLKIIKKKNSIPEPIDPLFKHFHSVDIQASEIVEYEEDAHITFAILDVVNGNIEDAMTAFESIQSVVSYWNLALIFHRKAEDIENDALSPEEQEECKNYLRKTRDYLIKIIDDSDSNLSVVKKLPVPLESVKEMLKSVMQELEAYSEGGPLYTNGSLRNADSEIKHSTPSHTRYSLSPSKSYKYSPKTPPRWAEDQNSLLKMICQQVEAIKKEMQELKLNSSNSASPHRWPTENYGPDSVPDGYQGSQTFHGAPLTVATTGPSVYYSQSPAYNSQYLLRPAANVTPTKGPVYGMNRLPPQQHIYAYPQQMHTPPVQSSSACMFSQEMYGPPALRFESPATGILSPRGDDYFNYNVQQTSTNPPLPEPGYFTKPPIAAHASRSAESKTIEFGKTNFVQPMPGEGLRPSLPTQAHTTQPTPFKFNSNFKSNDGDFTFSSPQVVTQPPPAAYSNSESLLGLLTSDKPLQGDGYSGAKPIPGGQTIGPRNTFNFGSKNVSGISFTENMGSSQQKNSGFRRSDDMFTFHGPGKSVFGTPTLETANKNHETDGGSAHGDDDDDGPHFEPVVPLPDKIEVKTGEEDEEEFFCNRAKLFRFDVESKEWKERGIGNVKILRHKTSGKIRLLMRREQVLKICANHYISPDMKLTPNAGSDRSFVWHALDYADELPKPEQLAIRFKTPEEAALFKCKFEEAQSILKAPGTNVATASNQAVRIVKEPTSHDNKDICKSDAGNLNFEFQFAKKEGSWWHCNSCSLKNASTAKKCVSCQNLNPSNKELVGPPLAETVFTPKTSPENVQDRFALVTPKKEGHWDCSICLVRNEPTVSRCIACQNTKSANKSGSSFVHQASFKFGQGDLPKPINSDFRSVFSTKEGQWDCSACLVQNEGSSTKCAACQNPRKQSLPATSIPTPASFKFGTSETSKTLKSGFEDMFAKKEGQWDCSSCLVRNEANATRCVACQNPDKPSPSTSVPAPASFKFGTSETSKAPKSGFEGMFTKKEGQWDCSVCLVRNEASATKCVACQNPGKQNQTTSAVSTPASSETSRAPKSGFEGMFTKKEGQWDCSVCLVRNEASATKCIACQSPGKQNQTTSAVSTPASSETSKAPKSGFEGMFTKKEGQWDCSVCLVRNEASATKCIACQCPSKQNQTTAISTPASSEISKAPKSGFEGMFIRKGQWDCSVCCVQNESSSLKCVACDASKPTHKPIAEAPSAFTLGSEMKLHDSPGSQVGTGFKSNFSEKASKFGNTEQGFKFGHVDQENSPSFMFQGSSNTEFKSTKEGFSIPVSADGFKFGISEPGNQEKKSEKPLENDTGFQAQDISGQKNGSGVIFGQTSSTFTFADLAKSTSGEGFQFGKKDPNFKGFSGAGEKLFSSQYGKMANKANTSGDFEKDDDAYKTEDSDDIHFEPVVQMPEKVELVTGEEDEKVLYSQRVKLFRFDAEVSQWKERGLGNLKILKNEVNGKLRMLMRREQVLKVCANHWITTTMNLKPLSGSDRAWMWLASDFSDGDAKLEQLAAKFKTPELAEEFKQKFEECQRLLLDIPLQTPHKLVDTGRAAKLIQRAEEMKSGLKDFKTFLTNDQTKVTEEENKGSGTGAAGASDTTIKPNPENTGPTLEWDNYDLREDALDDSVSSSSVHASPLASSPVRKNLFRFGESTTGFNFSFKSALSPSKSPGKLNQSGTSVGTDEESDVTQEEERDGQYFEPVVPLPDLVEVSSGEENEQVVFSHRAKLYRYDKDVGQWKERGIGDIKILQNYDNKQVRIVMRRDQVLKLCANHRITPDMTLQNMKGTERVWLWTAYDFADGERKVEHLAVRFKLQDVADSFKKIFDEAKTAQEKDSLITPHVSRSSTPRESPCGKIAVAVLEETTRERTDVTQGDDVADAASEVEVSSTSETTTKAVVSPPKFVFGSESVKSIFSSEKSKPFAFGNTSATGSLFGFSFNAPLKSNNSETSSVAQSGSESKVEPNKCELSKNSDIEQSSDSKVKNLSASFPTEESSINYTFKTPEKAKEKKKPEDSPSDDDVLIVYELTPTAEQKALATKLKLPPTFFCYKNRPDYVSEEEEDDEDFETAVKKLNGKLYLEGSEKCRPLEENTADNEKECIIVWEKKPTVEEKAKADTLKLPPTFFCGVCSDTDEDNGNGEDFQSELQKVQEAQKSQTEEITSTTDSVYTGGTEVMVPSFCKSEEPDSITKSISSPSVSSETMDKPVDLSTRKEIDTDSTSQGESKIVSFGFGSSTGLSFADLASSNSGDFAFGSKDKNFQWANTGAAVFGTQSVGTQSAGKVGEDEDGSDEEVVHNEDIHFEPIVSLPEVEVKSGEEDEEILFKERAKLYRWDRDVSQWKERGVGDIKILWHTMKNYYRILMRRDQVFKVCANHVITKTMELKPLNVSNNALVWTASDYADGEAKVEQLAVRFKTKEVADCFKKTFEECQQNLMKLQKGHVSLAAELSKETNPVVFFDVCADGEPLGRITMELFSNIVPRTAENFRALCTGEKGFGFKNSIFHRVIPDFVCQGGDITKHDGTGGQSIYGDKFEDENFDVKHTGPGLLSMANQGQNTNNSQFFITLKKAELLDFKHVVFGFVKDGMDTVKKIESFGSPKGSVCRRITITECGQI", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MQVEANSERRVKILGIDRSENSPVLTYMETEDDPNFRNSKLAAAPHTVHMMDSGFLAINRQCLVKGKAILAREPKSSNEHMIDDLPKHAHDQHTLSILRDFIDQLKLHNVYEINFYDPLDSSGKLAVIPMLIALWKCMLASETDICDQEVLKSIMNSVIAKFELQIPCKNAVIDATLSGSREEVHIIAEDGSLENSNGTTEHFNKKHDLVFVKTDLHPEDFTPQMFPSQAKAKLLRDAFNNEEDEDTFPDILVPAYMTAHSKNRVRQEDYTCLEVEFDSQVALEKLMNEHEQVEGFEVQQGGILVALKKDSFFDDELIEKIAIAIATESRQSVSSVSFDLLKLGPGASLVTLANSRRFEPECRVVLQIEVKPVSPGETSSEGISDEHHYEEYDEDDIMEEEEAPSARQDDTYDEDEE", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNLQNNVLNQIHQILLPTNPTLDKPNAEATKEEFSSAENRDEKDYLTNQQPKNLSTPSTSSNGEFIPHIFYSLHQIRKDPNNLSNQLETLTGSIRHRLKLCKSLISENEDTKDLLSKSPSEWQDIIHQREQELQIKRDVLDDLYRKLQR", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKFRSDSSGGDEPRAPAAGDGGGGGDEPAKRQRTDPSSSSSQGEASSSSQPPPQQQQEEQPPEDAGEGEQPRVPDLGEDLVFEVLRRAEARTLAAAACVSRGWRQLAEDERLWEAACVREWANLGFSERQLRAVVLSLGGFRRLHAVYIRPLQWRGAGVPRQQGRRQPPVRLGRDQVQLSLSLFSIGFFQNMPCPKKDKGNDSDKNGGGQCG", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSCQAFTSADTFIPLNSDASATLPLIMHHSAAECLPVSNHATNVMSTATGLHYSVPSCHYGNQPSTYGVMAGSLTPCLYKFPDHTLSHGFPPIHQPLLAEDPTAADFKQELRRKSKLVEEPIDMDSPEIRELEKFANEFKVRRIKLGYTQTNVGEALAAVHGSEFSQTTICRFENLQLSFKNACKLKAILSKWLEEAEQVGALYNEKVGANERKRKRRTTISIAAKDALERHFGEQNKPSSQEIMRMAEELNLEKEVVRVWFCNRRQREKRVKTSLNQSLFSISKEHLECR", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MMASYPEPEDTAGTLLAPESGRAVKEAEASPPSPGKGGGTTPEKPDPAQKPPYSYVALIAMAIRESAEKRLTLSGIYQYIIAKFPFYEKNKKGWQNSIRHNLSLNECFIKVPREGGGERKGNYWTLDPACEDMFEKGNYRRRRRMKRPFRPPPAHFQPGKGLFGSGGAAGGCGVPGAGADGYGYLAPPKYLQSGFLNNSWPLPQPPSPMPYASCQMAAAAAAAAAAAAAAGPGSPGAAAVVKGLAGPAASYGPYSRVQSMALPPGVVNSYNGLGGPPAAPPPPPPPPHPHPHPHAHHLHAAAAPPPAPPHHGAAAPPPGQLSPASPATAAPPAPAPTSAPGLQFACARQPELAMMHCSYWDHDSKTGALHSRLDL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MANDQEMSGWTDLLHSSTKLLEQAAPSSQFPPLQRNLDQLEALSRKLKAKTLRNEAPSQSIAATRLLAREGINADQLSRDLKSFELKTTFEDVFPAETTSVEEYLQQVHEMAMVSAIQEAQKDNVRSFNNYMLKVLEEDCIKEKRDFLHSLSKTSMLPKTKMINSSRGSHAGSLVPLSPQVSSKPGTELVSMTNKPIHEKKAYVYAEVVKKLNSARERGLPFKLATLFNGAYESLEIDLTRGKSVNMQKLWQLIQGMTGEESAVQHGVSKRMALVIGARRHLECGHGKHIMDTIQSHPTQAALGGSVGNLQRIRAFLRIRLRDYGSLDFDSVDARRQPPVDTTWQQIYFCLRTGYYEEAREIAQSSRSSQQQFAPLLTEWITTGGTVAAQTAATASEECEKLLRMGDRFGQTTYDKKKLLLYTIISGSRRQIDRIMRDFSTLFNTIEDFLWFKLSCVRDVAGGSSSMIVNDGLVPYSLDDLQAYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLPAIMHMSNEAGDEGYNIDAVHVAISLVDHSILSEGSGTGRKLSVMDANAEASSMIRQYGSMYLHHGDLQMTLEYYAQAAIAVGGGQQAWSGRSNVDQQRQRNLMLKQLLTEILSQEDGIHFLLGARGSGEEGELGRFLPDIKLRQQFLIEAAHQFQEAGLYDKSIELQKRVGAFSSALETINKCLSEAICSLVRGRPDGESRTEGLVLSGNDILNSYKYHPDVSAQERHLVMEQETILRELEAILSIHKLARLNKHLDAIREVAKLPFLHLDPRQPDTTSDEFQKASSYFQTCVPDLLKVALTCLDNVADTDGSIRGMRSKIAGFLASNTQRNWPRDLYEKIARSF", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGTGKKEKSRRIREGDTKDGNLRVKGENFYRDSKRVKFLNMYTSGKEIRNKKGNLIRAASFQDSTIPDARVQPDRRWFGNTRVISQDALQHFRSALGETQKDTYQVLLRRNKLPMSLLEEKDADESPKARILDTESYADAFGPKAQRKRPRLAASNLEDLVKATNEDITKYEEKQVLDATLGLMGNQEDKENGWTSAAKEAIFSKGQSKRIWNELYKVIDSSDVVIHVLDARDPLGTRCKSVEEYMKKETPHKHLIYVLNKCDLVPTWVAAAWVKHLSKERPTLAFHASITNSFGKGSLIQLLRQFSQLHTDRKQISVGFIGYPNTGKSSIINTLRKKKVCQVAPIPGETKVWQYITLMKRIFLIDCPGIVPPSSKDSEEDILFRGVVRVEHVTHPEQYIPGVLKRCQVKHLERTYEISGWKDATEFIEILARKQGRLLKGGEPDESGVSKQILNDFNRGKIPWFVLPPEKEGEEKPKKKEVEKTA", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRRSSVTNRQSLLPLRVQDANRMGLTTPQNKDRQGFGKLSMSKPHSGTSEKKTSFFGKRASNGTARTSQYGAFGGTEKIKDPRPLHDKAFIQQCIRQLCEFLNENGYSQALTVKSLQGPSTKDFLKIFAFIYTFICPNYENPESKFEEEIPRIFKELGYPFALSKSSMYTVGAPHTWPQIVAALVWLIDCVKLCCVLRSENPVFEDPPMGEQSENGIDFNQLFLDYTVRCYDQFMEGRDTFEEYDTDVCIRLKEAYHVDESNLEALQQESRRLMEEIERLEKEKENEPDRLASMRKLKASLQADIQKYQNYLTEIESHSTLLDQRVSSISEELEATELESRAIQQENLRLKNILDNQKYSVADIERIKYEETELQQTIAKLTKDLDEDKQQLWHEELKYAKMKESVETQLSEFHKIGRKVRLIPPTAEFANGYDFQIQCNLDSEQSSLMHYRNKINVPLVEILSQSEGHIASSTNKKMGVEDMVEQLNTLIGEKKNEVKVQKDEAQKLEEIYQQKVEESEEHEKRWISEIESLEKHRQLLESGVNKSLDEAMKDLQKEQQELQLVEHRTEEEMRQVENKLVRVVHAVANHVAVIEKHLEEKRLKVEREYEEFMKEDLLLDLRELLEKYKEKARVLDSL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEPATTLPPGPRPALPLGGPGPLGEFLPPPECPVFEPSWEEFADPFAFIHKIRPIAEQTGICKVRPPPDWQPPFACDVDKLHFTPRIQRLNELEAQTRVKLNFLDQIAKYWELQGSTLKIPHVERKILDLFQLNKLVAEEGGFAVVCKDRKWTKIATKMGFAPGKAVGSHIRGHYERILNPYNLFLSGDSLRCLQKPNLTSDTKDKEYKPHDIPQRQSVQPAETCPPARRAKRMRAEAMNIKIEPEEATEARTHNLRRRMGCTTPKWENEKEMKSTIKQEPTEKKDCELESEKEKPKSRAKKTATAVDLYVCLLCGSGNDEDRLLLCDGCDDSYHTFCLVPPLHDVPKGDWRCPKCLAQECNKPQEAFGFEQAARDYTLRTFGEMADAFKSDYFNMPVHMVPTELVEKEFWRLVSTIEEDVTVEYGADIASKEFGSGFPVRDGKIKISPEEEEYLDSGWNLNNMPVMEQSVLAHITADICGMKLPWLYVGMCFSSFCWHIEDHWSYSINYLHWGEPKTWYGVPGYAAEQLENVMKKLAPELFVSQPDLLHQLVTIMNPNTLMTHEVPVYRTNQCAGEFVITFPRAYHSGFNQGFNFAEAVNFCTVDWLPLGRQCVEHYRLLHRYCVFSHDEMICKMASKADVLDVVVASTVQKDMAIMIEDEKALRETVRKLGVIDSERMDFELLPDDERQCIKCKTTCFMSAISCSCKPGLLVCLHHVKELCSCPPYKYNLRYRYTLDDLYPMMNALKLRAESYNEWALNVNEALEAKINKKKSLVSFKALIEESEMKKFPDNDLLRHLRLVTQDAEKCASVAQQLLNGKRQTRYRSGGGKSQNQLTVNELRQFVTQLYALPCVLSQTPLLKDLLNRVEDFQQQSQKLLSEEMPSAAELQELLDVSFEFDVELPQLTEMRIRLEQARWLEEVQQACLDSSSLSLDDMRRLIDLGVGLAPYSAVEKAMARLQELLTVSEHWDDKAKSLLRARPRHSLSSLATAVKEMEEIPAYLPNGTVLKDSVQRARDWVQDVDALQAGGRVPVLETLIELVARGRSIPVHLNSLPRLEMLVAEVHAWKECAAKTFLPENSTYSLLEVLCPRCDIGLLGLKRKQRKLKEPLPSGKKRSTKLESLSDLERALMESKETAAAMATLGEARLREMEALQSLRFANEEKLLSPVQDLEMKVCLCQKTPATPMIQCELCRDAFHTSCVAAPSISQSSRIWLCPHCRRSEKPPLEKILPLLASLQRIRVRLPEGDALRYMIERTVNWQHRAQQLLSSGNLKLVQDQVGSGLLSSRWPASAGQASATDKVSQPPGTTSFSLPDDWDNRTSYLHSPFSTGQSCLPLHGLSPEVNELLMEAQLLQVSLPEIQELYQTLLTKPSSVQQADRSSPVRSSSEKNDCLRGKRDAINSPERKLKRRPEREGLPSERWDRVKHMRTPQKKKIKLSHPKDMDSFKLERERSYDLVRNAETHSLPSDTSYSEQEDSEDEDAICPAVSCLQPEGDEVDWVQCDGSCNQWFHQVCVGVSPEMAEKEDYICVRCTGKDAPSRK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MATNGEKVTATVVNGGGLSTGENPKKIVDLNTTELDRTDDILDGEVKGFSDSGEKKEETDSNGIGSTAGVDSGDISPVDDIQKKIRRAERFGVSVKLTEEEKRNSRAERFGTVAAAVVNGSEGTKKAEELKRKARADRFGVPSATSTTDKTEEEAKKKARLARFGKETKVDSAEENKRKARALRFSKEASADASSDLPEKQIIGKEAAVSGSAA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSSITMSEPRLNWDVTPKNGLKAFFSPENYKDHSMAPSLKELYILSNRRIGENLSVSASSVENEPAVSSATQAKEKVGMILLPKPRVPYPRFSRFSQREQRTYVDLLAKYAKLPSSSKTVGTNTNEYLQYLDMKKHVNEEVNEFLKFLQNSAKKCAQDYNMLSDEARLFTEQLLRACIEQVKKYPEFYTLHEVTSLMGFFPFKTEMGLKLEKTLLVLGSAKFVKTAFPSMPVKLQLSKEDMSSIETPQQKAEVMHCDISKDPNAEKLVSRYHPQIALTSQALFTLLNNHGPSYKEQWEIPVCVEMIAVEGSKPVKVIYINSPLPRKQMTMRERNQIFHEVPLKHIISKNTSVPVSAVFMDKPEEYTSEVDMPTEAGECRKIETLENLDMDFDGDVTELETFGVTTTSPPRSPSSESDSSAPLMTDVHAVPKIAAVPLAPATPVAPTMPVAPATPVTPTMPMAPATPEASATPNITDDSRSLCQILMKQLQKEKQLFSGVEGGPEGCKNKDDQGLEPCGEEVPSANAKSLTQDNEVHRTEGISKESDVGVLCTNDERQGGQGNANNPNNTATASEAAESEKGIPCGSDTDEDCLIIDTESRSCDGKTADLGSRPNSSAQASAGNQATTTVSEESCVLKKPIKRVYKKFDPVGEILKMQDELLKPVSRKVPELPLTNSEESKQPPASEQPSAALDAAPWPKSSWPSAFQKPKGRLPYELQDYVEDTSEYIAPQEGNFVYKLFSLQDLLLLVRCSIQRVETRPRSKKRKKIRRQFPVYVLPKVEYQGCYGVEALTESELCRFWTESLLHSNCSFYVGHIDAFTSKLFMLEEIASEELKEKLAALKISSLFNILQHILKKLCSLQEGSYLLSHAAEDSSLLIYKTSDGKVTRTAYNLHKAHCDLPGVPSSLSVPWVPLDPSYLLPYHIHHGRVPCTFPPKPLRPAAQAKVGGTRMPTRNHRNPVSMETKSSCLPVQQVENEGVARNKRKIM", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGKNTDKLYITQTEHSGVHGWHGGMSGIAQKNSTTSYKQLPFNYCSLSLQPFNHPCCLVDETKQAIIFDFRFIVPWLRKHGTNPINGQKASMSDLIKLKFAKNSAEEYCDPVTMKSFTRFSHIVAIRSTGNCFSWDTIERLNIKPKHWRDLVNEEQFTRDDIITIQDPHNVENRDFSAIQKQKETARDEKITKAKIALQASRAKSTESTSSPELSHSLDSSKSIASDMPIHRASHTTGYAAASLTSTSFTPVTKNERAIIAEEDYMLNHTRIKHKGYARIVTNHGEINIELHTDYAPHAVYNFVQLAKQGYYRNTIFHRNIARFMIQGGDPSGTGRGGQSIWGKPFKDEFCNPLKHDDRGIISMANRGKNTNGSQFFILYGPAKHLDNKHTIFGRVVGGLNVLDALEKVPTNSNDHPKLPIKLEDIIIFVDPFEEWKKDEREKEKRKRQEEEEENNLDRTSWTGRDLSASSTDHSLNASVGKYLKKEVSLEEKTFTSTVNPKKKKARTGFGNFDAW", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAIGKRKESTEVKKKDGSMNKRVKVAKLPKKVDSFSPKKKKNTTSSGSSESDSMSQNDKKKDSSLNESEDEDFAGFGESASENDELESAESEAENDEESSSQKSNSKESHAQRKKLQKERKAMKPFADTSLKAKSLWDKLRQKTSIKAEERKTIIAELFDLIRTNVKQLVFKHDMSRVVQTCVKFGSKQQRETICAELAGSYVDLCKSPYGKYLAIKIFKYGTPKMKEVILGEMYGNVVKMIRHREAAYVVEDAFREFTNLQQQRALICEFYGPEFQVFKDRTQDIHIDKLLIDHPEKRPSIMQNLWKTIEGSIAKGSIGFTMVHRAMLEFINHADSNEAKELLNLTKELIYEFVHTRDGSQVAMKLFALANAKDRKVMLKSLRPYLIETAKDSYGHLVVVAALDCTDDTIMTGKLLQAEFEGELLKLSADKFARRILLYVLVGWEDARYFSKENRELLRSLDSLKAKTSKKDPIVRRNELKATIGPLLISLISKAAGDMIAESLASQVLVDALLYAPCEKEEAVDATLKAFDGNPEQDNHLIHQIHCSRALKTLVQNGHWSGAEKQVVKAEDDLKVASKLIVIIKKYLVEWASGDGAFVVVAVLEALSDSEKQEFLKILRKHKNQLNKSEFRGTKKLLEML", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNAPPAFESFLLFEGEKKITINKDTKVPNACLFTINKEDHTLGNIIKSQLLKDPQVLFAGYKVPHPLEHKIIIRVQTTPDYSPQEAFTNAITDLISELSLLEERFRVAIKDKQEGIE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSAAQVSSSRRQSCYLCDLPRMPWAMIWDFSEPVCRGCVNYEGADRIEFVIETARQLKRAHGCFQDGRSPGPPPPVGVKTVALSAKEAAAAAAAAAAAAAAAQQQQQQQQQQQQQQQQQQQQQQQLNHVDGSSKPAVLAAPSGLERYGLSAAAAAAAAAAAAVEQRSRFEYPPPPVSLGSSSHATRLPNGLGGPNGFPKPTPEEGPPELNRQSPNSSSAAASVASRRGTHGGLVTGLPNPGGGGGPQLTVPPNLLPQTLLNGPASAAVLPPPPPHALGSRGPPTPAPPGAPGGPACLGGTPGVSATSSSASSSTSSSVAEVGVGAGGKRPGSVSSTDQERELKEKQRNAEALAELSESLRNRAEEWANKPKMVRDTLLTLAGCTPYEVRFKKDHSLLGRVFAFDAVSKPGMDYELKLFIEYPTGSGNVYSSASGVAKQMYQDCMKDFGRGLSSGFKYLEYEKKHGSGDWRLLGDLLPEAVRFFKEGVPGADMLPQPYLDASCPMLPTALVSLSRAPSAPPGTGTLPPAAPSGRGAAASLRKRKASPEPPDSAEGALKLGEEQQRQQWMANQSEALKLTMSAGGFAAPGHAAGGPPPPPPPLGPHSNRTTPPESAPQNGPSPMAALMSVADTLGTAHSPKDGSSVHSTTASARRNSSSPVSPASVPGQRRLASRNGDLNLQVAPPPPSAHPGMDQVHPQNIPDSPMANSGPLCCTICHERLEDTHFVQCPSVPSHKFCFPCSRESIKAQGASGEVYCPSGEKCPLVGSNVPWAFMQGEIATILAGDVKVKKERDP", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MMKRSNEGIGGENYASSPSDDGQQKRRKIQFEPVRMPAVSNVNDIRARAVVYQTSKLKQQLLYKNKRIAELEKENERSKRRQQTDESNFLKVYNMFSDIEKYICTQTKNEFGEYIGGDTAPTGIDVLGMTNETYNKFFDQAKQNLRNAFVSYAKARHDRAHESTIFIDKLKTLIDSPTFNPNGVHKELTAKAASLAIQNEKLQSEVTKVQSDCYNLERKKRILTDKLSVQENRVQELEHQLEDARFETDKHMRLANKFEYKLATLVSEGQSGGNGGATPSSSGTTNATEKKISAPDIPPSETAAKEIENLRLERDEQESIASRRLQDLEEMNKKVQTLTQENSKLRLETQTFFSVDSIVNSEEYKNLKKYYSLAIKEYERVSKDLEDITTERDAFRSAKEARAMLMSEEHQKTLKEIQCQSDIHNSFYKVSHDSEVLRCEFETVKEEYNKTVKQSEWDEMKATLNTLRSMNRSLKSEKIRLREKDKQSQKDINTLKSELTSLKEAQDKCLLVPLEDVSNAPPEDVNKIRQEYESLCKEVKRLGAMEKQEKQKQVENLQKEVNRQIADKLSELETLRKTNEMLTNDEECISDELEAIGTAVEEEQERNAQLYIEKREQEDRNLKMMNDRMIQNQTFNRLREKLSCLESKAQTDAQIAKMHEFEKKANEELVTKLSESVQFKSAELTRLTNLMEQHRKNIQEVGMSRDENQIKADRCEGQMKQIQELYAAKAREIEDFKFKRQRAEEELETLRIKYERVKRNESVPAQSGDQVLEEANRQMKETLTCPSCKTRPKDCIMLKCYHLFCETCIKTMYDTRQRKCPKCNSNFGANDFHRIFI", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRPPVTGGRGGGGFRGGRDGGGRGFGGGRSFGGGRSGDRGRSGPRGRGRGAPRGRGGPPRGGMKGGSKVIVEPHRHAGVFIAKGKEDALVTKNLVPGEAVYNEKRISVQNEDGTKVEYRVWNPFRSKLAAAILGGVDNIWIKPGAKVLYLGAASGTTVSHVSDLVGPEGCVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASFFLKTGGHFVISIKANCIDSTVAAEAVFQSEVKKLQQEQFKPAEQVTLEPFERDHACVVGGYRMPKKQKTPAS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPGKVYTDEMEGKSIKKKKLTETPLPKLKKRKMQNGETEDLDLEHVTESVNGEINNNNPTPKLKKNKKPAPKSDLSETAEQCDGEQPDPSTPTPKKVKKKKLKEGKEDSDAQEETNISLSSQGGQCDGEQPDPSTPTPKKIKKKKLKEGKEDSDAQEETDISEPQMNGVKSVKKSKKNTTGDEPAPKKRKTDTSEITAANECEEKELTKEEEEIKKEKIDGDFSKFPISKDTIKNLQAKGVTYLFPIQSKTFHTVYSGKDVVVQARTGTGKTFSFGIPLVERLSEDQQPLARGRAPRVIILTPTRELAIQITNELRSMTKKLKVACFYGGTPYQQQVFAIKDGIDFLVGTPGRIRDLVQNYRLDLTALKHVVLDEVDMMFDVGFSEQVEEILSVRYKPDPEENPQTLLFSATCPDWMYNVAKKYMRKQYEKVDLVGHRSQKAAITVEHLAIECNRSQKAAVLGDIVQVYSGSHGKTIIFCDSKLQAHELSTNCGSLKQSAKPLHGDLQQKEREVVLKGFRQGTFEVLIATNVAARGLDIPEVDLVVLYSAPKEADAYVHRSGRTGRAGRTGVCISLYEPWEKHYLRNVERSTGITFKRVGIPSLMNVAKSSSADAIKSLDTVPADVIEHFKEYAQELIEKKGALTALAAALAHISGATSIKQRSLLNMEAGYMTITLKSSVPIHNLSYAWRSIKEQLGEDVDSKIHRMCLLKDSMGVCFDVRSEDLQSMQESWSDTRRWQFTITTELPEIQESERSFDGPRNRSFGGRGRRPFDRRNNSRNSSGGGGGRRGRSGGFRRGR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPVKVYAEEMEGESMKKKKLSETPLPKIKKRKMKNGDTEDLDLEHMAESVNGEINNNNPTPKLKKKKKPAPISDLSETAEECDGEQPDPSTPTPKKVKKKKIKESKEDSDTQEEAEQSEPQTNGVKSVKKSKKNITSDDNEPAPKKRKTDTTEITTAKECEEKVLTKEEQDINQEKIDGDFSKFPLSKETIKNLQAKGVSYLFPIQSKTFHTAYSGKDVVVQARTGTGKTFSFAIPLVEKLNEDQQPLARGRAPRVIILTPTRELAIQITNEIRSITKKLKVSCFYGGTPYQQQVFAIKDGIDFLVGTPGRVRDLVQNYRLDLTTLKHVVLDEVDMMFDMGFSEQVEEILSVRYKADPEENPQTLLFSATCPDWMYNMAKKYMRKQFEKIDLIGHRSQKAATTVEHLAIECTRSQKAAVLGDLVQVYSGSHGKTIIFCDSKLEAHTLATSCGSLKQSAKSLHGDLQQKEREVVLKGFRQGTFEVLIATNVAARGLDIPEVDLVVLYSAPKEADAYVHRSGRTGRAGRTGVCISLYEPWERHYLRNVERSTGITFKRVGVPSLLNVAKSSSADAIKSLDTVPADVIEHFKEYAQELIEQKGALTAIAAALAHISGATSIKQRSLLNMEAGCDTITLKSSVPIHSLSYAWQSIKEQLGDDVDSKIHRMCLLKDSMGVCFDVRSENLESMQERWTDTKQWQFTVATELPAIQESERNFDGPRNRGFGGRGRRPFDRRNNSRNSNRGGGGRGRNRNGGFRRGR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASKRKSTTPCMVRTSQVLEQDMLEEADRAKDKGAGMPQSDVTKDSWAAEPEHSSKETEVVEVKSMGENLSKKLQGGYECKYCPYSTQNLNEFTEHVDMQHPNVILNPLYVCAECNFTTKKYDSLSDHNSKFHPGETNFKLKLIKRNNQTVLEQSIEATNHVVPITASGPGSSDNDPGVSVGKTPMTKTGKLKADAKKVPKKPDEAAPENHMEGTARLVTDTAEILARLGSVELLQDSLGHVMPSVQLPPNINLVPKVPVPLNTTKYNSALDTNATMINSFNKFPYPTQAELSWLTAASKHPEEHIRIWFATQRLKHGISWSPEEVEEARKKMFNGTIQSVPPTITVLPAQLTPTKVSQPILQTALPCQILGQPSLVLTQVTSGSTTVSCSPITLAVAGVTNHGQKRPLVTPQAAPEPKRPHIAQVPEPPPKVANTPLTPASDRKKTKLQIAHLKASFLQSQFPDDAEVYRLIEVTGLARSEIKKWFSDHRYRCQRGIVHITSESLAKDQMAITGTRHGRTYHVYPDFAPQKFKEKSQGQLKTLEDSFLKSSFPTQAEVERLRVETKLSRREIDSWFSERRKLRDSMEQAVLDSMGSGKKGSDAVAPNGALSRLDQLSGAQLAGSLPSPSSAIVQNQEQVHLLRSTFARTQWPTPQEYDQLAAKTGLVRTEIVRWFKENRCLLKTGTLSWLEQYQRHHMSDDRGRDAVSRKVAKQVAESPKNGSEAAHQYAKDPKALSEEDSEKLVPRMKVGGDPTKDCLAGKPSEATSDRSEGSRDGQGSEENEESGIVDFVEVTVGEEDAISEKWGSWSRRVAEGTVERADSDSDSTPAEAGQA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSGLDGVKRTTPLQTHSIIISDQVPSDQDAHQYLRLRDQSEATQVMAEPGEGGSETVALPPPPPSEEGGVPQDAAGRGGTPQIRVVGGRGHVAIKAGQEEGQPPAEGLAAASVVMAADRSLKKGVQGGEKALEICGAQRSASELTAGAEAEAEEVKTGKCATVSAAVAERESAEVVKEGLAEKEVMEEQMEVEEQPPEGEEIEVAEEDRLEEEAREEEGPWPLHEALRMDPLEAIQLELDTVNAQADRAFQQLEHKFGRMRRHYLERRNYIIQNIPGFWMTAFRNHPQLSAMIRGQDAEMLRYITNLEVKELRHPRTGCKFKFFFRRNPYFRNKLIVKEYEVRSSGRVVSLSTPIIWRRGHEPQSFIRRNQDLICSFFTWFSDHSLPESDKIAEIIKEDLWPNPLQYYLLREGVRRARRRPLREPVEIPRPFGFQSG", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAARRGRRDRVAPPPTGGPGPDPGGGVRGGSWASRSQAPYGTGGSVSAAEQVHEEGNDSSFVSLSRLGPSLREKDLEMEELILQDETLLETMQSYMDASLISLIEDFGESRLSLEDQNEMSLLTALTEILDNADSENLSPFDTIPDSELLVSPRESSSLHKLLNLSRTPPERDLITPIDPLGPSTGSSRVSGVEVPLADSPWDFSPPPFLETSSPKLPSWRPSRPRPRWGQSPPPQQRSDGEEEEEVAGFSGQMLAGKLDNSVNNVLDFPMHLACPEEEGTAEGADAPASAPGDESISSLSELVRAMHPYCLPNLTHLASLEGELEGQADADADELTLPEGCVVLEIVGQAATTGDDLEIPVVVRQIPSGSQSVLLDESLGSSPALQLLMPTMESETEAAVPEVAPCPDEELPLSSACLLEPREIMESLTPKEPQSLPASASQGSQKVPRKGRKKKNKEQPTACVEACTRRLRSSSRGQSTVSAEVNSQAGSSQKQPQEELQREAAALQSRGKPRAWARAWAAALEKTGSENLERSAGQDSPAEEDALDLCPKLLETSQANPTLSLNDSAQADSMPVDSVEGDSPAVGNAAPGDQASSGTELVGSLPVGPNLTSPVLADKKGIEPAVAIPTSDNLSPADVLANTVAADPVPNDPAPADPVLVKCRPTDPRRAAAAAAAAAQGSRPSLQSADHPKVVSPEGKDVVGPLKVEGSTSATTQEAKPRPLSLSEYRQRRQQRQTEAEDRNSQPPVVGKWPSLPETPTELADIPCLVPSAPARKTAPQRSPIAVPETVSVGSNPVSPTPEPSASKLMVSTHSEQVSSHEMPLAVRPPPPPLPSVSPAGPIPSTVPAPLPPFPPSVPPLLPLPSGGHGVPRLPPPPLQPPGLPVSMRQMPPDPYTQYAPVPPWSCYPSVSPPGYSCLPPPPTMPIVSGTPGTYAVPPTCNVPWVPPPAPVSPYSSSCAYGSLGWGPGLQQPPFWSTVSPPPLSSVPTGRAVPPTPVEPSGDPAGPPEDVLPGPVTPSLSSGPASPAAPPVEPTKPEAQPVPVSPQPKHKVSTLVQSPQIKAPPTLSTEGVVFEESVSERLKSETQENRPKEKPISTAIKSVPVPKQSAVAKLPAVHPARLRKLSFLPTPRAQGPEDVVQAFISEIGIEASDLSSLLEQFEKSEAKKECPLPASADSLAVGNSGIDIPQEKKPLDRLQAPELANVAGLTPPATPPHQLWKPLAAVSLLAKAKSPKSTAQEGTLKPEGITEAKPPATACLQEGAHSPSPVHVGSGDHDYCVRSRTPPKRMPALVISEVGSRWNVKRHQDITIKPVLSLGSAAPPLPCTATSQEPLDHRTSVEQADPSAPCFAPSTLLSPEASPCRSEMNARTPPEPSDKQQSMRCYRKACRSVSPSSRGWQGRRGRSSRSVSSGSSRTSEASSSSSVSSSSRSRSRSRSRSFSPPNKRWRRSSCSSSGRSRRCSSSSSSSSSSSSCSSRSRSPSVSPCRRSDRRRRYSSYRANDHYQRQRVLQKERAIEERRVVFIGKIPGRMTRSELKQRFSVFGEIEECTIHFRVQGDNYGFVTYRYAEEAFAAIESGHKLRQADEQPFDLCFGGRRQFCKRSYSDLDSNREDFDPAPVKSKFDSLDFDTLLKQAQKNLRR", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLLPSDVARLVLGYLQQENLTSTCQTFILESSNLKEYAEHCTDEGFIPACLLSLFGKNLTTILNEYVAMKAKETSNDVPTIMSSLWKKLDHTLSQIRSMHSSPGFAAHQRARTRNGIAEIKRQRWLASQAAPVSSELLVLPYASGQFTTSPLVATQAVKPTGPISTPVRSNIVVVNQSQPQSTVTNTAGESLNIIPGPQERKTQTSLMSPGRRKSESQKKSLTSSGPHSSRNFQDPNAFAVEKQMVIENAREKILSNKSLQEKLAENINKFLTSDSSVAQVPKQTDSNPTEPETSIDELLGLPSEIHMSEEAIQDILEQTESDPAFQALFDLFDYGKTKNNKNMPQISSQPMETNSNIVLPEETNLTIKSSFETEESDGQSGQPPFCTSYQNEDVLLNDLKSGNSHDVLPQESQENFSQISSNIQKKTFKTAIPAEQKCALDITLESVSNLSDFNQRGSSAECNEHCSELFASQIPTEAEVAVGEKNSLSADILSQSQYQPDQPSVPVTSFVSLGGETNDKNLVLSGKNSQLLSQSTPLTTKPSKSQLCENSNNIIKVKTNPQASESADSSETANRKTETNTVSPAAAQPQADCQDNSPLQSKPPPGIGESLGVNVTEKIEIHLEEPAPSDKQLSNDAASVDLNPTESKTEPLQSASAQEPEPPSVKDGDTIFLSLSEHNSCEEVALVLGEGNPVKNNNSLSSESGGSVGVSPETQNTDGKTSNSTEVDASSIVSLKIIISDDPFVSSDAELNSAVSSISGENLPTIILSSKSPAKNAEFVTCLSSEETASAVVSVEVGDSGSMEQNLLVLKPEEPMVNNTQNEDGIAFSANVAPCVPKDGGYIQLMPTTSTAFGNSSNILIATCMTDSTALGPTVSQSNVVVLPGSSAPMTAQPPQQQLQTPPKSNSAFAVSQAVSPNFSQGSAIIIASPVQPVLQGMVGMIPVSVVGQNGNTFSTPPQQVLHMPLAAPVCNRSIAQLPIPQKSQKAQGLRNKLITGKQVNNLTNLSSLSEACHTQRTEASDKNIATELGKKMEDTTISLSGERVAPPSKPFESHRRVLCFDSTVSSVANTQGSLYKMTSENKEKKEASFSHLDSPILSSTLKPPPNNAIKREREKTVPKILSKSETASSRHTTVKEVQSEKKVSPTEVALESLHKATANKENELCGDGERPKNADTSKLPGGQQNGSLRNEKAIASLQELTKKQATPSNNKNATSVGGTVKDQKQEQSKPASSLIGAEILQDVPIHSPANRSADTDLPVPRTPGSGAGEKHKEEPSDSMKAPASRRCGEEGSMPRVMIPPVTADLPACSPASETGSENSVSMAAHTLMILSRAAIARTTATPLKDNTQQFRTSSRSTTKKRKIEELDECERNSRTSGKNLANSSVPMKKKKIKKKKLPSSFPAGMDVDKFLLSLHYDE", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGEISMKSKVCPLIYHFLQENGYVKTAQTFLKETGDKDLAKGKVKKNLLDLLSQKEFLPYLTTEDVGKHKKTKESLEKSNDDSQKISKKGAPPEKAHSSSEASGSGSSSDESDSSSSESESSSEDNDSSSSSSDSESESSSEDSDSSSSSSDSESESSSEGSDSSSSSSSSESESSSEDNDSSSSSSDSESESSSEDSDSSSSSSDSESESSSEGSDSSSSSSSSESESSSEDNDSSSSSSDSESESSSEDSDSSSSSSDSESESSSKDSDSSSNSSDSEDDSSSDSSDSESESSSEDSDSTSSSSDSDSSSSSEDGNSNTDTTTSGEVSAQSSTNSTSSEESTSVKDEDSSKIHDKSLKRKHEDDESSTSTKSSRTTKTPFTRVGDPSQWDFASPALRDNSFNFEDDYGTLANRDLIVTRGKGFRQEKNKKKRGSYRGGRINTEVRSFKF", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MADFEELRNMVSSFRVSELQVLLGFAGRNKSGRKHDLLMRALHLLKSGCSPAVQIKIRELYRRRYPRTLEGLSDLSTIKSSVFSLDGGSSPVEPDLAVAGIHSLPSTSVTPHSPSSPVGSVLLQDTKPTFEMQQPSPPIPPVHPDVQLKNLPFYDVLDVLIKPTSLVQSSIQRFQEKFFIFALTPQQVREICISRDFLPGGRRDYTVQVQLRLCLAETSCPQEDNYPNSLCIKVNGKLFPLPGYAPPPKNGIEQKRPGRPLNITSLVRLSSAVPNQISISWASEIGKNYSMSVYLVRQLTSAMLLQRLKMKGIRNPDHSRALIKEKLTADPDSEIATTSLRVSLMCPLGKMRLTIPCRAVTCTHLQCFDAALYLQMNEKKPTWICPVCDKKAAYESLILDGLFMEILNDCSDVDEIKFQEDGSWCPMRPKKEAMKVSSQPCTKIESSSVLSKPCSVTVASEASKKKVDVIDLTIESSSDEEEDPPAKRKCIFMSETQSSPTKGVLMYQPSSVRVPSVTSVDPAAIPPSLTDYSVPFHHTPISSMSSDLPGLDFLSLIPVDPQYCPPMFLDSLTSPLTASSTSVTTTSSHESSTHVSSSSSRSETGVITSSGSNIPDIISLD", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGDSENVQQPSKKRGALKQLSRDNPGLDDDDDSAELESGTFKKASDEVLASRRIVRVKRKEPSAAPVAASNPFAGIQLVPTTAPASTPVGTNAPLAESKLAPAEAVVEDNQKASDIEEGDEVDSKKVDVKDAVGEETEKTKDKDDNHCGKSADVQVAATEVAQMVSCDTNVCNNAVEGTDQTDFPLEKDSGGDQAEKKEKEGNGIEEADKNGDNGAFSSFQQHSSNKNAFTGLASTEASGSSFSFGLVSQDGSTGTGSLFGFGLPSSNSSSIFGATGSSIIKKSEGSGFPPKQEVSTETGEENEKVAFSADSIMFEYLDGGWKERGKGELKVNVSSNDGKARLVMRAKGNYRLILNASLYPEMKLANMDKKGITFACVNSVSEGKEGLSTFALKFKDPTIVEEFRVAIDKHKDSKPMEKAAEKSALPLKTPENSPTATDT", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTELTSAMDIDVDEIQPRKPINKGKDVVGFGPPPQSKATPWVEKYRPQSLDDVAAHRDIIDTIDRLTNENKLPHLLLYGPPGTGKTSTILAVARKLYGPKYRNMILELNASDDRGIDVVRQQIQDFASTQSFSLGKSSVKLVLLDEADAMTKDAQFALRRVIEKYTKSTRFALIGNHVNKIIPALQSRCTRFRFAPLDGVHMSQRLKHVIEAERLVVSDCGLAALVRLSNGDMRKALNILQSTHMASKEITEEESKQITEEDVYLCTGNPLPKDIEQISHWLLNKPFDECYKDVSEIKTRKGLAIVDIVKEITLFIFKIKMPSAVRVQLINDLADIEYRLSFGCNDKLQLGAIISTFTHARSIIVGAAK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRLGRVCPRGPGKVRSPRHRFSCTLFVSTTGSSCGHHGPQLAASSNPSVLPGLHEQPPQASHSRPLNGLLRLGIPGDMYARSEPFAPGPMARSDTLATATALHGYGGMNLTMNLTAPHGPGAFFRYMRQPIKQELICKWLGDDSPMSPRPCSKTFSTMHELVTHVTVEHVGGPEQANHICFWEECPRQGKPFKAKYKLVNHIRVHTGEKPFPCPFPGCGKVFARSENLKIHKRTHTGEKPFRCEFEGCERRFANSSDRKKHSHVHTSDKPYMCKVRGCDKCYTHPSSLRKHMKVHGRSPPPSSGYDSAITSALASPSLESGREPSVACSAAVVVRGTDVSE", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSRVEIEEDTEGDRISTNDYYFERIGEPISIKEDDAQYDLENPPSQPLAISERHAVLFVAHSSGFFVGRTNDVISASKNSNGNGDKVFIQDLSLVDVPVGDVRILSLSADDSILAVTVAADIHFFSVDSLLKKDAKPSFSYSPDESGFVKDFRWRRNDKHSYLVLSNTGKLFHGIDNAPPRHVMDAVDAVEWSSKGSYIAVAQDNSLRIFSSKFNEKRCIALSFDSWIGDSDEDCFVKVDSIRWVRNNCILLGCFQLIEGREENYLVQVIRSPDGKISDGSTNLVALSFSDLFPCSMDDLVPVGVGPHLLFSYIDQCKLAVTANRKSIDEHIVLLDWSSGDDKSAVSVVDIDRETFLPRIGLQENNDDNTVMGLCIDRVSIEGTVNVRSGDDELKELQPYFVLVCLTLEGKLVMFNVASVAGRPASSDTDLASSSDIEDAYTPLIEDDLSKQSSEKHQQLNIAVQNDQKHLNTEKFSTEQRLPNENIFSKEFESVKSSVSGDNNKKQEPYAEKPLQVEDAQQSMIPRLSGTSFGQLPMSLGYDTNKFAGFGPALPVSEKLQKDIFAQSNSMHLQANVESKSTAAFFGSPGLQNAILQSPQNTSSQPWSSGKSVSPPDFVSGPFPSMRDTQHKQSVQSGTGYVNPPMSIKDKSVQVIETGRVSALSNLSPLLGQNQDTNEGVEKIEPIPSIRASQLSQQVKSSFEKSASHQQHKTPLSTGPLRLEHNMSNQPSNINEMAREMDTLLQSIEGPGGFKDSCAFILKSNVEELEQGLESLAGKCQTWKSTIHEQQAEIQHLLDKTIQVLAKKTYMEGMYKQTADNQYWQLWNRQKLNPELEAKRQHIMKLNKDLTHQLIELERYFNRLELDRYNEDGGHPVARRGVPNRSAPSRRVQSLHSLHNTMSSQLAAAEQLSECLSKQMTYLKIDSPVKKNVKQELFETIGIPYDASFSSPDAVKAKNASSAKNLLLSSIPASINQQSRQRQSSAMKNSDPETARRRRESLDRVIFNWAAFEPPKTTVKRMLLQEQQKTGMNQQTVLSERLRSANNTQDRSLLHVKDHASPVVSSNKGIMESFQQDTSEAQSTPFKTRPPMPQSNSPFTISPISASKPSFNWSGNKSSNTTSYAEESAPSQIKDTRTVSQPGGSSFLPKRPVASTVLEQTEKKAGEFKFSEAKANAFVETAAGSVQRLSTTSSGSDFESSKGFGAQFSTMSSGAPASSFSSKSLFGFNSSSSIPGDKFTFPAVTAPLSGTPLDSTSTLFTASSAPVSSSSQDPVPASIPISSAPVPQTFSVTSTSTVSATGFNVPFGKPLTSVKVDLNQAAPSTPSPSPGPTAGFTFNLPALSPSSPEMVSSSTGQSSLFPPSAPTSQVSSDQASATSSLTDSSRLFSSTSLSSTPPITPPDAFQSPQVSTPSSAVPITEPVSEPKKPEAQSSSILSTQSTVDSVANATKTQNEPLPVKSEISNPGTTVTPVSSSGFLSGFSSGTQSSLASMAAPSFSWPGSSQPQQLSSTPAPFPASSPTSASPFGEKKDIVDTQEDEMDEEAPEASQTTELSMGSFGGFGLGSTPNPGAPKTNPFGGPFGNATTTTSNPFNMTVPSGELFKPASFNFQNPQPSQPAGFGSFSVTPSQTPAQSGFGQPSQIGGGQQALGSVLGSFGQSRQIGAGLPGATFGSPTGFGGSNPGSGLPNAPASGGFAAAGSSATGGFAAMASAGRGFAGASSTPTGGFAALASGSGGFAGAAPGGGGGGFGGLGSGTGGFGGFAPQGSSGGFAGAAGGGGFGGFGGQAQGQAGGGGFSAFGGNSGATGKPSELFTQMRK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNLELLESFGQNYPEEFDGSLDCISLAVTCAFNKYGTLLAVGCNDGRIVIWDFLTRGIAKIISAHVHPVCSLSWTRNGHKLLSASTDNNVCIWDVLTGELEHKYRFPSPVLKVQFDPRNDNRLLVCPMRYAAVLVEVGGTHRCLPLDSDGDLNIVASFDRRGKHIYTGNAKGKILVLDVETFEVVASFRIIVGTSSATAVKSIEFARRGDAFLINTSDRVIRVYDSKEIITLGKDGEPEPIQKLQDLVNKTTWKKCCFSGDGEYICAGSARQHALYIWEKSIGNLVKILHGTKGELLLDVVWHPVRPIIASISSGLVSIWAQNQVENWSAFAPDFKELDENVEYEERESEFDIADEDKSVDLNADAQQDEEIEVDVQKVEPVAAFCSSDEEGEDENALQFLPMAPEVEDPEDGWTGQDGLEPSAVMLGHMEPHDYEDDIMASKRRRMQLYDVSLPDAPTDETHPLISSKASKDKQQPVGGKKAAGRTKK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKGDSRHLNEEEGASGYEECIIVNGNFSDQSSDTKDAPSPPVLEAICTEPVCTPETRGRRSSSRLSKREVSSLLNYTQDMTGDGDRDDEVDDGNGSDILMPKLTRETKDTRTRSESPAVRTRHSNGTSSLERQRASPRITRGRQGRHHVQEYPVEFPATRSRRRRASSSASTPWSSPASVDFMEEVTPKSVSTPSVDLSQDGDQEGMDTTQVDAESRDGDSTEYQDDKEFGIGDLVWGKIKGFSWWPAMVVSWKATSKRQAMPGMRWVQWFGDGKFSEISADKLVALGLFSQHFNLATFNKLVSYRKAMYHTLEKARVRAGKTFSSSPGESLEDQLKPMLEWAHGGFKPTGIEGLKPNKKQPVVNKSKVRRSDSRNLEPRRRENKSRRRTTNDSAASESPPPKRLKTNSYGGKDRGEDEESRERMASEVTNNKGNLEDRCLSCGKKNPVSFHPLFEGGLCQSCRDRFLELFYMYDEDGYQSYCTVCCEGRELLLCSNTSCCRCFCVECLEVLVGAGTAEDAKLQEPWSCYMCLPQRCHGVLRRRKDWNMRLQDFFTTDPDLEEFEPPKLYPAIPAAKRRPIRVLSLFDGIATGYLVLKELGIKVEKYIASEVCAESIAVGTVKHEGQIKYVNDVRKITKKNIEEWGPFDLVIGGSPCNDLSNVNPARKGLYEGTGRLFFEFYHLLNYTRPKEGDNRPFFWMFENVVAMKVNDKKDISRFLACNPVMIDAIKVSAAHRARYFWGNLPGMNRPVMASKNDKLELQDCLEFSRTAKLKKVQTITTKSNSIRQGKNQLFPVVMNGKDDVLWCTELERIFGFPAHYTDVSNMGRGARQKLLGRSWSVPVIRHLFAPLKDYFACE", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVMYARKQQRLSDGCHDRRGDSQPYQALKYSSKSHPSSGDHRHEKMRDAGDPSPPNKMLRRSDSPENKYSDSTGHSKAKNVHTHRVRERDGGTSYSPQENSHNHSALHSSNSHSSNPSNNPSKTSDAPYDSADDWSEHISSSGKKYYYNCRTEVSQWEKPKEWLEREQRQKEANKMAVNSFPKDRDYRREVMQATATSGFASGMEDKHSSDASSLLPQNILSQTSRHNDRDYRLPRAETHSSSTPVQHPIKPVVHPTATPSTVPSSPFTLQSDHQPKKSFDANGASTLSKLPTPTSSVPAQKTERKESTSGDKPVSHSCTTPSTSSASGLNPTSAPPTSASAVPVSPVPQSPIPPLLQDPNLLRQLLPALQATLQLNNSNVDISKINEVLTAAVTQASLQSIIHKFLTAGPSAFNITSLISQAAQLSTQAQPSNQSPMSLTSDASSPRSYVSPRISTPQTNTVPIKPLISTPPVSSQPKVSTPVVKQGPVSQSATQQPVTADKQQGHEPVSPRSLQRSSSQRSPSPGPNHTSNSSNASNATVVPQNSSARSTCSLTPALAAHFSENLIKHVQGWPADHAEKQASRLREEAHNMGTIHMSEICTELKNLRSLVRVCEIQATLREQRILFLRQQIKELEKLKNQNSFMV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MARPRPREYKAGDLVFAKMKGYPHWPARIDELPEGAVKPPANKYPIFFFGTHETAFLGPKDLFPYKEYKDKFGKSNKRKGFNEGLWEIENNPGVKFTGYQAIQQQSSSETEGEGGNTADASSEEEGDRVEEDGKGKRKNEKAGSKRKKSYTSKKSSKQSRKSPGDEDDKDCKEEENKSSSEGGDAGNDTRNTTSDLQKTSEGT", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDASEVEFLAEKEQVTVIPNFSLDKVYLIGGDLGPFNPSLPVEVPLWLAINLKQRQKCRIVPPEWMDVEKLEAIRDQERREETFTPMPSPYYMELTKLLLNHAADNIPKADEIRTLVKDTWDTRIAKLRLSADSFVKGQEAHAKLDNLTLMEINTIGTFFTESLNHMYKLRTSLQNPEEGQSQDY", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAETKDVFGQEPHPVEDDLYKERTRKRRKSDRDQRFRAFPSMEQSALKEYEKLESRTRRVLSNTYQKLIQSVFLDDSIPNGVKYLINRLLALIEKPTVDPIYIALFGSTGAGKSSLINAIIQQAMFLPVSGESICTSCIVQVSSGCCVQYEAKIHLLSDQEWREELKNLTKLLHRTEELSREEADAWNRDEAVEEATWKLQMIYGNGAESKNYEELLRAKPKRKIPTSRVITLKAEEAEELSIKLDPYIRTQRRDWDGEAAEMRIWPLIKHVEVTLPKSDLIPEGVVLVDIPGTGDFNSKRDEMWKKTIDKCSVIWVISDIERVSGGQAHEDLLNESIKACQRGFCRDVALVVTKMDKLHLPEYLRERKAGNQAIQSQREAVLERNEMIKLQRTRILKEKLKRKLPADFKVLEASDLVYTVSAQEYWQQALLTEEETEIPKLREYIRKSLLDKKKRTVTKYVTEAFGLLLLTDSFNSTQNLPNEHLHMSVLRRFAEEKVELLEKAIAQCFACMEQPLQEGVRTARTSYRCILRACLVRSKGNQGFHQTLKAVCLKNGIYASRTLARIDLNEALTQPVYDQIDPVFGSIFRTGKPTGSALMPHIDAFKQSLQEKMTEIGIRSGWKYDSCKKNFLIQEISAILGGLEDHILRRKRRIYESLTASVQSDLKLCYEEAAQITGKKACERMKDAIRRGVDRQVAEGMFERAQERMQHQFQQLKTGIVEKVKGSITTMLALASSQGDGLYKELADVGSEYKEMEKLHRSLREVAENARLRKGMQEFLLRASPSKAGPPGTSL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MHNQEEWLDKDKTLVNEEENTCINHSYTKKDTNNYRVGKSGIKDLKKPTNQKEIAIKNRELTKQLTLLRQENNHLQQACKILSENKIIENRKSIEKWRTICEMELSFILNSTLIKINRMGGYKDFLEKEMEAKKRRLEYQIDNGMEDQICEIKESDDFRQLSEVEKQEWESQMNEQLKELEKKKIAELEKLNKVLHDSEGKDFGMAELCTRLKLDYSLIFPQ", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSYGRPPPDVEGMTSLKVDNLTYRTSPDTLRRVFEKYGRVGDVYIPRDRYTKESRGFAFVRFHDKRDAEDAMDAMDGAVLDGRELRVQMARYGRPPDSHHSRRGPPPRRYGGGGYGRRSRSPRRRRRSRSRSRSRSRSRSRSRYSRSKSRSRTRSRSRSTSKSRSARRSKSKSSSVSRSRSRSRSRSRSRSPPPVSKRESKSRSRSKSPPKSPEEEGAVSS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSKLPADSSVPQTGAANGDRDVPQAEVGRGRREPAPAQPEEAGEGAMAAARGGPVPAAREGRMAAARAAPAAAARGAPVAAAALARAAAAGRESPAAAAAREARMAEVARLLGEPVDEEGPEGRPRSRHGNGGLAALPYLRLRHPLSVLGINYQQFLRHYLENYPIAPGRIQELEERRRRFVEACRAREAAFDAEYQRNPHRVDLDILTFTIALTASEVINPLIEELGCDKFINRE", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGEAEVKDNEVYEEDLVDYEEEVENGADGGAAAANASADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVGALVLCHTRELAYQICHEFERFSKYLPEVKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRQKGHRHGRTVSPCAGCKLLRRKCVKDSCVFAPYFPAKEPYKFAIVHKIFGASNVNKMLQELSENHRSDAVDSMVYEANARIQDPVYGCVGTISSLHRQLETLQTQLAFAQAELIHIRTLHRIHTKPPPYTASTVTFPSNKDFYSDIDMAVAYTDDAGDFLWSC", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSYSKSTIYRRQGTEPNSHFRRTVEEKSQLSGTNEESLGGHTLSSNAFKNNSSSISPSSSAKDPREQRKRTFPLNDTHSSRARQHERPFRSRKSRRRKGKKAFSPRPGSPPSPSFYRSGSQKRARNLTTKDYFAKRSESSSSASVSPISPSANRNDSKRQASSFRRSPPSSVHMKPSAFNGRKVSRRPSSSPPPIPSIPHETTSSDTQKKSSVSSGFPENKHGKFHFHIPNERRSRFDQPPSKRMALTSTARESVPAPLPSPPSGPIYTYTYPKPAYEKIDQIGEGTYGKVYKAINTVTGDLVALKRIRLEQEKDGFPITTVREVKILQRLRHKNIVRLLEIMVEKSSVYMVFEYMDHDLTGVLLNSQLHFTPGNIKHLSKQIFEALAYLHHRGVLHRDIKGSNILLNNNGDLKFADFGLARFNTSSKSANYTNRVITLWFRPPELLLGETAYDTAVDIWSAGCIVMELFTGKPFFQGRDEISQLEVIYDMMGTPDVHSWPEVKNLPWYELLKPVEEKKSRFVETFKEILSPAAIDLCQKLLALNPFCRPSAHETLMHEYFTSESPPPEPAVILKNMQGSWHEWESKKRKSKR", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVPIKKISTACDLCRQRKLRCNGELPKCQNCVVYSETCKYNKRKRVKKPNVDKDDPHIVVGQPPVKKSTAGITREYTEMIELRNHIITLSKRSVNMESRIDDMLNLLNYDLSEKRETSNEIPSLVQQIQNCGFLIDEKMRRYPGIFQIHPKDYTMNDLFPQSFPTWISVYRNVPEKAWANRCVEWYFRYINSCWPLFDLENFMDLFDNFYSDKEKTKGAWVVSFYAIMALAVSRSKRKDKEKISKSLFSTSWFLVQKPGFFLTARLDKIQALTIMIQFCAHLSLYNLCKVLCGQMCLMVKDLDLHKEATNPNVDIEVDELNRRVFWTCYIFETTTSLIFGTPPELGDLEIDCQLPSMDVLPRFTESSQGGIVFCSEIQLTIIKNEIRKKIYKCLASASEEVYKEAVLSIRGKLIVWERNLPDELKQYYDVIKLNGTIPKNVDFENQHIFTACVEIYLSYCITQLYFYDPLTNYETCLEIARKAADAIRSYFMVIEPIFKKICYLWLFLYCPFTPFQILFSNILKMEKGTSDEKIEDLDRMYSLYRFFVEMKEINGEFADKLSRVALDCIDAAEHYLELKSSVGSNIFELESLLV", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSKHHPDLVLCRRQPGITVGKLCERCDEKCPICDSHVRPTTLVRICDECAFGSSQDRCIICGAPGVSDCYYCSECTRMEYDRDGCPRVINLGSSRTDWFYERKKFKNAGKEMPGATY", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDDDQQFCLRWNNHQSTLISVFDTLLENETLVDCTLAAEGKFLKAHKVVLSACSPYFATLLQEQYDKHPIFILKDVKYQELRAMMDYMYRGEVNISQDQLAALLKAAESLQIKGLSDNRTGGGVAPKPESSGHHRGGKLSGAYTLEQTKRARLATGGAMDTSGDVSGSREGSSSPSRRRRKVRRRSMENDAHDNSNSSVLQAAASNQSILQQTGAGLAVSALVTTQLSSGPAAGTSSQASSTQQQQPLTSTNVTKKTESAKLTSSTAAPASGASASAAVQQAHLHQQQAQTTSDAINTENVQAQSQGGAQGVQGDDEDIDEGSAVGGPNSATGPNPASASASAVHAGVVVKQLASVVDKSSSNHKHKIKDNSVSSVGSEMVIEPKAEYDDDAHDENVEDLTLDEEDMTMEELDQTAGTSQGGEGSSQTYATWQHDRSQDELGLMAQDAQQRDPQDGYWTILETVPYSIASAAPNQTLTTATTLSNGGSSLLTGATVVVELPPDDLGNPVGNIQYTIPALTKNATTNTNTTSLLHKPQATTIQIVKQQHQQQHQQQHQHPQQQHQPQQQQHRQHLTIQQSQTHARQEYIKIDTSRLEDKMLLRDVMQYGATSIAMAPQSATTTVVSTHPVESGLLLADADEAERELELEAMKVDQHDEEHLLDDEGYVIEKIHGDGETVNQPQEKLYINGMSNIIHTATTMTLQPDDCKYACNVCGKTYKIKGSLKRHKNYECGVEPNLKCPHCPHKCKCVLAQVVNFVRHGPKNQLLCQCGRYYNTLSRLMLHQREECQDFKRFQCDFCLKWFKRRSHLNRHKKLHDAELFLEPLSKQKPKTTSGQNLSHDANTDDEVATTNPAATEDESNYPFTSEIKIENEFDEFI", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAQSSPPQSLQGLVPLGLLPGLGLGSAIGLHVSGLVLRFVRFLPFYATRRPSQPAGPARSTRTTQATAPRRTRPAPAGGQRQSASEREKLRMRTLARALQELRRFLPPSVAPAGQSLTKIETLRLAIRYIGHLSALLGLSEDSLRRRRRRSADAAFSHRCPQCPDGGSPSQAQMLGPSLGSAMSSGVSWGCPPACPGPLISPENLGNRISNVDPRVTPPYCPQIQSPLHQSLERAADSSPWAPPQACPGMQMSPEPRNKTGHWTQSTEPAELTKVYQSLSVSPEPRLSLGSPLLLPRPSCQRLQPQPQPQPQWGCWGHDAEVLSTSEDQGSSPALQLPVASPTPSSGLQLSGCPELWQEDLEGPPLNIFY", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRSVTNAFGNSGELNDQVDETGYRKFDIHEGILFCIELSETMFKESSDLEYKSPLLEILESLDELMSQLVITRPGTAIGCYFYYCNREDAKEGIYELFPLRDINATFMKKLNDLLEDLSSGRISLYDYFMFQQTGSEKQVRLSVLFTFMLDTFLEEIPGQKQLSNKRVFLFTDIDKPQEAQDIDERARLRRLTIDLFDNKVNFATFFIGYADKPFDNEFYSDILQLGSHTNENTGLDSEFDGPSTKPIDAKYIKSRILRKKEVKRIMFQCPLILDEKTNFIVGVKGYTMYTHEKAGVRYKLVYEHEDIRQEAYSKRKFLNPITGEDVTGKTVKVYPYGDLDINLSDSQDQIVMEAYTQKDAFLKIIGFRSSSKSIHYFNNIDKSSFIVPDEAKYEGSIRTLASLLKILRKKDKIAILWGKLKSNSHPSLYTLSPSSVKDYNEGFYLYRVPFLDEIRKFPSLLSYDDGSEHKLDYDNMKKVTQSIMGYFNLRDGYNPSDFKNPLLQKHYKVLHDYLLQIETTFDENETPNTKKDRMMREDDSLRKLYYIRNKILESEKSEDPIIQRLNKYVKIWNMFYKKFNDDNISIKEEKKPFDKKPKFNI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MADMDELFGSDGDSDNEQRDSGSGSGSDSDHERPRSASNASGSESAQSDRDHDDDEDEDGGKPSNKELFGDDSEDEHGSQHSGSQHSGSRHSGSRHSGSRHSGSRHSGSQHSGSQSERSGNQSDATMHSDNEHSMSEAHRGEQDDEDDDDRGHRSDVGSPASGAGSRRSDRGSGSPGSEAGSPRSEAGSGHSDPGTPHTDGEGSGKDAHSGDEKWGGDGKSDQSEDEDKQQNSDDERERSDEEGERQKSESIKGSDSEDDFTRKKKKKIASDSDSDSDAETQGGKKPAANDLFGEADDISSDSDAEKPLTPGQPLDADDGMEGDQPEEEPAPETRIEVEIPKVSTDLGSDLYFVKLPNFLSVEPRPFDPQYYEDEFEDEEMLDEEGRTRLKLKVENTIRWRSRRDEEGNEVKESNARIVKWSDGSMSLHLGNEVFDVYKAPLQGDHNHLFIRQGTGLQGQAVFKTKLTFRPHSTDSATHRKMTLSLADRCSKTQKIRILPMAGRDPESQRNEMIKKEEERLRASIRRESQQRRMREKQHQRGLNAGYLEPDRYDEDEEGEESISLAAIKSKYKGGGGLREERARIYSSDSDEGSDEDKAQRLMKAKRLDSDEEGENSGKRKAEEDEESASKKPKKYVISDEEDEDGDGERDGDRERGGDMDGDGDGDMEGDGDVDRDGDMDGDGEGDGEGDGEEDE", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAGPVKDREAFQRLNFLYQAAHCVLAQDPENQALARFYCYTERTIAKRLVLRRDPSVKRTLCRGCSSLLVPGLTCTQRQRRCRGQRWTVQTCLTCQRSQRFLNDPGHLLWGDRPEAQLGSQADSKPLQPLPNTAHSISDRLPEEKMQTQGSSNQ", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKPLPSQQPPPKMGDFYDPEHPTPEEEENEAKIENVQKTGFIKGPVFKGVASSRFLPKGTKTKVNLEEQGRQKVSFSFSFTKKTLQNRFLTALSNEKQSDSPNSPAPPLQVDSNPKVKMDAGDTFPATEESSPPKSRVELGRIHFKKHLLHVTSRPQLAASTTAASPLPPTTQLPAVLAESMIDSPPSSPPPPPPPPQASSPSPPAQISEPVALPQPPATALMTSPPGPLPGDVAVRAQKESPVKSGPEVLEVDTKQDIVSNSLEEHTVQTLKEQADHLLQKEDSHIGKEEEVSDGSKISLSSKKASSKKKSSQFEGTFLGSESDEDSVRTSSSQRSHDLKSSTSIDKERDFKKSSAPSKSEDLGKSSRSKTERDDRYCSYSKLERDTRYVSSRCRSERDRRRSRSRSRSDRASRTSLSYSRSERSHYYDSERRYHRSSPYRERTRYSRPYTDNRARESSDSEDEYKKTYPRRTSAHSYRDLRTSSSYSKFDRDCKTETSYLEMERRGKYTSKLERESKRTSEHETIKRCCSPPNELGFRRGSSYSKHDNSTSRYKSALSKSISKNDKFKNSFCCTELNEENKQSHSFSLQTPCSKGSELRTINKISEREKTGSPTPSNQLNDSPTFKKLDESPVLKPEFIGHDGRESIKELELSKVKNDQLRNFCSIELNVNGSPETEADVATFCTSKTDAISMTSDDSVTGSEVSPLIKACMLSSNGFQNVGRCRERDSDDTCRQHNTSKSPFREMEPLLSPHHDKLMSLPVKTIDYPKTLIKEPVDKRHSCCKTKDSDIYCSPNENPEAENAEPSAMTISSHSFVNVHLESKTVICDNREPTDRHSENTCDEYKQSIGSTSSASHNHFDGLYEPIGSSGISSLQSPPSGIRCEENTSPTLDAVESKKGIDFLKYARKETDVGSALPDSGKGFSWENRHNNVLSGQSLQEAQEEGNSILHERRGRPEIPLDEEQRGHTHISDDSEVVFPYDLNLTMEDSDGITYTLKCDSSGNAPEIVSTVHEDYSGSSASSSDESDSEDTESDDSSIPRNRLQSVVVVPKNSTLPMEETSPCSSRSSQSYKHYSDRWEDGLETRRHAYEEEYESKGCSQTEKYFLHKGTERSAESCYSQFGRKADNHLPDIAHAQSDGVDSTSQTDSRSDHLGHLNPEDTLRAKTSRPQELPVYSDDFEDLPNKSRQQMIFSNRPDSSRLGKTELSFSSSCDISRMDGLHSSEELRNLGWDFSQQERPTTTYQQPDSSYGTCGTHKYQQSTEHYGGTHNYWQGNGYWDPRSAGRPPGTGLAYDRIQGQVPDSLTDDREEEEHWDQRSGSHFSSPSNKFFFHQKDKGSVQAPEISSNSIKDALVMNERKDFSKNFEKNDIKERGPPKKRRQELESDSESDGELQARKKVRVEMEQGESSVPQHSELMGPSCAMDDFRDPQRWKEFAKLGKMPCYFDLIEENVYLTERKKNKSHRDIKRMQCECTPLSKDERAQGEVACGEDCLNRLLMIECSSRCPNGDYCSNRRFQRKQHADVEVILTEKKGWGLRAAKDLPSNTFVLEYCGEVLDHKEFKARVKEYARNKNIHYYFMALKNDEIIDATQKGNCSRFMNHSCEPNCETQKWTVNGQLRVGFFTTKLVPSGSELTFDYQFQRYGKEAQKCFCGSANCRGYLGGENRVSIRAAGGKMKKERSRKKDSVDGELEALMENGEGLSDKNQVLSLSRLMVRIETLEQKLTCLKLIQNTHSQSCLKSFLERHGLSLLWIWMAELGDGRESNQKLQEEIIKTLEHLPIPTKNMLEESKVLPIIQRWSQTKTAVPQLSEGDGYSSENTSRAHTPLNTPDPSAKPSTEMDTDTPKKLIFRRLKIISENSMDSAVSDVTSELECKDGKEDLDQLETVTVEEDEELQSQQLLPQQLCESKVESEATIEVSKLPTSEPEADTETEPKDSNGTKLEETIAEETPSQDEEEGVSDVESERSQEPPDKTVDISDLATKLLDSWKDLKEVYRIPKKSQTEKESTVAERGRDAAAFRDQTAPKTPNRSRERDPDKQSQNKEKRKRRGSLSPPSSAYERGTKRPDDRYDTPTSKKKVRIKDRNKLSTEERRKLFEQEVAQREAQKQQQQMQNLGMTSPLPFDSLGYNASHHPFAGYPPGYPMQAYVDPSNPNAGKVLLPTPSMDPVCSPAPYDHAQPLVGHSTESLAAPPSVPVVPHVAASVEVSSSQYVAQNESVVHQDSNVPVMPVQAPGPVQGQNYNVWESNQQSVSVQQQYSPAQSQTTIYYQGQTCSTVYSVTSPYSQTTPPIVQSYAQPSLQYIQGQQIFTAHPQGVVVQPTAAVTSIVAPGQPQSLQPPEMVVTNNLLDLPPPSPPKPKTIVLPPNWKTARDPEGKIYYYHVITRQTQWDPPTWESPGDDASLEHEAEMDLGTPTYDENPMKTSKKPKTAEADTSSELAKKSKEVFRKEMSQFIVQCLNPYRKPDCKVGRITTTEDFKHLARKLTHGVMNKELKYCKNPEDLECNENVKHKTKEYIKKYMQKFGAVYKPKEDTELE", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDPSEYFAGGNPSDQQNQKRQLQICGPRPSPLSVHKDSHKIKKPPKHPAPPPNRDQPPPYIPREPVVIYAVSPKVVHATASEFMNVVQRLTGISSGVFLESGGGGDVSPAARLASTENASPRGGKEPAARDETVEINTAMEEAAEFGGYAPGILSPSPALLPTASTGIFSPMYHQGGMFSPAIPLGLFSPAGFMSPFRSPGFTSLVASPTFADFFSHIWDQD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTSKTSESGTGTQSTIVQLRNLPDLTEISHLEIDAPVVEILKKTVLFQLNSLNICISNFALDELVNLVTVQMDGMFRNLHNLTLLQRRSQASQADLKLLLREFNLDAPSLYQQFQASEFIKSKHSTEYEKLMSWSSLAALPHNEEDEEDELNNIEEQQNEINVLLPPSNPLEKQIPSWLPNFPPDHTYKFTPEFNHPITDLKTIKKEIVKESQESEKALLNLNKSLSHISSASNTPQPPGLDDEDAIEQQLEIWGNALEERKPTITEKSFNENNIEQYAKYRVELARERVTKFEVNQLKRTKNPFLKISETLYLPESPHQSHKTIQKTIELQFRKSMTLFMHNLPKVQKLKKEKIRMAKEERAKSLKRRQEELISQRTKREQDEGHDLELLLNNEHARDAADDTTTPNALNNSTIVINTNAEDEDDDINLFGILGSSEDENEMSSMPAENLVAESEPPTMTAQDTTNTTPVAHNTTNIDATTSHSPHSTPNENAPTSPPADIATDHDITM", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVAKKRNTLRSKVSARNSQNFGPDVANNGILDESYDIESDPRAFLHQPKETKKEKLLNRQNTFLSNLKGKSTLNDGIAANFDGISKSSIRRRKRKLREELKPRMQDLLTSLEQEKDLRGIIENSSKDMNNDDDIDMDSKIRFVDTKEMNLKKIEPGSVRIKKNQPNIRNQKGAKALAANETARFNQVLTNQDFQKNPFGALREVIKLQKQ", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MACGATLKRTLDFDPLLSPASPKRRRCAPLSAPASAAASPAAATAAAAASAAAASPQKYLRMEPSPFGDVSSRLTTEQILYNIKQEYKRMQKRRHLEASFQQTDPGCSSDSQPHAFLISGPASPGTSSATSSPLKKEQPLFTLRQVGMICERLLKEREEKVREEYEEILNTKLAEQYDAFVKFTHDQIMRRYGEQPASYVS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSGNTHGLSWFPHFPDKFVSWGQEIHLYEVRRKDDHSQKSRLPYISVNYLANESRYQYARCVAASYHSDQPIIAVGLADGKVGICNFRDTYDSSWEYTPRQQRMCTCLAWNELDANILAIGHDRHRNDTCITIWDIERGVPKETANFFGVGESANSICWDRNHRTVIAGMSQKMIKLFDLRQSNATCQSIQTKTVQGLSVSPNGNYLCSYVDSVITLWDPRNIKSPLRQIQSSKNHLQIAWCPTRTSLLSSLQRDSSYITLYDIRSVDTDNSGEIYHVKRQISPFPARYQHSGKFSFVNCLSWHSRDFERALLLADALNILDFRLPATLHTAHSNRRKLPLLMQRPLYTPASPTSTAATPTQQQPTSSCSTNSGSSLDFSTPGGSPFNVDLLKPELFELDLVDETRQRALEDYGIKPDNKRFGELHLTPYLRNVWSTLNNVYSEDRLTGLKATLGINLGHTSEALMASSRIESQVLQWPEGINNSNKLICYRSEQRDLALQLCGWAFEQELDRFIDQLYANKEYSRAAMICVFHLKIFHACNILSSAADNMRDPSMYRITVIALSSFNADRCSSTWRNQRSSANMQIHDPHLRAVFSFLTMEKDNFDAVLKEEGVSLSDRMAFACKYLSETKLADYVAQQIQAAIDGGDLNGLLLTGESQDGIDILQSYMDTSFDVQTVALVAINYFRQELFEDKRIQYWIASYLDHLNSWGLWEKRAELDIKIESIRPSSRSSRTVFLSCNFCGKSVSNALLDEPRPRSTTTSTNRLSSCPSCRKPLPRCSLCLMHMGTMVNMSNGETPTTTPDVPGWQTKPFSKWFSWCQTCRHGGHTEHIMQWFKQNSECPVSSCNCRCFDMDGTKPNTLRDIS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKRSTGGTQPKGLNVKRSKLADARFIEVESPALSNGAVDLKKFIESRSFEITALQDAMKRSKESSAQRAFQALPRCLRRRAASHNIKRIPKGLRDRALYEMQLSSSSTLPIAPSRQRLKRFIKRLRRKLAKSGETKAIDSTGSLVTDNSTDDSRIPSLAAVKLIRGKFAGRQLRKVWLPTHLWVCKRAHMINAWGYAIPEKPTEKSYRPTHRAAFRKDAIAFDMSYEPLFCISGPYEALKEKFGNSFANGLPPVFLNSSRSFTSYLVKSDIHELICPCFLQWNNPTEDDKKQIPVKNPTECVQLVIRLHPSAFLQAWNYLSGIAVLDDRIAMHDWRLDLASFDIHGPDSNIMLHKVFDDVELDEAGKVWQSISNYSSACLPMGASISVKALVNTRCDKNLSEKGEKSLLDSAENSLPASANQYSTHFRYWERQEIPSFAVFENKNRHTHEKKSSEKEVIPVYITYRKEWNGLTVILPWDYAKFVWRKMMYQKGIRFGGLENLHQIAFEKRMPFFPIDYPDTISGQLCEEERKKRNEDSWKRRPPAKRVNYQKFGDNFSEIGNPFCCDWVYLNEMVKASRDEDKTLQLVRVQVQLVQRGSLQDRARIYCLSDDELSKWKTIIYKENLTAENLLYPKCPNETAIIGFVTTGNFNLNAGKPSGIANVLAKTIKNEKSGYCIIRNVGCSVPRLAQWKFNQSH", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSNIVGIEYNRVTNTTSTDFPGFSKDAENEWNVEKFKKDFEVNISSLDAREANFDLINIDTSIANAFRRIMISEVPSVAAEYVYFFNNTSVIQDEVLAHRIGLVPLKVDPDMLTWVDSNLPDDEKFTDENTIVLSLNVKCTRNPDAPKGSTDPKELYNNAHVYARDLKFEPQGRQSTTFADCPVVPADPDILLAKLRPGQEISLKAHCILGIGGDHAKFSPVSTASYRLLPQINILQPIKGESARRFQKCFPPGVIGIDEGSDEAYVKDARKDTVSREVLRYEEFADKVKLGRVRNHFIFNVESAGAMTPEEIFFKSVRILKNKAEYLKNCPITQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDRLGSFSNDPSDKPPCRGCSSYLTEPYIKCAECGPPPFFLCLQCFTRGFEYKKHQSDHTYEIMTSDFPVLDPSWTAQEEMALLEAVMDCGFGNWQDVANQMCTKTKEECEKHYMKHFINNPLFASTLLNLKQAEAAKAADTAIPFHSADDPPRPAFDSLLSRDMAGYMPARADFIEEFDNYAEWDLRDIDFVEDDSDILHALKMAVVDIYHSRLKERQRRKKIIRDHGLVNLRKFRLMERRYPKEVQDLYETMRRFARIVGPVEHDKFIESHALEFELRREIKRLQEYRTAGITNFCSARTYDHLKKTREEERLKRTMLSEVLQYIQDSSACQQWLRRQADIDSGLSPSVLMASNSGRRSAPPLNLTGLPGTEKLNEKEKELCQVVRLVPGAYLEYKSALLNECHKQGGLRLAQARALIKIDVNKTRKIYDFLIREGYITKA", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEPIQKMDSLNNKEGQPDKKRKKHESEKEGENEVLEIDVTKPVPPSKKLMRKLRKAGKIDKDGNWTPEALEEAKKKEEKRLKRLDAKYGRKEEGESQEESKRSPWGIWVGNLSFHTTKEILTDFFVRETSEMIKEVSEENIKPITTEQITRIHMPMSKEKRFQNKGFAYVDFATEDALKLALQCSEKALNGRNILIKSNTDFSGRPSKPANTLSKTASIQSSKKEPSSILFVGNLDFETTDADLKEHFGQVGQIRRVRLMTFEDTGKCKGFGFVDFPDIDTCMKAMELGHNSWRLEYGEDRSKRMRNKSPMARSGRFNDAESLGQEDKPNFKRARKIDPRSVRPGAALAKAQRSSAAIVEPAGQKIKFD", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPTPVTTARECLTEEAARALDDAVVVARRRSHAQTTSLHAVSALLAMPSSILREVCVSRAARSVPYSSRLQFRALELCVGVSLDRLPSSKSPATEEDPPVSNSLMAAIKRSQANQRRHPESYHLQQIHASNNGGGGCQTTVLKVELKYFILSILDDPIVNRVFGEAGFRSSEIKLDVLHPPVTQLSSRFSRGRCPPLFLCNLPNSDPNREFPFSGSSGFDENSRRIGEVLGRKDKKNPLLIGNCANEALKTFTDSINSGKLGFLQMDISGLSLISIEKEISEILADGSKNEEEIRMKVDDLGRTVEQSGSKSGIVLNLGELKVLTSEANAALEILVSKLSDLLKHESKQLSFIGCVSSNETYTKLIDRFPTIEKDWDLHVLPITASTKPSTQGVYPKSSLMGSFVPFGGFFSSTSNFRVPLSSTVNQTLSRCHLCNEKYLQEVAAVLKAGSSLSLADKCSEKLAPWLRAIETKEDKGITGSSKALDDANTSASQTAALQKKWDNICQSIHHTPAFPKLGFQSVSPQFPVQTEKSVRTPTSYLETPKLLNPPISKPKPMEDLTASVTNRTVSLPLSCVTTDFGLGVIYASKNQESKTTREKPMLVTLNSSLEHTYQKDFKSLREILSRKVAWQTEAVNAISQIICGCKTDSTRRNQASGIWLALLGPDKVGKKKVAMTLSEVFFGGKVNYICVDFGAEHCSLDDKFRGKTVVDYVTGELSRKPHSVVLLENVEKAEFPDQMRLSEAVSTGKIRDLHGRVISMKNVIVVVTSGIAKDNATDHVIKPVKFPEEQVLSARSWKLQIKLGDATKFGVNKRKYELETAQRAVKVQRSYLDLNLPVNETEFSPDHEAEDRDAWFDEFIEKVDGKVTFKPVDFDELAKNIQEKIGSHFERCFGSETHLELDKEVILQILAASWSSLSSGEEEGRTIVDQWMQTVLARSFAEAKQKYGSNPMLGVKLVASSSGLASGVELPAKVDVIW", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAALPGAVPRMMRPGPGQNYPRTGFPLEVSTPLGQGRVNQLGGVFINGRPLPNHIRHKIVEMAHHGIRPCVISRQLRVSHGCVSKILCRYQETGSIRPGAIGGSKPRQVATPDVEKKIEEYKRENPGMFSWEIRDRLLKDGHCDRSTVPSVSSISRVLRIKFGKKEDDEEGDKKEEDGEKKAKHSIDGILGDKGNRLDEGSDVESEPDLPLKRKQRRSRTTFTAEQLEELEKAFERTHYPDIYTREELAQRTKLTEARVQVWFSNRRARWRKQAGANQLAAFNHLLPGGFPPTGMPTLPPYQLPDSTYPTTTISQDGGSTVHRPQPLPPSTMHQGGLAAAAAAADTSSAYGARHSFSSYSDSFMNPGAPSNHMNPVSNGLSPQVMSILSNPSAVPPQPQADFSISPLHGGLDSASSISASCSQRADSIKPGDSLPTSQSYCPPTYSTTGYSVDPVAGYQYSQYGQTAVDYLAKNVSLSTQRRMKLGEHSAVLGLLPVETGQAY", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKRQRAIELDRVKKTMLNIDWDDALGDEEVPELEIIATDKIPPREPTLSGYEPAVSVRSLRDNELDDHLKRQRSLLTRLGDKLADKGEKIRNRIGELEYEKQRRMFQQRTKMQDADNGCQILEKPKSSDVFMRASTASKDTSGQGTSGSKDVSRSTFAAHFSDNLKMGPQPVKLVNDKLQDLGRGSWISKANRDSIIEKNNVWRSLPRLSKCKVSLKNFYSESKDPKGDRRPNEAYGKGKPNESSPYLLVDDDDGDDDKVIGYETPRHWSLKASPLQSSSCRKKSDDKVINLDEDEPLSPMVVEEACELPEGLPEDIYYPSSDQSDGRDLVQVSLKDLKCLSPGEYLTSPVINFYIRYVQHHVFSADKTAANCHFFNTFFYKKLTEAVSYKGNDRDAYFVKFRRWWKGFDLFCKSYIFIPIHEDLHWSLVIICIPDKEDESGLTIIHLDSLGLHPRNLIFNNVKRFLREEWNYLNQDAPLDLPISAKVWRDLPNMINEAEVQVPQQKNDFDCGLFLLFFIRRFIEEAPQRLTLQDLKMIHKKWFKPEEASALRIKIWNILVDLFRKGNQTD", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSTNCFSGYKDLIKEGDLTLIWVSRDNIKPVRMHSEEVFNTRYGSFPHKDIIGKPYGSQIAIRTKGSNKFAFVHVLQPTPELWTLSLPHRTQIVYTPDSSYIMQRLNCSPHSRVIEAGTGSGSFSHAFARSVGHLFSFEFHHIRYEQALEEFKEHGLIDDNVTITHRDVCQGGFLIKKGDTTSYEFGNNETAASLNANVVFLDLPAPWDAIPHLDSVISVDEKVGLCCFSPCIEQVDKTLDVLEKYGWTDVEMVEIQGRQYESRRQMVRSLNDALERLRDIKRHKLQGVERRKRMFNNTIDSNDEKVGKRNEDGVPLTEKAKFNPFGKGSRIKEGDSNYKWKEVTKMEAEIKSHTSYLTFAFKVVNRSRDDEKVNEILRSTEK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKISELSPEYREPPSHAGLIADLSKAVSDVESFAASATAPEKLAADLRRILTSLASAASSSSFTESLSVQIWRLGTRLWNAVVDRANSAALAGGPAALAVEAEIRQAAPELLLLAGIPNGVPSAAAKVASFFHRSGLAWLDLGRVDLASACFEKATPLVSAAATEDRGVLLELNLARARAASDAGDQALAVALLSRSKPLAAASPEGAKSLAQGYLSIGEATLAAKHSNPAVEASTLFTEALDLCEKAASPSSSSPRTPPYGGATPKTPNLEGLKRRCLRFLALERLQAQDYEGVLRCIRVSRASMGLEEEHPSIGVMAMRAWIGSGNMAEADKELERLMANALATENLCVSAAEAYLAAAGPEAARKVLIALAARCRAGGAAAAVRVVKQVIDGGGGGIGRARAIAELVSDERVVALFDGPGNTHERGTMHALLWNCGTEHFRAKNYDTSADLIERSMLYVSRDEESRSRRADCFRVLSICHIALQHLDRALEFVNEAYKVEPNIKCAFLKVKINLQKGEEDEAFKQMKTMVGCVDFNPEFLTLTAHEAMSCKSFGVAVASLSYLLGLYSAERPMPMPEVAVLRNLIELLSREPGTEAEILKYSRRAKQRMADLGVESFFGSGIVGGRELNWFADLSWNMGLRASKEKKYNFGAEFFELAAEFFSSRNAECDENRSKVCKALIMAVTIMLNAEELNNSPLSDSDIKKGVEMLSRAGKLLPLISPSVPVASDQLEANNFLYLHTFNSYQLMGRMGTPAHPQQLQLIKNFASSKACTPANLLTLGVTASKGALPNMLAAEFSLKACITTALASQSPNYRVISCALRKLACLAGLQDLNGSKSDAAYDVFQQAYQIVVGLKEGEYPVEEGQWLVATAWNMSCLPLRLHQAKVARKWMKMGLDLARHLEGMKERIASMQTTFENFERVSGDEPDECSQEEAPKASISGSMSQPVLV", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSNSDIRSFFGGGNAQKKPKVSPTPTSPKPKRSLKKKRIVLSDDEDGTIENSKVPASKSKVQKRNESEDISHSLPSIVHEDDKLVGSDGVSTTPDEYFEQQSTRSRSKPRIISNKETTTSKDVVHPVKTENFANDLDTTSDSKPVVHQTRATRKPAQPKAEKSTTSKSKSHTTTATTHTSRSSKSKGLPRFSDEVSQALKNVPLIDVDSMGVMAPGTFYERAATTQTPGSKPVPEGNSDCLSGISFVITGILETLTRQEATDLIKQYGGKVTGAPSVRTDFILLGENAGPRKVETIKQHKIPAINEDGLFYLITHLPASGGTGAAAQAAQQKKEQEEKKILETVARMDDSNKKESQPSQIWTSKYAPTSLKDICGNKGVVQKLQKWLQDYHKNRKSNFNKPGPDGLGLYKAVLLSGPPGIGKTTAAHLVAKLEGYDVLELNASDTRSKRLLDEQLFGVTDSQSLAGYFGTKANPVDMAKSRLVLIMDEIDGMSSGDRGGVGQLNMIIKKSMIPIICICNDRAHPKLRPLDRTTFDLRFRRPDANSMRSRIMSIAYREGLKLSPQAVDQLVQGTQSDMRQIINLLSTYKLSCSEMTPQNSQAVIKNSEKHIVMKPWDICSRYLHGGMFHPSSKSTINDKLELYFNDHEFSYLMVQENYLNTTPDRIRQEPPKMSHLKHLELISSAANSFSDSDLVDSMIHGPQQHWSLMPTHALMSCVRPASFVAGSGSRQIRFTNWLGNNSKTNKLYRMLREIQVHMRLKVSANKLDLRQHYIPILYESLPVKLSTGHSDVVPEIIELMDEYYLNREDFDSITELVLPADAGEKLMKTIPTAAKSAFTRKYNSSSHPIAFFGSSDVLPMKGSAQREVPDVEDAIEAEDEMLEEASDSEAANEEDIDLSKDKFISVPKKPKKRTKAKAEASSSSSTSRRSRKKTA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAKEGSRIFVGGLSPEVTDRDLERAFSRFGDILDCQIMLERDTGRSRGFGFITFADRRAMDESIREMHGRDFGDRVISVNRAEPKLGRDDGESHGSRGGRDSGYSIAGKGSFGGGGGGGGRVGEDECFKCGRVGHWARDCPSAGGGRGGPVGGFSSRASAYGGSDGRVDRYADRDRYVDRERYIDDRYDGAARYGARDRFDSREAYIPRDRYASDRYAAPADRFAGGDRYSRGSDRYPPGSYDKARSFERDIAPSAGSDRYGGGRAGGPIRGGGEEGRGFRSRAGAPYERPSRSGGGGAYPSSSTFDRY", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEEGILLKKYVESSDKDIRYMALSDLAARLNDANHLKNLKLESFPDTLDVLLQALSDASPEVQQEAVRCVAIISSKIPQDKLKSTVENLLSGVAGKKSKNYLSALSLLLSNSNVQPFVNKFYTSTVFPSFLQILKQYNVAQEEFFAILCVVCDSLEIYHSNLSTLLPNNFELCIDVFQKCTTQCQRELIIKKACYLLSDVSLYGPRFAYKYIIEVLDRGLGPSTQMSEVNISIKLLNEILLSSKKEKDSSTSFISTAVADYTNKILSLLKKEEAPDELTQKLLEVLGLLLEYQQVNILKIWPELHGLLISKISYDPNLISDTNDEDDIADFLEEMSDYSSIYEDEEDVSWIVRRESLKVVLSVILSRLEYLPIVLQALGTSVVSKLNDREESVCLISIEVLKQAFLHVPRWIEVYATSNDRKRRYEGLPSDRSAISDTSIYLVSVIGKHVSKLSDKTPLSIVSELLNLVTVIFSSRDLGVQSEFSNLSSIIYRFPDFSTLDIKIKLNLVRLISAIISCGCEEIENMESKMSTILSLAVQNNYPQLSYEALITELSFCKYIHKKQPTNVSTDFSTMIDSSLQLLESKISDLKVRLALIDLVSQYVILFYEPDFDSIFLRRVLIILCKKLQEEPTRSAAARALCDIFMSVTDITKIENGTKIYEEILQDCCRHIDKSGNEFTTAYLELLEVLLKVGQKYLAESLLEHILGLLIETLKRNTENTVAILKCLLIIPLSILLKSKNLLIDTIISHLQSSTIHLNEESVCLLSRIIAVISKEEDLELIINSFTCAQKPVEEMVTLALIAAQLICIFQSKAIVTSLNKSFMSPKSEVRIKVFTTLIFGQLDYGKLTLPANEYFDTIASNLNSPNADVMKAAAIALGSLTSQSEKFIKELCALYVSDAYDKELLLISFLTFLKKSKIDYETADKIWDILSKDIENIKDFSTSPFRTLLSECLGLLICNESSSLYYKLELLSSSEASNHMLLSLSVFRFSLTLDCPKLKAYEKQFFEKAYKLFQNPDLEVSQETLQVIISVIKNRRSCIADVYNELLQGLISKSSVDSSNVHVVQMGPFQHVVDNSINQRQLVFETLYSLLDIPESLNHLTHFLQVSVMGLEDEHYIKLVSLSILEKLVDCSPSIIDEQVDTILEALRKIIELRKTEKTLKTDSDNILDLVRSALRVLFTMKLKCDNPVISEFESQVQKGPYSLEYEGIKNEIKTTIKT", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRFDDPMDEFKRNRKMEEDSKKVIDVKVAESDKGFAKFGKAEVPFHIPTLTKPQEEYKILVDNANNPFEHVLLEKSEDGLRFIHPLEELSVMDFVDRNLSEMRPVKPLPLEETPFKLVEEVKDLEDLAAALQSVEEFAVDLEHNQYRTFQGLTCLMQISTRTEDYIVDIFKLWDHIGPYLRELFKDPKKKKVIHGADRDIIWLQRDFGIYVCNLFDTGQASRVLKLERNSLEFLLKHYCGVAANKEYQKADWRIRPLPDVMKRYAREDTHYLLYIYDVMRMELHTMAKEDEQSDSPLVEVYKRSYDVCMQLYEKELWTRDSYLHVYGVQTGNLNAVQLSIVAGLCEWRDRIARADDESTGYVLPNKTLFDIAKEMPIVVAQLRRLLKSKLPYLERNFDAVISVIRRSMQNAAAFEPVVQSLKDRRPETVVEMNIEPKIEKTDTGASASSLSLEKVCVDDSKKQSSGFGVLPLKRKLESDKTVVEKNIEPKIEKTGTEASASSLSSKKVCVDDSKKQSSGFGVLLSKRKFESDNKVKEEVKVSKSKPDKVIIVVDDDDDDDDDESYEQSTKAADALDRVSETPSKGSPSLTQKPKTCNTEVIVLDDDDDSESREDEDMRRRSEKHRRFMNMKRGFLNI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MYAMKEEDCLQTFHNLQDYQDQFHLHHHPQILPWSSTSLPSFDPLHFPSNPTRYSDPVHYFNRRASSSSSSFDYNDGFVSPPPSMDHPQNHLRILSEALGPIMRRGSSFGFDGEIMGKLSAQEVMDAKALAASKSHSEAERRRRERINTHLAKLRSILPNTTKTDKASLLAEVIQHMKELKRQTSQITDTYQVPTECDDLTVDSSYNDEEGNLVIRASFCCQDRTDLMHDVINALKSLRLRTLKAEIATVGGRVKNILFLSREYDDEEDHDSYRRNFDGDDVEDYDEERMMNNRVSSIEEALKAVIEKCVHNNDESNDNNNLEKSSSGGIKRQRTSKMVNRCYN", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSLFGQATTSTVSNATGDLKKDVEVAQPPEDSISDLAFSPQAEYLAASSWDSKVRIYEVQATGQSIGKALYEHQGPVLSVNWSRDGTKVASGSVDKSAKVFDIQTGQNQQVAAHDDAVRCVRFVEAMGTSPILATGSWDKTLKYWDLRQSTPIATVSLPERVYAMDCVHPLLTVATAERNICVINLSEPTKIFKLAMSPLKFQTRSLACFIKGDGYAIGSVEGRCAIQNIDEKNASQNFSFRCHRNQAGNSADVYSVNSIAFHPQYGTFSTAGSDGTFSFWDKDSHQRLKSYPNVGGTISCSTFNRTGDIFAYAISYDWSKGYTFNNAQLPNKIMLHPVPQDEIKPRPKKGR", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MATPLVAGPAALRFAAAASWQVVRGRCVEHFPRVLEFLRSLRAVAPGLVRYRHHERLCMGLKAKVVVELILQGRPWAQVLKALNHHFPESGPIVRDPKATKQDLRKILEAQETFYQQVKQLSEAPVDLASKLQELEQEYGEPFLAAMEKLLFEYLCQLEKALPTPQAQQLQDVLSWMQPGVSITSSLAWRQYGVDMGWLLPECSVTDSVNLAEPMEQNPPQQQRLALHNPLPKAKPGTHLPQGPSSRTHPEPLAGRHFNLAPLGRRRVQSQWASTRGGHKERPTVMLFPFRNLGSPTQVISKPESKEEHAIYTADLAMGTRAASTGKSKSPCQTLGGRALKENPVDLPATEQKENCLDCYMDPLRLSLLPPRARKPVCPPSLCSSVITIGDLVLDSDEEENGQGEGKESLENYQKTKFDTLIPTLCEYLPPSGHGAIPVSSCDCRDSSRPL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTLLGSEHSLLIRRKFRSVLQLRLQQRRTQEQLANQGLIPPLKGPTEFHDPRKQLDSAKTEDSLRRKGRNRSDRASLVTMHILQASTAERSIPTAQMKLKRARLADDLNEKIALRPGPLELVEKNILPMDSSVKEAIKGTEVSLSKAADAFAFEDDSSRDGLSPDQARSEDPQGSTGSTPDIKSTEAPLDTIQDLTPGSESDKNDAASQPGNQSDPGKQVLGPLSTPIPVHTAVKSKSLGDSKNRHKKPKDPKPKVKKLKYHQYIPPDQKAEKSPPPMDSAYARLLQQQQLFLQLQILSQQQQQQQQQQQQQQQQQQQQQRFSYPGMHQTHLKEPNEQMARNPNPSSTPLSNTPLSPVKNSISGQTGVSSLKPGPLPPNLDDLKVSELRQQLRIRGLPVSGTKTALVDRLRPFQDCAGNPVPNFGDITTVTFPVTPNTLPSYQSSPTGFYHFGSTSSSPPISPASSDLSAAGSLPDTFTDASPGFGLHASPVPACTDESLLSSLNGGSGPSEPDGLDSEKDKMLVEKQKVINQLTWKLRQEQRQVEELRMQLQKQKSSCSDQKPLPFLATTIKQEDVSSCPFAPQQASGKGQGHSSDSPPPACETAQLLPHCVESSGQTHVLSSTFLSPQCSPQHSPLGGLKSPQHISLPPSPNNHYFLASSSGAQRENHGVSSPSSSQGCAQMTGLQSSDKVGPTFSIPSPTFSKSSSAVSDITQPPSYEDAVKQQMTRSQQMDELLDVLIESGEMPADAREDHSCLQKIPKIPGSSCSPTAIPPKPSASFEQASSGGQMAFDHYANDSDEHLEVLLNSHSPIGKVSDVTLLKIGSEEPPFDSIMDGFPGKAAEDLFSAHELLPGPLSPMHAQLSPPSVDSSGLQLSFTESPWETMEWLDLTPPSSTPGFSNLTSSGPSIFNIDFLDVTDLNLNSPMDLHLQQW", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSEVGIQNHKKAVTKPRRREKVIELIEVDGKKVSTTSTGKRKFHNKSKNGCDNCKRRRVKCDEGKPACRKCTNMKLECQYTPIHLRKGRGATVVKYVTRKADGSVESDSSVDLPPTIKKEQTPFNDIQSAVKASGSSNDSFPSSASTTKSESEEKSSAPIEDKNNMTPLSMGLQGTINKKDMMNNFFSQNGTIGFGSPERLNSGIDGLLLPPLPSGNMGAFQLQQQQQVQQQSQPQTQAQQASGTPNERYGSFDLAGSPALQSTGMSLSNSLSGMLLCNRIPSGQNYTQQQLQYQLHQQLQLQQHQQVQLQQYQQLRQEQHQQVQQQQQEQLQQYQQHFLQQQQQVLLQQEQQPNDEEGGVQEENSKKVKEGPLQSQTSETTLNSDAATLQADALSQLSKMGLSLKSLSTFPTAGIGGVSYDFQELLGIKFPINNGNSRATKASNAEEALANMQEHHERAAASVKENDGQLSDTKSPAPSNNAQGGSASIMEPQAADAVSTMAPISMIERNMNRNSNISPSTPSAVLNDRQEMQDSISSLGNLTKAALENNEPTISLQTSQTENEDDASRQDMTSKINNEADRSSVSAGTSNIAKLLDLSTKGNLNLIDMKLFHHYCTKVWPTITAAKVSGPEIWRDYIPELAFDYPFLMHALLAFSATHLSRTETGLEQYVSSHRLDALRLLREAVLEISENNTDALVASALILIMDSLANASGNGTVGNQSLNSMSPSAWIFHVKGAATILTAVWPLSERSKFHNIISVDLSDLGDVINPDVGTITELVCFDESIADLYPVGLDSPYLITLAYLDKLHREKNQGDFILRVFTFPALLDKTFLALLMTGDLGAMRIMRSYYKLLRGFATEVKDKVWFLEGVTQVLPQDVDEYSGGGGMHMMLDFLGGGLPSMTTTNFSDFSL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPKGGCSKTPQQEDFALSNDMVEKQTGKKDKDKVSLTKTPKLDRSDGGKEVRERATKRKLPFTVGANGEQKDSDTEKQGPERKRIKKEPVARKSGLLFGMGLSGIRAGYPLSERQQVALLMQMTAEESANSPVDTTPKHPSQSTVCQKGTPNSASKTKDKVNKRNERGETRLHRAAIRGDARRIKELISEGADVNVKDFAGWTALHEACNRGYYDIAKQLLAAGAEVNTKGLDDDTPLHDAANNGHYKVVKLLLRYGGNPQQSNRKGETPLKVANSPTMVNLLLGKGTYTSSEESSTESSEEEDAPSFAPSSSVDGNNTDSEFEKGLKLKAKNPEPQKTVTPVKDEYEFDEDDEQDRVPPVDDKHLLKKDYRKEAKANSFISIPKMEVKSYSKNNTLAPKKAAHRILSDTSDEEDVSVSIGAGEKLRLSAHTMLPGSKARESSSSRQQKEKNKLKKKRKKETKGKEVRFGKRSDKFCSSGSESESSESEEDDGDSVGSSGCLKGSPLVLKDPSLFSSLSASSTSSHGSAVAQKHGSGHTDQHTKHWRTDNWKAISSPAWSEVSSLSDSSRTGLTSESDCSSEGSSVESLKPTRRKQEHRKRGVLQSAPSEKRSSFHPCTDGAVPKLDKEGKVVKKHKTKHKHKHKEKGQCSVSQELKLKSFTYEYEDSKQKSDKAILLESDLSTENKLKVLKHDREHLKKEDKLGRMKPEDKDWLFKDEKVLKRIKDANKDMSRAFREDKDRASKAERERATKDKSPKEEKLRLYKEERKKKSKDRASRLERKNDMKEDKLSKEKEKAFKEDKEKLKKEKLYREDAAFDDYCNKSQFLDHEDTKFSLSDDQQERWFSDLSDSSFDFKGEDSWDSVTDYRDIKNDSVAKLILETVKEDSKEKKRDNKIREKRDFKDSFFRKRDRDCLDKNSEKRRDQTEKHKSIPSYLSEKDKKRRESAEGGRDRRDGRIRSEEVHREDLKECGFESSFKDKSDCDFPKNLEPWERPHAAREKEKKDALEKERKEKGRADKYKEKSSERERSDKSTLDKCQKDKEFEKCFKEKKDGKEKHKDIHSKDRKASFDQLREKKEKVFSSIISEDFSERKDDRKGKEKSWYIADIFTDESEDEKDDCVAGSFKATEASDTQRVDGLPEKEEGREHPSDRHRKSSSDRQHTEKPRDKEPKEKKKDRGASEGGKDKKEKMEKIFEKHKEKKDKECAERYKDRKERASADSAPEKKNKQKLPEKVEKKHFAEDKVKSKHKEKPEKEHSRERERKPSRGPDVEKSLLEKLEEEALHDYREDSNDKISEVSSDSFADHGQEPSLSTLLEVSFSEPPAEDKARDSACLSEKLREKERHRHSSSSSKKSHERERAKKEKAEKKEKSEDYKDSISSVRKDASQFEKDFLDAETYGVSYPTKADVEEELDKAIELFSSEKKDRSDPEREPAKRIEKELKPYGSSAISILKEKKKREKHRERWREEKERHRDKHVDGFLRHHKDEPKPAAKDKDNPPNSFKEKSREESLKLSETKLKEKFKENTEREKGDSIKMSNGNDKLVPSRDSGKKDSRPREKLLGDGDLMMTSFERMLSQKDLEIEERHKRHKERMKQMEKMRHRSGDPKLKEKKPTEDGRKKSLDFPSKKALGLDKKVKEPAPTLTTGESKPHSGPGTESKDWLSGQPLKEVLPASPRTEQSRPTGVPTPTSVVSCPSYEEVMHTPRTPSCSADDYPDLVFDCTDSQHSMPVSTASTSACSPPFFDRFSVASSVVSENAAGQTPTRPISTNLYRSISVDIRRTPEEEFSAGDKLFRQQSVPAPSSFDSPVQHLLEEKAPLPPVPAEKFACLSPGYYSPDYGIPSPKVDTLHCPPTAVVSATPPPDSVFSNLPPKSSPSPRGELLSPAIEGTLPPDLGLPLDATEDQQATAAILPQEPSYLEPLDEGPFTTVITEEPVEWTHTAAEQGLSSSSLIASASENPVSWPVGSELMLKSPQRFAESPKHFCPGESLHSTTPGPYSAAEPTYPVSPGSYPLPAPEPALEEVKDGGTGAIPVAISAAEGAAPYAAPARLESFFSNCKSHPDAPLDTAPEPTGVTAVAQVEALGPLESSFLDSNPSISTLSQVEPVSWHEAFTSPEDDLDLGPFSLPELPLQAKDASDVEAEAAKASPVPPAESPPGPTGVLGGGDVPAPAAEEPPAPPPQEASPQLSTEPEPSEEPKLDVVLEATVETEVLADDSAPEASISNSVPAPSPPQQQPPGGGDEEAETEDPSATPCCAPDGPTTDGLAQAHNSAEASCVVAAAEGPPGNVQAEATDPEPKPTSEVPKAPKVEEVPQRMTRNRAQMLASQSKQGIPAAEKDPMPTPASRAKGRASEEEDAQAQHPRKRRFQRSSQQLQQQLNTSTQQTREVIQQTLAAIVDAIKLDAIEPYHSDRSNPYFEYLQIRKKIEEKRKILCCITPQAPQCYAEYVTYTGSYLLDGKPLSKLHIPVIAPPPSLAEPLKELFKQQEAVRGKLRLQHSIEREKLIVSCEQEILRVHCRAARTIANQAVPFSACTMLLDSEVYNMPLESQGDENKSVRDRFNARQFISWLQDVDDKYDRMKTCLLMRQQHEAAALNAVQRMEWQLKAQELDPAGHKSLCVNEVPSFYVPMVDVNDDFVLLPA", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDAKSLTAWSRTLVTFKDVFVDFTREEWKLLDTAQQIVYRNVMLENYKNLVSLGYQLTKPDVILRLEKGEEPWLVEREIHQETHPDSETAFEIKSSVSSRSIFKDKQSCDIKMEGMARNDLWYLSLEEVWKCRDQLDKYQENPERHLRQVAFTQKKVLTQERVSESGKYGGNCLLPAQLVLREYFHKRDSHTKSLKHDLVLNGHQDSCASNSNECGQTFCQNIHLIQFARTHTGDKSYKCPDNDNSLTHGSSLGISKGIHREKPYECKECGKFFSWRSNLTRHQLIHTGEKPYECKECGKSFSRSSHLIGHQKTHTGEEPYECKECGKSFSWFSHLVTHQRTHTGDKLYTCNQCGKSFVHSSRLIRHQRTHTGEKPYECPECGKSFRQSTHLILHQRTHVRVRPYECNECGKSYSQRSHLVVHHRIHTGLKPFECKDCGKCFSRSSHLYSHQRTHTGEKPYECHDCGKSFSQSSALIVHQRIHTGEKPYECCQCGKAFIRKNDLIKHQRIHVGEETYKCNQCGIIFSQNSPFIVHQIAHTGEQFLTCNQCGTALVNTSNLIGYQTNHIRENAY", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDDEEETYRLWKIRKTIMQLCHDRGYLVTQDELDQTLEEFKAQSGDKPSEGRPRRTDLTVLVAHNDDPTDQMFVFFPEEPKVGIKTIKVYCQRMQEENITRALIVVQQGMTPSAKQSLVDMAPKYILEQFLQQELLINITEHELVPEHVVMTKEEVTELLARYKLRENQLPRIQAGDPVARYFGIKRGQVVKIIRPSETAGRYITYRLVQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDCKENGVGDASGCNIDANSLASNLAMNTNEDFYEKLSSRGQNLDSVSSLEIPQTASSVNHTIEGQRKCFTEIEQMGYGNSNSQEDAGNTDDDLYVCYNADDTQEQGVVSGELEQSQELICDTDLLVNCNKLDDGKESQDTNVSLVSIFSGSMQEKEAPQAKEDEGYGGTTLPIGGSGIDTESTFVNDAPEQFESLETTKHIKPDEVESDGISYRFDDGGKEGRNGPSSDLDTGSSDDISLSQSFSFPDSLLDSSVFGCSATESYLEDAIDIEGNGTIVVSPSLAITEMLNNDDGGLCSHDLNKITVTETINPDLKLVREDRLDTDLSVMNEKMLKNHVGDSSSESAVAALSMNNGMAADLRAENFSQSSPIDEKTLDMEANSPITDSSLIWNFPLNFGSGGIEVCNPENAVEPLRIVDDNGRIGGEVASASGSDFCEAGMSSSRRKARDGKQCKVVQTKTSARHLRKSSRKKQSERDIESIFKCSKQKRSSLLKTSRSSEWGLPSKTTEIFLQSNNIPYDGPPHHEPQRSQGNLNNGEHNRSSHNGNVEGSNRNIQASSGSCLRLKVKFGKSGGQNPLNITVSKVSGNSLPGNGIVKAGTCLELPGSAHFGEDKMQTVETKEDLVEKSNPVEKVSYLQSSDSMRDKKYNQDAGGLCRKVGGDVLDDDPHLSSIRMVEECERATGTQSLDAETSPDSEVINSVPDSIVNIEHKEGLHHGFFSTPEDVVKKNRVLEKEDELRASKSPSENGSHLIPNAKKAKHPKSKSNGTKKGKSKFSESAKDGRKNESHEGVEQRKSLNTSMGRDDSDYPEVGRIESHKTTGALLDADIGKTSATYGTISSDVTHGEMVVDVTIEDSYSTESAWVRCDDCFKWRRIPASVVGSIDESSRWICMNNSDKRFADCSKSQEMSNEEINEELGIGQDEADAYDCDAAKRGKEKEQKSKRLTGKQKACFKAIKTNQFLHRNRKSQTIDEIMVCHCKPSPDGRLGCGEECLNRMLNIECLQGTCPAGDLCSNQQFQKRKYVKFERFQSGKKGYGLRLLEDVREGQFLIEYVGEVLDMQSYETRQKEYAFKGQKHFYFMTLNGNEVIDAGAKGNLGRFINHSCEPNCRTEKWMVNGEICVGIFSMQDLKKGQELTFDYNYVRVFGAAAKKCYCGSSHCRGYIGGDPLNGDVIIQSDSDEEYPELVILDDDESGEGILGATSRTFTDDADEQMPQSFEKVNGYKDLAPDNTQTQSSVSVKLPEREIPPPLLQPTEVLKELSSGISITAVQQEVPAEKKTKSTSPTSSSLSRMSPGGTNSDKTTKHGSGEDKKILPRPRPRMKTSRSSESSKRDKGGIYPGVNKAQVIPVNKLQQQPIKSKGSEKVSPSIETFEGKLNELLDAVGGISKRRDSAKGYLKLLLLTAASRGTDEEGIYSNRDLSMILDALLKTKSKSVLVDIINKNGPFAGMESFKDSVLSFTEHDDYTVHNIARSFRDRWIPKHFRKPWRINREERSESMRSPINRRFRASQEPRYDHQSPRPAEPAASVTSSKAATPETASVSEGYSEPNSGLPETNGRKRKSRWDQPSKTKEQRIMTILSQQTDETNGNQDVQDDLPPGFSSPCTDVPDAITAQPQQKFLSRLPVSYGIPLSIVHQFGSPGKEDPTTWSVAPGMPFYPFPPLPPVSHGEFFAKRNVRACSSSMGNLTYSNEILPATPVTDSTAPTRKRELFSSDIGTTYFRQQKQSVPPWLRNNGGEKTANSPIPGNLTLEKKLNS", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDIRGRKMKKPPACVQCRKRKIGCDRVKPICGNCMKHNKMDCFYPDVPGQYVPSSSSSSNTRQVANGPYLNSYYASRRVSKETAALLQKNPELASLEQIREYNTRLQLLNAQNQLNNRSSAANATLNQQHTQYIPKSVPSLESKPVTSANESSTPLNWVQGPAIFHMLTSPYTQDEIINHEMNFLKGRLLELQEITGKKITGVNLDLKQDSSAQMQSSHSNRNQEEFLTIKKRKLSEDGVTDGDGKPIPESERRPHLNEFKDLDPQFLDTNKVFNVFNSAISEEGRNRLWLLPKNINKSSIFQIQYLIERDPFLFKFFNDLNILIETQFNGPLHDLVASRNSIERNSGISQILKFPSQSITQTLINKYLSTITETNSILPILKPKRLLPIVEQLFPSNTINKPNSKDFETIFQVFSVTNDQLLNLGFITLCLLILFESLNSTVLIPLRDDEHLQLFNVLFNYLPLLKSNLTTLRFEIEKRSMCNIETLRFISLWKYYQFVMDTSSSSSFVIDYDEDMHMACLLSLNHETQNQSHILTWNFIFKNYCWRHLFLGQLPLLMSEPFTNSTPIIDPLLNNDFELIDFEVNLMKYLQSKDQQLSIDKIIQLIKLLKNKNIEVSQGCLTTPSIINNIMDSLIYRNSMLYLNFYLLLQFETLKNYAKFNEILEDFLELSRETLFFVFSNLANIKFAGHEFTFINKSIVVLQTLVLMLLALYQRSFDSSKRTNDANEISEQTDIHSNNDNSKRIKNKNVIHLIINKIAMLLSDYTKNCKKQNKLIENLIIKIKTISKYIKNLEENKVTTSADSNYSINNGFSGISAEQLIKLNHELSKISESLIKTDFYEQRKNSTVSNGVLGAAAPVDSDANSDTFGLTKENFNEVFEAIRS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MYQSLAMAANHGPPPGAYEAGGPGAFMHGAGAASSPVYVPTPRVPSSVLGLSYLQGGGAGSASGGASGGSSGGAASGAGPGTQQGSPGWSQAGADGAAYTPPPVSPRFSFPGTTGSLAAAAAAAAAREAAAYSSGGGAAGAGLAGREQYGRAGFAGSYSSPYPAYMADVGASWAAAAAASAGPFDSPVLHSLPGRANPAARHPNLDMFDDFSEGRECVNCGAMSTPLWRRDGTGHYLCNACGLYHKMNGINRPLIKPQRRLSASRRVGLSCANCQTTTTTLWRRNAEGEPVCNACGLYMKLHGVPRPLAMRKEGIQTRKRKPKNLNKSKTPAAPSGSESLPPASGASSNSSNATTSSSEEMRPIKTEPGLSSHYGHSSSVSQTFSVSAMSGHGPSIHPVLSALKLSPQGYASPVSQSPQTSSKQDSWNSLVLADSHGDIITA", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSDTWSSIQAHKKQLDSLRERLQRRRKQDSGHLDLRNPEAALSPTFRSDSPVPTAPTSSGPKPSTTSVAPELATDPELEKKLLHHLSDLALTLPTDAVSIRLAISTPDAPATQDGVESLLQKFAAQELIEVKRGLLQDDAHPTLVTYADHSKLSAMMGAVADKKGLGEVAGTIAGQKRRAEQDLTTVTTFASSLASGLASSASEPAKEPAKKSRKHAASDVDLEIESLLNQQSTKEQQSKKVSQEILELLNTTTAKEQSIVEKFRSRGRAQVQEFCDYGTKEECMKASDADRPCRKLHFRRIINKHTDESLGDCSFLNTCFHMDTCKYVHYEIDACVDSESPGSKEHMPSQELALTQSVGGDSSADRLFPPQWICCDIRYLDVSILGKFAVVMADPPWDIHMELPYGTLTDDEMRRLNIPVLQDDGFLFLWVTGRAMELGRECLNLWGYERVDEIIWVKTNQLQRIIRTGRTGHWLNHGKEHCLVGVKGNPQGFNQGLDCDVIVAEVRSTSHKPDEIYGMIERLSPGTRKIELFGRPHNVQPNWITLGNQLDGIHLLDPDVVARFKQRYPDGIISKPKNL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSGQKGEIVVYTKELETTPELLPNHEVFKIRIGQKLFEISGATLNSDAPNFFTQFFNTHDKNTILFVDRSEDVFIIIYRHLQGYFPDIKNEVQFTCLFADALYFQLPKLVKLIKEYDYHFTNIGGVPFKVPKSLFHEEGNRLNYFETISRISYEEIEKWESNKKPGFPPLLPPSYIARSPEFFRDILSLLGGSKLELSEERTASLIKECRYYRLNRLEQELVRAKIIYNPLTNCQEVCIALDSVSKKGVTIERLTSLHTGNQSLAVSSCLNGTEGEKAATGFHKTETDSGNNDEYEPPTKKVKHCIERHWSMLKYQRPYIDTVSHDLIFQLHSNQCKIIFNKKNKTVHVDLSREAAVLFENKFSDVLLENPDFKIDLSEYKVKLRDSQMQVESHLIIPACVSICDLTVNGAKCCNIFSLVNDSKCKGRVLDCTNLKVLNCVHGLKLHLSKSMWKLGTNNGRIILVAVKAETFSGTKEYCKMIDFL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNFETSRCATLQYCPDPYIQRFIETPAHFSWKESYYRSAMSQSTQTSEFLSPEVFQHIWDFLEQPICSVQPIELNFVDEPSENGATNKIEISMDCIRMQDSDLSDPMWPQYTNLGLLNSMDQQIQNGSSSTSPYNTDHAQNSVTAPSPYAQPSSTFDALSPSPAIPSNTDYPGPHSFDVSFQQSSTAKSATWTYSTELKKLYCQIAKTCPIQIKVMTPPPQGAVIRAMPVYKKAEHVTEVVKRCPNHELSREFNEGQIAPPSHLIRVEGNSHAQYVEDPITGRQSVLVPYEPPQVGTEFTTVLYNFMCNSSCVGGMNRRPILIIVTLETRDGQVLGRRCFEARICACPGRDRKADEDSIRKQQVSDSAKNGDGTKRPFRQNTHGIQMTSIKKRRSPDDELLYLPVRGRETYEMLLKIKESLELMQYLPQHTIETYRQQQQQQHQHLLQKQTSMQSQSSYGNSSPPLNKMNSMNKLPSVSQLINPQQRNALTPTTMPEGMGANIPMMGTHMPMAGDMNGLSPTQALPPPLSMPSTSHCTPPPPYPTDCSIVSFLARLGCSSCLDYFTTQGLTTIYQIEHYSMDDLASLKIPEQFRHAIWKGILDHRQLHDFSSPPHLLRTPSGASTVSVGSSETRGERVIDAVRFTLRQTISFPPRDEWNDFNFDMDSRRNKQQRIKEEGE", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTTRLDRLVVLLDSGSTSVVRETAAKQIGDIQKVHPDELYNLLGRVVPYLKSKNWDTRVAAAKAIGGIVENVPVWNPNRTSPVKKEETEDLPSFNGDTEEKPFIKTEEGAPASSQSQVVVSSNLTSNSEVSKLEEERLSTRSHSQEIKPIVDFGPDEETAKELNTELKGKFENSLLSFESFDIANVLKAGKKLLGSASRDYDVNPANYSTHYLQQLSNLKSRLDLAGEYLDDSIMNDLGDNVGSNSKGSPTTSIPEHKTSINNNKPEDTPTPSENVHLSARQRNALKRKARQMKNSQKVRVIDVAPTLVHQQNSTSSADKKTGADYNFTAQSRSDRLVVEHKAPIVPSAAVAVTSDSVWPFETLVELLLIDMFDPSWEIRHGACMGLREIIRYAGFGYGRVVGKSEAENEQLNKKYFDDLLCRIACVFALDRFGDYLADQVVAPIRESVSQVLGVALIYVPNDSVFSMYKVLHSLVFQNELGLTNTVWEAAHGGMLGIKYLVAVKYPLFFSHSDYLDSLINTVIHGLANHDDDVRAVSALTLLPIADKLVQEKLSSCKNLLKVLWDCLDDVKDDLSSSTSCVMDLLSSLCSFTEVMNLMQETANSDPEFSFETLVPRLFHLMRYTLTGVRRSVVYALTKFISVQTSCSWITGLTLRLCFQNVLLEQQEDISKSSCELAQRVMDILYRDGPESFSKLLYSHIEPMLKVSITPIGSFRRPYPLDTTLIVKPSGQPYAPSTSRERNNNISELSNSRTKHRAKDDPKGSFCFSVDEPMLNGDVEFVGEERMLKARLRASSLLGRIIGRWKRDEILLFFKPFLQACLTSSFSTPVVLGSRLIESFFEVEDNDLTIQKDELYHLLCDQFATVPRENYANLVSQLHVVRAQCNALLNTFLDVGRLSRSKIPSLAVVVKGDPEAGPIAFGIADAEKLVGPTYENLCKLLSPSQKAQSSKALNEIKYLIIDEISIYKIAKERQDIQCSASIASAMVTYDKLPKKLNSIIKGIMESIKKEQFSCLQMHSASAMMKLISACYKESRQVISEKIVRNLCAYVCMDTTETPIFHDSGKNGILSLHSIGTSDDNDEQVSGKLVDDSDDVSNDRKSSLSSVSDKDAAVLQRMGAQLTLQQMAQNFGSSLFSRVPVLSQCLFVPLQQYAESGFPSEVDQASCTVGQDLLDAMSILRFLVAYLDSGLQSEIVSTLPHLLATLQSNYSAVRNMASKCFAAITESNAAGSKALHLLVEDVVPLLGDASSTIHRQGAIECIYHVVQRLGVRILPYILYLIIPLLGRMSDADQDVRVLATTSFATLVKLVPLEAGLPDPPDLPQYLLDSREKERKFLEQMLNPSKVEAFSIPVPISADLRKYQQEGVNWLAFLNKYELHGILCDDMGLGKTLQTICIVASDHYNRQKLFEESGSPKFAHVPSLIVCPSTLAGHWQQELSTYAPFLKVSAYVGPPAERAKIRSKMKKSDVVVTSYDICRNDVDELVKIDWNYCVLDEGHVIKNARAKLTKAVKSLRSYHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKTFQERFVRPIAASRDAKSSSKERERGTLALEAIHKQVLPFMLRRLKEDVLADLPPKIIQDYYCDMSDLQRKLLNDFVSQLNINEELEDDETEKTQGTRKKKSQKAHIFQALQYMRKLCNHPALILTEKHPKRNAIVKQLAKENSGLHDLKHAPKLTALGQLLRDCGLGNSSVNSNGIDSALTNAVSEHRVLIFCQLKDMLDMVEKDLLQATMPDVTYMRLDGSVEPTKRQEAVTKFNNDPSIDVLLLTTHVGGLGLNLTGADTVIFVEHDWNPMRDLQAMDRAHRIGQKKVVNVYRLITRGCLEEKIMGLQRFKMNVASTVVNQQNAGLSSIGTDQILDLFNTTADEQQTVQNIDKEESEDAAGRGLSGTSKKALEGLPEMWDESQYDEFNLDGFISTLPKDAS", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MQDVRNINLVNNSSNSHDSSLANSKMPRNFKLLSDPQLVKCGTRLYRYDGLMPGDPSYPTITPRDPRNPLIRIRARAVEPLMLLIPRFVIDSDYVGQPPAVEVTIVNLNDNIDKQFLASMLDKCGTSDEINIYHHPITNKHLGIARIVFDSTKGARQFVEKYNQKSVMGKILDVFCDPFGATLKKSLESLTNSVAGKQLIGPKVTPQWTFQQAALEDTEFIHGYPEKNGEHIKDIYTTQTNHEIPNRSRDRNWNRDKERERDRHFKERSRHSSERSYDRDRGMRENVGTSIRRRRTFYRRRSSDISPEDSRDILIMTRERSRDSDSRPRDYCRSRERESFRDRKRSHEKGRDQPREKREHYYNSSKDREYRGRDRDRSAEIDQRDRGSLKYCSRYSLHEYIETDVRRSSNTISSYYSASSLPIASHGFNSCSFPSIENIKTWSDRRAWTAFQPDFHPVQPPPPPPEEIDNWDEEEHDKNSIVPTHYGCMAKLQPPVPSNVNFATKLQSVTQPNSDPGTVDLDTRIALIFKGKTFGNAPPFLQMDSSDSETDQGKPEVFSDVNSDSNNSENKKRSCEKNNKVLHQPNEASDISSDEELIGKKDKSKLSLICEKEVNDDNMSLSSLSSQEDPIQTKEGAEYKSIMSSYMYSHSNQNPFYYHASGYGHYLSGIPSESASRLFSNGAYVHSEYLKAVASFNFDSFSKPYDYNKGALSDQNDGIRQKVKQVIGYIVEELKQILKRDVNKRMIEITAFKHFETWWDEHTSKARSKPLFEKADSTVNTPLNCIKDTSYNEKNPDINLLINAHREVADFQSYSSIGLRAAMPKLPSFRRIRKHPSPIPTKRNFLERDLSDQEEMVQRSDSDKEDSNVEISDTARSKIKGPVPIQESDSKSHTSGLNSKRKGSASSFFSSSSSSTSSEAEYEAIDCVEKARTSEEDSPRGYGQRNLNQRTTTIRNRNLVGTMDVINVRNLCSGSNEFKKENVTKRTKKNIYSDTDEDNDRTLFPALKEKNISTILSDLEEISKDSCIGLDENGIEPTILRKIPNTPKLNEECRRSLTPVPPPGYNEEEIKKKVDCKQKPSFEYDRIYSDSEEEKEYQERRKRNTEYMAQMEREFLEEQEKRIEKSLDKNLQSPNNIVKNNNSPRNKNDETRKTAISQTRSCFESASKVDTTLVNIISVENDINEFGPHEEGDVLTNGCNKMYTNSKGKTKRTQSPVYSEGGSSQASQASQVALEHCYSLPPHSVSLGDYPSGKVNETKNILKREAENIAIVSQMTRTGPGRPRKDPICIQKKKRDLAPRMSNVKSKMTPNGDEWPDLAHKNVHFVPCDMYKTRDQNEEMVILYTFLTKGIDAEDINFIKMSYLDHLHKEPYAMFLNNTHWVDHCTTDRAFWPPPSKKRRKDDELIRHKTGCARTEGFYKLDVREKAKHKYHYAKANTEDSFNEDRSDEPTALTNHHHNKLISKMQGISREARSNQRRLLTAFGSMGESELLKFNQLKFRKKQLKFAKSAIHDWGLFAMEPIAADEMVIEYVGQMIRPVVADLRETKYEAIGIGSSYLFRIDMETIIDATKCGNLARFINHSCNPNCYAKVITIESEKKIVIYSKQPIGINEEITYDYKFPLEDEKIPCLCGAQGCRGTLN", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNSTKVPPEWKRRVKSEYIKIRQQKRYKRADEIKEAWIRNWDEHNHNVQDLYCESKVWQAKPYDPPHVDCVKRAEVTSYNGIPSGPQKVPICVINAVTPIPTMYTWAPTQQNFMVEDETVLHNIPYMGDEVLDKDGKFIEELIKNYDGKVHGDKDPSFMDDAIFVELVHALMRSYSKELEEAAPGTATAIKTETLAKSKQGEDDGVVDVDADGESPMKLEKTDSKGDLTEVEKKETEEPLETEDADVKPDVEEVKDKLPFPAPIIFQAISANFPDKGTAQELKEKYIELTEHQDPERPQECTPNIDGIKAESVSRERTMHSFHTLFCRRCFKYDCFLHRLQGHAGPNLQKRRYPELKPFAEPCSNSCYMLIDGMKEKLAADSKTPPIDSCNEASSEDSNDSNSQFSNKDFNHENSKDNGLTVNSAAVAEINSIMAGMMNITSTQCVWTGADQALYRVLHKVYLKNYCAIAHNMLTKTCRQVYEFAQKEDAEFSFEDLRQDFTPPRKKKKKQRLWSLHCRKIQLKKDSSSNHVYNYTPCDHPGHPCDMNCSCIQTQNFCEKFCNCSSDCQNRFPGCRCKAQCNTKQCPCYLAVRECDPDLCQACGADQFKLTKITCKNVCVQRGLHKHLLMAPSDIAGWGIFLKEGAQKNEFISEYCGEIISQDEADRRGKVYDKYMCSFLFNLNNDFVVDATRKGNKIRFANHSINPNCYAKVMMVTGDHRIGIFAKRAIQPGEELFFDYRYGPTEQLKFVGIEREMEIV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSGGVYGGDEVGALVFDIGSYTVRAGYAGEDCPKVDFPTAIGMVVERDDGSTLMEIDGDKGKQGGPTYYIDTNALRVPRENMEAISPLKNGMVEDWDSFQAILDHTYKMHVKSEASLHPVLMSEAPWNTRAKREKLTELMFEHYNIPAFFLCKTAVLTAFANGRSTGLILDSGATHTTAIPVHDGYVLQQGIVKSPLAGDFITMQCRELFQEMNIELVPPYMIASKEAVREGSPANWKRKEKLPQVTRSWHNYMCNCVIQDFQASVLQVSDSTYDEQVAAQMPTVHYEFPNGYNCDFGAERLKIPEGLFDPSNVKGLSGNTMLGVSHVVTTSVGMCDIDIRPGLYGSVIVAGGNTLIQSFTDRLNRELSQKTPPSMRLKLIANNTTVERRFSSWIGGSILASLGTFQQMWISKQEYEEGGKQCVERKCP", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVMQFQGLENPIQISPHCSCTPSGFFMEMMSMKPAKGVLTEQVAGPLGQNLEVEPYSQYSNVQFPQVQPQISSSSYYSNLGFYPQQPEEWYSPGIYELRRMPAETLYQGETEVAEMPVTKKPRMGASAGRIKGDELCVVCGDRASGYHYNALTCEGCKGFFRRSITKNAVYKCKNGGNCVMDMYMRRKCQECRLRKCKEMGMLAECMYTGLLTEIQCKSKRLRKNVKQHADQTVNEDSEGRDLRQVTSTTKSCREKTELTPDQQTLLHFIMDSYNKQRMPQEITNKILKEEFSAEENFLILTEMATNHVQVLVEFTKKLPGFQTLDHEDQIALLKGSAVEAMFLRSAEIFNKKLPSGHSDLLEERIRNSGISDEYITPMFSFYKSIGELKMTQEEYALLTAIVILSPDRQYIKDREAVEKLQEPLLDVLQKLCKIHQPENPQHFACLLGRLTELRTFNHHHAEMLMSWRVNDHKFTPLLCEIWDVQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVMQFQGLENPIQISPHCSCTPSGFFMEMMSMKPAKGVLTEQVAGPLGQNLEVEPYSQYSNVQFPQVQPQISSSSYYSNLGFYPQQPEEWYSPGIYELRRMPAETLYQGETEVAEMPVTKKPRMGASAGRIKGDELCVVCGDRASGYHYNALTCEGCKGFFRRSITKNAVYKCKNGGNCVMDMYMRRKCQECRLRKCKEMGMLAECLLTEIQCKSKRLRKNVKQHADQTVNEDSEGRDLRQVTSTTKSCREKTELTPDQQTLLHFIMDSYNKQRMPQEITNKILKEEFSAEENFLILTEMATNHVQVLVEFTKKLPGFQTLDHEDQIALLKGSAVEAMFLRSAEIFNKKLPSGHSDLLEERIRNSGISDEYITPMFSFYKSIGELKMTQEEYALLTAIVILSPDRQYIKDREAVEKLQEPLLDVLQKLCKIHQPENPQHFACLLGRLTELRTFNHHHAEMLMSWRVNDHKFTPLLCEIWDVQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGSKMNLIEHSHLPTTDEFSFSENLFGVLTEQVAGPLGQNLEVEPYSQYSNVQFPQVQPQISSSSYYSNLGFYPQQPEEWYSPGIYELRRMPAETLYQGETEVAEMPVTKKPRMGASAGRIKGDELCVVCGDRASGYHYNALTCEGCKGFFRRSITKNAVYKCKNGGNCVMDMYMRRKCQECRLRKCKEMGMLAECMYTGLLTEIQCKSKRLRKNVKQHADQTVNEDSEGRDLRQVTSTTKSCREKTELTPDQQTLLHFIMDSYNKQRMPQEITNKILKEEFSAEENFLILTEMATNHVQVLVEFTKKLPGFQTLDHEDQIALLKGSAVEAMFLRSAEIFNKKLPSGHSDLLEERIRNSGISDEYITPMFSFYKSIGELKMTQEEYALLTAIVILSPDRQYIKDREAVEKLQEPLLDVLQKLCKIHQPENPQHFACLLGRLTELRTFNHHHAEMLMSWRVNDHKFTPLLCEIWDVQ", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGSKMNLIEHSHLPTTDEFSFSENLFGVLTEQVAGPLGQNLEVEPYSQYSNVQFPQVQPQISSSSYYSNLGFYPQQPEEWYSPGIYELRRMPAETLYQGETEVAEMPVTKKPRMGASAGRIKGDELCVVCGDRASGYHYNALTCEGCKGFFRRSITKNAVYKCKNGGNCVMDMYMRRKCQECRLRKCKEMGMLAECLLTEIQCKSKRLRKNVKQHADQTVNEDSEGRDLRQVTSTTKSCREKTELTPDQQTLLHFIMDSYNKQRMPQEITNKILKEEFSAEENFLILTEMATNHVQVLVEFTKKLPGFQTLDHEDQIALLKGSAVEAMFLRSAEIFNKKLPSGHSDLLEERIRNSGISDEYITPMFSFYKSIGELKMTQEEYALLTAIVILSPDRQYIKDREAVEKLQEPLLDVLQKLCKIHQPENPQHFACLLGRLTELRTFNHHHAEMLMSWRVNDHKFTPLLCEIWDVQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSEMLPAFIETSNVDKKQGINEDQEESQKPRLGEGCEPISKRQMKKLIKQKQWEEQRELRKQKRKEKRKRKKLERQCQMEPNSDGHDRKRVRRDVVHSTLRLIIDCSFDHLMVLKDIKKLHKQIQRCYAENRRALHPVQFYLTSHGGQLKKNMDENDKGWVNWKDIHIKPEHYSELIKKEDLIYLTSDSPNILKELDESKAYVIGGLVDHNHHKGLTYKQASDYGINHAQLPLGNFVKMNSRKVLAVNHVFEIILEYLETRDWQEAFFTILPQRKGAVPTDKACESASHDNQSVRMEEGGSDSDSSEEEYSRNELDSPHEEKQDKENHTESTVNSLPH", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MESGKTASPKSMPKDAQMMAQILKDMGITEYEPRVINQMLEFAFRYVTTILDDAKIYSSHAKKATVDADDVRLAIQCRADQSFTSPPPRDFLLDIARQRNQTPLPLIKPYSGPRLPPDRYCLTAPNYRLKSLQKKASTSAGRITVPRLSVGSVTSRPSTPTLGTPTPQTMSVSTKVGTPMSLTGQRFTVQMPTSQSPAVKASIPATSAVQNVLINPSLIGSKNILITTNMMSSQNTANESSNALKRKREDDDDDDDDDDDYDNL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGAPKLKWTPEEETALKAGVLKHGTGKWRTILSDPVYSTILKSRSNVDLKDKWRNISVTALWGSRKKAKLALKRTPLSGSRQDDNATAITIVSLANGDVGGQQIDAPSPPAGSCEPPRPSTSVDKIILEAITSLKRPFGPDGKSILMYIEENFKMQPDMKRLVTSRLKYLTNVGTLVKKKHKYRISQNYMAEGEGQRSPQLLLEGNKENTPKPEENGVKNLTKSQVGGEVMIMGMTEKEAAAAAARAVAEAEFAMAEAEEAAREADKAEAEAEAAHIFAKAAMKAVKYRMHSQTR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSTDFDRIYLNQSKFSGRFRIADSGLGWKISTSGGSAANQARKPFLLPATELSTVQWSRGCRGYDLKINTKNQGVIQLDGFSQDDYNLIKNDFHRRFNIQVEQREHSLRGWNWGKTDLARNEMVFALNGKPTFEIPYARINNTNLTSKNEVGIEFNIQDEEYQPAGDELVEMRFYIPGVIQTNVDENMTKKEESSNEVVPKKEDGAEGEDVQMAVEEKSMAEAFYEELKEKADIGEVAGDAIVSFQDVFFTTPRGRYDIDIYKNSIRLRGKTYEYKLQHRQIQRIVSLPKADDIHHLLVLAIEPPLRQGQTTYPFLVLQFQKDEETEVQLNLEDEDYEENYKDKLKKQYDAKTHIVLSHVLKGLTDRRVIVPGEYKSKYDQCAVSCSFKANEGYLYPLDNAFFFLTKPTLYIPFSDVSMVNISRAGQTSTSSRTFDLEVVLRSNRGSTTFANISKEEQQLLEQFLKSKNLRVKNEDREVQERLQTALGSDSDEEDINMGSAGEDDESVDEDFQVSSDNDADEVAEEFDSDAALSDAEGGSDEERPSKKPKVE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MMEPPLSKRNPPALRLADLATAQAQQLQNMTGFPVLVGPPAHSQRRAVAMHLHPRDLGTDPGVASTALGPEHMAQASGQGPCPPSQGLPGLFQVPAPAARSVASGTHPGARTHPDGGGSSGAQASAPPPPAPPLPPSQSSSPPPPPPPPPALSGYTATNSGGGSSSGKGHSRDFVLRRDLSATAPAAAMHGAPLGGEQRSGSSSPQHPTPPPHPAGMFISASGTYAGRDGGGSALFPALHDSPGAPGGHPLNGQMRLGLAAAAAAAAELYGRAEPPFAPRSGDAHYGAVAAAAAAALHGYGAVNLNLNLAAAAAAAAAAGPGPHLQHHAPPPAPPPAPAPHPHHPHLPGAAGAFLRYMRQPIKRELICKWLDPEELAGPPASADSGVKPCSKTFGTMHELVNHVTVEHVGGPEQSSHVCFWEDCPREGKPFKAKYKLINHIRVHTGEKPFPCPFPGCGKVFARSENLKIHKRTHTGEKPFKCEFDGCDRKFANSSDRKKHSHVHTSDKPYYCKIRGCDKSYTHPSSLRKHMKIHCKSPPPSPGALGYSSVGTPVGDPLSPVLDPTRSRSSTLSPQVTNLNEWYVCQASGAPSHLHTPSSNGTTSESEDEEMYGNPEVMRTIH", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MESSRLFTLGLGNSDDGLKSTFGDKTVTCFYCNKKKEKIRDGTSTWTCSICEATNHIDEKGDILDYRPPTPTQDKGVGPFYAIRDFPSSSSFQSPFCEKCQMNQLIVNRMLADYLPDSSHPDYQAYEKALPEYKKSIEEKFPIVCSECYDSVQDQLDANDYEAKNQVLGYWLQKSKEQLNAKVPHHYPKASFVLWLLRGFGFSFFYLQSIVWHLYHSMIISLLPDGIRNLFLKAISYFLLDGSSSKIFYFNWLGFFVVFWNPYWYKMMDNPSWELFGRDQYIQCQALYLIIRLTCLYLLSCYESEILNLSSDTNLESDFLLRQIHAAFFFVTICFTWISISCLKPSPPPEVHLTGEILKPRKKRQESTSSVHRIGKESSDRKDGISGQNKLQQFATISILNNTNATSHLGNQSVRERAPEESPMTFLQKKMAALPTSSPVRPMLKPTLQLQNSPLSKLVPQEVGNKVNDSIHTTSNQPSKFSLNPSISLKGDNVIEKNLPFSVSTLKSTAKKDTGKAGDGQNREIQNEPVSLESHFSKSLALQNDPTEVIQVKNVLHRNRRNAKLLIAFTILFLVGLICGWRLNRFTMFIYYLCILVLATYYVMKHNFYPLRKVA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSPTSTPSRRRNKRGRGSNPPTPHGEEVQSPPSQRRRTEDSTSIGELLPMPTSPSGDVQSPSGQELLFSSPAPSRHSAHQSELDLSSPLTYGTPSSRVEGTPRSGIRGTPARQRPDLGSARKVKQVDLHSDQPAAEELVTSEQSLGQKLVIWGTDVNVATCKEKFQRFVQRFIDPSAKEEDNVGLDLNEPIYMQRLEEINVVGDPFLNIDCDHLRNFDQDLYRQLVCYPQEVIPTFDMAANEIFFERYPDSILEHQIQVRPYNALKTRNMRSLNPEDIDQLITISGMVIRTSQIIPEMQEAFFKCQVCAFTTRVEIDRGRIAEPSVCKHCNTTHSMALIHNRSMFSDKQMIKLQESPEDMPAGQTPHTTILYGHNDLVDKVQPGDRVNVTGIYRAVPIRVNPRVRNVKSVYKTHIDVIHYRKTDSKRLHGIDEDTEQKLFTEERVAMLKELAAKPDIYERLAAALAPSIYEHEDIKKGILLQLFGGTRKDFSHTGRGKFRAEVNILLCGDPGTSKSQLLQYVFNLVPRGQYTSGKGSSAVGLTAYVMKDPETRQLVLQTGALVLSDNGICCIDEFDKMNESTRSVLHEVMEQQTLSIAKAGIICQLNARTSVLAAANPVESQWNPKKTTIENIQLPHTLLSRFDLIFLMLDPQDEAYDRRLAHHLVALYYQSEEQMKEEHLDMAVLKDYIAYARTYVNPRLSEEASQALIEAYVSMRKIGSGRGMVSAYPRQLESLIRLSEAHAKVRFSNKVETIDVEEAKRLHREALKQSATDPRTGIVDISILTTGMSATARKRKEELAQVLKKLIQSKGKTPALKYQQLFEDLRGQSDAAITKDMFDEALHALADDDYLTVTGKTVRLL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAEESFYGVTLTAESDSVTWDVDEDYARGQKLVIKQILLGAEAKENEFNVVEVNTPKDSVQIPIAVLKAGETRAVNPDVEFYESKVTFKLIKGSGPVYIHGHNIKDDVEVVDMEEDDEEDDVAEDEEDEHPKKRAKIENAADGKNAKNNKKK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNASNNISKFPDLDNSSKLIDHILDSDDSEELDELPDISSLVPSARAQSRKQYLKNDSSNSSTYRWNIDLLSSTATIDDSVAKRRKLAVQNLLQYDSTQTFQTGDEIDELIGKSVGSNVLNVLRSNPIYDDDLRYEYCSNSKARVPDWNTLKAECLKDNDLEFNEGIIPTTFGDLLSAKLVPLDIALSICSLQFFRSLGDTTCSEWIANLEKIFYSYKSSSNNLNQIVRFIFETTADMIGIDLAKRQVPIQLERTSASENLKSNLKIKVINFLKCCGTLYRFSDDTVRFEMIQDACRILIDNQVGSFCKWQFSQFMELPISLNPDFLISNIHKVSESPRVWVTILSSLSRSCQKFRKKIAFTLFVGKQSKNDDSDFSSLCQRLDEISASCNNDYTTLLYQIRTFGYAVDEKHFKTNERLECLLEKLRKIDLTISGSTDHLLLSRCEVKDCIHRLFMVLYYLNTNSAPELERIIESDLPNNNKQKDRYFKDKTSNLSMKENKSFSAKKVKKGKKKNKRQAYKR", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAPDQSYQYPSPSYESIQTFYDTDEDWPGPRCGHTLTAVFVNNSHQLILFGGSTTAVANHNSSLPEISLDGVTNSVHSFDVLTRKWTRLNPIGDVPSPRACHAAALYGTLILIQGGIGPSGPSDGDVYMLDMTNNKWIKFLVGGETPSPRYGHVMDIAAQRWLVIFSGNNGNEILDDTWALDTRGPFSWDRLNPSGNQPSGRMYASGSSREDGIFLLCGGIDHSGVTLGDTYGLKMDSDNVWTPVPAVAPSPRYQHTAVFGGSKLHVIGGILNRARLIDGEAVVAVLDTETGEWVDTNQPETSASGANRQNQYQLMRRCHHAAASFGSHLYVHGGIREDVLLDDLLVAETSQSSSPEPEEDNPDNYMLLDDYLMDEPKPLSSEPEASSFIMRSTSEIAMDRLAEAHNLPTIENAFYDSAIEGYVPLQHGAETVGNRGGLVRTASLDQSTQDLHKKVISTLLRPKTWTPPANRDFFLSYLEVKHLCDEVEKIFMNEPTLLQLKVPIKVFGDIHGQYGDLMRLFHEYGHPSVEGDITHIDYLFLGDYVDRGQHSLEIIMLLFALKIEYPKNIHLIRGNHESLAMNRIYGFLTECEERMGESYGFEAWLKINQVFDYLPLAALLEKKVLCVHGGIGRAVTIEEIENIERPAFPDTGSMVLKDILWSDPTMNDTVLGIVDNARGEGVVSFGPDIVKAFLERNGLEMILRAHECVIDGFERFADGRLITVFSATNYCGTAQNAGAILVIGRDMVIYPKLIHPHPPPISSSEEDYTDKAWMQELNIEMPPTPARGESSE", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSSRRSRSRQSGSSRITDEQISDLVSKLQDLLPEARLRSNDRVPSSRVLQETCNYIRSLHQEVDDLSERLSELLATSDMSSAQAAIIRSLLM", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLKVPEHQVAGHIASDGKLGPLVDDQGRFFKPLQGDSRGEHEAKFYESFTSNMKVPDHIHRYFPVYHGTQLVEASDGSGKLPHLVLDDVVSGYANPSVMDVKIGSRTWYPDVSEEYFKKCIKKDRQTTTVSLGFRVSGFKIFDHQESSFWRAEKKLVLGYNADGARLALRKFVSSNSPADSNLTPNCAFASEVYGGCNGILAQLLELKDWFETQTLYHFNSCSILMIYENESILMQGGDDAPAPRAQVKLVDFAHVLDGNGVIDHNFLGGLCSFIKFIKDILQSVEKHDETDTSLLENGR", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAYGGPSMNPPALSGAVPGSANLKQVKLERESELRIEVSEEPLRLRVVNGTAEIFGSELPPEIWRTFPPRMKFAVFTWYGATIEMDGVTETDYTADETPMVSYINVHAILDARRRFAKASTSNDPESSQGPRVIVVGPTDSGKSTLTKMLLSWAAKQGWRPTFVDLDVGQGSITIPGSIAAAPIEMPLDPVEGFPLDMALVYYYGHASPNMNVELYKALVKELAQVLEKQFVGNPESRAAGMVINTMGWIEGIGYELLLHAIDTFNASVVLVLGQEKLFSRLKDVLRSKSNVDVVKLHKSGGVVARVKEVRKRSRNFKIQEYFYGLSKELSPYANTSSFSDLQVFRIGGGPQAPKSALPAGSTSVSNPLRVTPVNIDDRDLLHSVLAVSYAEEPDQIISSNVSGFVYVTEVNVQKKKITYLAPSPGTLPSKLLVAGSLAWLESV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAVDLLSAQEPVTFRDVAVFFSQDEWLHLDSAQRALYREVMLENYSSLVSLGIPFSMPKLIHQLQQGEDPCMVEREVPSDTRLGFKTWLETEALPHRQDIFIEETSQGMVKKESIKDGHWDINFEEAVEFESEIEEEQEKKPLRQMIDSHEKTISEDGNHTSLELGKSLFTNTALVTQQSVPIERIPNMYYTFGKDFKQNFDLMKCFQIYPGGKPHICNECGKSFKQNLHLIEHQRIHTGEKPYKCNECEKTFSHRSSLLSHQRIHTGEKPYKCNECEKAFSNSSTLIKHLRVHTGEKPYRCRECGKAFSQCSTLTVHQRIHTGEKLYKCGECEKAFNCRAKLHRHQRIHTGEKPYKCSECGKGYSQFTSLAEHQRFHTGEQLYTCLECGRTFTRIVTLIEHQRIHTGQKPYQCNECEKAFNQYSSFNEHRKIHTGEKLYTCEECGKAFGCKSNLYRHQRIHTGEKPYQCNQCGKAFSQYSFLTEHERIHTGEKLYKCMECGKAYSYRSNLCRHKKVHTKEKLYKWKEYGKPFICSSSLTQYQRFFKGDKAYEV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMMLESRNSMRASNSVPDLSLQISLPNYHAGKPLHGGDRSSTSSDSGSSLSDLSHENNFFNKPLLSLGFDHHHQRRSNMFQPQIYGRDFKRSSSSMVGLKRSIRAPRMRWTSTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKCTDKGSPGEGKVEKEAEQRIEDNNNNEEADEGTDTNSPNSSSVQKTQRASWSSTKEVSRSISTQAYSHLGTTHHTKDNEEKEDTNIHLNLDFTLGRPSWGMEYAEPSSDLTLLKC", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKTPFGKSPAQRSRADAGHTRVSASMMKKRTSHKKHRNNVGPSKPISQPRRNIVGCRIQHGWKEGSGPITQWKGTVLDQVPVNPSLYLIKYDGFDCVYGLELHKDERVSALEVLPDRVASSRISDAHLADTMIGKAVEHMFETEDGSKDEWRGMVLARAPIMNTWFYITYEKDPVLYMYQLLDDYKEGDLRIMPDSNDSPPAEREPGEVVDSLVGKQVEYAKEDGSKRTGMVIHQVEAKPSVYFIKFDDDFHIYVYDLVKTS", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKTPFGKSPGQRSRADAGHAGVSASMMKKRTSHKKHRNNVGPSKPISQPRRNIVGCRIQHGWKEGSGPVTQWKGTVLDQVPVNPSLYLIKYDGFDCVYGLELHKDERVSALEVLPDRVASSRISDAHLADTMIGKAVEHMFETEDGSKDEWRGMVLARAPIMNTWFYITYEKDPVLYMYQLLDDYKEGDLRIMPDSNDSPPAEREPGEVVDSLVGKQVEYAKEDGSKRTGMVIHQVEAKPSVYFIKFDDDFHIYVYDLVKTS", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSAAPVQDKDTLSNAERAKNVNGLLQVLMDINTLNGGSSDTADKIRIHAKNFEAALFAKSSSKKEYMDSMNEKVAVMRNTYNTRKNAVTAAAANNNIKPVEQHHINNLKNSGNSANNMNVNMNLNPQMFLNQQAQARQQVAQQLRNQQQQQQQQQQQQRRQLTPQQQQLVNQMKVAPIPKQLLQRIPNIPPNINTWQQVTALAQQKLLTPQDMEAAKEVYKIHQQLLFKARLQQQQAQAQAQANNNNNGLPQNGNINNNINIPQQQQMQPPNSSANNNPLQQQSSQNTVPNVLNQINQIFSPEEQRSLLQEAIETCKNFEKTQLGSTMTEPVKQSFIRKYINQKALRKIQALRDVKNNNNANNNGSNLQRAQNVPMNIIQQQQQQNTNNNDTIATSATPNAAAFSQQQNASSKLYQMQQQQQAQAQAQAQAQAQAQAQAQAQAAQAAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAHAQHQPSQQPQQAQQQPNPLHGLTPTAKDVEVIKQLSLDASKTNLRLTDVTNSLSNEEKEKIKMKLKQGQKLFVQVSNFAPQVYIITKNENFLKEVFQLRIFVKEILEKCAEGIFVVKLDTVDRLIIKYQKYWESMRIQILRRQAILRQQQQMANNNGNPGTTSTGNNNNIATQQNMQQSLQQMQHLQQLKMQQQQQQQQQQQQQQQQQQQQQQQHIYPSSTPGVANYSAMANAPGNNIPYMNHKNTSSMDFLNSMENTPKVPVSAAATPSLNKTINGKVNGRTKSNTIPVTSIPSTNKKLSISNAASQQPTPRSASNTAKSTPNTNPSPLKTQTKNGTPNPNNMKTVQSPMGAQPSYNSAIIENAFRKEELLLKDLEIRKLEISSRFKHRQEIFKDSPMDLFMSTLGDCLGIKDEEMLTSCTIPKAVVDHINGSGKRKPTKAAQRARDQDSIDISIKDNKLVMKSKFNKSNRSYSIALSNVAAIFKGIGGNFKDLSTLVHSSSPSTSSNMDVGNPRKRKASVLEISPQDSIASVLSPDSNIMSDSKKIKVDSPDDPFMTKSGATTSEKQEVTNEAPFLTSGTSSEQFNVWDWNNWTSAT", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFLQYYLNEQGDRVYTLKKFDPMGQQTCSAHPARFSPDDKYSRHRITIKKRFKVLMTQQPRPVL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MYNMMETELKPPAPQQTSGGGTGNSNSAANNQKNSPDRVKRPMNAFMVWSRGQRRKMAQENPKMHNSEISKRLGAEWKLLSEAEKRPFIDEAKRLRALHMKEHPDYKYRPRRKTKTLMKKDKYTLPGGLLAPGTNTMTTGVGVGATLGAGVNQRMDSYAHMNGWTNGGYGMMQEQLGYPQHPGLNAHNAAQMQPMHRYDVSALQYNSMTSSQTYMNGSPTYSMSYSQQGTPGMALGSMGSVVKTESSSSPPVVTSSSHSRAPCQAGDLRDMISMYLPGAEVPEPAAPSRLHMSQHYQSAPVPGTAINGTLPLSHM", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MELEQREGTMAAVGFEEFSAPPGSELALPPLFGGHILESELETEVEFVSGGLGDSGLRERDEEEEAARGRRRRQRELNRRKYQALGRRCREIEQVNERVLNRLHQVQRITRRLQQERRFLMRVLDSYGDDYRDSQFTIVLEDDGSQGTDVPTPGNVENEPPEKEGLSPPQRTTATLDPSSPAPGEGPSGRKRRRAPRAASSLTPELAPVQVGAEGWGQGVIKVEEDFGFEADEALDSSWVSRGPDKLLPYPTLASPPFD", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPQNEYIELHRKRYGYRLDYHEKKRKKESREAHERSKKAKKMIGLKAKLYHKQRHAEKIQMKKTIKMHEKRNTKQKNDEKTPQGAVPAYLLDREGQSRAKVLSNMIKQKRKEKAGKWEVPLPKVRAQGETEVLKVIRTGKRKKKAWKRMVTKVCFVGDGFTRKPPKYERFIRPMGLRFKKAHVTHPELKATFCLPILGVKKNPSSPLYTTLGVITKGTVIEVNVSELGLVTQGGKVIWGKYAQVTNNPENDGCINAVLLV", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MMDLSSKDALSDVLKDTHAQSSDPSLWAIFGHQSSDNVHEGGNESVSVEQEIFDLSQLSERPVSETVSKASIPTNINGLSQVKPSALEKSQEVLSLQKLPIKGRRPAGRRGRPALNTSNSLERNGTRYVSAEAPISVKSSIPAIPRVTFERLCYESAIASNLPPNALSPLEAEMLSEILENPTWLSLYLSIRNGICYLWHRNPTLYVSFNEALGIVREKKAFPLASLAFEFLSRNGHINYGCIYIISSLKLDESLSQKTVAIIGAGMAGISCARQLTNLFAQYEQDFLSRGEKPPRIVIYEASERLGGHIYTHMVPLSDNEVSEKSSLATTVNATNECMVNLLTDSLIGMPTLDSDPLYIISSQQLSLDAVHTRNREFILHDIENGRIDTEHVQRIFRLFDALLFYFNASASKQPLHSLITPPEQEFIQKLDQIGWYISIEAFPLQIKDTLSEFLGNSANTLTSLLHLTVLDLKIFEWFKEYLSQSLSVSLENVYPGSIPNLNLLLGENVASYSFKHGMADMLNSLASTPSPLPILFDQCVHTVKLEDNTVNLSFVNETTVSVDKVVICIPMDKLNTHLITFEPPLEEKKLKAIDRCHFTNVKKVILIFKTQFWEPNISIFGSLPQDSGRNFIFNDCTRFYEHPTLSVFVKVEGIDFMKDDDIVNGIVSQLKKVYKPKSEAINPIRTIISNWENNSYTNHSSYQISNLFLEEDYAILSEPIDNTVFFASEAISQKNSGSIRGAFDSGILAARDVLASLIGNVVLPNTLVIEENLEQPRKTYGTKRNAQQALGKEGERENKEKRISYHTEYLRLRQKRLDKEQQECDLLIAELLGPSPVPPSRPSANPYLLYQKTQWHVCKTLADQDKQRVTGDPEARATKNEIRAKLGKTWRALDSLGKQPWVDEINARRANYSTRLEEYQRQINSYNVRVAQIKSEHQRRCESQPIPEDEAKLKLLAEQEDEHLHPEKEGMSVENSDDDYHDDLDYEDSISEVFPDNFS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFPGLAAAAAAHRCSWAALCRLGGGRAATRGRSQGWKNVMTFESFTYVVPDIHPHLSIINQVKLYSTNVQKGGQGSQTPKADKVPSLTQTVENIGAELKAPLKQDPLQVRVKAVLKKRDYGSKYTKNNFITGVRAINEFCLKSSDLEQLRKIRRRSPHDDTESFTVFLRSDVEAKALEVWGSLEALAREKKLRKEAEIEYRERLFRNQRILREYGDFLGNTKPRSRAVSVFLKGPGKVVMVAICINGLNCFFKFLAWIYTGSASMFSEAIHSLSDTCNQGLLALGISKSVQTPDPSHPYGFSNMRYISSLISGVGIFMMGAGLSWYHGIMGLLHPQPMESLLWAYCILAGSLVSEGATLLVAINELRRSAQAKGTTFYKYVMESRDPSTNVILLEDTAAVLGVIIAATCMGLTSITGNPLYDSLGSLGVGTLLGVVSAFLIYTNTEALLGRSIQPEQVQRLTELLESDPSVRAIHDVKATDLGLGKVRFKAEVDFDGRVVTRSYLEKQDFDQMMQEIQEVKTPEQLEAFMLKHGENIIDTLGAEVDRLEKELKKRNPEVRHVDLEIL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MERQKRKADIEKGLQFIQSTLPLKQEEYEAFLLKLVQNLFAEGNDLFREKDYKQALVQYMEGLNVADYAASDQVALPRELLCKLHVNRAACYFTMGLYEKALEDSEKALGLDSESIRALFRKARALNELGRHKEAYECSSRCSLALPHDESVTQLGQELAQKLGLRVRKAYKRPQELETFSLLSNGTAAGVADQGTSNGLGSIDDIETGNVPDTREQVEIGAPRDCYVDPRGSPALLPSTPTMPLFPHVLDLLAPLDSSRTLPSTDSLDDFSDGDVFGPELDTLLDSLSLVQGGLSGSGVPSELPQLIPVFPGGTPLLPPVVGGSIPVSSPLPPASFGLVMDPSKKLAASVLDALDPPGPTLDPLDLLPYSETRLDALDSFGSTRGSLDKPDSFMEETNSQDHRPPSGAQKPAPSPEPCMPNTALLIKNPLAATHEFKQACQLCYPKTGPRAGDYTYREGLEHKCKRDILLGRLRSSEDQTWKRIRPRPTKTSFVGSYYLCKDMINKQDCKYGDNCTFAYHQEEIDVWTEERKGTLNRDLLFDPLGGVKRGSLTIAKLLKEHQGIFTFLCEICFDSKPRIISKGTKDSPSVCSNLAAKHSFYNNKCLVHIVRSTSLKYSKIRQFQEHFQFDVCRHEVRYGCLREDSCHFAHSFIELKVWLLQQYSGMTHEDIVQESKKYWQQMEAHAGKASSSMGAPRTHGPSTFDLQMKFVCGQCWRNGQVVEPDKDLKYCSAKARHCWTKERRVLLVMSKAKRKWVSVRPLPSIRNFPQQYDLCIHAQNGRKCQYVGNCSFAHSPEERDMWTFMKENKILDMQQTYDMWLKKHNPGKPGEGTPISSREGEKQIQMPTDYADIMMGYHCWLCGKNSNSKKQWQQHIQSEKHKEKVFTSDSDASGWAFRFPMGEFRLCDRLQKGKACPDGDKCRCAHGQEELNEWLDRREVLKQKLAKARKDMLLCPRDDDFGKYNFLLQEDGDLAGATPEAPAAAATATTGE", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKRFFNEACDINTPDKSGVNENKLCFPPLKVVCQAPETTVKGQVANTNKHNVYSTKNSMYLLGFEEILNTVLDCEPHIFTDDELRVIENFKALDSDERYLFVRLFMRKRNKWFRVGHLTYPDCKDVIACCKQLVLKNFFEDESLMSTEEIIEILSLDELRSLARQTKVCGKSRSEISKEIIFLSKRQSVLHCNGQQFLSFDAFGVMHKQESFLRKQLLYQCKSCVKPKKILVDLFHRINIVYFRSSIYDEQSLTSLILARLNKFSYPNYVLSRTSNVFNCRAQCLEYVEVLELSKNLVPIFENTAASDKEALEQALNSFFEIYPIWSTYLNEDIREFWVEENRKVDTRLVRFSFSFRPGAVYTYLIHKSLNILAKSRLVEVEHEILDTLLSQNIYLVGKRGHWYNRKALLEYNFKTEDTNVLRYWKTLALSTCENGIEDKYTHLRYYFSLQRRLVRLRKCLKVSNTTELKSMKLINNNPSRLFLHGERIHNGDLSNRTVWRSKTNNAITVEELALQHYQSIGWEGIHAESSILLTLFALTFWDILFEDVPGVFQSPFQSAPLDLHTDSFYISRESTIMKRLEEIRNGKAGLIIKDNYIREHQRKTFCVGLNWSYTCEMLLEIVDCINDNGLAQIFLALTQDYKNSSSGIPDLCLWNPSKKKFMFSEVKSDNDRLSEAQKFWISLLISSEVDVEVCHVSMHKKK", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEQRHSLAGNCDDGEKSEREAQGFEHRTCMDSGDPSFGQNDPPTILPITAPKTYDSLTSQDMPETLTDTQALHEEQFHLLDQNGQPIQYDLQSLGNSTAQMTGMEQVAIPQEPFADEHSPQDISEEKPTGVRADVLEDSTSNYGLRSPASLVLPKKAGARLVEEPLLAPLQPLSCNTPMWACRLRSCEKIGDSYRGYCVSETELESVLTFHKQQTQTVWGTRQSPSPAKPATRLMWKSQYVPYDGIPFVNAGSRAVVMECQYGPRRKGFQLKKISEQESRSCHLYKATCPARIYIKKVQKFPEYRVPTDPQIDRKIIRLEQEKAFTMLKKNLMDAGGVLRWYVQLPTQQAHQYHESETPGVPLSPSPFPMSPLEEEEAIVRDENCALPSRLHPQVAHKIQELVSQGVGQVYAVRKQLRKFVERELFKPDEIPERHNLSYFPTVNDIKNHIHEVQKSLRTGDVVYNSEIIPATLQWTTDSGNILRETVTVTFAEGNLLGEPIPSKMGTSQTQTAVSPEPLSSFPPKIFTHFQALKLQPRLSSPDGSQALVSVDSHASSSPPGLVDTVGNAEVDNHSVLLGQSQNPGTDTCLTQDNSTSSSTGHLPESVPNPVAEDQLLEGEDVEDAGNPEGSVNRTLLGDVQTVPIQIIDSRPVLVEESLSKNQVKQETNEPTLSTEAKTFLDCKKISAT", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSLQDESFPTDELFDQLNNLSSSGARNTWFAEHHKPAVFERDTAPFLEICYADPDFDADGDVANKSAKTCVSDPVGRDQEDEDDYDEDVDGDDHKLGCEKAPLGSGRSSKAVSYQDIHSAYTKRRFQHVTSKVGQYIAEIQAQDQKRRNVKFAGFQRVNSMPESLTPTLQQVYVHDGDFKVDKNCQTHSNSDSNYNSNSNNSSSSFDRLLAENESLQQKINSLRVEAKRLQGFNEYVQERLDRKTDDFVKMKCNFETLRTELSECQQKLRRQQDNSQHHFMYHIRSATSAKATQTDFLVDTIPASGNVLVTPHPLGDLTYNSSKGSIELALLSVAPSARVAQNPVQVQRAIHPQSLDFSSVSTEADGSGSGEHRVETSSRALVRRTPAPNNSETSQPSSNDSAIEVEAHEEERPSSRRQWEQQGELISPRQWGQHEGMYYFDKRNNRVIEVMGFNISQGRNQSHDTIHNQSINDSQTRLLVHSMSMSHLEAHDHFRSKRTTLGSRMLRFLGPCVRCRNGDPLNRSNVTYKDGLPAMPEEEFVDQRNQR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSSEEFKGLPIKRDISSTIYADRPPALSAPPCVGATGNDKIQVLPIPKKSRTIKTDKPRPFLCHICTRGFVRQEHLKRHQRAHTNEKPFLCVFCGRCFARRDLVLRHQHKLHSALVSKESINSKDKTEIDAINDKNIIQIQGNKQTILPTPSNPLAKTAVQLKKAAKEKKNGKQGKLDLSPSYGANNHSTDVSPSVGNSSTPAVIEETDSSSHFPLPDTNIPTKSKRHASFSASSAFTYSSDNFQKLHQQAKSDFDELQESIPHQVGFSTPQLTAQQLIENAIESGVVDLETLDLPPFLSLDGLPPASSSAAVAASEQIDICPSSATDTISGANSTPNQAATAPPFQLPIARESSSLFLANTPYLSDFLTMGSSYGGSGGFAKSITADPSLDYFNYKNHSHPDSRHNNSSSGINYSNNKNNNESIEKSQNNSNVINETIDHTDIHAHHADAHDDSFIESEEWLSKFIMDSQIDNDLKLNINHFNDIGFNNLHPQNPTTHSEPRNMHNENRDMHRSASKFQSVSENISPREQMSLFKTKQNKAISKFLSDEKIPSTASPSSSASPVQFDKKNVDINEFLLDESVSNLFTTRQIDLFKKNVNLYSPLFQNQKDAVSSTSLTPSLTTQTATTQSGPGWTDSSQKLTFFTEQLRNLIIKENNLKSNLFPTVDELNHYVDLYQVEFHKYFPFIHLYSIIPSSENYPLVISISMIGALYGFHSTHALLLSKIARTRVRMFLENTRSNHDKTPIWLMQSLVLLTFTSIFSNDMNAFRTVNTQIMILVQLIKISKLNYPLENFIKPPIESDHVLEYQDNPAVLNQFKAQYNTREQINRNFKYFILAQSRIRICHIVLLISNLFKSLVDFDCCFHSIDLKCGVPCYNEVLFFCENSRTWNENLTRFNIVLDSKFSLIEVSNGESNYEKCLMYLSNGNPYLYKNAKISFKTLLSLLISIHEKINIERDALKDSYESDFHAKNVQWRMHSRPLVATMLKHWELLYIKNGGILALSDENLPIINTNPSFRLIIPLYFFAKLRKCLDIAPTLRCIWNQDWNSMNSSLEKVCYERESLREATEYAVSVITFWIDTVSVMKGKSTQTPIFTITCIFVSILVIAGYMRRLEDFAQNKNSDCMIGSLKSTDRILWLKAFKTLKRIESHLSEREYKLQTFAEFLRVPDNGSLDIESLDSSLIENTLNSHDVTNQALDIITRTRLSSRTLYCGARILGDTPVWPVSLLFAHALQSRAIYNINHRKSVNSV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSSIESLSIQGIRSFGTYADDLQSIKFSSPVTLILGENGCGKTTVVECLKYALTGECPPGSDRGKSFVHDPKIFGLNEVLAQIKMQVRDRRGAQVSICRTMKVSKKRNKMSFETMDSTINFLTGAGQSKREKQDSLSGRSVDIDVAISDFMGVSKAIINNVLFCHQEDSSWPLDESKKLKEKFDAIFGITEYNKALDKIIKLRKEAMEELKIKEANIKHVAYLKQEMEVKTLNLQKAQRKCDAIKAQCSECEEEMKPIEARLVEIRNVEFEIGKYQAQKVEMDTKHKNCKDQISTLTLKIKKPFRGTLDELDQEISNFDQRMLEMRQKRTEVEGDLSQIKRSSVAEQEKLGTQDRKHCLAKQRHQSELACRAQLLKRVKEFCRELHIPIDCDLVEQPEKMGEVLRDIEAMIITKHCEITEIVEQNEKADRSRQVKIDELRIELTKSEQSVTAQEKQRESSKRESETLGVEIKKIETSMQDLKKLEKEINEVNELYESATKNIDQQAIKDAIARKKASIAENQIQFKKLDEQLTFLGSMAKLVAECSLKQKELDKKNQEVHRVRSRHSDHFGKLFKEPITCNYRRSMQVVYEKLRREIQELNEKANTQKLKEQSYEIKRKNLISDISRMEKELKDSEELIYQKCRSTPYDDLLERSKTTISKLQFDHGALKSSEALYKKYIQKMDEEPSCPLCHHNMTSDEACDLTSELTDEIQKLPDNITRAEKALKAEQIKYENLLQLKPTILKVKELKDSLPQKKEELKKVEELLGDSVSEYETLIALIGEPTHNMELANSMMGDMSLLDEALKDSARLTKDLDLQKGQLPASYDSSVSMDDLQAEKSKVSKELETERKELESAQNAVQQQMDALNRLREKKNSLKDRQIHLREGLQSLPQLKERLEKLNSFLTTVASEISELKAKIQPLKLNLRAAIEEKERLKKSESEKLAQLNSKYNSYKSTDHDIQRLNKEAEDYAKLDLRNEIKKLDEIIMASKDKLRKLEAEISLKTDELETIKTECSNQQTVERDLKDNRELKQLEDKEAKLRESCQVLDKQLGNLDFHSVSKEKVNLTKQRDKATVRKGELLGQLGEIHSQVNKLQREIDEPRFKESLKNFRKANYEIEVTRLCIEDLGQYRLALEWALIQFHSEKMEMINRLIREYWRKIYRGNDIDYIQVKTDEVSSDASADRRKTYNYRVVQSKNYSEIEMRGRCSAGQRVLASLIIRLALAETFSSNCGVLALDEPTTNLDRANINSLCEALNCIVEERQSQSNFMLIIITHDENFVSSLGKITSYHRVFRNEECKSVIRRVEAGPSKKALIDQ", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRKELCRNFQRGSCRYGENCRFLHPQQAKPNNPFGFGTQNQQQQQQQQQQNSSNPFGFGVQSGGSSRPNQFQNTWSRTASTPTGGGAAASTQQTGKQTQPADHKCTDPAACKRVMQDDFKNERPMWKLTCYGHWKYFPCDVTGDISYEELRAVAYEEAKRGIPLQSIVERERNLQNSKIAEFENFLRNPYKGSVTANQSPFAATTPSIFPQSSQINSPSPAFSGFNQQTAFSNTNAGGLSSSGPPNAFASFNQQTTFPNTNAGGVSSSGPPNPFASFTQQSNNQQTAFSNTNAGGLSSSGPPNAFASFNKQPNAFSVNTPQPVPSGPSGFQTNPSTTFKPASFGPGPGFATTPQNNNIFGQSTPTPATNTSQNNQTAFNFNVPVASFTAPAINTTNTSSGTELQIGGDPVDSSIWLKEKWNPGEIPEQAPPDAFV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAGVQKRKRDLEDQDDNGSEEDDIAFDIANEIALNDSESDANDSDSEVEADYGPNDVQDVIEYSSDEEEGVNNKKKAENKDIKKKKNSKKEIAAFPMLEMSDDENNASGKTQTGDDEDDVNEYFSTNNLEKTKHKKGSFPSFGLSKIVLNNIKRKGFRQPTPIQRKTIPLILQSRDIVGMARTGSGKTAAFILPMVEKLKSHSGKIGARAVILSPSRELAMQTFNVFKDFARGTELRSVLLTGGDSLEEQFGMMMTNPDVIIATPGRFLHLKVEMNLDLKSVEYVVFDEADRLFEMGFQEQLNELLASLPTTRQTLLFSATLPNSLVDFVKAGLVNPVLVRLDAETKVSENLEMLFLSSKNADREANLLYILQEIIKIPLATSEQLQKLQNSNNEADSDSDDENDRQKKRRNFKKEKFRKQKMPAANELPSEKATILFVPTRHHVEYISQLLRDCGYLISYIYGTLDQHARKRQLYNFRAGLTSILVVTDVAARGVDIPMLANVINYTLPGSSKIFVHRVGRTARAGNKGWAYSIVAENELPYLLDLELFLGKKILLTPMYDSLVDVMKKRWIDEGKPEYQFQPPKLSYTKRLVLGSCPRLDVEGLGDLYKNLMSSNFDLQLAKKTAMKAEKLYYRTRTSASPESLKRSKEIISSGWDAQNAFFGKNEEKEKLDFLAKLQNRRNKETVFEFTRNPDDEMAVFMKRRRKQLAPIQRKATERRELLEKERMAGLSHSIEDEILKGDDGETGYTVSEDALKEFEDADQLLEAQENENKKKKKPKSFKDPTFFLSHYAPAGDIQDKQLQITNGFANDAAQAAYDLNSDDKVQVHKQTATVKWDKKRKKYVNTQGIDNKKYIIGESGQKIAASFRSGRFDDWSKARNLKPLKVGSRETSIPSNLLEDPSQGPAANGRTVRGKFKHKQMKAPKMPDKHRDNYYSQKKKVEKALQSGISVKGYNNAPGLRSELKSTEQIRKDRIIAEKKRAKNARPSKKRKF", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAITSEKKKNLKSLDKIDIKLLEKTIRALLQHIRSSDKPIEKEKVYIQVNTFQPVEKESLRRPSKVFLPHRIMHVTDACLIVKDSQQTYQDLVEQQGLDEVITKVLSIPRLKLKYKTIREKCELRDSHNLFLVDDRVLKYIPLLMGKVFEQKKIKPFPISVLQKKETLRNQVARCLHSTYLKLSAGTSHTILCGLATQTNEQLLENITTVLKCLLTNFIPKGWSAIDNVAIKTADSASLPIWTSDTNLAAHKRHIVHIQDARPLKKSELRAQKRGSSGEGKGNK", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTKEEGRTYFESLCEEEQSLQESQTHLLNILDILSVLADPRSSDDLLTESLKKLPDLHRELINSSIRLRYDKYQTREAQLLEDTKTGRDVAAGVQNPKSISEYYSTFEHLNRDTLRYINLLKRLSVDLAKQVEVSDPSVTVYEMDKWVPSEKLQGILEQYCAPDTDIRGVDAQIKNYLDQIKMARAKFGLENKYSLKERLSTLTKELNHWRKEWDDIEMLMFGDDAHSMKKMIQKIDSLKSEINAPSESYPVDKEGDIVLE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAVYDQSGDRNRTQIDTSRKRKSRSRGDGTTVAERLKRWKEYNETVEEVSTKKRKVPAKGSKKGCMKGKGGPENSRCSFRGVRQRIWGKWVAEIREPNRGSRLWLGTFPTAQEAASAYDEAAKAMYGPLARLNFPRSDASEVTSTSSQSEVCTVETPGCVHVKTEDPDCESKPFSGGVEPMYCLENGAEEMKRGVKADKHWLSEFEHNYWSDILKEKEKQKEQGIVETCQQQQQDSLSVADYGWPNDVDQSHLDSSDMFDVDELLRDLNGDDVFAGLNQDRYPGNSVANGSYRPESQQSGFDPLQSLNYGIPPFQLEGKDGNGFFDDLSYLDLEN", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MANFYPDFLNMQPPPNYVAGLGRGATGFTTRSDLGPAQELPSQESIKAAIEQRKSEIEEEEDIDPRYQDPDNEVALFATAPYDHEDEEADKIYQSVEEHLSKRRKSQREKQEQLQKEKYEKENPKVSSQFADLKRGLSTLTDEDWNNIPEPGDLTRKKRTKQPRRERFYATSDFVLASARNENQAISNFAVDTQAGTETPDMNGTKTNFVEIGAARDKVLGIKLAQASSNLTSPSTIDPKGYLTSLNSMVPKNANDLGDIRKARKLLQSVIETNPKHASGWVAAARLEEVANKLSQAQSLILKGCENCSRSEDVWLEAIRLHPAAEAKVIIANAVKKLPKSVTLWLEAEKLENQAQHKKRIIKKALEFNPTSVSLWKEAVNLEEEVDNARILLARAVELIPMSIDLWLALARLETYENAKKVLNKARQTIRTSHEVWIAAARLEEQQGNVSRVEKIMARGVSELQATGGMLQRDQWLSEAEKCETEGAVITAQAIINTCLGVGLDEEDQFDTWLDDAQSFIARKCIDCARAVFAFSLRVYPKSEKLWLRAVELEKLYGTTESVCSILEKAVESCPKAEILWLLYAKERKNVNDIAGARNILGRAFEYNSNSEEIWLAAVRIEFVNNENERARKLLARARIESGTERIWTKSISLERILDEKDRALQLLENALKIYPHYDKLYMMKGQIFEDKEQIELARDAYLAGTKVCPYSIPLWLLLAKLEEKQSVIRARVVFDRAKVKNPKNEFLWLELIKMELRAGNISQVRAALAKALQECPSSGLLWTEAIWLEPRAQRKTRATDALRKCEGNAHLLCTIARMLWLEKKADKARSWFLKAVKADQDNGDVWCWFYKYSLEAGNEDQQKEVLTSFETADPHHGYFWPSITKDIKNSRKTPQELLHLAINVL", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSGGLDMSLDDIIKSNRKPTGSRGRGGIGGGNNTGGRGGSGSNSGPSRRFANRVGARTAPYSRPIQQQQAHDAMWQNDVFATDASVAAAFGHHQTAVVGGGSSIETGTKLYISNLDYGVSNEDIKELFSEVGDLKRYGIHYDRSGRSKGTAEVVFSRRGDALAAVKRYNNVQLDGKLMKIEIVGTNLSAPALPILATAQIPFPTNGILGNFNENFNGNFNGNFNGNFRGRGRGGFMGRPRGGGFGGGNFRGGRGARGRGGRGSGGRGRDENVSAEDLDAELDKYHKEAMETS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGSSADTETAMIIATPASNHNNPATNGGDANQNHTSGAILALTNSESDASKKRRMGVLPLEVGTRVMCQWRDGKYHPVKVIERRKNYNGGHNDYEYYVHYTEFNRRLDEWIKLEQLDLDSVECALDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGKYEIETWYFSPFPPEYNDCVKLFFCEFCLSFMKRKEQLQRHMRKCDLKHPPGDEIYRSSTLSMFEVDGKKNKVYAQNLCYLAKLFLDHKTLYYDVDLFLFYILCECDDRGCHMVGYFSKEKHSEEAYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLVSYRGYWTRILLDILKKHKGNISIKELSDMTAIKAEDILSTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKMIWTPYKEQS", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MARVKQKGKAGAARIYITRNQALKKLQLTLADFRRICILKGVYPREPKNKKKANKGSTAPVTFYYTKDIQYLLHEPIVQKFREYKVFARKLSKALGKGELETAKRLEARKPTYSLDHIIKERYPTFHDALKDIDDALSMLFLFSTMPVTDKIGAATVANCERLCAEFQHYVIRSNSLRKAFLSIKGIYYQAEIFGEQITWIVPYKFAQSVPTDVDFRIMHTFLEFYQALMGFVNFKLYNTLGLRYPPKIDVAKSESAAGLAAYELEESSSLPAIVHGNNKNARKNIATLKSKIRDIVNSDANVVEQSEKTTEDADEEPETEENLDEFKPADGADNEDSKSLVSHISSSNTSLFSNFTFFLSREVPRFSLEFVIRAFGGKVGWDPILGSGSPFSESDPVITHHICDRPHISQKYEGRIYIQPQWVYDSINKGILERTDLYACGATLPPHLSPFVKVGENDYDPEAELSAEENDDVSEALDDNISGEAVPISKKNDEPENVEQIDDAEEEDLEHQRELEAEAGGVAYSEYVKQNSKSAKKTKKRQRDTLTAEEKEEKEAKELSKMMMSNKQRKLYSKLKNENSKNENYNNALRNRKRDIEKRKKLKVEN", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGLRFPPKVLEHILSFIDSNEDRNSVSLVCKSWFETERKTRKRVFVGNCYAVSPAAVTRRFPEMRSLTLKGKPHFADYNLVPDGWGGYAWPWIEAMAAKSSSLEEIRMKRMVVTDECLEKIAASFKDFKVLVLTSCEGFSTDGIAAIAATCRNLRVLELRECIVEDLGGDWLSYFPESSTSLVSLDFSCLDSEVKISDLERLVSRSPNLKSLKLNPAVTLDGLVSLLRCAPQLTELGTGSFAAQLKPEAFSKLSEAFSNCKQLQSLSGLWDVLPEYLPALYSVCPGLTSLNLSYATVRMPDLVELLRRCSKLQKLWVMDLIEDKGLEAVASYCKELRELRVFPSEPDLDATNIPLTEQGLVFVSKGCRKLESVLYFCVQFTNAALFTIARKRPNLKCFRLCVIEPFAPDYKTNEPLDKGFKAIAEGCRDLRRLSVSGLLSDKAFKYIGKHAKKVRMLSIAFAGDSDLMLHHLLSGCESLKKLEIRDCPFGDTALLEHAAKLETMRSLWMSSCFVSFGACKLLSQKMPRLNVEVIDEHPPESRPESSPVERIYIYRTVAGPRMDTPEFVWTIHKNPENGVSHLAIK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSLLKERKPKKPHYIPRPPGKPFKYKCFQCPFTCNEKSHLFNHMKYGLCKNSITLVSEQDRVPKCPKSNSLDPKQTNQPDATAKPASSKSVANGLSAFDSKLQHSSAREDIKENLELQARGTHRCLGQKPALHRASPCKSPAPEAALGAQPALEGAARPSAFVPVGEHRLKGPDNAEAPETLALHNPTAKAVSFHTKSAFHTPGYPWKAGSPFLPPEFPHKISSTKGLGAISPYMHPTIPEYPPHFYTEHGLATIYSPYLLAGSSPECDAPLLSVYGTQDPRHFLPHPGPIPKHLAPSPATYDHYRFFQQYPSNLPIPYGFYRPESAFSSYGLRLPPVTGLTRDQSSHLLEEATLVYPASSPSRLNPSDPNRKHVEFESPIPEAKDSSKAGQRDTEGSKMSPRAGSAATGSPGRPSPTDFMQTSQTCEGLYDLSNKAASSALGRLYPPEQSLTAFRPVKKSTECLPAQAAETTAESPVSLNVVNGDPPAPTGSASLVSEAAPSSPDDSSGMGPLNLSKKSEINLAATHEPTYQGSPQAETASFSELQDLPLNLSVKDPCNTQAPRPAFPGRPRAAEPAAAVPQKTGTEGSEDGPSHPETKPGSLDGDGAPPTGPGEEAPDACAVDSSEEQKQTAAVALCQLAAYSPRNIRVGDGDAAAPEPACRQDTPTLSSMESQEAQCDLRPKGQKRTSLRDAGKSQQGAKKAKLQDTARVFTLRRRARVS", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLRHASTISENSSVFIKLPSDNVRFVTLKPNNTIHLGKFGSFLADDLFGKHFDETFEIYQPKKIRVLKTREVQYIEEEKKTNQELNDCRGNQLMTQEEIDELRANIKAGGLRAEEAIKQLTNSSKTFEQKTLFAQEKYVTRKGEKYLQRFQVLRPCVEVVANYMIEHDPYKILDLTAECISLMLTLGNVKPGGRYLVVDETGCMFLGSLIDRVAGDCKITLVHPNEQPNSSCLEYWGQDFKEDSLVQKGILKTLNWYQVTNPTETLSEYSVEDIPESELNEMKLRHRKRYETKKATFNRLKNTIDDFESGNYDALFILSIHTPMSVLQHLLPKLGISRPFMVYSTYQQVLVETYHQLSKWDNLFVEKTAQSTENDEKVDQGDVAIDTQKEKVIMLDIHEIRTRPYQVLPERTHPFMTVRGDMGFVLSGIKVLTSDSNLAAGRFPKRKGQKETSSVKKAKLEN", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRGRSYTPSPPRGYGRRGRSPSPRGRFGGSRDSDLPTSLLVRNLRHDCRQEDLRRPFEQFGPVKDIYLPRDYYTGDPRGFGFIQFMDPADAAEAKHQMDGYLLLGRELTVVFAEENRKKPTEMRTRDRGGRSNRFQDRRRSPPRYSRSPPPRRGRRSRSRSRGYNSPPAKRHQSRSVSPQDRRYEKERSYSRSPPHNGSRVRSGSPGRVKSHSRSPRRSVSPRKNRSYTPEQARSQSPVPRQSRSPTPVPRGAQNGDRSPSQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTNSIASTKCLPNALSTGNYGMSQSHRYTDDSKEYIIVKLTDSAFRAIEEYQRDDNAKRLQPGQRAKIQFVGNTGVIQFPRPATDANGIPNANGNGSDATGAGGGGGRKFGFTINNMEGTLECVQQQQRSLGVLGAVTLRMRIHANEDVYDSTRTKMAIAEETEKSKCIREIKPNQSDIGRKVKKPPSAIQSSASTASAFSSNSSNSGLTTTAFHHHSNSNNSGNNNNRSSSSSNSFNSNNHSRKLGSSPFNGLGVGSSSSSSAFASRSPNPSTLGAIGTVNGSGVVGGRYGGGAASSLASTFANGISQGYHNLSGSSPRDSMTAGTSSATASSVISSRNKMPSGGLTSSNSNSSSSSRSANSKSSGGNKMSDVSRRNIRERLIHLLALKAFKKPELFARLKNEGIRDRERNQITNILMDISTMSHNTYNLRRQMWNDVDENWPFFSEQEVQQLKRRKPQNLTPPMSSDAGSSTSGQSPTSTHTGSPPPPSSNGGPGGVGSGAGGTSMKRTSLEYDETMFSTVQPKKQRISHYKKDTPPSGTSYSSAGVSMSLGSSGSSSRSRYTPPQRQPGPLDDHSTTDLSYNVLDNIVEFMSSTAAATQQSMEQQQHPRSNSSNNRRGSSSLAGTSNGGNNKDKRNSTGSNSSSSSGYETQQDRQRSTTPMSSNRSSASSSTTPPKLAASFVPAATSGSASGTSKQRMPPQQSDYNSYNSNNAQHVASNSKKRMGSVGPSGGSNGQRQRSASGSNSGYQQVPPPSSNSRSSIQQQNQHQKQQVQQKQAPSQQQQQQQQQYHQQAKHPSPSQQLAAAAHAYAHATADTDSSATPRYDFSQYVPIQTLEVRRRYKTEFESDYDEYRKLLTRVEDVRNRFQDLSERLESARRCDNGYGDYDHIKRQIVCEYERINNDRTIGEDKERFDYLHAKLAHIKQLVMDYDKTLMSATMAMAPTDVVAAQGPDPAVAKAAARLAEHHRRQHHAAETIKQQQQQKQTHQHHLQRHLQHHLQQQQNLLQQQQNLLQQQSVSNSDDSSDSSDSNDDDDDDDEDCDDSNSNTDDDEARY", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAHRQLYSYALQPSYAAAASTVSPAPPPPQQPLPPKTGLSSLYGSSADHYFPDTTYRFLARDGSEALSNYSGTLASSSSMYHHLPNTTASHLAYPQLLQHQEVAWPPGVEVPGAASAVEPLPPGVKRTSEALYYPTLLGAHNTIGQTEAWYTTDYFTKRPKLESTSHLPIYPQRAGEKDCTHYMQTRTCKFGESCRFDHPIWVPEGGIPDWKEAPVVPNEEYPERPGEPDCPYYIKTQRCKYGSKCKFNHPREEAAVSVETQDSLPERPSEPMCTFYMKTGKCKFGLSCKFHHPKDIQLPSSSQDIGSSVGLTSEPDATNNPHVTFTPALYHNSKGLPVRSGEVDCPFYLKTGSCKYGATCRYNHPERTAFIPQAAGVNYSLVSSNTANLNLGLVTPATSFYQTLTQPTLGVISATYPQRPGQSECDYYMKTGECKFGERCKFHHPADRLSAMTKQAPQQPNVKLSLAGYPRREGALNCPYYMKTGTCKYGATCKFDHPPPGEVMAKTTSEADAAGATNTDTTQ", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLPGLAAAAAHRCSWSSLCRLRLRCRAAACNPSDRQEWQNLVTFGSFSNMVPCSHPYIGTLSQVKLYSTNVQKEGQGSQTLRVEKVPSFETAEGIGTELKAPLKQEPLQVRVKAVLKKREYGSKYTQNNFITGVRAINEFCLKSSDLEQLRKIRRRSPHEDTESFTVYLRSDVEAKSLEVWGSPEALAREKKLRKEAEIEYRERLFRNQKILREYRDFLGNTKPRSRTASVFFKGPGKVVMVAICINGLNCFFKFLAWIYTGSASMFSEAIHSLSDTCNQGLLALGISKSVQTPDPSHPYGFSNMRYISSLISGVGIFMMGAGLSWYHGVMGLLHPQPIESLLWAYCILAGSLVSEGATLLVAVNELRRNARAKGMSFYKYVMESRDPSTNVILLEDTAAVLGVIIAATCMGLTSITGNPLYDSLGSLGVGTLLGMVSAFLIYTNTEALLGRSIQPEQVQRLTELLENDPSVRAIHDVKATDLGLGKVRFKAEVDFDGRVVTRSYLEKQDFDQMLQEIQEVKTPEELETFMLKHGENIIDTLGAEVDRLEKELKKRNPEVRHVDLEIL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MFANARAKRRSRASSPTPARLGGYAPLRVEITEEQRQDINEAFKLFDSDKDNAIDYHELRAAMRALGFNAEKSEVLKILRDFDKTGKGYLQMEDFVRVMTEKIVERDPLEEIKRAFELFDDDETGKISLRNLRRVAKELNENIDDQELEAMIEEFDLDQDGEINEQEFIAIMMDEA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDSLDHMLTDPLELGPCGDGHGTRIMEDCLLGGTRVSLPEDLLEDPEIFFDVVSLSTWQEVLSDSQREHLQQFLPQFPEDSAEQQNELILALFSGENFRFGNPLHIAQKLFRDGHFNPEVVKYRQLCFKSQYKRYLNSQQQYFHRLLKQILASRSDLLEMARRSGPALPFRQKRPSPSRTPEEREWRTQQRYLKVLREVKEECGDTALSSDEEDLSSWLPSSPARSPSPAVPLRVVPTLSTTDMKTADKVELGDSDLKIMLKKHHEKRKHQPDHPDLLTGDLTLNDIMTRVNAGRKGSLAALYDLAVLKKKVKEKEEKKKKKIKTIKSEAEDLAEPLSSTEGVAPLSQAPSPLAIPAIKEEPLEDLKPCLGINEISSSFFSLLLEILLLESQASLPMLEERVLDWQSSPASSLNSWFSAAPNWAELVLPALQYLAGESRAVPSSFSPFVEFKEKTQQWKLLGQSQDNEKELAALFQLWLETKDQAFCKQENEDSSDATTPVPRVRTDYVVRPSTGEEKRVFQEQERYRYSQPHKAFTFRMHGFESVVGPVKGVFDKETSLNKAREHSLLRSDRPAYVTILSLVRDAAARLPNGEGTRAEICELLKDSQFLAPDVTSTQVNTVVSGALDRLHYEKDPCVKYDIGRKLWIYLHRDRSEEEFERIHQAQAAAAKARKALQQKPKPPSKVKSSSKESSIKVLSSGPSEQSQMSLSDSSMPPTPVTPVTPTTPALPAIPISPPPVSAVNKSGPSTVSEPAKSSSGVLLVSSPTMPHLGTMLSPASSQTAPSSQAAARVVSHSGSAGLSQVRVVAQPSLPAVPQQSGGPAQTLPQMPAGPQIRVPATATQTKVVPQTVMATVPVKAQTTAATVQRPGPGQTGLTVTSLPATASPVSKPATSSPGTSAPSASTAAVIQNVTGQNIIKQVAITGQLGVKPQTGNSIPLTATNFRIQGKDVLRLPPSSITTDAKGQTVLRITPDMMATLAKSQVTTVKLTQDLFGTGGNTTGKGISATLHVTSNPVHAADSPAKASSASAPSSTPTGTTVVKVTPDLKPTEASSSAFRLMPALGVSVADQKGKSTVASSEAKPAATIRIVQGLGVMPPKAGQTITVATHAKQGASVASGSGTVHTSAVSLPSMNAAVSKTVAVASGAASTPISISTGAPTVRQVPVSTTVVSTSQAGKLPTRITVPLSVISQPMKGKSVVTAPIIKGNLGANLSGLGRNIILTTMPAGTKLIAGNKPVSFLTAQQLQQLQQQGQATQVRIQTVPASHLQQGTASGSSKAVSTVVVTTAPSPKQAPEQQ", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAGELADKKDRDASPSKEERKRSRTPDRERDRDRDRKSSPSKDRKRHRSRDRRRGGSRSRSRSRSKSAERERRHKERERDKERDRNKKDRDRDKDGHRRDKDRKRSSLSPGRGKDFKSRKDRDSKKDEEDEHGDKKPKAQPLSLEELLAKKKAEEEAEAKPKFLSKAEREAEALKRRQQEVEERQRMLEEERKKRKQFQDLGRKMLEDPQERERRERRERMERETNGNEDEEGRQKIREEKDKSKELHAIKERYLGGIKKRRRTRHLNDRKFVFEWDASEDTSIDYNPLYKERHQVQLLGRGFIAGIDLKQQKREQSRFYGDLMEKRRTLEEKEQEEARLRKLRKKEAKQRWDDRHWSQKKLDEMTDRDWRIFREDYSITTKGGKIPNPIRSWKDSSLPPHILEVIDKCGYKEPTPIQRQAIPIGLQNRDIIGVAETGSGKTAAFLIPLLVWITTLPKIDRIEESDQGPYAIILAPTRELAQQIEEETIKFGKPLGIRTVAVIGGISREDQGFRLRMGCEIVIATPGRLIDVLENRYLVLSRCTYVVLDEADRMIDMGFEPDVQKILEHMPVSNQKPDTDEAEDPEKMLANFESGKHKYRQTVMFTATMPPAVERLARSYLRRPAVVYIGSAGKPHERVEQKVFLMSESEKRKKLLAILEQGFDPPIIIFVNQKKGCDVLAKSLEKMGYNACTLHGGKGQEQREFALSNLKAGAKDILVATDVAGRGIDIQDVSMVVNYDMAKNIEDYIHRIGRTGRAGKSGVAITFLTKEDSAVFYELKQAILESPVSSCPPELANHPDAQHKPGTILTKKRREETIFA", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNSNSTLFVRNLAFQTKQDDLTNFFSDVGPIKHAVVVTNPETGENRGYGFVTFSMLEDAQRAAKELKNKKLHGRILRLDFATPRKRSEVDTDQNKAVKKTIRQDNRPRLIIRNLPWSIKKPQHLEPHFSKFGKVREIKIPTKGGGRMCGFAFVWMKDRKAAEEAMNSLNGTEIDGRPIAVDWAVSKDAFEATTLKDASSEEENKEFVSDEGHSIVTEDASADSESEEEVDGHSEGKELAGESEEEGSNVDDVEDSGDSSSDKNSINHEIRDNEGLEDTVFVRNLLFECTEQELYNHFRQFGPLAYAKLVKDPATDRSLGRGFIKFRYEKDCQNCLEMASQLPTQEPTEAEKRFLPSVLVDEGIDTDSVSSRFLLHGRLLKVTSAVTRKEASDINQKSLQERKQKMGKGVDRRHLFLLNEGKIAADHPLFNSLSETDKTLRSQSIAQRKKLLEKNPTLHLSLNRLSIRNISRHIDPKILAMLGRQAIRGFMDDVSKGLRANITEEEENLDKGHRLKRGKSGGVLKQAKVETEKAGAGRSKGFGFMQFISHKYALMALRWLNGREITVKKIIDAEIEWARKHKIPEPQLPNIDYNDRPRRLIVEFAIENIQVVKRRQEKEKSFRQKAKQLKQQEDEDNLKRKRSESDVEDNEAKEKQAKVARIIQRKRMKRRSRKN", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MIMSTPAKNNNGTGLEDVNIPGQAYLREALTSCTDPLKAIESFQLENGVLLPSLRPMLPLLDLHGVRRLDFHTSLMEELRDKLIAHINEMGQKEPRERDKKLKELLVKSFPVVRVKSLRPVVMAILRNTQHIDDKYLKILVRDRELYADTDTEVKRQIWRDNQSLFGDEVSPLLSQYIREKEHILFDHTNLNNLFFHPTPKVRRQGEVVQKLANMIGTSVKLYDMVLQFLRTLFLRTRNVHYCTLRAELLMALHDLEVQEIISIDPCHKFTWCLDACIREKNVDIKRSRELQGFLDNIKRGQEQVLGDLSMTLCDPYAINFLATSAIKILHHLINNEGMPRDNQILILLLRMLALGLSAWVMIDSQDFKEPKLDCQVVTKFLPALMSLMVDDQCRSLHAKLPPDERESALTTIEHSGPAPDAVEAYIQESSVASILAMYYTLHTARLKDRVGVLRVLAILSACKDDRAYEDPFLHSLIALLIPMSEEFATEDFCTTLFDEFIFAGLTRENVTSRHMLKLLWYVHNKLPAGRLATLMKAMQPTTAHNEHIHKLYEILQERIGTGAAETPVIEAPPMEFDSPLKSVPTPGPHYNVQ", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTTVSINKPNLLKFKHVKSFQPQEKDCGPVTSLNFDDNGQFLLTSSSNDTMQLYSATNCKFLDTIASKKYGCHSAIFTHAQNECIYSSTMKNFDIKYLNLETNQYLRYFSGHGALVNDLKMNPVNDTFLSSSYDESVRLWDLKISKPQVIIPSLVPNCIAYDPSGLVFALGNPENFEIGLYNLKKIQEGPFLIIKINDATFSQWNKLEFSNNGKYLLVGSSIGKHLIFDAFTGQQLFELIGTRAFPMREFLDSGSACFTPDGEFVLGTDYDGRIAIWNHSDSISNKVLRPQGFIPCVSHETCPRSIAFNPKYSMFVTADETVDFYVYDE", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAAAADERSPEDGEDEEEEEQLVLVELSGIIDSDFLSKCENKCKVLGIDTERPILQVDSCVFAGEYEDTLGTCVIFEENVEHADTEGNNKTVLKYKCHTMKKLSMTRTLLTEKKEGEENIGGVEWLQIKDNDFSYRPNMICNFLHENEDEEVVASAPDKSLELEEEEIQMNDSSNLSCEQEKPMHLEIEDSGPLIDIPSETEGSVFMETQMLP", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLERRCRGPLAMGLAQPRLLSGPSQESPQTLGKESRGLRQQGTSVAQSGAQAPGRAHRCAHCRRHFPGWVALWLHTRRCQARLPLPCPECGRRFRHAPFLALHRQVHAAATPDLGFACHLCGQSFRGWVALVLHLRAHSAAKRPIACPKCERRFWRRKQLRAHLRRCHPPAPEARPFICGNCGRSFAQWDQLVAHKRVHVAEALEEAAAKALGPRPRGRPAVTAPRPGGDAVDRPFQCACCGKRFRHKPNLIAHRRVHTGERPHQCPECGKRFTNKPYLTSHRRIHTGEKPYPCKECGRRFRHKPNLLSHSKIHKRSEGSAQAAPGPGSPQLPAGPQESAAEPTPAVPLKPAQEPPPGAPPEHPQDPIEAPPSLYSCDDCGRSFRLERFLRAHQRQHTGERPFTCAECGKNFGKKTHLVAHSRVHSGERPFACEECGRRFSQGSHLAAHRRDHAPDRPFVCPDCGKAFRHKPYLAAHRRIHTGEKPYVCPDCGKAFSQKSNLVSHRRIHTGERPYACPDCDRSFSQKSNLITHRKSHIRDGAFCCAICGQTFDDEERLLAHQKKHDV", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAASEAAAAAGSAALAAGARAVPAATTGAAAAASGPWVPPGPRLRGSRPRPAGATQQPAVPAPPAGELIQPSVSELSRAVRTNILCTVRGCGKILPNSPALNMHLVKSHRLQDGIVNPTIRKDLKTGPKFYCCPIEGCPRGPERPFSQFSLVKQHFMKMHAEKKHKCSKCSNSYGTEWDLKRHAEDCGKTFRCTCGCPYASRTALQSHIYRTGHEIPAEHRDPPSKKRKMENCAQNQKLSNKTIESLNNQPIPRPDTQELEASEIKLEPSFEDSCGSNTDKQTLTTPPRYPQKLLLPKPKVALVKLPVMQFSVMPVFVPTADSSAQPVVLGVDQGSATGAVHLMPLSVGTLILGLDSEACSLKESLPLFKIANPIAGEPISTGVQVNFGKSPSNPLQELGNTCQKNSISSINVQTDLSYASQNFIPSAQWATADSSVSSCSQTDLSFDSQVSLPISVHTQTFLPSSKVTSSIAAQTDAFMDTCFQSGGVSRETQTSGIESPTDDHVQMDQAGMCGDIFESVHSSYNVATGNIISNSLVAETVTHSLLPQNEPKTLNQDIEKSAPIINFSAQNSMLPSQNMTDNQTQTIDLLSDLENILSSNLPAQTLDHRSLLSDTNPGPDTQLPSGPAQNPGIDFDIEEFFSASNIQTQTEESELSTMTTEPVLESLDIETQTDFLLADTSAQSYGCRGNSNFLGLEMFDTQTQTDLNFFLDSSPHLPLGSILKHSSFSVSTDSSDTETQTEGVSTAKNIPALESKVQLNSTETQTMSSGFETLGSLFFTSNETQTAMDDFLLADLAWNTMESQFSSVETQTSAEPHTVSNF", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MARKNAQAEDLSNVEFETSEDVEVIPTFNAMNLKEELLRGIYAYGFEKPSAIQQRSITPIVKGRDVIAQAQSGTGKTATFSISILQSLDTTLRETQVLCLSPTRELAVQIQKVILALGDMMNVQCHVCIGGTNLGEDIRKLDYGQHIVSGTPGRVFDMIKRRVLRTRAIKMLVLDEADEMLNKGFKEQIYDVYRYLPPATQVVLISATLPHEILEMTSKFMTDPIRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMREANFTVSSMHGDMPQKERDEIMKEFRAGQSRVLITTDVWARGIDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIRILRDIEQYYSTQIDEMPMNVADLI", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAQLPPKIPNMTQHWPDFSSQKLSPFSTPTATAVATATTTVQNPSWVDEFLDFSASRRGNHRRSISDSIAFLEAPTVSIEDHQFDRFDDEQFMSMFTDDDNLHSNPSHINNKNNNVGPTGSSSNTSTPSNSFNDDNKELPPSDHNMNNNINNNYNDEVQSQCKMEPEDGTASNNNSGDSSGNRILDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALSQDKLFKDAHQEALKREIERLRQVYNQQSLTNVENANHLSATGAGATPAVDIKSSVETEQLLNVS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSLDSEVSVSSSSGRDWFFPSPSFFRSSPSQYGRRFHTNSNTHSAPSSTYPSGIRHRRRVKFSRTPTTSSNEKPQISIVSDKPSAISKNNLNWLSQFGLQFALVTLTIVFLLLLLLRNTHLESQVNKLQGEILRLHACHQLDTLNVSSSTAHKSQDTHPCSCENFKRNLALFLSFMLLLIPLIIFKYIDYVSRSRLSENISEQVSLNKQIAYRVDVFLSVYPYAKPLVLLVATLLLIFLGGLTLFGVTTEDLGHCLWLSWTYVADSGNHASSEGIGPRLVAVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGKSEVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGTIAVMAERDKEDMELDIGKMEFDFKGTSVICRSGSPLILADLKKVSVSKARTIIVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVEMSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLDGMLFEDVLISFPAAIPCGIKVASYGGKIILNPDDSYVLQEGDEVLVIAEDDDTYAPAPLPMVRRGSLPKDFVYPKSPERILFCGWRRDMEDMITVLDASLAPDSELWMFNDVPEKEREKKLIDGGLDISRLENISLVNREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQARRLPYVAMASQTQGGNFSKGSWIGEMKQASDKTVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNEMHIRQADIYLREGEEMSFYEIMLRARQRREILIGYRLANAERAVINPPAKTGRRKWSLKDVFVVITEKE", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MIPLPVAAANSNSNSNSNSNDEESPNLSTVIKPPLKKTKTLLPPPSSSSSNRPLHLRVSIDNNNNNNAPPPPADFSDHQWNYPSFLGTTTRKRRPSSVKPPSTSNLRFDTIPKTKTKTKTNTNTNTNTNTNTNTNTDLPPPPVPSSSPVARPQHHNHRSPPIFYLLIITCIIFVPYSSYLQYKLAKLEDHKLHLCRQSQIHFSSGHGNGKISIPIHDASFSYILSRKAALYIVLFTLILPFLLYKYLDYLPQIINFLRRTHNNKEDVPLKKRIAYMLDVFFSIYPYAKLLALLFATLFLIGFGGLALYAVTGGSLAEALWHSWTYVADSGNHAETQGTGQRVVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKCEVIERNHILILGWSDKLGSLLKQLAIANKSVGGGVIVVLAEKEKEEMEMDITKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGLSFKDILISFPDAIPCGVKVAADGGKIVINPDDSYVMRDGDEVLVIAEDDDTYSPGSLPEVLKGFFPRIPDAPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKEREKKLAAGGLDVFGLENIKLVHREGNAVIRRHLESLPLETFDSILILADESVEDSVAHSDSRSLATLLLIRDIQSRRLPYKDTKSTSLRLSGFSHNSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEQGNEMCIKPAEFYLFDQEELCFYDIMIRGRARQEIIIGYRLANQERAIINPSEKLVARKWSLGDVFVVIASGD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MACGATLKRTLDFDPLLSPASPKRRRCAPLSAPTSAAASPLSAAAATAASFSAAAASPQKYLRMEPSPFGDVSSRLTTEQILYNIKQEYKRMQKRRHLETSFQQTDPCCTSDAQPHAFLLSGPASPGTSSAASSPLKKEQPLFTLRQVGMICERLLKEREEKVREEYEEILNTKLAEQYDAFVKFTHDQIMRRYGEQPASYVS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSYMHPLLGQELHLQRPEDSRTPPDQNNMELNRSEADEAKAETTPTGGATSSATASGSSSGRRPRGRPAGSKNKPKPPTIITRDSPNVLRSHVLEVTSGSDISEAVSTYATRRGCGVCIISGTGAVTNVTIRQPAAPAGGGVITLHGRFDILSLTGTALPPPAPPGAGGLTVYLAGGQGQVVGGNVAGSLIASGPVVLMAASFANAVYDRLPIEEEETPPPRTTGVQQQQPEASQSSEVTGSGAQACESNLQGGNGGGGVAFYNLGMNMNNFQFSGGDIYGMSGGSGGGGGGATRPAF", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVDALTYDDVYVNFTQEEWALLNPSQKSLYKDVMLETYRNLNAVGYNWEDSNIEEHCESSRRHGRHERNHTGEKPYEGIQYGEAFVHHSSLQMRKIIHTGEKRYKCNQCDKAYSRHSILQIHKRTHSGEKPYECNQCGKAFTQHSHLKIHMVTHTGEKPYKCDQCGKAFAFHSTLQVHKRTHTGEKPYECNQCSKAFAHHCHLRVHKRIHTGEKPYKCDQCGKAFVGQNDLKRHERVHTGEKPYKCNECGKAFVCNASLRTHKTTHTGVKPYECKQCTKSFASHGQLQKHERIHTGEKPYKCDQCGKAFASHDKFQKHERIHIGEKPYKCKQCTKSFASHDKLQKHERIHTGEKPYECKQCTKSFASHNKLQKHERIHTGEKPYKCDQCNKAFVYESYLQVHKKTHTGEKPYKCNECGKAFARHSHLKVHKITHTGEKPYKCNQCGKALAYHSTLQVHQRTHTGEKPYECEQCGKAFANQSYFQVHKRIHTGEKPYKCDQCGKAFVGSSDLKRHERVHTGRETLQM", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDSLIIEEEDEEALATLVPVPPRRKTHSYSLQFDHKPHHQIRKHSLDEVPRSATLASEAVYFDSSDDEFSTGGNITENAADETNAGAEEYTIVNPPPNVGLGDDDTEPLPEFIGAGGGSGIFKVPVRAAVHPGRPPCLELRPHPLRETQTGRFLRNIACTETQLWAGQENGIRFWNLEDAYEAGCGIGGQVPRGDEDTAPFHESVTTSPTMCLVADQSNKLLWSGHKDGKIRAWKMDQSSVSHDDDDSDPFKERVSWLAHRGPVNSIVISSYGDMWSCSEGGVIKIWPWDTLEKSLLLKPEEKHMAALLVERSAIDLRSQVTVNGTCSISSSEVKFLLADSVRAKVWAVQSLSFSIWDARSKDLLKVLNVDGQVENRGDLPPIQDQQVDDEMKLKFFSASKREKPQGFLQRSRNAIMGAAGAVRRVATRSAGAFSEDTRKTEAIVLAVDGTIWTGSISGLIVQWDGNGNRLRDVNHHHRPVLCFCTFGDRIYVGYASGYIQVLDLDGKLISSWVSHNEPVIKLAAGGGFIFSLATHGGVRGWYVTSPGPLDNIIRTELSQKETLYARQDNVRILIGTWNVGQGRASHDALMSWLGSVTSDVGIVAVGLQEVEMGAGFLAMSAAKETVGLEGSAVGQWWIDAIGKALDEKNTFERMGSRQLAGLLISLWARKDIRTHVGDLDVAAVPCGFGRAIGNKGGVGLRIRVYDRIMCFVNCHLAAHLEAVNRRNADFNHIFRLMVFSRGQNLSNAAAAGVSTSAYTTKSNTIPSTGAEEIKSDLAAADMVAFFGDFNYRLFGITYDEARDFISQRSFDWLRERDQLRAEMKVGKVFQGMREALITFPPTYKFERNRSGLGGYDSGEKKRIPAWCDRVIYRDTQSSPFSESNLQCPVVSSVIMYEACMDVTESDHKPVRCKFHATIAHVDKSVRRQELGKIIRSNEKILSIFEDLRFVPETSVSTNNIVLQSQDTVILTITNNSPTSQAIFNILCGGQAVVKDDGEDADYNPRGSFGLPRWLEVSPAAGIINPEGSVDVKVHHEDFYSMEEYVDGIPQNWWCEDTRDKEAILMVNIRGSCSTTLRSHSVKVRHCFSARVCLLENRPTNLTKNLGGSRRYPTDITRNGSTRPRTEDSVRRGKSR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSSNKLFQYASTDMDKVLLKYTEYNEPHESRTNSDIVEALNKKEHRGCDSPDPDTSYVLTPHTEEKYKKINEEFDNMMRNHKIAPGLPPQNFSMSVTVPVTSPNALSYTNPGSSLVSPSLAASSTLTDSSMLSPPQTTLHRNVSPGAPQRPPSTGNAGGMLSTTDLTVPNGAGSSPVGNGFVNSRASPNLIGATGANSLGKVMPTKSPPPPGGGNLGMNSRKPDLRVVIPPSSKGMMPPLSEEEELELNTQRISSSQATQPLATPVVSVTTPSLPPQGLVYSAMPTAYNTDYSLTSADLSALQGFNSPGMLSLGQVSAWQQHHLGQAALSSLVAGGQLSQGSNLSINTNQNISIKSEPISPPRDRMTPSGFQQQQQQQQQQQPPPPPQPQPQPPQPQPRQEMGRSPVDSLSSSSSSYDGSDREDPRGDFHSPIVLGRPPNTEDRESPSVKRMRMDAWVT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MCEPSGSDDAMVHASEMVDGDEMIHGNEMVVHDSVMIDGNEMVQENVMVHGSGEMVQGSEMVHNNEIIQVNDMIQVNEMVNGDKMAHGHELVGVELTTPTASRRRRKKSVVWEHFTIEEMPGGVSRASCNLCKQTFAYSCGSKISGTSHLKRHITLASCPMLKNEDMKLSLPLATVTNNDGEGCAERVAKRHYRSTGYANAMFDQDRTCSNLAKMIILHDYPLHIVEQRGFTAFIGSLQPRFRVIDVDTIEGQVHSVYQKERENLMHVFSTVPGRISLTVRLWATSQTLGYISLAAQFIDTEWRVHRRMVNFMMVSSPHSENSLSEAISTSLSDWNMKDKLFTITLDNDPSSHDIYSANMINYLSNKKDNIMIKGQLFVVRCYAHILNTVAQDVIASVHSVIYHIRESIKFIKASSVHEDKFAEIALQLEIPSAKTLCLDVTTQWNTTYLMLLAALDYQQVFASLETCDGDYNEAPSTEDWKKVEAACSYLSLLYDSAHNIMAAPNPTSNIFFHEAWKLQSELSNAIAHEDPIFRSTAKIMHERFDKYWKDCNLVLAIAVVMDPRFKMKLVEFSYSKIHSVEAAKYVKVVDDAIHELYSEYATQGEANRDAHVTDNSAAVTPPNGDELLDFDIYLSEIATSQPSISELEQYLEEALMPRIQDFEILEWWKLNTIKFPTLSKMARDVLAIPMSMVSSGSSIFSATATGSQMLDDYRSSLRPETVEALFCAKDWLQYPPATTEAPSTALVKMEN", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSLLSGDQRIICLEIPLQGKENVHINFAKEVEKLYGPSVQENSKKNDLSDSADSEGESTHVDEQQAANGAADGTQTIKKKKRKRRYADEYYDRTDPFIDDAELYIEEKAAATKDGFFVFSGPLVAEGDTVKIERSKKTKKKKKTSLSNATHPAPAVSAVVASADASFDDSRDIESEDEQPLRTLSIEMAAKNALKEAKRENAKVPKDVSKKEAKTTKSKEKATKKTSSSVPKQSTGENTKKAVKLETPLTSTPPIPPLSEPKHSPATVNEHISPPSALTNPSTEELKPSTSLPIDQGNASVVSKPTQQVSIVLSQNASLPVDEHQAEPEKSIPTSSIP", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVVKRKLNCGGSNGFDFPNIPKAPRSSRRKVSGKRSDDESEICAIDLLASLAGKLLEESESSSTSTYASEADNLDHLGGLIKQELEDGYTTKPCKSEFFDPGNPASKSTSENTSVTCLPFSSFENDCILEQTPVSDCKRASGLKSLVGSITEETCVVNEDAGSEQGANTFSLKDPSQLHSQSPESVLLDGDVKLAPCTDQVPNDSFKGYRNHSKLVCRDDDENYCKYYKFSDKCKSYRPLSRVGNRRIMQSVRAISKLKCFEDTRTDGRLKALYRKRKLCYGYNPWKRETIHRKRRLSDKGLVVNYDGGLSSESVSNSPEKGESENGDFSAAKIGLLSKDSRVKFSIKSLRIPELVIEVPETATVGLLKRTVKEAVTALLGGGIRIGVLVQGKKVRDDNNTLSQTGLSCRENLGNLGFTLEPGLETLPVPLCSETPVLSLPTDSTKLSERSAASPALETGIPLPPQDEDYLINLGNSVENNDELVPHLSDIPADEQPSSDSRALVPVLALESDALALVPVNEKPKRTELSQRRTRRPFSVTEVEALVSAVEEVGTGRWRDVKLRSFENASHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLGAHRYWTQHQMKQNGKHQVATTMVVEAGSSM", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MALEIEARDVIKIMLQFCKENSLNQTFQTLQSECQVSLNTVDSVETFISDINSGRWDSVLPQVSQLKLPRNKLEDLYEQIVLEMIELRELDTARAILRQTQVMGVMKQEQAERYLRMEHLLVRSYFDPHEAYGDSTKERKRAQIAQAVAAEVTVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDVEDTHPNVLTHTIKFGKKSHAECARFSPDGQFLASSSVDGFIEVWDYISGKLKKDLQYQADESFMMHDDPVLCIDFSRDSEMLASGSQDGKIKIWRIRTGVCIRRFDAHSQGVTSLSFSRDGSQLLSTSFDQTARIHGLKSGKLLKEFRGHTSYVNHAIFTSDGSRIITASSDCTVKVWDSKTTDCLQTFKPPPPLRGTDASVNSIHLFPKNTEHIVVCNKTSSIYIMTLQGQVVKSFSSGNREGGDFVAACVSTKGDWIYCIGEDKKLYCFNYQSGGLEHFMMVHEKDVIGITHHPHRNLLATYSEDCTMKLWKP", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEGSDFVDPAFSSGERISASDLNSEHIIQAENHSFANRISMDMDVPDGHQLDSNLTGFRWKRVLNPTGPQPRPRHGHRAINIKELMVVFGGGNEGIVDELHVYNTVTNQWYVPVLKGDVPNGCAAYGFVVEGTRMFVFGGMIEYGKYSNELYELQATKWEWRKMYPESPDSGLSPCPRLGHSFTMVGEKIFLFGGLANESDDPKNNIPKYLNDLYILDTRGVHSHNGKWIVPKTYGDSPPPRESHTGISFATKSNGNLNLLIYGGMSGCRLGDLWLLETDSMTWSKPKTSGEAPLPRSLHSSTMIGNKMYVFGGWVPLVINDSKSTTEREWKCTNTLAVLDLETMTWENVTLDTVEENVPRARAGHCAVGIQSRLYVWSGRDGYRKAWNNQVCCKDLWYLEVSKPLYAVKVALVRASTHALELSWTATTFAAAYVLQIQKIEQPLNTSSKLLSNNIVQQGTPTSAETSGINISANRSGSALGLGVEATSTVLKLEKESLQLSGCQPETNVQPSVNDLLQSMSQPSSPASRADKDPLSSGGGTTFNLSTSVASVHPQISVISSTAAVTGNDTASPSGAINSILQKFRPVVTAVRTSTTTAVSIATSTSDPLSVRVPSTMSANVVLSSSSSTLRIVPSVTASHSLRIASSQASGNNCRSSSAINILKTALPNVAVQSQPTSSTTTSIGGKQYFIQKPLTLAPNVQLQFVKTSGGMTVQTLPKVNFTASKGTPPHGISIANPHLASGITQIQGSTVPGSQIQKPIVSGNVLKLVSPHTMAGGKLIMKNSNILQMGKVTPNVMGGKPAFVITNKQGTPLGNQQIIIVTTGGNVRSVPTSTVMTSAGGSASGTNIVSIVNSTSTTPSPLQALSGQKTLISNQSGVKMLRNISSVQASSSMAFGQKQSGTPIHQKTALYIGGKAVTVMSTNTSMAASGNKVMVLPGTSSNNSPATTTALSARKSFVFNAGGSPRTVTLATKSINAKSIPQSQPVTETNNHSVATIKDTDPMDDIIEQLDGAGDLLKLSESEGQHGSEENENNGENATSSSASALFTGGDTAGPSRAQNPIVMEHPVDIIEDVSGVSSTTDVNETAIVSGDTIESLKMSEKENDDVKSMGEKSILSDDCHQPTTSETEAATILTTIKSAEALVLETAEIRKDHTGCTIGSLKENQDENKKFKQRQESSPSQNIHQFQNVDGSQLEALASAALLQAATSDTTALALKELIERPESETNTRSSNIAEIQQNNVQSTLAVVVPNTSQNENQKWHTVGVFKDLSHTVTSYIDSNCISDSFFDGIDVDNLPDFSKFPRTNLEPGTAYRFRLSAINSCGRGEWGEISSFKTCLPGFPGAPSAIKISKDVKEGAHLTWEPPPAQKTKEIIEYSVYLAVKPTAKDKALSTPQLAFVRVYVGAANQCTVPNASLSNAHVDCSNKPAIIFRIAARNQKGYGPATQVRWLQDPAAAKQHTPTVTPNLKRGPEKSTIGSSNIANTFCSPHKRGRNGLHD", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNTLQGPVSFKDVAVDFTQEEWQQLDPDEKITYRDVMLENYSHLVSVGYDTTKPNVIIKLEQGEEPWIMGGEFPCQHSPEAWRVDDLIERIQENEDKHSRQAACINSKTLTEEKENTFSQIYMETSLVPSSIIAHNCVSCGKNLESISQLISSDGSYARTKPDECNECGKTYHGEKMCEFNQNGDTYSHNEENILQKISILEKPFEYNECMEALDNEAVFIAHKRAYIGEKPYEWNDSGPDFIQMSNFNAYQRSQMEMKPFECSECGKSFCKKSKFIIHQRAHTGEKPYECNVCGKSFSQKGTLTVHRRSHLEEKPYKCNECGKTFCQKLHLTQHLRTHSGEKPYECSECGKTFCQKTHLTLHQRNHSGERPYPCNECGKSFSRKSALSDHQRTHTGEKLYKCNECGKSYYRKSTLITHQRTHTGEKPYQCSECGKFFSRVSYLTIHYRSHLEEKPYECNECGKTFNLNSAFIRHRKVHTEEKSHECSECGKFSQLYLTDHHTAHLEEKPYECNECGKTFLVNSAFDGHQPLPKGEKSYECNVCGKLFNELSYYTEHYRSHSEEKPYGCSECGKTFSHNSSLFRHQRVHTGEKPYECYECGKFFSQKSYLTIHHRIHSGEKPYECSKCGKVFSRMSNLTVHYRSHSGEKPYECNECGKVFSQKSYLTVHYRTHSGEKPYECNECGKKFHHRSAFNSHQRIHRRGNMNVLDVENL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MADRISTGELGRRPGQGRVNLLLVGDATRYFLAGSMQKFFPSTAQITLTISNVKKVAVLLAANSFDIIFLKVTSTLTAEEQEAAKLIRSGKKKNTHLLFAFVIPERLKGYVSEYGADISFSEPLTLEKVNTVIHYWKTYFTNTDMENTELPPECRLYFQTSRSELGGRFSTDMFLCSELLKNDARLGLKAPLSSLDKSKQASFLHSTKEKLRRERIKSCCEQLRTLLPYVKGRKSDVASVIEATVDYVKQVRESLSPAIMAQVTEAIQNNRRFSKRQMPIELFLPFSATSQREDAMLTSAFSPVQEIQLLADRGLNVYSMTAAGGALEGAVRGQPGSVSESSIEDLYKTRVPSTARSLNSFHSVKYTSGTVSPHEAAARTNQNISTYLPPTAPSVSNFIPQHCNAMLCPARPTSPNCLCTPGHELAASSRAASASIFRGFRAASASDHQASQPPALPSPQPHDSSYF", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSLCKTRLQEERKQWRRDHPFGFYAKPCKSSDGGLDLMNWKVGIPGKPKTSWEGGLYKLTMAFPEEYPTRPPKCRFTPPLFHPNVYPSGTVCLSILNEEEGWKPAITIKQILLGIQDLLDDPNIASPAQTEAYTMFKKDKVEYEKRVRAQARENAP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSGIFRFSGDEDCLLGGSMYLSPGSCPGVYYPARKRLRVAATSFYSGFEEKQTSIDVLPEECLFEILRRLPSGQERSACACVSKHWLNLLSSISRSEVNESSVQDVEEGEGFLSRSLEGKKATDLRLAAIAVGTSSRGGLGKLQIRGSGFESKVTDVGLGAVAHGCPSLRIVSLWNLPAVSDLGLSEIARSCPMIEKLDLSRCPGITDSGLVAIAENCVNLSDLTIDSCSGVGNEGLRAIARRCVNLRSISIRSCPRIGDQGVAFLLAQAGSYLTKVKLQMLNVSGLSLAVIGHYGAAVTDLVLHGLQGVNEKGFWVMGNAKGLKKLKSLSVMSCRGMTDVGLEAVGNGCPDLKHVSLNKCLLVSGKGLVALAKSALSLESLKLEECHRINQFGLMGFLMNCGSKLKAFSLANCLGISDFNSESSLPSPSCSSLRSLSIRCCPGFGDASLAFLGKFCHQLQDVELCGLNGVTDAGVRELLQSNNVGLVKVNLSECINVSDNTVSAISVCHGRTLESLNLDGCKNITNASLVAVAKNCYSVNDLDISNTLVSDHGIKALASSPNHLNLQVLSIGGCSSITDKSKACIQKLGRTLLGLNIQRCGRISSSTVDTLLENLWRCDILY", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MFDLDEWIATVRKCKYLPEHQLKRLCEMVKVILMEESNIQPVRTPVTVCGDIHGQFYDLLELFRVGGELPSTNYIFMGDFVDRGYFSLETFTLFMLLKARYPDKITLLRGNHESRQITQVYGFYDECQTKYGNANVWKYCCQVFDFLTLAAVIDNKILCVHGGLSPEVRTLDQIRILARAQEIPHEGSFCDLMWSDPEDIESWTVSPRGAGWLFGSKVTTEFSQINDLTLIARAHQLVQEGYKYHFADKNLVTVWSAPNYCYRCGNVASVMKVDESLEPEFRIFSAVADEDRTVPPSRKRSEYFI", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSADKFRDSTHYRDWIFTEEDLSKTRAKVNEKFTNIVRERMLEELSLQNKEASLEVLPPTLTVEEELELVNYYSFQLNALSSALSLPTHIRSTAILFFKRFYLINSVMEYSPKIISFTSLFLATKCNDHYISIEQFCKNMPKTTPEEVLEYEFNVCQSLKWDLYVWLPFRPLQGFLLDCQTVLPKVAVEKFYECHDLSKKFLIETLHSDIYFLHSPSIIALGAIYHTNPTICLQYIEAKKIPELQPLIISISANLKATKKFKIEKKKAQDYGRKLYFCMNPLRNKSSALYLKRKAEEESTNNNKWAKKFSTSSNVLDKNPFE", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSSNPENSGVNANNNTGTGNADAITGAQQNMVLQPRQLQEMAAKFRTLLTEARNVGETTPRGKELMFQAAKIKQVYDALTLNRRRQQAAQAYNNTSNSNSSNPASIPTENVPNSSQQQQQQQQQTRNNSNKFSNMIKQVLTPEENQEYEKLWQNFQVRHTSIKEKETYLKQNIDRLEQEINKQTDEGPKQQLQEKKIELLNDWKVLKIEYTKLFNNYQNSKKTFYVECARHNPALHKFLQESTQQQRVQQQRVQQQQQQQQQQQQQQQQQQQQQQQRQGQNQRKISSSNSTEIPSVTGPDALKSQQQQQNTITATNNPRGNVNTSQTEQSKAKVTNVNATASMLNNISSSKSAIFKQTEPAIPISENISTKTPAPVAYRSNRPTITGGSAMNASALNTPATTKLPPYEMDTQRVMSKRKLRELVKTVGIDEGDGETVIDGDVEELLLDLADDFVTNVTAFSCRLAKHRKSDNLEARDIQLHLERNWNIRIPGYSADEIRSTRKWNPSQNYNQKLQSITSDKVAAAKNNGNNVASLNTKK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MQKEMKMIKDEDVHFDLAVKKTPSFPHCLQPVASRGKAPQRHPFPEALRGPFSQFRYEPPPGDLDGFPGVFEGAGSRKRKSMPTKMPYNHPAEEVTLALHSEENKNHGLPNLPLLFPQPPRPKYDSQMIDLCNVGFQFYRSLEHFGGKPVKQEPIKPSAVWPQPTPTPFLPTPYPYYPKVHPGLMFPFFVPSSSPFPFSRHTFLPKQPPEPLLPRKAEPQESEETKQKVERVDVNVQIDDSYYVDVGGSQKRWQCPTCEKSYTSKYNLVTHILGHSGIKPHACTHCGKLFKQLSHLHTHMLTHQGTRPHKCQVCHKAFTQTSHLKRHMMQHSEVKPHNCRVCGRGFAYPSELKAHEAKHASGRENICVECGLDFPTLAQLKRHLTTHRGPIQYNCSECDKTFQYPSQLQNHMMKHKDIRPYICSECGMEFVQPHHLKQHSLTHKGVKEHKCGICGREFTLLANMKRHVLIHTNIRAYQCHLCYKSFVQKQTLKAHMIVHSDVKPFKCKLCGKEFNRMHNLMGHMHLHSDSKPFKCLYCPSKFTLKGNLTRHMKVKHGVMERGLHSQGLGRGRIALAQTAGVLRSLEQEEPFDLSQKRRAKVPVFQSDGESAQGSHCHEEEEEDNCYEVEPYSPGLAPQSQQLCTPEDLSTKSEHAPEVLEEACKEEKEDASKGEWEKRSKGDLGAEGGQERDCAGRDECLSLRAFQSTRRGPSFSDYLYFKHRDESLKELLERKMEKQAVLLGI", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAGFKRGYDGKIAGLYDLDKTLGRGHFAVVKLARHVFTGEKVAVKVIDKTKLDTLATGHLFQEVRCMKLVQHPNIVRLYEVIDTQTKLYLILELGDGGDMFDYIMKHEEGLNEDLAKKYFAQIVHAISYCHKLHVVHRDLKPENVVFFEKQGLVKLTDFGFSNKFQPGKKLTTSCGSLAYSAPEILLGDEYDAPAVDIWSLGVILFMLVCGQPPFQEANDSETLTMIMDCKYTVPPRVSAGCRDLITRMLQRDPKRRASLEEIESHPWLQGVDPSPATKYNIPLVSYKNLSEEEHNSIIQRMVLGDIADRDAIVEALETNRYNHITATYFLLAERILREKQEKEIQTRSASPSNIKAQFRQSWPTKIDVPQDLEDDLTATPLSHATVPQSPARAGDSVLNGHRSKGLCDPAKKDELPELAGPALSTVPPASLKPAASGRKCLFRVEEDEEEDEEDKKPVSLSTQVVLRRKPSVTNRLTSRKSAPVLNQIFEEGESDDEFDMDENLPPKLSRLKMNIASPGTVHKRYHRRKSQGRGSSCSSSETSDDDSESRRRLDKDSGLAYSWHRRDSSEGPPGSEGDGGGQSKPSGGGGVDKASPGEQGTGGSGQGGSGGTPSGTAGSSRRCAGPDSSSSSPASAAPRGAELVQSLKLVSLCLGSQLHGAKYILDPQKALLSSVKVQERSTWKMCISAPGPGPSADLDPVRTKKLRNNVLQLPLCEKTISVNIQRSRKEGLLCASSPASCCHVI", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAREKEMQEFTRSFFRGRPDLSTLTHSIVRRRYLAHSGRSHLEPEEKQALKRLVEEELLKMQVDEAASREDKLDLTKKGKRPPTPCSDPERKRFRFNSESESGSEASSPDYFGPPAKNGVAAEVSPAKEENPRRASKAVEESSDEERQRDLPAQRGEESSEEEEKGYKGKTRKKPVVKKQAPGKASVSRKQAREESEESEAEPVQRTAKKVEGNKGTKSLKESEQESEEEILAQKKEQREEEVEEEEKEEDEEKGDWKPRTRSNGRRKSAREERSCKQKSQAKRLLGDSDSEEEQKEAASSGDDSGRDREPPVQRKSEDRTQLKGGKRLSGSSEDEEDSGKGEPTAKGSRKMARLGSTSGEESDLEREVSDSEAGGGPQGERKNRSSKKSSRKGRTRSSSSSSDGSPEAKGGKAGSGRRGEDHPAVMRLKRYIRACGAHRNYKKLLGSCCSHKERLSILRAELEALGMKGTPSLGKCRALKEQREEAAEVASLDVANIISGSGRPRRRTAWNPLGEAAPPGELYRRTLDSDEERPRPAPPDWSHMRGIISSDGESN", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MQKSITSFFKKKSDATDSPSPPKKVPKIDAKTELPDEPHIKSESASPETKPKVEPMSVDSEEKTSPVKNVKKEPKEVDDKTTDKKVTTIGLNSTAATKEDVENYDPSADSYHPLKNAYWKDKKVTPYLALARTFQVIEETKGRLKMIDTLSNFFCSVMLVSPEDLVPSVYLSINQLAPAYEGLELGVAETTLMKAICKATGRNLAHIKSQTQLTGDLGIVAEQSRVSQRMMFQPAPLNVRDVFRKLREIAKLSGQSKMDLVYNMFVACRSSEARFFIRSLIGKLRIGIAEQSLLTALAIGLVKKNHIDDCKASKVPDVYKDEIVDTTLLLKTAYCQCPNYDIIIPAILKYDIKELQERCPMHPGMPLRPMLAQPTKGVHEVFERFGGMQITCEWKYDGERAQIHRNEKGEISIFSRNSENNTAKYPDLIARSTALLKGDVKSYIIDSEIVAWDVERKQILPFQVLSTRKRKNVDIEEIKVQVCVYIFDLLYINGTALVTKNLSERRKLLLEHFQEVEGEWKFATALDTNDIDEVQQFLEESIKGNCEGLMVKTLDEEATYEIAKRSRNWLKLKKDYLSNVGDSLDLVVIGGYKGKGRRTGTYGGFLLACYDTENEEYQSICKIGTGFTDEDLQTHSEFLGKHVTSAAKSYYRYDPSLEPDHWFEPVQVWEVKCADLSLSPIHRAAIGIVDGERGISLRFPRFIRIRDDKNSENATDANQVAHMYQSQDQVKNNQKSSTQMEMEDEFY", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MYPNWGRYGGSSHYPPPPVPPPPPVALPEASPGPGYSSSTTPAAPSSSGFMSFREQHLAQLQQLQQMHQKQMQCVLQPHHLPPPPLPPPPVMPGGGYGDWQPPPPPMPPPPGPALSYQKQQQYKHQMLHHQRDGPPGLVPMELESPPESPPVPPGSYMPPSQSYMPPPQPPPSYYPPTSSQPYLPPAQPSPSQSPPSQSYLAPTPSYSSSSSSSQSYLSHSQSYLPSSQASPSRPSQGHSKSQLLAPPPPSAPPGNKTTVQQEPLESGAKNKSTEQQQAAPEPDPSTMTPQEQQQYWYRQHLLSLQQRTKVHLPGHKKGPVVAKDTPEPVKEEVTVPATSQVPESPSSEEPPLPPPNEEVPPPLPPEEPQSEDPEEDARLKQLQAAAAHWQQHQQHRVGFQYQGIMQKHTQLQQILQQYQQIIQPPPHIQATTPPPGIPPPGVPQGIPPQLTAAPVPPASSSQSSQVPEKPRPALLPTPVSFGSAPPTTYHPPLQSAGPSEQVNSKAPLSKSALPYSSFSSDQGLGESSAAPSQPITAVKDMPVRSGGLLPDPPRSSYLESPRGPRFDGPRRFEDLGSRCEGPRPKGPRFEGNRPDGPRPRYEGHPAEGTKSKWGMIPRGPASQFYITPSTSLSPRQSGPQWKGPKPAFGQQHQQQPKSQAEPLSGNKEPLADTSSNQQKNFKMQSAAFSIAADVKDVKAAQSNENLSDSQQEPPKSEVSEGPVEPSNWDQNVQSMETQIDKAQAVTQPVPLANKPVPAQSTFPSKTGGMEGGTAVATSSLTADNDFKPVGIGLPHSENNQDKGLPRPDNRDNRLEGNRGNSSSYRGPGQSRMEDTRDKGLVNRGRGQAISRGPGLVKQEDFRDKMMGRREDSREKMNRGEGSRDRGLVRPGSSREKVPGGLQGSQDRGAAGSRERGPPRRAGSQERGPLRRAGSRERIPPRRAGSRERGPPRGPGSRERGLGRSDFGRDRGPFRPEPGDGGEKMYPYHRDEPPRAPWNHGEERGHEEFPLDGRNAPMERERLDDWDRERYWRECERDYQDDTLELYNREDRFSAPPSRSHDGDRRGPWWDDWERDQDMDEDYNREMERDMDRDVDRISRPMDMYDRSLDNEWDRDYGRPLDEQESQFRERDIPSLPPLPPLPPLPPLDRYRDDRWREERNREHGYDRDFRDRGELRIREYPERGDTWREKRDYVPDRMDWERERLSDRWYPSDVDRHSPMAEHMPSSHHSSEMMGSDASLDSDQGLGGVMVLSQRQHEIILKAAQELKMLREQKEQLQKMKDFGSEPQMADHLPPQESRLQNTSSRPGMYPPPGSYRPPPPMGKPPGSIVRPSAPPARSSVPVTRPPVPIPPPPPPPPLPPPPPVIKPQTSAVEQERWDEDSFYGLWDTNDEQGLNSEFKSETAAIPSAPVLPPPPVHSSIPPPGPVPMGMPPMSKPPPVQQTVDYGHGRDISTNKVEQIPYGERITLRPDPLPERSTFETEHAGQRDRYDRERDREPYFDRQSNVIADHRDFKRDRETHRDRDRDRGVIDYDRDRFDRERRPRDDRAQSYRDKKDHSSSRRGGFDRPSYDRKSDRPVYEGPSMFGGERRTYPEERMPLPAPSLSHQPPPAPRVEKKPESKNVDDILKPPGRESRPERIVVIMRGLPGSGKTHVAKLIRDKEVEFGGPAPRVLSLDDYFITEVEKEEKDPDSGKKVKKKVMEYEYEAEMEETYRTSMFKTFKKTLDDGFFPFIILDAINDRVRHFDQFWSAAKTKGFEVYLAEMSADNQTCGKRNIHGRKLKEINKMADHWETAPRHMMRLDIRSLLQDAAIEEVEMEDFDANIEEQKEEKKDAEEEESELGYIPKSKWEMDTSEAKLDKLDGLRTGTKRKRDWEAIASRMEDYLQLPDDYDTRASEPGKKRVRWADLEEKKDADRKRAIGFVVGQTDWEKITDESGHLAEKALNRTKYI", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGPTRKPNVCSRLSRRALGCFSRDAGVVQRTNLGILRALVCQESTKFKNVWTTHSRSPIAYERGRIYFDNYRRCVSSVASEPRKLYEMPKCSKSEKIEDALLWECPVGDILPNSSDYKSSLIALTAHNWLLRISATTGKILEKIYLAPYCKFRYLSWDTPQEVIAVKSAQNRGSAVARQAGIQQHVLLYLAVFRVLPFSLVGILEINKKIFGNVTDATLSHGILIVMYSSGLVRLYSFQTIAEQFMQQKLDLGCACRWGGTTGTVGEAPFGIPCNIKITDMPPLLFEVSSLENAFQIGGHPWHYIVTPNKKKQKGVFHICALKDNSLAKNGIQEMDCCSLESDWIYFHPDASGRIIHVGPNQVKVLKLTEIENNSSQHQISEDFVILANRENHKNENVLTVTASGRVVKKSFNLLDDDPEQETFKIVDYEDELDLLSVVAVTQIDAEGKAHLDFHCNEYGTLLKSIPLVESWDVTYSHEVYFDRDLVLHIEQKPNRVFSCYVYQMICDTGEEEETINRSC", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDDGELEFSNSNMGGELPSCSMDSFFDELLRDSHACTHTHTCNPPGPENTHTHTCLHVHTKILPDKVSTDDTSESSGKKRPLGNREAVRKYREKKKAKAASLEDEVMRLKAVNNQLLKRLQGQAALEAEVTRLKCLLVDIRGRIDGEIGAFPYQKPAVTNVPYSYMMHPCNMQCDVDNLYCLQNGNNGEGASMNEQGLNGCEFDQLECLANQNLAGKEIPVCSNGIGTFTVNGSGVNKRKGEPRAAKAV", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNNETSGKETASAPLCSPKLPVEKVQRIAKNDPEYMDTSDDAFVATAFATEFFVQVLTHESLHRQQQQQQQQVPPLPDELTLSYDDISAAIVHSSDGHLQFLNDVIPTTKNLRLLVEENRVRYTTSVMPPNEVYSAYVVNDTAPKPNIVEIDLDNDEDDDEDVTDQE", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNGPPDRVDFKPMGPHHGGSFRPMGFAYDDGFRPMGPNGGVGGEGTRSIVGARYNYPAKYPPSESPDRRRFIGKAMESDYSVRPTTPPVQQPLSGQKRGYPISDHGSFTGTDVSDRSSTVKLFVGSVPRTATEEEIRPYFEQHGNVLEVALIKDKRTGQQQGCCFVKYATSKDADRAIRALHNQITLPGGTGPVQVRYADGERERIGTLEFKLFVGSLNKQATEKEVEEIFLQFGHVEDVYLMRDEYRQSRGCGFVKYSSKETAMAAIDGLNGTYTMRGCNQPLIVRFAEPKRPKPGESREMAPPVGLGSGPRFQASGPRPTSNFGDSSGDVSHTNPWRPATSRNVGPPSNTGIRGAGSDFPPKPGQATLPSNQGGPLGGYGVPPLNPLPVPGVSSSATLQQQNRAAGQHITPLKKPLHSPQGLPLPLRPQTNFPGAQAPLQNPYAYSSQLPTSQLPPQQNISRATAPQTPLNINLRPTTVSSATVQFPPRSQQQPLQKMQHPPSELAQLLSQQTQSLQATFQSSQQAISQLQQQVQSMQQPNQNLPLSQNGRAGKQQWAGSAIPRVASTTGSTPVSYVQTAAPAVSQSVGSVKCTWTEHTSPDGFKYYYNGLTGESKWEKPEEMIVFEREQQKQQQHQEKPTIQQSQTQLQPLQQQPQQVQQQYQGQQLQQPFYSSLYPTPGASHNTQYPSLPVGQNSQFPMSGIGQNAQDYARTHIPVGAASMNDISRTQQSRQSPQELMWKNKA", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTMATTAMNVSVPPPDEEEQLLAKFVFGDTTDLQENLAKFNADFIFNEQEMDVEDQEDEGSESDNSEEDEAQNGELDHVNNDQLFFVDDGGNEDSQDKNEDTMDVDDEDDSSSDDYSEDSEEAAWIDSDDEKIKVPILVTNKTKKLRTSYNESKINGVHYINRLRSQFEKIYPRPKWVDDESDSELDDEEDDEEEGSNNVINGDINALTKILSTTYNYKDTLSNSKLLPPKKLDIVRLKDANASHPSHSAIQSLSFHPSKPLLLTGGYDKTLRIYHIDGKTNHLVTSLHLVGSPIQTCTFYTSLSNQNQQNIFTAGRRRYMHSWDLSLENLTHSQTAKIEKFSRLYGHESTQRSFENFKVAHLQNSQTNSVHGIVLLQGNNGWINILHSTSGLWLMGCKIEGVITDFCIDYQPISRGKFRTILIAVNAYGEVWEFDLNKNGHVIRRWKDQGGVGITKIQVGGGTTTTCPALQISKIKQNRWLAVGSESGFVNLYDRNNAMTSSTPTPVAALDQLTTTISNLQFSPDGQILCMASRAVKDALRLVHLPSCSVFSNWPTSGTPLGKVTSVAFSPSGGLLAVGNEQGKVRLWKLNHY", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSRRALRRLRGEQRGQEPLGPGALHFDLRDDDDAEEEGPKRELGVRRPGGAGKEGVRVNNRFELINIDDLEDDPVVNGERSGCALTDAVAPGNKGRGQRGNTESKTDGDDTETVPSEQSHASGKLRKKKKKQKNKKSSTGEASENGLEDIDRILERIEDSTGLNRPGPAPLSSRKHVLYVEHRHLNPDTELKRYFGARAILGEQRPRQRQRVYPKCTWLTTPKSTWPRYSKPGLSMRLLESKKGLSFFAFEHSEEYQQAQHKFLVAVESMEPNNIVVLLQTSPYHVDSLLQLSDACRFQEDQEMARDLVERALYSMECAFHPLFSLTSGACRLDYRRPENRSFYLALYKQMSFLEKRGCPRTALEYCKLILSLEPDEDPLCMLLLIDHLALRARNYEYLIRLFQEWEAHRNLSQLPNFAFSVPLAYFLLSQQTDLPECEQSSARQKASLLIQQALTMFPGVLLPLLESCSVRPDASVSSHRFFGPNAEISQPPALSQLVNLYLGRSHFLWKEPATMSWLEENVHEVLQAVDAGDPAVEACENRRKVLYQRAPRNIHRHVILSEIKEAVAALPPDVTTQSVMGFDPLPPSDTIYSYVRPERLSPISHGNTIALFFRSLLPNYTMEGERPEEGVAGGLNRNQGLNRLMLAVRDMMANFHLNDLEAPHEDDAEGEGEWD", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSDPFFTRPEHRKRKARSATSKREKENQKLERNGPANEDLASISSESEFNGFEDEIDEENEDTYETAAEKRLRLAREYLDEVKNELVEDGGFDAKEVDRELLASRLKEDVLEKKGQMYLDYTSKINPDVKIETAQLRGRHMRPLVGVVAYENFVYSADKSGLIQKWEALQEKDTENRENDDHEIGKAIKLHFRPIKFSRSRRGENDHVKEITCLAISNDGRWIVTGGLDHRIVIRDSVTLEPQHCWKHHRDAVMGLAMRRGTNEMFSCSADRSIKVWSLDQMSYIETLFGHQDVIFGVDALARERCVSVGGRDRTSRLWKIVEESQLVFRSGGTSMKATAGYMEGSVDCVAMIDEDHFVTGSDNGVIALWSVQRKKPLFTYPLAHGLDPILAPGRHSAETSPDPVTIPPQPRWITSLAAIPYSNLFASGSWDGNIRLWKIAEGLRSFEPLTIATPLSVYGCINSLSLSLQGKGKQSEVRVFAACGRETRVGRWKTLRGIPNSGFVFNIPLTVIPSVTDGDEIDE", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTLSSDMSDVLAEETDIDVVGEEDEPRAEEEEEEDGELLMPRSPHCSSTKDPYKAAGSGGVGRSALVKPPYSYIALITMSILQSPKKRLTLSEICDFISSRFPYYREKFPAWQNSIRHNLSLNDCFVKIPREPGNPGKGNYWTLDPESADMFDNGSFLRRRKRFKRQQVPELVLREPGHFLPASAYGYGPYSCAYGIQIQPFHPHSALIAFQQQQQHQQQQARHQQQQARHQQQQARHQQQPPSLPSMAAPALMPPAAQDLSRTCTFYPHQLSPAALPPSLQSKSSSALARSTFSIESIIGGDLNPGPKAAGVPVISRALVTFSSSEAAAALGGNLQPGTVLTNH", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAEAEVKDNEVYEEDLVDYEEEVENGTDGGANAANASADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVGALVLCHTRELAYQICHEFERFSKYLPEVKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGQSGRSRHQKRARAQAQLRNLEAYAANPHSFVFTRGCTGRNIRQLSLDVRRVMEPLTASRLQVRKKNSLKDCVAVAGPLGVTHFLILSKTETNVYFKLMRLPGGPTLTFQVKKYSLVRDVVSSLRRHRMHEQQFAHPPLLVLNSFGPHGMHVKLMATMFQNLFPSINVHKVNLNTIKRCLLIDYNPDSQELDFRHYSIKVVPVGASRGMKKLLQEKFPNMSRLQDISELLATGAGLSESEAEPDGDHNITELPQAVAGRGNMRAQQSAVRLTEIGPRMTLQLIKVQEGVGEGKVMFHSFVSKTEEELQAILEAKEKKLRLKAQRQAQQAQNVQRKQEQREAHRKKSLEGMKKARVGGSDEEASGIPSRTASLELGEDDDEQEDDDIEYFCQAVGEAPSEDLFPEAKQKRLAKSPGRKRKRWEMDRGRGRLCDQKFPKTKDKSQGAQARRGPRGASRDGGRGRGRGRPGKRVA", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPLHVSLANGNRDLDYDSVQPYFMCDDEEEDVHHQQPPQPPAPSEDIWKKFELLPTPRPSPGHAGLYSPPCEAVAVSFAPRDHDGDSFSIADLPELPGGDAVKQSFVCDPDDETFVKNIILQDCMWNGFSASAKLVSKLDPYQAVRKEGTGVSLAADVEPATPPDCTCNT", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTLESIMACCLSEEAKEARRINDEIERQLRRDKRDARRELKLLLLGTGESGKSTFIKQMRIIHGSGYSDEDKRGFTKLVYQNIFTAMQAMIRAMDTLKIPYKYEHNKAHAQLVREVDVEKVSAFENPYVDAIKSLWNDPGIQECYDRRREYQLSDSTKYYLNDLDRVADPSYLPTQQDVLRVRVPTTGIIEYPFDLQSVIFRMVDVGGQRSERRKWIHCFENVTSIMFLVALSEYDQVLVESDNENRMEESKALFRTIITYPWFQNSSVILFLNKKDLLEEKIMYSHLVDYFPEYDGPQRDAQAAREFILKMFVDLNPDSDKIIYSHFTCATDTENIRFVFAAVKDTILQLNLKEYNLV", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPGVIPSESNGLSRGSPSKKNRLSLKFFQKKETKRALDFTDSQENEEKASEYRASEIDQVVPAAQSSPINCEKRENLLPFVGLNNLGNTCYLNSILQVLYFCPGFKSGVKHLFNIISRKKEALKDEANQKDKGNCKEDSLASYELICSLQSLIISVEQLQASFLLNPEKYTDELATQPRRLLNTLRELNPMYEGYLQHDAQEVLQCILGNIQETCQLLKKEEVKNVAELPTKVEEIPHPKEEMNGINSIEMDSMRHSEDFKEKLPKGNGKRKSDTEFGNMKKKVKLSKEHQSLEENQRQTRSKRKATSDTLESPPKIIPKYISENESPRPSQKKSRVKINWLKSATKQPSILSKFCSLGKITTNQGVKGQSKENECDPEEDLGKCESDNTTNGCGLESPGNTVTPVNVNEVKPINKGEEQIGFELVEKLFQGQLVLRTRCLECESLTERREDFQDISVPVQEDELSKVEESSEISPEPKTEMKTLRWAISQFASVERIVGEDKYFCENCHHYTEAERSLLFDKMPEVITIHLKCFAASGLEFDCYGGGLSKINTPLLTPLKLSLEEWSTKPTNDSYGLFAVVMHSGITISSGHYTASVKVTDLNSLELDKGNFVVDQMCEIGKPEPLNEEEARGVVENYNDEEVSIRVGGNTQPSKVLNKKNVEAIGLLGGQKSKADYELYNKASNPDKVASTAFAENRNSETSDTTGTHESDRNKESSDQTGINISGFENKISYVVQSLKEYEGKWLLFDDSEVKVTEEKDFLNSLSPSTSPTSTPYLLFYKKL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSTPDPPLGGTPRPGPSPGPGPSPGAMLGPSPGPSPGSAHSMMGPSPGPPSAGHPIPTQGPGGYPQDNMHQMHKPMESMHEKGMSDDPRYNQMKGMGMRSGGHAGMGPPPSPMDQHSQGYPSPLGGSEHASSPVPASGPSSGPQMSSGPGGAPLDGADPQALGQQNRGPTPFNQNQLHQLRAQIMAYKMLARGQPLPDHLQMAVQGKRPMPGMQQQMPTLPPPSVSATGPGPGPGPGPGPGPGPAPPNYSRPHGMGGPNMPPPGPSGVPPGMPGQPPGGPPKPWPEGPMANAAAPTSTPQKLIPPQPTGRPSPAPPAVPPAASPVMPPQTQSPGQPAQPAPMVPLHQKQSRITPIQKPRGLDPVEILQEREYRLQARIAHRIQELENLPGSLAGDLRTKATIELKALRLLNFQRQLRQEVVVCMRRDTALETALNAKAYKRSKRQSLREARITEKLEKQQKIEQERKRRQKHQEYLNSILQHAKDFKEYHRSVTGKIQKLTKAVATYHANTEREQKKENERIEKERMRRLMAEDEEGYRKLIDQKKDKRLAYLLQQTDEYVANLTELVRQHKAAQVAKEKKKKKKKKKAENAEGQTPAIGPDGEPLDETSQMSDLPVKVIHVESGKILTGTDAPKAGQLEAWLEMNPGYEVAPRSDSEESGSEEEEEEEEEEQPQAAQPPTLPVEEKKKIPDPDSDDVSEVDARHIIENAKQDVDDEYGVSQALARGLQSYYAVAHAVTERVDKQSALMVNGVLKQYQIKGLEWLVSLYNNNLNGILADEMGLGKTIQTIALITYLMEHKRINGPFLIIVPLSTLSNWAYEFDKWAPSVVKVSYKGSPAARRAFVPQLRSGKFNVLLTTYEYIIKDKHILAKIRWKYMIVDEGHRMKNHHCKLTQVLNTHYVAPRRLLLTGTPLQNKLPELWALLNFLLPTIFKSCSTFEQWFNAPFAMTGEKVDLNEEETILIIRRLHKVLRPFLLRRLKKEVEAQLPEKVEYVIKCDMSALQRVLYRHMQAKGVLLTDGSEKDKKGKGGTKTLMNTIMQLRKICNHPYMFQHIEESFSEHLGFTGGIVQGLDLYRASGKFELLDRILPKLRATNHKVLLFCQMTSLMTIMEDYFAYRGFKYLRLDGTTKAEDRGMLLKTFNEPGSEYFIFLLSTRAGGLGLNLQSADTVIIFDSDWNPHQDLQAQDRAHRIGQQNEVRVLRLCTVNSVEEKILAAAKYKLNVDQKVIQAGMFDQKSSSHERRAFLQAILEHEEQDESRHCSTGSGSASFAHTAPPPAGVNPDLEEPPLKEEDEVPDDETVNQMIARHEEEFDLFMRMDLDRRREEARNPKRKPRLMEEDELPSWIIKDDAEVERLTCEEEEEKMFGRGSRHRKEVDYSDSLTEKQWLKAIEEGTLEEIEEEVRQKKSSRKRKRDSDAGSSTPTTSTRSRDKDDESKKQKKRGRPPAEKLSPNPPNLTKKMKKIVDAVIKYKDSSSGRQLSEVFIQLPSRKELPEYYELIRKPVDFKKIKERIRNHKYRSLNDLEKDVMLLCQNAQTFNLEGSLIYEDSIVLQSVFTSVRQKIEKEDDSEGEESEEEEEGEEEGSESESRSVKVKIKLGRKEKAQDRLKGGRRRPSRGSRAKPVVSDDDSEEEQEEDRSGSGSEED", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MMVNHNFTSFTSNERAVVKLNEVMQAALVNSDDRDWRYFVMLVPVLYDMQQFLVKEGSMNERFVAQAPKFDINFWRMIITVMAINFFKWQGKDVAELMKTSSAIDDLQFKFLQVDDKDDHFNLPVIAETFRGLSPKMKPLKGADSVVALEPKLTEAQIQAELEFADKRLAQFKAASVKDVVSDNVVNMLRGFHEGLATEYQATHDLWQPAMFNALATDKLFNYWSPAWDNLDGIGGEVKSYLTFLSQKQDISGLSEFVTGTAGIDRYIDVAALNHLLEQMPEDVLAERAL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKIEEVKSTVRTQRIAAHSHVKGLGLDEVGAAVHSAAGLVGQKAAREAAGIVVDLIKSKKMAGRALLLAGPPGTGKTAIALAIAQELGNKVPFCPMVGSEVFSNEIKKTEVLMENFRRSIGLRIRETKEVYEGEVTELTPVETENPMGGYGKTISNVVIGLKTAKGTKQLKLDPSIFDALQKEKVEVGDVIYIEANSGAVKRQGRSDTFATEFDLETEEYVPLPKGDVHKKKEVIQDVTLHDLDVANARPQGGQDVLSMMGQLMKPKKTEITDKLRMEINKVVNKYIDQGIAELVPGVLFIDEIHMLDLETFTYLHKSLESPIAPIVIFATNRGRCVIRGTTDIVSPHGIPLDLLDRLLIIRTLLYSTADMEQIIKLRAQTEGLQLEENAFTRLSEIGTSSTLRYAVQLLTPAHQMCKVNGRNQISKDDIEDVHSLFLDAKRSSKHLSEKNNKFML", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRRYSPPYYSPPRRGYGGRGRSPPPPPPRRGYGGGGGGGGRRGSSHGSLLVRNIPLDCRPEELREPFERFGPVRDVYIPRDYYSGQPRGFAFVEFVDAYDAGEAQRSMNRRSFAGREITVVVASESRKRPEEMRVKTRTRSREPSGSRDRSHGRSRSRSISRSRSPRRPSDSRSRYRSRSYSPAPRRRGGPPRGEEDENYSRRSYSPGYEGAAAAAPDRDRNGDNEIREKPGYEAEDRRRGGRAVSRSPSGSRSRSVEVSPR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVLAVAMSQDADPSGPEQPDRDACVMPGVQGPSVPQGQQGMQPLPPPPPPQPQASLPQIIQNAAKLLDKSPFSVNNQNPLLTSPASVQLAQIQAQLTLHRLKMAQTAVTNNTAAATVLNQVLSKVAMSQPLFNQLRHPSVLGTAHGPTGVSQHAASVPSAHFPSTAIAFSPPSQTGGPGPSVSLPSQPPNAMVVHTFSGVVPQTPAQPAVILSLGKAGPTPATTGFYDYGKANSGQAYGSETEGQPGFLPASASATASGSMTYEGHYSHTGQDGQPAFSKDFYGPNAQGPHIAGGFPADQTGSMKGDVGGLLQGTNSQWERPPGFSGQNKPDITAGPSLWAPPASQPYELYDPEEPTSDRAPPAFGSRLNNSKQGFGCSCRRTKEGQAVLSVRPLQGHQLNDFRGLAPLHLPHICSICDKKVFDLKDWELHVKGKLHAQKCLLFSESAGLRSIRASGEGTLSASANSTAVYNPTGNEDYTSNLGTSYAAIPTRAFAQSNPVFPSASSGTSFAAQRKGAGRVVHICNLPEGSCTENDVINLGLPFGKVTNYILMKSTNQAFLEMAYTEAAQAMVQYYQEKPAIINGEKLLIRMSTRYKELQLKKPGKNVAAIIQDIHSQRERDMLREADRYGPERPRSRSPMSRSLSPRSHSPPGPSRADWGNGRDSYAWRDEDRETVPRRENGEDKRDRLDVWAHDRKHYPRQLDKAELDERLEGGRGYREKYLKSGSPGPLHSVSGYKGREDGYHRKEPKAKLDKYPKQQPDVPGRSRRKEEARLREPRHPHPEDSGKAEDLEPKITRAPDGTKSKQSEKSKTKRADRDQEGADDKKESQLAENEAGAEEQEGMVGIQQEGTESCDPENTRTKKGQDCDSGSEPEGDNWYPTNMEELVTVDEVGEEDFIMEPDLPELEEIVPIDQKDKTLPKICTCVTATLGLDLAKDFTKQGETLGNGDAELSLKLPGQVPSTSASCPNDTDLEMPGLNLDAERKPAESETGLSLEVSNCYEKEARGEEDSDVSLAPAVQQMSSPQPADERARQSSPFLDDCKARGSPEDGSHEASPLEGKASPPTESDLQSQACRENPRYMEVKSLNVRSPEFTEAELKEPLSLPSWEPEVFSELSIPLGVEFVVPRTGFYCKLCGLFYTSEEAAKVSHCRSTVHYRNLQKYLSQLAEEGLKETEGTDSPSPERGGIGPHLERKKL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSNCLQLNTSCVGCGSQSDLYGSSCRHMTLCLKCGRTMAQNKSKCHECGTVVTRLIREYNVRAAAPTDKNYFIGRFVTGLPNFKKGSENKWSLRKDIPQGRQFTDAQREKLKNKPWILEDETGQFQYQGHLEGSQSATYYLLVMQNKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYQRWMMKAANNGPALFGEVDNEKESGGTSGGGGRGRKKSSGGDEEEGNVSDRGDEDEEEEASRKSRLGLNRKSNDDDDEEGPRGGDLDMDDDDIEKGDDWEHEEIFTDDDEAVGNDPEEREDLLAPEIPAPPEIKQDEDDEENEEEEGGLSKSGKELKKLLGKANGLDESDEDDDDDSDDEEETNYGTVTNSKQKEAAKEEPVDNAPAKPAPSGPPRGTPPAKPSKGKRKLNDGDSKKPSSSVQKKVKTENDPKSSLKEERANTVSKSNTPTKAVKAEPASAPASSSSAATGPVTEDEIRAVLMEKKQVTTQDLVSRFKARLKTKEDKNAFANILRKISKIQKNAGSQNFVVLREKCQPKPGKRESRVNKLNIRSNLQPRKMELVTEDEIRKVLMEKKQLTTLELVMRFKERLTTTEDKDSFSHILKKIAKLQKNPGSEKFVVVLRDNVTPLASDLTRLSIS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAVQAHHMNIFSQFISPNRDCVKFQENMNHGEFEFTGGEVPLITGESFAVEPLAAKANFNKAESGLSYNFTVPPLSTKRQRDFQFSDSNAPVKRRSVAFDSSSPSLINVELVSQIQNQQQSEIDRFVAQQTEKLRIEIEARQQTQTRMLASAVQNVIAKKLKEKDDEIVRIRNLNWVLQERVKSLYVENQIWRDIAQTNEANANTLRTNLDQVLAQLETFPTASAVVEDDAESSCGSCCGDGGGEAVTAVGGGCKRCGEREASVLVLPCRHLCLCTVCGGSALLRTCPVCDMVMNASVHVNMSS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MENLKSASPEEDSPRHGDNMGKPKRIPRACDMCRKRKIRCDGKQPACSNCVSHGIPCVFTARPKRRTGQRQMYIKSLVSRLEQMESTLRSVIPNYDQQPDIIHPSSTPKNYHDLNCTKGETSSDDSTDDIAFLNEKMGTLVTTPIGSQKYFGSSSTFSIIQHAAKFASGVESDKVLEHLSMAKSGCLFDPDESFDGSKAELPSSEIANIYIDAYFKSYNPLFPVFTRENFYQKFGSPNCFKKPDGSIDLVNYASYVVVLSLGCLAIADTEEQVSRANALFKNTLGISIEVTKDMSFRTLVFNFLTSVYYCAVSKPNAVWLNVGVVVRVAQTLGLHRNSAMWSIGKEDAEEKARLFWYIYYLDRVSSMMTGKPVAFQDDDIDQMVPFYSIYCYYGLKPPEGDPLGTFNFLEAEVQLTRIVGQVLKELYSVSGMKSNSSQVMEKILEFDLLLNNWYNSLPDCMQPRNRFKIPKFCSSNLILTSAIYYSCLILIHRHSLTKNLQVNCVHRGTGSITDSQALCIAAARSITNLFVESVDLQPLIMKIIMYHAFTSSIIIFISILKRPLASICSEDLNCLISVKNRLISFETHGFVRLNVVMDALESMISTAQAAMQKAKQIAINFSSNLATNEDVTNSGMPDIADVSLKSQSHVPPRISSNHSDTSVKSNSPSSIFDNSGYLNSLNNSILQMHQNLQNSSNTNDQYKFDSVQENELHANITPVLDQTMSMFPFKDQLDLNFAAANVYNPNVFDDMGLDCSFYGNGL", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MQRRDDPASRLTRSSGRSCSKDPSGAHPSVRLTPSRPSPLPHRPRGGGGGPRGGARASPATQPPPLLPPSTPGPDATVVGSAPTPLLPPSATAAVKMEPENKYLPELMAEKDSLDPSFTHAMQLLSVEIEKIQKGESKKDDEENYLDLFSHKNMKLKERVLIPVKQYPKFNFVGKILGPQGNTIKRLQEETGAKISVLGKGSMRDKAKEEELRKGGDPKYAHLNMDLHVFIEVFGPPCEAYALMAHAMEEVKKFLVPDMMDDICQEQFLELSYLNGVPEPSRGRGVSVRGRGAAPPPPPVPRGRGVGPPRGALVRGTPVRGSITRGATVTRGVPPPPTVRGAPTPRARTAGIQRIPLPPTPAPETYEDYGYDDTYAEQSYEGYEGYYSQSQGESEYYDYGHGELQDSYEAYGQDDWNGTRPSLKAPPARPVKGAYREHPYGRY", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLAPAAPAKDVVSADEKEEIIAKRKFRMKNVDAMRMSSLANDRMAFNKKCNALAMKFVKSAGIGTDALQLTCFQELVRHFNPIAAVVVGVKREPNSNVQAEKKTIPKVKTIQTPTQSMESVRLLQEKKASATEEQSAESASIMKHFANTIPNSTPTQSVKDVLTAAASKGQFKSSAEIFSHFPSEPSPSKPRATREGSQPSDYTYCTYLTPCILCEKALLMRESIAMTDNEAVKVLMAAVMSGHFRMATAEKAIRHERLRMCYDHVDFVYEMMCDAFEAKTESEINEMPPDRLMRGHDIYRALKRVGDLHKGKVTSNTPLYSFKNSIKSYYRNHVPRMVNGSLSKPSPKPFSELVALLQSVPPSTNLNELLNHNLSLSDADKQELIQLINGKDNRFTSRRRKIEDILDNKFAAAAAKAYRDHSEDAPSEPYIPNQSEMQNTVERRKRKLHSPEQDDAGSSSISWNAKKTKTPIDYVHLATRVLEGHSIADEALLHKSKVSYARNAFGEKPSSPTPPSAPLKFCVVNGKKYLRFENGTGPPKVVVQGNVVLRTNTLKDALTTAPRAQNQPSTSTDSSSSSEMEGIRQSFGAPQKEEEEEELVPTLLQNKPTHVESSSPVEKKPPTKTNVEKPAVRLGRMLTTAFGSMSYRTRKSVENKTDLLNQPTSASPRRMIKVVRNRNPHLAKQVAAAPSEPKHIPPTHMEKKPEELLMDPKPEPIF", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDLNGECKGGDGFIDRSRVRILLCDNDSTSLGEVFTLLSECSYQVTAVKSARQVIDALNAEGPDIDIILAEIDLPMAKGMKMLRYITRDKDLRRIPVIMMSRQDEVPVVVKCLKLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLAEKNMLSYDFDLVGSDQSDPNTNSTNLFSDDTDDRSLRSTNPQRGNLSHQENEWSVATAPVHARDGGLGADGTATSSLAVTAIEPPLDHLAGSHHEPMKRNSNPAQFSSAPKKSRLKIGESSAFFTYVKSTVLRTNGQDPPLVDGNGSLHLHRGLAEKFQVVASEGINNTKQARRATPKSTVLRTNGQDPPLVNGNGSHHLHRGAAEKFQVVASEGINNTKQAHRSRGTEQYHSQGETLQNGASYPHSLERSRTLPTSMESHGRNYQEGNMNIPQVAMNRSKDSSQVDGSGFSAPNAYPYYMHGVMNQVMMQSAAMMPQYGHQIPHCQPNHPNGMTGYPYYHHPMNTSLQHSQMSLQNGQMSMVHHSWSPAGNPPSNEVRVNKLDRREEALLKFRRKRNQRCFDKKIRYVNRKRLAERRPRVKGQFVRKMNGVNVDLNGQPDSADYDDEEEEEEEEEEENRDSSPQDDALGT", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGVPAFFRWLSRKYPSIIVNCVEEKPKECNGVKIPVDASKPNPNDVEFDNLYLDMNGIIHPCTHPEDKPAPKNEDEMMVAIFEYIDRLFSIVRPRRLLYMAIDGVAPRAKMNQQRSRRFRASKEGMEAAVEKQRVREEILAKGGFLPPEEIKERFDSNCITPGTEFMDNLAKCLRYYIADRLNNDPGWKNLTVILSDASAPGEGEHKIMDYIRRQRAQPNHDPNTHHCLCGADADLIMLGLATHEPNFTIIREEFKPNKPKPCGLCNQFGHEVKDCEGLPREKKGKHDELADSLPCAEGEFIFLRLNVLREYLERELTMASLPFTFDVERSIDDWVFMCFFVGNDFLPHLPSLEIRENAIDRLVNIYKNVVHKTGGYLTESGYVNLQRVQMIMLAVGEVEDSIFKKRKDDEDSFRRRQKEKRKRMKRDQPAFTPSGILTPHALGSRNSPGSQVASNPRQAAYEMRMQNNSSPSISPNTSFTSDGSPSPLGGIKRKAEDSDSEPEPEDNVRLWEAGWKQRYYKNKFDVDAADEKFRRKVVQSYVEGLCWVLRYYYQGCASWKWYYPFHYAPFASDFEGIADMPSDFEKGTKPFKPLEQLMGVFPAASGNFLPPSWRKLMSDPDSSIIDFYPEDFAIDLNGKKYAWQGVALLPFVDERRLRAALEEVYPDLTPEETRRNSLGGDVLFVGKHHPLHDFILELYQTGSTEPVEVPPELCHGIQGKFSLDEEAILPDQIVCSPVPMLRDLTQNTVVSINFKDPQFAEDYIFKAVMLPGARKPAAVLKPSDWEKSSNGRQWKPQLGFNRDRRPVHLDQAAFRTLGHVMPRGSGTGIYSNAAPPPVTYQGNLYRPLLRGQAQIPKLMSNMRPQDSWRGPPPLFQQQRFDRGVGAEPLLPWNRMLQTQNAAFQPNQYQMLAGPGGYPPRRDDRGGRQGYPREGRKYPLPPPSGRYNWN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVKQLQEQEENDPVEKTKSLISALNYLSRDLLLPSHLYASVSSIYHASVSDLSPSPPLRGNSYTPNRGDLMSEFEDALLQQRLNYESGSRLAELKETRYKNRIHNRLSQLEGLPSNRGEDLQEKCLLELYGLKLQELQCRVRGEVSAEYWLRLNCADPERQLYDWGMMRLPRRMYGVGDSFVMEADDQFRNKRDAERLLRLEEEEKNLIETTQRKFFAEVLNAVREFQLQIQASHRRCKQRNDGVQAWHGKQRQRATRAEKLRIMALKSDDQEEYMKLAKESKNEKLTLFLEETNKIFVSLGAAVQRQKDAKLSENTKLLKGSESDLSDVDAPEDVLPAQDIEIIDSDNNDDSNDLLEGERQFNLAIHSIQEKVTKQPSLLQGGELRSYQLEGLQWMVSLYNNDYNGILADEMGLGKTIQTIALIAYLLESKDLHGPHLILAPKAVLPNWENEFALWAPSISAFLYDGSKEKRTEIRARIAGGKFNVLITHYDLIMRDKAFLKKIDWNYMIVDEGHRLKNHECALAKTLGTGYRIKRRLLLTGTPIQNSLQELWSLLNFLLPHIFNSIHNFEEWFNTPFAECGSASLTDEEELLIINRLHHVIRPFLLRRKKSEVEKFLPGKTQVILKCDMSAWQKLYYKQVTDVGRVGLHSGNGKSKSLQNLTMQLRKCCNHPYLFVGADYNMCKKPEIVRASGKFELLDRLLPKLKKAGHRILLFSQMTRLIDLLEIYLSLNDYMYLRLDGSTKTDQRGILLKQFNEPDSPYFMFLLSTRAGGLGLNLQTADTIIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSIGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLEEIMSKGTSSLGEDVPSEREINRLAARTEEEFWMFEQMDEERRKKENYKTRLMEEKEVPEWAYTSETQEDKTNAKNHFGSLTGKRKRKEAVYSDSLSDLQWMKAMESEDEDASKVSQKRKRTDTKTRMSNGSKAEAVLSESDEEKEEEEEERKEESGKESEEENEKPLHSWKTNKKKRSRYPVMTSSPNSRGKGSSKGSKRN", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSLCLQRLQEERKKWRKDHPFGFYAKPVKKADGSMDLQKWEAGIPGKEGTNWAGGVYPITVEYPNEYPSKPPKVKFPAGFYHPNVYPSGTICLSILNEDQDWRPAITLKQIVLGVQDLLDSPNPNSPAQEPAWRSFSRNKAEYDKKVLLQAKQYSK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEHFLLEVAAAPLRLIAAKNEKSRSELGRFLAKQVWTPQDRQCVLSTLAQLLLDKDCTVLVGRQLRPLLLDLLERNAEAIKAGGQINHDLHERLCVSMSKLIGNHPDVLPFALRYFKDTSPVFQRLFLESSDANPVRYGRRRMKLRDLMEAAFKFLQQEQSVFRELWDWSVCVPLLRSHDTLVRWYTANCLALVTCMNEEHKLSFLKKIFNSDELIHFRLRLLEEAQLQDLEKALVLANPEVSLWRKQKELQYLQGHLVSSDLSPRVTAVCGVVLPGQLPAPGELGGNRSSSREQELALRSYVLVESVCKSLQTLAMAVASQNAVLLEGPIGCGKTSLVEYLAAVTGRTKPPQLLKVQLGDQTDSKMLLGMYRCTDVPGEFVWQPGTLTQAATMGHWILLEDIDYAPLDVVSVLIPLLENGELLIPGRGDCLKVAPGFQFFATRRLLSCGGNWYRPLNSHATLLDKYWTKIHLDNLDKRELNEVLQSRYPSLLAVVDHLLDIYIQLTGEKHHSWSDSSVGCEQAPEEVSEARRENKRPTLEGRELSLRDLLNWCNRIAHSFDSSSLSASLNIFQEALDCFTAMLSEHTSKLKMAEVIGSKLNISRKKAEFFCQLYKPEIVINELDLQVGRVRLLRKQSEAVHLQREKFTFAATRPSSVLIEQLAVCVSKGEPVLLVGETGTGKTSTIQYLAHITGHRLRVVNMNQQSDTADLLGGYKPVDHKLIWLPLREAFEELFAQTFSKKQNFTFLGHIQTCYRQKRWHDLLRLMQHVHKSAVNKDGKDSETGLLIKEKWEAFGLRLNHAQQQMKMTENTLLFAFVEGTLAQAVKKGEWILLDEINLAAPEILECLSGLLEGSSGSLVLLDRGDTEPLVRHPDFRLFACMNPATDVGKRNLPPGIRNRFTELYVEELESKEDLQVLIVDYLKGLSVNKNTVQGIINFYTALRKESGTKLVDGTGHRPHYSLRTLCRALRFAASNPCGNIQRSLYEGFCLGFLTQLDRASHPIVQKLICQHIVPGNVKSLLKQPIPEPKGGRLIQVEGYWIAVGDKEPTIDETYILTSSVKLNLRDIVRVVSAGTYPVLIQGETSVGKTSLIQWLAAATGNHCVRINNHEHTDIQEYIGCYTSDSSGKLVFKEGVLIDAMRKGYWIILDELNLAPTDVLEALNRLLDDNRELLVTETQEVVKAHPRFMLFATQNPPGLYGGRKVLSRAFRNRFVELHFDELPSSELETILHKRCSLPPSYCSKLVKVMLDLQSYRRSSSVFAGKQGFITLRDLFRWAERYRLAEPTEKEYDWLQHLANDGYMLLAGRVRKQEEIDVIQEVLEKHFKKKLCPQSLFSKENVLKLLGKLSTQISTLECNFGHIVWTEGMRRLAMLVGRALEFGEPVLLVGDTGCGKTTICQVFAALANQKLYSVSCHLHMETSDFLGGLRPVRQKPNDKEEIDTSRLFEWHDGPLVQAMKEDGFFLLDEISLADDSVLERLNSVLEVEKSLVLAEKGSPEDKDSEIELLTAGKKFRILATMNPGGDFGKKELSPALRNRFTEIWCPQSTSREDLIQIISHNLRPGLCLGRIDPKGSDIPEVMLDFIDWLTHQEFGRKCVVSIRDILSWVNFMNKMGEEAALKRPEIISTVTSFVHAACLVYIDGIGSGVTSSGFGTALLARKECLKFLIKRLAKIVRLTEYQKNELKIYDRMKAKEFTGIDNLWGIHPFFIPRGPVLHRNNIADYALSAGTTAMNAQRLLRATKLKKPILLEGSPGVGKTSLVGALAKASGNTLVRINLSEQTDITDLFGADLPVEGGKGGEFAWRDGPLLAALKAGHWVVLDELNLASQSVLEGLNACFDHRGEIYVPELGMSFQVQHEKTKIFGCQNPFRQGGGRKGLPRSFLNRFTQVFVDPLTVIDMEFIASTLFPAIEKNIVKKMVAFNNQIDHEVTVEKKWGQKGGPWEFNLRDLFRWCQLMLVDQSPGCYDPGQHVFLVYGERMRTEEDKKKVIAVFKDVFGSNSNPYMGTRLFRITPYDVQLGYSVLSRGSCVPHPSRHPLLLLHQSFQPLESIMKCVQMSWMVILVGPASVGKTSLVQLLAHLTGHTLKIMAMNSAMDTTELLGGFEQVDLIRPWRRLLEKVEGTVRALLRDSLLISADDAEVVLRAWSHFLLTYKPKCLGEGGKAITMEIVNKLEAVLLLMQRLNNKINSYCKAEFAKLVEEFRSFGVKLTQLASGHSHGTFEWVDSMLVQALKSGDWLLMDNVNFCNPSVLDRLNALLEPGGVLTISERGMIDGSTPTITPNPNFRLFLSMDPVHGDISRAMRNRGLEIYISGEGDASTPDNLDLKVLLHSLGLVGNSVCDILLALHTETRSTVVGSPTSSVSTLIQTAILIVQYLQRGLSLDRAFSEACWEVYVCSQHSPANRKLVQALLEKHVSSLRAHETWGDSILGMGLWPDSVPSALFATEDSHLSTVRRDGQILVYCLNRMSMKTSSWTRSQPFTLQDLEKIMQSPSPENLKFNAVEVNTYWIDEPDVLVMAVKLLIERATNQDWMLRVKWLYHLAKNIPQGLESIQIHLEASAASLRNFYSHSLSGAVSNVFKILQPNTTDEFVIPLDPRWNMQALDMIRNLMDFDPQTDQPDQLFALLESAANKTIIYLDREKRVFTEANLVSVGSKKLRESVLRMSFEFHQDPESYHTLPHEIVVNLAAFFELCDALVLLWVQSSQGMVSDASANEILGSLRWRDRFWTVADTVKVDAPGLALLALHWHWVLKHLVHQIPRLLMNYEDKYYKEVQTVSEHIQNCLGSQTGGFAGIKKLQKFLGRPFPFKDKLVVECFSQLKVLNKVLAIREQMSALGESGWQEDINRLQVVASQWTLKKSLLQAWGLILRANILEDVSLDELKNFVHAQCLELKAKGLSLGFLEKKHDEASSLSHPDLTSVIHLTRSVQLWPAMEYLAMLWRYKVTADFMAQACLRRCSKNQQPQINEEISHLISFCLYHTPVTPQELRDLWSLLHHQKVSPEEITSLWSELFNSMFMSFWSSTVTTNPEYWLMWNPLPGMQQREAPKSVLDSTLKGPGNLNRPIFSKCCFEVLTSSWRASPWDVSGLPILSSSHVTLGEWVERTQQLQDISSMLWTNMAISSVAEFRRTDSQLQGQVLFRHLAGLAELLPESRRQEYMQNCEQLLLGSSQAFQHVGQTLGDMAGQEVLPKELLCQLLTSLHHFVGEGESKRSLPEPAQRGSLWVSLGLLQIQTWLPQARFDPAVKREYKLNYVKEELHQLQCEWKTRNLSSQLQTGRDLEDEVVVSYSHPHVRLLRQRMDRLDNLTCHLLKKQAFRPQLPAYESLVQEIHHYVTSIAKAPAVQDLLTRLLQALHIDGPRSAQVAQSLLKEEASWQQSHHQFRKRLSEEYTFYPDAVSPLQASILQLQHGMRLVASELHTSLHSSMVGADRLGTLATALLAFPSVGPTFPTYYAHADTLCSVKSEEVLRGLGKLILKRSGGKELEGKGQKACPTREQLLMNALLYLRSHVLCKGELDQRALQLFRHVCQEIISEWDEQERIAQEKAEQESGLYRYRSRNSRTALSEEEEEEREFRKQFPLHEKDFADILVQPTLEENKGTSDGQEEEAGTNPALLSQNSMQAVMLIHQQLCLNFARSLWYQQTLPPHEAKHYLSLFLSCYQTGASLVTHFYPLMGVELNDRLLGSQLLACTLSHNTLFGEAPSDLMVKPDGPYDFYQHPNVPEARQCQPVLQGFSEAVSHLLQDWPEHPALEQLLVVMDRIRSFPLSSPISKFLNGLEILLAKAQDWEENASRALSLRKHLDLISQMIIRWRKLELNCWSMSLDNTMKRHTEKSTKHWFSIYQMLEKHMQEQTEEQEDDKQMTLMLLVSTLQAFIEGSSLGEFHVRLQMLLVFHCHVLLMPQVEGKDSLCSVLWNLYHYYKQFFDRVQAKIVELRSPLEKELKEFVKISKWNDVSFWSIKQSVEKTHRTLFKFMKKFEAVLSEPCRSSLVESDKEEQPDFLPRPTDGAASELSSIQNLNRALRETLLAQPAAGQATIPEWCQGAAPSGLEGELLRRLPKLRKRMRKMCLTFMKESPLPRLVEGLDQFTGEVISSVSELQSLKVEPSAEKEKQRSEAKHILMQKQRALSDLFKHLAKIGLSYRKGLAWARSKNPQEMLHLHPLDLQSALSIVSSTQEADSRLLTEISSSWDGCQKYFYRSLARHARLNAALATPAKEMGMGNVERCRGFSAHLMKMLVRQRRSLTTLSEQWIILRNLLSCVQEIHSRLMGPQAYPVAFPPQDGVQQWTERLQHLAMQCQILLEQLSWLLQCCPSVGPAPGHGNVQVLGQPPGPCLEGPELSKGQLCGVVLDLIPSNLSYPSPIPGSQLPSGCRMRKQDHLWQQSTTRLTEMLKTIKTVKADVDKIRQQSCETLFHSWKDFEVCSSALSCLSQVSVHLQGLESLFILPGMEVEQRDSQMALVESLEYVRGEISKAMADFTTWKTHLLTSDSQGGNQMLDEGFVEDFSEQMEIAIRAILCAIQNLEERKNEKAEENTDQASPQEDYAGFERLQSGHLTKLLEDDFWADVSTLHVQKIISAISELLERLKSYGEDGTAAKHLFFSQSCSLLVRLVPVLSSYSDLVLFFLTMSLATHRSTAKLLSVLAQVFTELAQKGFCLPKEFMEDSAGEGATEFHDYEGGGIGEGEGMKDVSDQIGNEEQVEDTFQKGQEKDKEDPDSKSDIKGEDNAIEMSEDFDGKMHDGELEEQEEDDEKSDSEGGDLDKHMGDLNGEEADKLDERLWGDDDEEEDEEEEDNKTEETGPGMDEEDSELVAKDDNLDSGNSNKDKSQQDKKEEKEEAEADDGGQGEDKINEQIDERDYDENEVDPYHGNQEKVPEPEALDLPDDLNLDSEDKNGGEDTDNEEGEEENPLEIKEKPEEAGHEAEERGETETDQNESQSPQEPEEGPSEDDKAEGEEEMDTGADDQDGDAAQHPEEHSEEQQQSVEEKDKEADEEGGENGPADQGFQPQEEEEREDSDTEEQVPEALERKEHASCGQTGVENMQNTQAMELAGAAPEKEQGKEEHGSGAADANQAEGHESNFIAQLASQKHTRKNTQSFKRKPGQADNERSMGDHNERVHKRLRTVDTDSHAEQGPAQQPQAQVEDADAFEHIKQGSDAYDAQTYDVASKEQQQSAKDSGKDQEEEEIEDTLMDTEEQEEFKAADVEQLKPEEIKSGTTAPLGFDEMEVEIQTVKTEEDQDPRTDKAHKETENEKPERSRESTIHTAHQFLMDTIFQPFLKDVNELRQELERQLEMWQPRESGNPEEEKVAAEMWQSYLILTAPLSQRLCEELRLILEPTQAAKLKGDYRTGKRLNIRKVIPYIASQFRKDKIWLRRTKPSKRQYQICLAIDDSSSMVDNHTKQLAFESLAVIGNALTLLEVGQIAVCSFGESVKLLHPFHEQFSDYSGSQILRLCKFQQKKTKIAQFLESVANMFAAAQQLSQNISSETAQLLLVVSDGRGLFLEGKERVLAAVQAARNANIFVIFVVLDNPSSRDSILDIKVPIFKGPGEMPEIRSYMEEFPFPYYIILRDVNALPETLSDALRQWFELVTASDHP", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDYSYDEDLDELCPVCGDKVSGYHYGLLTCESCKGFFKRTVQNNKHYTCTESQSCKIDKTQRKRCPFCRFQKCLTVGMRLEAVRADRMRGGRNKFGPMYKRDRALKQQKKAQIRANGFKLETGPPMGVPPPPPPAPDYVLPPSLHGPEPKGLAAGPPAGPLGDFGAPALPMAVPGAHGPLAGYLYPAFPGRAIKSEYPEPYASPPQPGLPYGYPEPFSGGPNVPELILQLLQLEPDEDQVRARILGCLQEPTKSRPDQPAAFGLLCRMADQTFISIVDWARRCMVFKELEVADQMTLLQNCWSELLVFDHIYRQVQHGKEGSILLVTGQEVELTTVATQAGSLLHSLVLRAQELVLQLLALQLDRQEFVCLKFIILFSLDLKFLNNHILVKDAQEKANAALLDYTLCHYPHCGDKFQQLLLCLVEVRALSMQAKEYLYHKHLGNEMPRNNLLIEMLQAKQT", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDQEGGGDGQKAPSFQWRNYKLIVDPALDPALRRPSQKVYRYDGVHFSVNDSKYIPVEDLQDPRCHVRSKNRDFSLPVPKFKLDEFYIGQIPLKEVTFARLNDNVRETFLKDMCRKYGEVEEVEILLHPRTRKHLGLARVLFTSTRGAKETVKNLHLTSVMGNIIHAQLDIKGQQRMKYYELIVNGSYTPQTVPTGGKALSEKFQGSGAATETAESRRRSSSDTAAYPAGTTAVGTPGNGTPCSQDTSFSSSRQDTPSSFGQFTPQSSQGTPYTSRGSTPYSQDSAYSSSTTSTSFKPRRSENSYQDAFSRRHFSASSASTTASTAIAATTAATASSSASSSSLSSSSSSSSSSSSSQFRSSDANYPAYYESWNRYQRHTSYPPRRATREEPPGAPFAENTAERFPPSYTSYLPPEPSRPTDQDYRPPASEAPPPEPPEPGGGGGGGGPSPEREEVRTSPRPASPARSGSPAPETTNESVPFAQHSSLDSRIEMLLKEQRSKFSFLASDTEEEEENSSMVLGARDTGSEVPSGSGHGPCTPPPAPANFEDVAPTGSGEPGATRESPKANGQNQASPCSSGDDMEISDDDRGGSPPPAPTPPQQPPPPPPPPPPPPPYLASLPLGYPPHQPAYLLPPRPDGPPPPEYPPPPPPPPHIYDFVNSLELMDRLGAQWGGMPMSFQMQTQMLTRLHQLRQGKGLIAASAGPPGGAFGEAFLPFPPPQEAAYGLPYALYAQGQEGRGAYSREAYHLPMPMAAEPLPSSSVSGEEARLPPREEAELAEGKTLPTAGTVGRVLAMLVQEMKSIMQRDLNRKMVENVAFGAFDQWWESKEEKAKPFQNAAKQQAKEEDKEKTKLKEPGLLSLVDWAKSGGTTGIEAFAFGSGLRGALRLPSFKVKRKEPSEISEASEEKRPRPSTPAEEDEDDPEQEKEAGEPGRPGTKPPKRDEERGKTQGKHRKSFALDSEGEEASQESSSEKDEEDDEEDEEDEDREEAVDTTKKETEVSDGEDEESDSSSKCSLYADSDGENDSTSDSESSSSSSSSSSSSSSSSSSSSSSSSESSSEDEEEEERPAALPSASPPPREVPVPTPAPVEVPVPERVAGSPVTPLPEQEASPARPAGPTEESPPSAPLRPPEPPAGPPAPAPRPDERPSSPIPLLPPPKKRRKTVSFSAIEVVPAPEPPPATPPQAKFPGPASRKAPRGVERTIRNLPLDHASLVKSWPEEVSRGGRSRAGGRGRLTEEEEAEPGTEVDLAVLADLALTPARRGLPALPAVEDSEATETSDEAERPRPLLSHILLEHNYALAVKPTPPAPALRPPEPVPAPAALFSSPADEVLEAPEVVVAEAEEPKPQQLQQQREEGEEEGEEEGEEEEEESSDSSSSSDGEGALRRRSLRSHARRRRPPPPPPPPPPRAYEPRSEFEQMTILYDIWNSGLDSEDMSYLRLTYERLLQQTSGADWLNDTHWVHHTITNLTTPKRKRRPQDGPREHQTGSARSEGYYPISKKEKDKYLDVCPVSARQLEGVDTQGTNRVLSERRSEQRRLLSAIGTSAIMDSDLLKLNQLKFRKKKLRFGRSRIHEWGLFAMEPIAADEMVIEYVGQNIRQMVADMREKRYVQEGIGSSYLFRVDHDTIIDATKCGNLARFINHCCTPNCYAKVITIESQKKIVIYSKQPIGVDEEITYDYKFPLEDNKIPCLCGTESCRGSLN", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKRGHDYSSSDSELDENIEVEKESADENGNLSSMSPSTSSQILARKRRRGIIEKRRRDRINNSLSELRRLVPSAFEKQGSAKLEKAEILQMTVDHLKMLHTAGGKGYFDAHALAMDYRSLGFRECLAEVARYLSIIEGMETADPLRVRLVSHLNNYASQREAASTAHTSIGHIPWGGTFAHHPHLSHPLLLAQTAHTNSTSSSTEAHHHNRLRGSPHAESSSLRVAPNGNIASVLPVVASSKLSPPLLSSMASLSAFPFSFGSFHLLSPNSLSPTTPTPSGKPYRPWGTEIGAF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSSSSSSAVFPLDHLAAPSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPAPAPAPANQLHFGPSLLSPTSPHGLLDEVAFQTPSLLMEQAASASLSSITGRSSSSCASNAPAMQMPPAKPVQQEPELPKNAPASANRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQGFKKTFKPQDGSEDILLKDSLYAAAAAAAAAAANMGVTPF", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGIEDISAMKNGFIVVPFKLPDHKALPKSQEASLHFMFAKRHQSSNSNESDCLFLVNLPLLSNIEHMKKFVGQLCGKYDTVSHVEELLYNDEFGLHEVDLSALTSDLMSSTDVNEKRYTPRNTALLKFVDAASINNCWNALKKYSNLHAKHPNELFEWTYTTPSFTTFVNFYKPLDIDYLKEDIHTHMAIFEQREAQAQEDVQSSIVDEDGFTLVVGKNTKSLNSIRKKILNKNPLSKHENKAKPISNIDKKAKKDFYRFQVRERKKQEINQLLSKFKEDQERIKVMKAKRKFNPYT", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MALPTPSDSTLPAEARGRGRRRRLVWTPSQSEALRACFERNPYPGIATRERLAQAIGIPEPRVQIWFQNERSRQLRQHRRESRPWPGRRGPPEGRRKRTAVTGSQTALLLRAFEKDRFPGIAAREELARETGLPESRIQIWFQNRRARHPGQGGRAPAQAGGLCSAAPGGGHPAPSWVAFAHTGAWGTGLPAPHVPCAPGALPQGAFVSQAARAAPALQPSQAAPAEGISQPAPARGDFAYAAPAPPDGALSHPQAPRWPPHPGKSREDRDPQRDGLPGPCAVAQPGPAQAGPQGQGVLAPPTSQGSPWWGWGRGPQVAGAAWEPQAGAAPPPQPAPPDASASARQGQMQGIPAPSQALQEPAPWSALPCGLLLDELLASPEFLQQAQPLLETEAPGELEASEEAASLEAPLSEEEYRALLEEL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MATQRKHLVKDFNPYITCYICKGYLIKPTTVTECLHTFCKTCIVQHFEDSNDCPRCGNQVHETNPLEMLRLDNTLEEIIFKLVPGLREQELERESEFWKKNKPQENGQDDTSKADKPKVDEEGDENEDDKDYHRSDPQIAICLDCLRNNGQSGDNVVKGLMKKFIRCSTRVTVGTIKKFLSLKLKLPSSYELDVLCNGEIMGKDHTMEFIYMTRWRLRGENFRCLNCSASQVCSQDGPLYQSYPMVLQYRPRIDFG", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASADDYFSDFEDDELDKLYEKAINKSVKETITRRAVPVQKDLHDNVLPGQKTVYEEIQRDVSFGPTHHELDYDALSFYVYPTNYEVRDYQYTIVHKSLFQNTLCAIPTGMGKTFIASTVMLNYFRWTKKAKIIFTAPTRPLVAQQIKACLGITGIPSDQTAILLDKSRKNREEIWANKRVFFATPQVVENDLKRGVLDPKDIVCLVIDEAHRATGSSAYTNVVKFIDRFNSSYRLLALTATPASDLEGVQEVVNNLDISKIEIRTEESMDIVKYMKKRKKEKIEVPLLLEIEDIIEQLGMAVKPVLQQAIELGIYEECDPSQINAFKAMQQSQKIIANPTIPEGIKWRNFFILQLLNNVGQMLKRLKIYGIRTFFNYFQNKCTEFTTKYNLKKSTNKIAAEFYYHPILKNIKNQCENYLSDPKFVGHGKLQCVRDELMDFFQKRGSDSRVIIFTELRESALEIVKFIDSVADDQIRPHIFIGQARAKEGFDEVKYTRKHAPKGRKKVERLHRQEQEKFLEAERTKRAANDKLERSARRTGSSEEAQISGMNQKMQKEVIHNFKKGEYNVLVCTSIGEEGLDIGEVDLIICYDTTSSPIKNIQRMGRTGRKRDGKIVLLFSSNESYKFERAMEDYSTLQALISKQCIDYKKSDRIIPEDIIPECHETLITINDENEIINEMEDVDEVIRYATQCMMGKKVKPKKAITKKKRVQENKKPKKFFMPDNVETSIVSASTLINKFLVNESGGKQLVTSNENPSKKRKIFKALDNLENDSTEEASSSLETEDEEVSDDNNVFIAEGQNGCQKDLETAIIRTGESLTTLKPLHNFERPNMALFVNDCGLPTKIEKNVKDIRGNQHNLEKEKSCTVDKNNMVLSLDDWNFFRNRYIPEGVSFDVEPNFVQYTKGVKVPHCHKVSKIITLFNDESNDNKKRTIDMNYTKCLARGMLRDEKKFVKVNDKSQVDNNSVNHDSSQSFTLSNAELDDILGSDSDF", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPRTRNIGALCTLPEDTTHSGRPRRGVQRSYISRMAEPAPANMNDPLLLPLRMNTPGLSLVQILLGYMSWLTYLACFLRTQTQQVFLNTCRCKLFCQKVMEKMGLLVLCVFGFWMFSMHLPSKVEVWQDDSINGPLQSLRMYQEKVRHHTGEIQDLRGSMNQLIAKLQKMEAISDEQKMAQKIMKMIQGDYIEKPDFALKSIGASIDFEHTSATYNHDKARSYWNWIRLWNYAQPPDVILEPNVTPGNCWAFASDRGQVTIRLAQKVYLSNITLQHIPKTISLSGSPDTAPKDIVIYGLESLPREEVFLGAFQFQPENVIQMFQLQNLPPRSFAAVKVKISSNWGNPRFTCMYRVRVHGSVTPPKDSHLEPLS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVVLRPKITLTSVRGRIQVTAEDGERVGPWGGTECFLSRQTGQGPCLVVRSSRHKRHQGTFFRLEGVRQVLSLYAMEGKLTVVVPHQKRLCSVFIETFADVDALQMMAATLQDRSRWKDIEKNVACRVQRITRTNVVDTKRITNMDGGTDTHLDYKQFEWGGGEDDSCAVGGVSCNPLEGARGERNGDGVESILQERPTGLPSAHSGGKLPKHMGGDELNPQLEGSTTPGRMQWTTDQIVATRLVCTGSNVFITGSAGTGKTEWLLHLVRNVLPRDDRTVVTASTGMSARLLGGCTIHSFAGIGRGEGGFNRVYNRVKSKPEVVRAWRQCQTLIIDEIGNISPDTFSMIDEIARSLRGAPEKPFGGIQVILLGDFLQLPPVDSPKARNEWTNGNDTDTDSNPIPGKLKWCFETATWESLKLALVGFRKSYRQMNDPDFALCLEDIRFGRYTRRVERILNECSTRQIKERHGIEPTLIVARRDEATEYNAERLKMLEDVHFHRYESEDYAAIPGMNLEKEVSLQQLLELRIGAQVVLLASLPDAPHLSNGDQGVVVSFAEQTRGPALPVVCFATSGGEEVLVPRVSMEVLGPEGRVIATRTQIPLQLSWAITVHRAQGMTLPLVSVRLNKCFFDCGQAYVALSRVRSREDLMLTAFDPSAIFADARAVAFYEKNFPAQRQSVEDTECELVPIKGKTRAKHPRSQGEKNSVDEGGNAPEEHPLRTDAAFTAYHDLDSQVSTDMPLVPQPPRKKRMLVEELPQVTSSAIPNFTQESNNGDANSQLQHPFSQNNLMVDDD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSAVGALLAREYNVTAEKCDFFLENGSFDSVIAALPALNQEQETVTQKVSKNGKELINVASVNIEIPERISLSNNGRQLFKFIVDIEILPCENDNEATLVVSSDSVSLFQIGFKMENNSKIAVDKQLPLILDICAHKNQERALRNQLENRKSLERKPSRKRRKKNSNVNDPEKLLKSRIHELSLSYKDFECSPVVFRYNDSSLTWVLSFNLKLKFLNNKFNRFSVEANQILDLTFSNRDENEFERYHKHSHIHSNFIQKQFISQILEYSKDRLSKIKPFLPQSIPDLKVNLLPFQRESVEWMLIKEGHGNSLSDTPTVIDEVGLIDFMNEYYAYGYELIARSPDEVGPSLLWNKLTGYILTTEDAAHLYNQYRKERLSGDYPVCAKGVLAEEMGLGKTIEILSLILLNRRKLKDSEATFIDDENRTITKTKTTLIICPNAILKQWLEEIELHANSLKWYTYRGYNEIMKDCKTVDEAVQQLCQYDIIVTSYNIIATEVHHAEFNRSIRSRRLKSPKYDYSSPLALMQFYRIILDEVQMLRSSSTYSAKCTSLLHRIHTWGVSGTPIQNIYNFRMIMSYLKLHPFCDEVDFIRTLQEEIKLRNEAKDYTSNDFVCQLKGVRFSIKDCMNIFYRYDLCIRHSKANVASQIHIPRQHNFIIPLEFAPIEWDNYLNLWNNFLELSGYNSDGSGSPRVSNAFLNEWLSRLRYICCHALFPEILSTRQKRLHGHLSRISNIDDILISMRMDAFDSLIGYYRERFHLSIKQAQYELEISNTPAKALESFIKIRDDLMIHIRQKFNVEDPFDKSLNLSEDEDEHMDERFGEKETSSGDESDREINGAKNHDNHNNDGMLSNHLKKKGLRAMMNLLHDCYFFLGSVYYNLGTRKLEEADDKHRKEKTEEVVYSDVFPKNELEEIEENRLLEQENYANAEILRKSILSSEARKVDMTIKMARTKFAPMTSNIPLRLINIEFDHKNDYSSNLAVSRCFKSLSKLIEGLNEQTKNFNELLDELLIIIYEPVHRTEDDDSTNKIIGNEEYSTSIDSQDKIFSLLGCLEIILQNRDNILTSESEVKIPKHLVPEGSIISKYQKQLLNSLRLISGTPLRTVFDELKNSRIVRRISSSNESESTIQNFEDYLLQYEVESKSLFKYNKQVRESLKILGSIYNAKTEYYSQLQRISDSLVSLHSLSAPQLSHLIRTINKSLGGTLDAKINNIESRLIYLKNLSRLKDTLNDNQILSCSICLGEVEIGAIIKCGHYFCKSCILTWLRAHSKCPICKGFCSISEVYNFKFKNSTEKREKEIQEPRREGADSSQDNSNENSIISNMSEVEKLFGNKYEQFHQINEVHQIHIKESFGAKIDFVIKLISYLRLKSEQENADPPQVILYSQKTEYLKVIGKVLKLYHIEHLACLSNTANVGETINNFKRQPSVTCLLLNVKTLGAGLNLINAKHIFLLDPILNNSDELQAMGRNNRIGQDEETFVWNFMIRNTVEENILRYKCILEERKRKEKSKKGDKYDEAQDETDNEESDDAKFEISVVDQEVSNEHLWNCFFHGSD", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAGSGCAWGAEPPRFLEAFGRLWQVQSRLGSGSSASVYRVRCCGNPGSPPGALKQFLPPGTTGAAASAAEYGFRKERAALEQLQGHRNIVTLYGVFTIHFSPNVPSRCLLLELLDVSVSELLLYSSHQGCSMWMIQHCARDVLEALAFLHHEGYVHADLKPRNILWSAENECFKLIDFGLSFKEGNQDVKYIQTDGYRAPEAELQNCLAQAGLQSDTECTSAVDLWSLGIILLEMFSGMKLKHTVRSQEWKANSSAIIDHIFASKAVVNAAIPAYHLRDLIKSMLHDDPSRRIPAEMALCSPFFSIPFAPHIEDLVMLPTPVLRLLNVLDDDYLENEEEYEDVVEDVKEECQKYGPVVSLLVPKENPGRGQVFVEYANAGDSKAAQKLLTGRMFDGKFVVATFYPLSAYKRGYLYQTLL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSFRSSPQGKPHPMTDYQSIRPSEVEQLFEKKFHYQKPKGNKSWQLPPPDQALFSEFYQFEALQGLREQLNAVKSKLNDYGVQEWSAHTNRRDPSGEVSWRLKNDTKAEFVTVAWCKLFECLHRYPLVTKPAVNSMHLCEAPGAFIASLNHYLHSKYEKDEIKWRWRSTTLNPYYEGNAINQMISDDRFIVHTLDNWFFHKDLTGNLLDVANIDHLVERCEVEFQGQVDLVTADGSIDCAAQPDCQEEIVVRLFFAEVLSALRILSSGGNFLVKMFTLFEACSVSLLYTLNCIFEEVHIFKPATSKRGNSEVYVICLNYNKDHPDLPRLLEEIKSKLAQPNDTLVMPLFAKFQIPHDFLMQHEIACRMYMKLQTDAIEGSIYAYESNDRHYLRHLHHLRSLVANTYYSLYKVKPLEDSLCIVDKEATSKALGFQVPVYGGSYTERESLKHGDLLKQIYCLRREFNQLEKCLNNRTPYSYVKNRTAPLNLHISRGAPVQSLQSSMFASEPILILRLRILDTFELDPVWQSAPKCQLESKTLCYLPPTEDEAFHTAQQRFFIDLLEEVKKLKPDSIVFHKFLFLTHYAASLLLFLIESVYQDCCFNSNQAQTLTLSKLKDTANSALEQVLELLKDEQAGAIHSLLDIKELQKNQFSKALIQHNNSIVMTCFRSMLGEESFPMPVAPTSNSDVGSIQESAAVF", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAKSARSKSIRRNKKVLRENVFQPVIDERTKRLSAHLRDQVNDLTKSSSSKEEGIADNSLKEVSSSEVSDNVGMEVDQPKVSTSGPRDNNRNKWAKKHLKKGKRAKNSNFSKFLKKK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNRNRKGHDLENIEHRFTDEDLAGLKEQKLFNGKWIILEKKEKRGAAYCYLVCDKPCKKFGILYLEIGEDNVTTIANQVDFYHQQSSLGYSHRFSALIDAGIINNHVFFMVVRIRAGPTLHDLLKCLSSDKMSVTTASFLAVDMISAIEILSASGWVLRNFDSKQWMLDIKTRQFYLADATDITVSSDKRHRAIDEIHLRTAESIDLHWKTGDLIYAPRSFVDRDQSHRMTELDMMEMMLYVLYDWTHGKLPWKSSKSRERIMEMKELFIENLQKEPEETNKVEQQIDVDVWFDIALRNFAKHLKVAKEEQEKLEKLPVRGGAWCPKGPRAGAQISTVNYRGIIDDFYKIVCSGRPAWALHWRDVMLDWDRKLENTPETSKMFEAYEKHQRSLEISEEWERLQATREHYTVMKNHTETEMAKNQAAIVEYLMPEEEAKEEPIDKKKDPEEEAAAAVVGKKRRGRKPKKKDDPKMELKDEVKDLKDFVVEESTSASSSAPKKRPCCSSGSPLKSSGGRRRGCEIRRK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAATTGLETLVDQIISVITNDGRNIVGVLKGFDQATNIILDESHERVFSTKEGVQQHVLGLYIIRGDNIGVIGELDEELDASLDFSKLRAHPLKPVVH", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKRIRNACELCRRKKLRCNGELTCQNCMVYGEECRYVKRVKHDNRAAVQENERYPILYTPLSTSDHDNDEENEINELKNAVKALDKRFDNFELKLEALFSLLRSQQDSERKVKPGGFPSLVSQILSAGALVDSKLQAYTMRTNFFSNGFSSNDLFPHSFPTWKSAFRDVPDKDWAKTCLDWYFRFINCNWPIFYKKQYMESFEKLYIDKNLVKGAWIVSFYAILALAVSRDKRVDNSKLAESFFATSWFLIQRPGFFLTPQLEKIQALVIMIQFASHLSLYNLCKKLCGQVCLMVKDLNLHKESTDKDLDQDMAELHRRIFWVCYIFETTTSLIFGTPPVLGDLEIECKYPDINYAHCFAENVQGDLIFTCEISLTVLKHEIRTKLYNSNNVFLDKGQKGVISNIQTKILNFERAIPSEMKHYFEILKAGNGLPEELDIIKQHFFTACVEIYLSYCNTLIYLYLADDSIEGSKICLSTARAAIDVIKGFLVVLDPISKNICYLWLFLYCPFTPFLTVFSHLLEDDDLDADICVKDVDRLYSIHAFFLKMKDISGEFAERLSVITENFIQSAEQYLALQNTSVFGTFDALSESFSI", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAVADSVENNGSINLPENENLIPAGFSAAALLDENSGAFPELNQPDSLAAAETTFPDTNDSAEERWPGWPGDCVFRMIVPVTKVGAIIGRKGDFIKKMCEETRARIKVLDGPVNTPDRIVLISGKEEPEAYMSPAMDAVLRVFRRVSGLPDNDDDDVQNAGSVFSSVRLLVASTQAINLIGKQGSLIKSIVENSGASVRILSEEETPFYAAQDERIVDLQGEALKILKALEAIVGHLRRFLVDHTVVPLFEKQYLARVSQTRQEEPLAESKSSLHTISSNLMEPDFSLLARREPLFLERDSRVDSRVQPSGVSIYSQDPVLSARHSPGLARVSSAFVTQVSQTMQIPFSYAEDIIGVEGANIAYIRRRSGATITIKESPHPDQITVEIKGTTSQVQTAEQLIQEFIINHKEPVSVSGGYARIDSGYVPAYPPQLSNRQEPLPSTYMGTEPVQYRPTAYSQLGGPSTYTPTLTGQTYGSEYRPASDVGGYSSYNL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPQLNGGGGDDLGANDELISFKDEGEQEEKISENVSSERDLDEVKSSLVNESENNSSSSDSEQTDRRPRPRPDLESYEKQREYFAEALRRQQDGGFFKGPPYAGYPFLMIPDITNPYLSNGSLSPSTRTYLQMKWPLLDVPASAALKDSRSPTPGHLSNKVPVVQHPHHVHPLTPLITYSNEHFSPGTPPSHLSPEILDPKTGIPRTPHPSELSPYYPLSPGAVGQIPHPLGWLVPQQGQHMYSIPPGGFRHPYPALAMNASMSSLVSSRFSPHMVPHPPHGLHQTGIPHPAIVSPAIKQEPNGESPSNSTHGKPSVPVKKEEEKKPHIKKPLNAFMLYMKEMRAKVVAECTLKESAAINQILGRRWHSLSREEQAKYYELARKERQLHSQLYPGWSARDNYGKRKKRKRDCKSDSPSESNFSPQPKKQCVPYLSSEKMCDSPTSSHGSMLDSPATPSAALASPAAPAATHSEQAQPLSLTTKPEGRAHHNHPHFPLPGKSSGSGSGSSMALHSLSRPIPFTSLPPSLLGPNSPFHQAALHSHHALLQTQPLSLVTKSVE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSSESASSDEETKRRAPATSDSDSDSDAGPKPGKPLSTDSSASDSDAEKPQAKPAKKKTLTKRKRRATGSSDDDQVDDDLFADKEDKARWKKLTELEKEQEIFERMEARENAIAREEIAQQLAKKAKKSSEKGVKTEKRRKMNSGGSDAGSPKRKASSDSDSEMDAAFHRPSDINRKHKEKNAMDALKNKRKEIEKKNAKNEALSIDAVFGANSGSSSSSSSSESSRSSSSSRESSPERVSEKDKIVKKDVDGLSELRRARLSRHKLSLMIHAPFFDSTVVGCYVRLGQGQMSGSGSKYRIWKIVGVEESNKVYELEGKKTNKIIKCQNGGSERPFRMQFVSNADFEQIEFDEWLLACKRHGNLPTVDIMDKKKQDIEKAINHKYSDKEVDLMIKEKSKYQTVPRNFAMTKANWSKQKELAQQRGDIREAEQIQTKIDEIERQADELEKERSKSISAIAFINHRNRSKIKDQVLSGQLKIEENSQDDPFTRKKGGMRVVSGSKSRLDGTLSASSSTTNLSDGGKDKSSSLAKPTQPPPSTQIKKKTDISSLHDFDLDIDLGKLKDFSTPESSGNKRPSISSSKGVSLSDYRMRRSGGGDAGSSTSAAPSSAV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVVQNSPVSSVHTANFSERGSNTRTMTYKNKLTVCFDDILKVGAEMMMQQQLKNVQLDSYLVNGFSQSQQKLLKEKVKLFHGILDDLETSLSQSSSYLETLTALGKEKEKEREEAEKKRAEQENMRKVREQEELKKRQELEEASQQQQLQQNSKEKNGLGLNFSTTAPANTTDANGSKENYQELGSLQSSSQTQLENANAANNGAAFSPLTTTRIQSQQAQPSDVMFNDLNSMDISMFSGLDSTGFDSTAFNATVDETKGFDDNDSGNNYNDINISSIENNINNNINSTKNGKDNNNESNKNNNGDEKNKNNNEDNENNNNSSEKNNNNNNNNNNNNDDNGNNNNNNSGNDNNNTTNNDSNNKNNSITTGNDNENIVNNDLPTTVVSNPGDNPPPADNGEEYLTLNDFNDLNIDWSTTGDNGELDLSGFNI", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MELPAVGEHVFAVESIEKKRIRKGRVEYLVKWRGWSPKYNTWEPEENILDPRLLIAFQNRERQEQLMGYRKRGPKPKPLVVQVPTFARRSNVLTGLQDSSTDNRAKLDLGAQGKGQGHQYELNSKKHHQYQPHSKERAGKPPPPGKSGKYYYQLNSKKHHPYQPDPKMYDLQYQGGHKEAPSPTCPDLGAKSHPPDKWAQGAGAKGYLGAVKPLAGAAGAPGKGSEKGPPNGMMPAPKEAVTGNGIGGKMKIVKNKNKNGRIVIVMSKYMENGMQAVKIKSGEVAEGEARSPSHKKRAADERHPPADRTFKKAAGAEEKKVEAPPKRREEEVSGVSDPQPQDAGSRKLSPTKEAFGEQPLQLTTKPDLLAWDPARNTHPPSHHPHPHPHHHHHHHHHHHHAVGLNLSHVRKRCLSETHGEREPCKKRLTARSISTPTCLGGSPAAERPADLPPAAALPQPEVILLDSDLDEPIDLRCVKTRSEAGEPPSSLQVKPETPASAAVAVAAAAAPTTTAEKPPAEAQDEPAESLSEFKPFFGNIIITDVTANCLTVTFKEYVTV", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPELTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKKVSDVIWNSLSRSYFKDRAHIQSLFSFITGWSPVGTKLDSSGVAFAVVGACQALGLRDVHLALSEDHAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKMEVAFMVCAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGRPDPLTLYHKGIASAKTYYRDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCREDEEIYKEFFEVANDVIPNLLKEAASLLEAGEERPGEQSQGTQSQGSALQDPECFAHLLRFYDGICKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVRIVSREAEAAEAEEPWGEEAREGRRRGPRRESKPEEPPPPKKPALDKGLGTGQGAVSGPPRKPPGTVAGTARGPEGGSTAQVPAPTASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVSTPSDYTLSFLKRQRKGL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSGHDSVTKISHILNEPVNEKVMVQNGFHESSKIADIELEIQERPSIKQWESPRSAVIPTSNHNFSPFLYTQFKSRGAAPFAPETIKSVDLVELPEGVPARVFHEKTGLFYQISPHSIPTFILAKKELPDPIKFYELVEDLGSVYGCVKLKIIPDADKFTQLNVDVDRLWFKARKQFFNSNEFQRTKIVDFYAKLYNFHNKIKKSTLTRIPSIDKRTLDLYRLRSCVKLRGGFNAVCEKKLWAQIGRELGYSGRIMSSLSTSLRSAYAKILLDFDIYEEEEQAARNNEKNEDMVESEIFRHSNSRSRDEEEPLHKKAKIHRDVFRAGSINHEFKRMRDIKHIKGFPTYFNSLTEFKLGYTQSTETTLPGYDFTFWENGMEIYDKSKYETKTSPVYNLRQYYEKSLAVFTAIVAKFGSSYPDLFAKHTTLPQKEFERLYFHLLSEHFIDFEIDTGLGLPCSMRSPGNNSSNEKFAIKNILDQWNLDNIPLNELSLLQHLDLDMANFTRTTYDIGMLFSCQGWSVSDHFLPSIDFNHLGSTKLVYSIAPKDMEKFEALIARGKSEWDTIQSRPRYSTSDDELKSFIETDFYKSFLDAEQSADYSNTGDNSKNSFPEDKIAGNTLHDGSQSDFIFEPNFILANGIKLYKTTQEQGSYIFKFPKAFTCSIGSGFYLSQNAKFAPSSWLRFSSEAAKWTSKMGFLPGLDVNQLLINALLNSNNPVLRKKCRDLISNYVVEEAENSKKLGELIGTVDVVYNKLNYISDISLESTGLSKIVVTHGALQRNLSLKEFVVLLEKPENGAHSICGIPIRDQSGNLNVCLHSYFDSASLGIALDGLDKPPTSYLLVHNEDFEKKWDVLMTSTFRNRTVPLNIIQYLISHTDSNTEFNRMLRSNFDDSLLLIEKCKKFIKTFVDVSCSVKDVDFGNGFNLRHLPLKFSDNMADNLESLYESVRKCSIEFSEKPTIIRLYHVSRQFPIDNRDIIDGNNLDLLKELYQKSLTIPLKVSYWTKLTRKICRLEWLSVYEHIFIERCDIKNEDPAKYSLPLLYSYFEFGLKYCDSEDIDKLGEVRKLILKYQDMMQKVRVFLKKDPPSKISLSDLEDVLLDIEEYRLPIQSSFFSELDYVIREIENAKKMNDVNILYNTDNIDKIDELIRKNDPKFVKFANQFNGSRLDKRPLASDNSGSVKAKQELKVFKLWNQHLDQIMQKNKFIEILPSIFRCLDLKSDKYIPLESCSKRQTKYCFCRRVEEGTAMVECEICKEWYHVDCISNGELVPPDDPNVLFVCSICTPPCMAVDNIEGVTFELDDLKRILVESLKLSLIPDPPILKNLFDVFAFALNFKNEMEKELFTNGYVNQLSSTHKIKYYLRKLKGSQCGFTNLTDPLRKHCQVKDAEAIKWLTDNGRIIITGIPN", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSDSSEATVKRPLDAHVGPSENAAKKLKIEQRTQADGIHEADVGITLFLSPELPGFRGQIKQRYTDFLVNEIDQEGKVIHLTDKGFKMPKKPQRSKEEVNAEKESEAARRQEFNVDPELRNQLVEIFGEEDVLKIESVYRTANKMETAKNFEDKSVRTKIHQLLREAFKNELESVTTDTNTFKIARSNRNSRTNKQEKINQTRDANGVENWGYGPSKDFIHFTLHKENKDTMEAVNVITKLLRVPSRVIRYAGTKDRRAVTCQRVSISKIGLDRLNALNRTLKGMIIGNYNFSDASLNLGDLKGNEFVVVIRDVTTGNSEVSLEEIVSNGCKSLSENGFINYFGMQRFGTFSISTHTIGRELLLSNWKKAAELILSDQDNVLPKSKEARKIWAETKDAALALKQMPRQCLAENALLYSLSNQRKEEDGTYSENAYYTAIMKIPRNLRTMYVHAYQSYVWNSIASKRIELHGLKLVVGDLVIDTSEKSPLISGIDDEDFDEDVREAQFIRAKAVTQEDIDSVKYTMEDVVLPSPGFDVLYPSNEELKQLYVDILKADNMDPFNMRRKVRDFSLAGSYRTVIQKPKSLEYRIIHYDDPSQQLVNTDLDILNNTRAKESGQKYMKAKLDRYMPDKGGEKTAVVLKFQLGTSAYATMALRELMKLETSRRGDMCDVKENI", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MADKEAAFDDAVEERVINEEYKIWKKNTPFLYDLVMTHALEWPSLTAQWLPDVTRPDGKDFSIHRLVLGTHTSDEQNHLVIASVQLPNDDAQFDASHYDSEKGEFGGFGSVSGKIEIEIKINHEGEVNRARYMPQNPCIIATKTPSCDVLVFDYTKHPSKPDPSGECNPDLRLRGHQKEGYGLSWNPNLSGNLLSASDDHTICLWDISAVPKEGKVVDAKTIFTGHTAVVEDVSWHLLHESLFGSVADDQKLMIWDTRSNNTSKPSHSVDAHTAEVNCLSFNPYSEFILATGSADKTVALWDLRNLKLKLHSFESHKDEIFQVQWSPHNETILASSGTDRRLNVWDLSKIGEEQSPEDAEDGPPELLFIHGGHTAKISDFSWNPNEPWVICSVSEDNIMQVWQMAENIYNDEDTEGSVDPEGQGS", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPEVETKIIPNEKEDEDEDGYIEEEDEDFQPEKDKLGGGSDDSDASDGGDDYDDGVNRDKGRNKVDYSRIESESGGLIKTRRARQAEEEYAKTHKYESLTVESIPAKVNSIWEELQEASKNRLLSSSGKVGSVLDGSKEARSTTAAQQEDKILIERNYKFAGETVHEKKWVSRSSAEGQEYLNSLKFKQQAPAAPVQLEKAVRTKSNESRQHLRRPLKRPPLLEQIISGGLRPKLTTLEKSQLDWASYVDRAGLNDELVLHNKDGFLARQEFLQRVGSAEDERYKELRRQQLAQQLQQDSEAS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MYQGPPQPPPQAVPMPYIVNNNTPPYPNGNINFPPTAQQNIPPTVYPQQVPFPGQPQGGQFPQPSSEQQVFNQLPQVTQTFHNSAQNTNATGGPGSGSMPMFTPVASFPHPMATAATAAAPLPRSASQASLSMLRVPYHVRKYLSNLAMLKLYEIINEVNTAMGKIGLLSFWTELISDIFTPDAVIRYSKKSMTDYREFEFIIPVFPVICSTLGRFGIVRMEVKVLQLKTQVLSNSTIFFNCPRVTFVYYYPDGSYITHFSQMKGAFDLDLKINWLDVSMHSFVPDIEWNAVERLLSDDTKSTEIEQIFRKLKQEDVKEQGNSFAENNATNVPPNFEAITQLRSYFDVFRNVSVFGTQEGLMRVMQISTVMSTLKNLRKFQIEKNIDSPVTALSAYIDADKKDSGSEPLHAKRRRNSGISPRTTTLGPNGNSNTSNEELPTSDVNDINKDMTKKKMKF", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSEVHQESEVEYSRWKRERSPERSQRRSQSPPGEQSAYHRERSPLRKRGNYYDDRTRASGPYPTFTKPLIDPYTQTNAVSYERFIRWYSKENHISATTEDLYNSLHGTYNNYKQDLYARTARSFVESHCDEAWFEDSYWVDESQGRVLEVSENEKSYRRALYDKFMDRLDAGYYDDFQLPTAEDVIEKPSIPDNDTDDSILPSNDPQLSKWNQDSRNDAMENTLLVSHVLPNISVAQIHNALDGISFVQHFSLSTINLIKNDERSLWVHFKAGTNMDGAKEAVDGIQLDSNFTIESENPKIPTHTHPIPIFEIASSEQTCKNLLEKLIRFIDRASTKYSLPNDAAQRIEDRLKTHASMKDDDDKPTNFHDIRLSDLYAEYLRQVATFDFWTSKEYESLIALLQDSPAGYSRKKFNPSKEVGQEENIWLSDLENNFACLLEPENVDIKAKGALPVEDFINNELDSVIMKEDEQKYRCHVGTCAKLFLGPEFVRKHINKKHKDWLDHIKKVAICLYGYVLDPCRAMDPKVVSTSYVSLQILNKPYVGFRNINANYTFPTTSYSRRNDEEITSGASSQKSYSRQEPMIHRREFYRTYQDLDAPNQEVPELDY", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MQKRIALSFPEEVLEHVFSFIQLDKDRNSVSLVCKSWYEIERWCRRKVFIGNCYAVSPATVIRRFPKVRSVELKGKPHFADFNLVPDGWGGYVYPWIEAMSSSYTWLEEIRLKRMVVTDDCLELIAKSFKNFKVLVLSSCEGFSTDGLAAIAATCRNLKELDLRESDVDDVSGHWLSHFPDTYTSLVSLNISCLASEVSFSALERLVTRCPNLKSLKLNRAVPLEKLATLLQRAPQLEELGTGGYTAEVRPDVYSGLSVALSGCKELRCLSGFWDAVPAYLPAVYSVCSRLTTLNLSYATVQSYDLVKLLCQCPKLQRLWVLDYIEDAGLEVLASTCKDLRELRVFPSEPFVMEPNVALTEQGLVSVSMGCPKLESVLYFCRQMTNAALITIARNRPNMTRFRLCIIEPKAPDYLTLEPLDIGFGAIVEHCKDLRRLSLSGLLTDKVFEYIGTYAKKMEMLSVAFAGDSDLGMHHVLSGCDSLRKLEIRDCPFGDKALLANASKLETMRSLWMSSCSVSFGACKLLGQKMPKLNVEVIDERGAPDSRPESCPVERVFIYRTVAGPRFDMPGFVWNMDQDSTMRFSRQIITTNGL", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEVSETDEHLTRLNQARDIVKTDQSLFPEIVRNILSVANYSDIRYKKWMANFLWFGFSSKNVKFEQKLDLAVTCLDTIVSLYAVDNEEVKKDVISCSCTIYPLVFLHCCTSPNDSSTWDTLTKLKNEIINDFDKGNKPLLISCIKFISCVILTQVPGIRDPRLVTKSDVSLSKVPTHHPFINSNILRIEANDLIEKIFSILFSDSLNVLYITSVLNILPVLVKRRKELAPKIIGSLLEFHLPNPKDEIELSNESKLAIRCIEKNLKLILLHLAKSTGASSSSVEKIHAYLSGQIYHTKVDESLKKRQYEGNISAASKRVKSSAVQSLVERMQPQLSSHDGLQNNPLISIFASQTAINPLANFDVTSIPVEVATEIVLTSLLKIDKNYFHQQINMLRERVRSLSEPESLGLDQQVDEDEDEDYEPPEVDVQTINASVEREAARLEGSAPSNVVTDAFELPTPDSLSPMAILEYFHGALSRLFDYAPQFERSIVSSSNLQNLTLENVDNTVWDKRHWAILLPRLCTRGLLNYQPVTSGEESGDASFTLSSFVRGQLFTYVASNWRSSTNLILNWLSEEWYNDRLMLENPDCHEYEDVKWEGPQYEKWALKVIDSILPYLEAKDKVFMIFMSELPELTDAIVDKIKFVCLDPDKTKLGFMTFQYLIMFRLTCT", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTQEYDNKRPVLVLQNEALYPQRRSYTSEDEAWKSFLENPLTAATKAMMSINGDEDSAAALGLLYDYYKVPRERRSSAVKPEGEHPEPEHSKRNSIPNVTEQPLISAGENRVQVLKNVPFNIVLPHSNQLGIDKRGHLTAPDTTVTVSIATMPTHSIKTEIQPHGFAVGIPPAVYHSEPTERVVVFDRSLSTDQFSSGTQPPNAQRRTPDSTFSETFKEGVQEVFFPSELSLRMPGMNSEDYVFDNVSGNNFEYTLEASKSLRQKQGDSTMTYLNKGQFYPVTLKEGSSNEGIHHPISKVRSVIMVVFAEDKSREDQLRHWKYWHSRQHTAKQRCIDIADYKESFNTISNIEEIAYNAISFTWDINDEAKVFISVNCLSTDFSSQKGVKGLPLNIQIDTYSYNNRSNKPVHRAYCQIKVFCDKGAERKIRDEERKQSKRKVSDVKVQLLPSHKRTDITVFKPFLDLDTQPVLFIPDVHFTNLQRGSHVLSLPSEELEGEGSVLKRGPFGTEDDFGVPPPAKLTRTEEPKRVLLYVRKESEEVFDALMLKTPSLKGLMEAISDKYDVPHDKIGKIFKKCKKGILVNMDDNIVKHYSNEDTFQLQIEEAGGSYKLTLTEI", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAGAGTAGPASGPGVVRDPMASQPRKRPSREGGEGGEGERRSNTMAGGGGSSDSSGRAASRRASRSGGRARRGRHEPGLGGAAERGAGEARLEEAVNRWVLKFYFHEALRAFRSSRYRDFRQIRDIMQALLVRPLGKEHTVSRLLRVMQCLSRIEEGENLDCSFDMEAELTPLESAINVLEMIKTEFTLTDSMVESSRKLVKEAAVIICIKNKEFEKASKILKKYMSKDPTTQKLRTDLLNIIREKNLAHPVIQNFSYEVFQQKMLRFLESHLDDTEPYLLTMAKKALKSESAASSTMREEKHPEPVEKPLREPPSRQPQNPPATIGIRTLKAAFKALSTAQDSEAAFAKLDQKDLVLANLASPSSPAHKHKRPRKDEHESAAPAEGEGGSDRQPRNSPMTISRLLLEEDSQSTEPSPGLNSSHKAMSASKPRALNQPHPGEKKPKASKDKWNSPNGLEEKEVWLEEDQLFEVQAPGEDRSSSLTRKQKWTIEESEWVKDGVRKYGEGNWAAISKSYPFVNRTAVMIKDRWRTMKKLGMN", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTMNETSAIPARQRENQFFEIGVVGRKTGFTVPRDVKKGDDGFEDMDAYFLSDGSIHLDEDNGDIEQDMPPVTRLQPTSPMAVNAASDEASHASSSDSSDKNPDIPSSPLLMNSRALRASRGSSGPLIVPIDHSAFQAEDEGTADKTKVDGNKLSIQPRKANRIVDFSRIKASPDRKKFEPRRSTELPSKIPSSTPKDDNVQESPAFPDENITALQKNVANFTSIKDSGGRDNLYIQTISKPRRSYVQNNKSEQTIKPSKQNKQKEEKKTISQGNKPNSRDEDSELSIDVPLSMLNRSLANNSQKNKKRTPNKPLQESSINSVKEGESNPVVKRKRGRPRKNKLEIGNSVQTSEATQVKGAKKPAIRNAKKMSNEKDDSLNSQSDSASGEFIKTIARNNLQEIKQVEREDTLVGVRRSKRTRIAPLAFWKNERVVYELHRDENRIPALPEVKQIIRVDDPSPSIRQGRKKRHAKRSGVEIKSNLEAKSNDVEEYDAFYKDEINCEVLSWNEQNPKASEERVVGYSLPSVNLQQISNQQLKFASLFKEEPSFAAGVVEMPAGAEKPVKPSKHNIMSFCILQGKIEVTVNATTFRMKKDGVFIVPRGNYYSIKNIGKEAVRLYYTHATDTLENKRRGIGDFPNER", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNSNNPDNNNSNNINNNNKDKDIAPNSDVQLATVYTKAKSYIPQIEQVYQGTNPNIQEAKLLGELLQVLAEVPKGTHLFCDPILEPISIFSLTIFSFNEEATATWLKNHFNPILSVCDKCILNFARGKCKMLQHFAIQRHVPHEHVAKFNDIVCQWRVEAVFPILRNISVNDNTGINITNEIETAMYECLCNPHMLRLNKQLKATFEAIFKFFYDTKHRLLDVTNPLSIKTFISGVIFCWCEGSKEENEWSRAFLKDLYSRNFHINLSNLTPDIIEEVYIHILFLQNPANWTEIVVSQFWSRLLPVFNLFDKDVFIEYFQVPKNVESLKKTFKFPLEPIFKMWYNHLSKSYHDKPLDFLLRGLTMFLNKFGSEFWSKIEPFTFHSILDIIFNRDSFPIKLIKIQDNPIVEHQTEVYFQLTGSVTDLLSWTLPFYHALSPSKRIQMVRKVSMAFLRIIANYPSLKSIPKACLMNSATALLRAVLTIKENERAMLYKNDEFETVLLTKTDSRALLNNPLIQDIIIRSASNPNDFYPGLGAASASVATSTMMVLAECIDFDILLLCHRTFKLYSGKPISEIPISTNVLENVTNKIDLRSFHDGPLLAKQLLVSLKNINGLLIVPSNTAVAEAHNALNQKFLLLSTRLMEKFADILPGQLSKILADEDASQGFWSCIFSSDKHLYQAATNILYNTFDVEGRLEGILAILNSNLTVNLKNINVMLQRLINCEFYEPCPRAVRVLMDVVSAFVDPISGVFANFQTLKSQNTEKEFLKFWESCWLFLDTIYKFTLKWASKYDYSELENFTKDTLDLSRSLVDSFREFSDILHDQTKNLLLNVLETFKNMLYWLRLSDEVLLESCVRLIISTSDLAHEKHVKVDDSLVEMMAKYASKAKRFSNKLTEQQASEILQKAKIFNKALTEEVATEAENYRKEKELSRLGKVIDLTDSVPASPSLSPSLSSTIASSSAESRADYLQRKALSSSITGRPRVAQPKITSFGTFQSSANAKLHRTKPVKPLSKMELARMQLLNNRVVHPPSAPAFHTKSRGLSNKNDDSSSEESDNDIESARELFAIAKAKGKGIQTVDINGKVVKRQTAAELAKQELEHMRKRLNVDMNPLYEIILQWDYTRNSEYPDDEPIGNYSDVKDFFNSPADYQKVMKPLLLLESWQGLCSSRDREDYKPFSIIVGNRTAVSDFYDVYASVAKQVIQDCGISESDLIVMAYLPDFRPDKRLSSDDFKKAQHTCLAKVRTLKNTKGGNVDVTLRIHRNHSFSKFLTLRSEIYCVKVMQMTTIEREYSTLEGLEYYDLVGQILQAKPSPPVNVDAAEIETVKKSYKLNTSQAEAIVNSVSKEGFSLIQGPPGTGKTKTILGIIGYFLSTKNASSSNVIKVPLEKNSSNTEQLLKKQKILICAPSNAAVDEICLRLKSGVYDKQGHQFKPQLVRVGRSDVVNVAIKDLTLEELVDKRIGERNYEIRTDPELERKFNNAVTKRRELRGKLDSESGNPESPMSTEDISKLQLKIRELSKIINELGRDRDEMREKNSVNYRNRDLDRRNAQAHILAVSDIICSTLSGSAHDVLATMGIKFDTVIIDEACQCTELSSIIPLRYGGKRCIMVGDPNQLPPTVLSGAASNFKYNQSLFVRMEKNSSPYLLDVQYRMHPSISKFPSSEFYQGRLKDGPGMDILNKRPWHQLEPLAPYKFFDIISGRQEQNAKTMSYTNMEEIRVAIELVDYLFRKFDNKIDFTGKIGIISPYREQMQKMRKEFARYFGGMINKSIDFNTIDGFQGQEKEIILISCVRADDTKSSVGFLKDFRRMNVALTRAKTSIWVLGHQRSLAKSKLWRDLIEDAKDRSCLAYACSGFLDPRNNRAQSILRKFNVPVPSEQEDDYKLPMEYITQGPDEVKSNKDTKKRRVVDEGEEADKAVKKKKKEKKKEKKKSKADDKKKNNKKAESPSTSSGTKKKSSIFGGMSVPSAVVPKTFPDVDSNKKAAAVVGKKKNNKHVCFSDDVSFIPRNDEPEIKVTRSLSSVLKEKQLGLKETRTISPPEISNNEDDDDEDDYTPSISDSSLMKSEANGRNNRVASHNQNFSASIYDDPQVSQAKQTQVPAAITKHRSSNSVLSGGSSRILTASDYGEPNQNGQNGANRTLSQHVGNANQYSTAPVGTGELHETLPAHPQDSYPAEAEDPYDLNPHPQPQSSAFKGPGSGPTGTRNSSRRNASSSPFIPKKRKPRS", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKKDEKIGLTGRTIYTRSLAASIPASVEQETPGLRRSSRGTPSTKVITPASATRKSERLAPSPASVSKKSGGIVKNSTPSSLRRSNRGKTEVSLQSSKGSDNSIRKGDTSPDIEQRKDSVEESTDKIKPIMSARSYRALFRGKLKESEALVDASPNEEELVVVGCSRRIPAGNDDVQGKTDCPPPADAGSKRLPVDETSLDKGTDFPLKSVTETEKIVLDASPIVETGDDSVIGSPSENLETQKLQDGKTDCSPPANAESKTLPVGETSLEKEYPQKFQDDNTDCLPPANAESKRLPVGETSLEKDTDFPLKSTTETGKMVLYASPIVETRDDSVICSPSTNLETQKLLVSKTGLETDIVLPLKRKRDTAEIELDACATVANGDDHVMSSDGVIPSPSGCKNDNRPEMCNTCKKRQKVNGDCQNRSVCSCIVQPVEESDNVTQDMKETGPVTSREYEENGQIQHGKSSDPKFYSSVYPEYWVPVQLSDVQLEQYCQTLFSKSLSLSSLSKIDLGALEETLNSVRKTCDHPYVMDASLKQLLTKNLELHEILDVEIKASGKLHLLDKMLTHIKKNGLKAVVFYQATQTPEGLLLGNILEDFVGQRFGPKSYEHGIYSSKKNSAINNFNKESQCCVLLLETRACSQTIKLLRADAFILFGSSLNPSHDVKHVEKIKIESCSERTKIFRLYSVCTVEEKALILARQNKRQNKAVENLNRSLTHALLMWGASYLFDKLDHFHSSETPDSGVSFEQSIMDGVIHEFSSILSSKGGEENEVKLCLLLEAKHAQGTYSSDSTLFGEDHIKLSDEESPNIFWSKLLGGKNPMWKYPSDTPQRNRKRVQYFEGSEASPKTGDGGNAKKRKKASDDVTDPRVTDPPVDDDERKASGKDHMGALESPKVITLQSSCKSSGTDGTLDGNDAFGLYSMGSHISGIPEDMLASQDWGKIPDESQRRLHTVLKPKMAKLCQVLHLSDACTSMVGNFLEYVIENHRIYEEPATTFQAFQIALSWIAALLVKQILSHKESLVRANSELAFKCSRVEVDYIYSILSCMKSLFLEHTQGLQFDCFGTNSKQSVVSTKLVNESLSGATVRDEKINTKSMRNSSEDEECMTEKRCSHYSTATRDIEKTISGIKKKYKKQVQKLVQEHEEKKMELLNMYADKKQKLETSKSVEAAVIRITCSRTSTQVGDLKLLDHNYERKFDEIKSEKNECLKSLEQMHDVAKKKLAEDEACWINRIKSWAAKLKVCVPIQSGNNKHFSGSSNISQNAPDVQICNNANVEATYADTNCMASKVNQVPEAENTLGTMSGGSTQQVHEMVDVRNDETMDVSALSREQLTKSQSNEHASITVPEILIPADCQEEFAALNVHLSEDQNCDRITSAASDEDVSSRVPEVSQSLENLSASPEFSLNREEALVTTENRRTSHVGFDTDNILDQQNREDCSLDQEIPDELAMPVQHLASVVETRGAAESDQYGQDICPMPSSLAGKQPDPAANTESENLEEAIEPQSAGSETVETTDFAASHQGDQVTCPLLSSPTGNQPAPEANIEGQNINTSAEPHVAGPDAVESGDYAVIDQETMGAQDACSLPSGSVGTQSDLGANIEGQNVTTVAQLPTDGSDAVVTGGSPVSDQCAQDASPMPLSSPGNHPDTAVNIEGLDNTSVAEPHISGSDACEMEISEPGPQVERSTFANLFHEGGVEHSAGVTALVPSLLNNGTEQIAVQPVPQIPFPVFNDPFLHELEKLRRESENSKKTFEEKKSILKAELERKMAEVQAEFRRKFHEVEAEHNTRTTKIEKDKNLVIMNKLLANAFLSKCTDKKVSPSGAPRGKIQQLAQRAAQVSALRNYIAPQQLQASSFPAPALVSAPLQLQQSSFPAPGPAPLQPQASSFPSSVSRPSALLLNFAVCPMPQPRQPLISNIAPTPSVTPATNPGLRSPAPHLNSYRPSSSTPVATATPTSSVPPQALTYSAVSIQQQQEQQPQQSLSSGLQSNNEVVCLSDDE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSENKDKNNILKRHIEEDNNIDNGKRKKLELGKDMEDVHDIASKEMEEHETTPIISQNLYLDTINRKLLDFDFEKVCSVSLTNLSVYACLVCGRYFQGRGPSSHAYFHALTENHHVFVNCSTLKFYVLPESYQVESSALQDIAYVMRPTFTKLEVQRLDHTPQLSYDLMLKPYVPGFVGMNNIKNNDYFNVVIHMLAHVKPFRNYFLLKNFDNCPQLVQRLAILIRKLWNHKAFKSHVSPQELIQEVTVLSHKKYSINEQKDPVEFLSWFLNTLHNCLGGKKSTIAKPTSIVHYSFQGFVRIESQKIRQHAEKGEQVVFTGDRVIQTNVVPFLYLTLDLPPKPIFQDEFEGNIIPQVELKEILNKYNGVHTQELAGMRRRFHLMTAPPYFIFHIKRFMKNNYFTERNQTIVTFPLDDFDMSPFIDDSFIQSNPKISTKYNLVANIIHESVTHAEEEFHNFRIQIRNPSTNKWYQIQDLYVEEISSDMIRLGESFIQLWERSS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGKKQNKKKVEEVLEEEEEEYVVEKVLDRRVVKGKVEYLLKWKGFSDEDNTWEPEENLDCPDLIAEFLQSQKTAHETDKSEGGKRKADSDSEDKGEESKPKKKKEESEKPRGFARGLEPERIIGATDSSGELMFLMKWKNSDEADLVPAKEANVKCPQVVISFYEERLTWHSYPSEDDDKKDDKN", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGDGLDAVQMSGSSSSQGQPSSQAPSSFNPNPPETSNPTRPKRQTNQLQYLLKVVLKSLWKHQFAWPFHAPVDAVKLNLPDYYKIIKNPMDMGTIKKRLESAFYTSAQECIQDFNTMFTNCYIYNKPGDDIVLMAEALEKVFLTKISEMPQQEVEISTTAGKGRGRGRRDPDMNMKVGPVLEPLTASPQTRGLSNLTPGPQTRGPPQGPPTLPPQPIVQIQALPPRVPPSLPTIPLHAPQLGPPFSLGPTDCNPPAPIITAVPPPTQTALPPVHIQQSAAPPILQTPISIPNKRKSQKRKADTTTPTANDQLNESSPAESKSGKTLPRRDNTRPSKLPKKEAPDSQHHWTAAPGTPSPKQQEQLRYCSGIVKDMFAKKHAAYAWPFYKPVDVDTLGLHDYHDIIKHPMDLSTIKDKLETRQYREAQEFAADVRLMFSNCYKYNPPDHEVVAMARKLQDVFEMRFAKMPDEPEEMLAPAPAPVLHPAPVKTQPVMATASSSDTSSDSSSESESSTDDSEEERAQRLAELQEQLKAVHEQLAALSQPQASKPKKKEKEKKEKKKDKHKKKAGVMPALEEILEPPPALKPQGKPKNKDPLPKKSKKLSKKEGGKSNRSMAPPGAAPPTLQPVPGLDTEEDLGLTGGAAMAGMAAGEKCKPMSYEEKRQLSLDINKLPGDKLGRVVHIIQSREPSLKNSNPDEIEIDFETLKPSTLRELERYVSSCLRKKKKPAVPEKSMEAISAVKTKGTSSDSGSSSESSSSESEDSETGMASKPKKRGRGEGKKAHHQTTAPGMPLPQVPLQPQTPALQPSIQLKQQQPQHPSPAAYMPPPVTALEPSQLLENPFDPLAHFMHLPHHANDSSSPAPPHLNAHPPGGPVSPETHPFLNQHPILPSPALHNALPQQPSRPSNRAAPLPPKPLQQSTSQQQPPPQQTLVPPQQLQPQQQQPAPPQQQHLPHHPLHAPQQMRPRPLSPPTLTPQGLLSSQPPQMLLEDDEEPVPSMSLPMYLQHLQPNRLQATPTSLMQSLQSRPQPPGQPSLLQSVQVQSHLPPPQLPVQTQVQPQQPAPHQPSPQLSQHQARHMQQLGFPQGPLQTAQTQPGQHKVSMPSTKAQQIIQQQQATQHHSPRQHKADSYNSAHLRDNPSPLMMHSPQIPQYSLVHQSPSQDKKEPQRGPSALGGIKEEKLPPSPVMRGEPFSPAMRPESHKHPDSKPTMPGHSQQRADMKPLEMSRPVIRSSEQSGPPPSMQDKEKFKQEPKTPSAPKKVQDVKFKNMGSWASLAQKSSTTPSSGLKSSSDSFEQFRRAAREKEEREKALKAQVEQAEKDRLRKEQEKLRGRDEEDSIEPPRRPLEEPRRRQEPQQVQPPPQQHQTQAQAQTLNPAQSPSASQPTQAPPQSPASSQSALDQQREMARRREQERRRREAMAATIDMNFQSDLMAIFEENLF", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "ARRRHSMKKKRKSVRRRKTRKNQRKRKNSLGRSFKQHGFLKQPPRFRP", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVKMTKSKTFQAYLPHCHRTYSCIHCRAHLANHDELISKSFQGSQGRAYLFNSVVNVGCGPAEERVLLTGLHAVADIYCENCKTTLGWKYEHAFESSQKYKEGKFIIELAHMIKDNGW", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSNSSIVPTYNGYIHNTRDALAVIQQVLDKQLEPVSRRPHERERGVLIVSGSVFVFIEQSSGIKRWTDGISWSPSRIQGRFLVYGELDKKNLIDKDKKKKKKRKFGPDDEYDHNVNEPDYTGGYGNHLHNDNRSHLNKNSRSGPMLLATGTGSITHTVIENKPSSSASMIHSNVIPASSSFLTDYRTSLGNGPMVSAAISQNGLVKKTITLTTTTKELHMEGKAEKQTIHLISYYSKQDIDSGKLQRPSESDLKHVQISPALWTMVQENSLGGKAPIDDEECFIVDGHNQYTNVSYIQQQQQQHQLQHQPLLHHSSSVAGSTTSIVNNSLSISNGGYGNNYSKNLSRSYNKYSNSQVSQLSYMLPPQTESSSTATIASGASVSVKREDDTNNGSNAPTGNENQYVNAINHSHTSSYGGQGYATDATGIATPAYNSYSQANTSINTSSQQQQQLQQGQYGQYVQYGVAPSTISGATSTNNNSGNAPNIPQDVYYSHYTGFVQPHYPQYHIATGNASDQYNTNAANHQYHSNNTTSSANNNSSSRTTGVGSKRKPSIVSNSTSGSVSGGNGNGNNYGYNSNSSTSTNRPPAVSTNTTSTTSGGSSFSGPSSNITTNSMSNNPWFNSSTNMAVNSNYITSSGGGNSHGGIGNNEYEPMPMTNNSASIPAYYQQHVPSHVGSAQQHQSQQQVAGVGAPHIIHNHPYLHPTYGQGSNSASTGDNSTPGGSSGSGSGGSGNNGAGGSSSVAATSGVTSSNTSGNIVTNGTLVAAGTDDAVGNSSGSYYTGT", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGRVARKRKHHSNGNHALFRTRVYGRDLDQIHNDLTESEKFDKLPIDPDLPGLGQHYCIECARYFDSSQALLVHKKGKVHKRRLKNLREEPYTQEEAEAAVNIGQPKQSVASKLADNSNVVMAD", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPRVYIGRLSYNVREKDIQRFFSGYGRLLEVDLKNGYGFVEFEDSRDADDAVYELNGKELCGERVIVEHARGPRRDRDGYSYGSRSGGGGYSSRRTSGRDKYGPPVRTEYRLIVENLSSRCSWQDLKDFMRQAGEVTYADAHKERTNEGVIEFRSYSDMKRALDKLDGTEINGRNIRLIEDKPRTSHRRSYSGSRSRSRSRRRSRSRSRRSSRSRSRSISKSRSRSRSRSKGRSRSRSKGRKSRSKSKSKPKSDRGSHSHSRSRSKDEYEKSRSRSRSRSPKENGKGDIKSKSRSRSQSRSNSPLPVPPSKARSVSPPPKRATSRSRSRSRSKSRSRSRSSSRD", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSSDDAIIIRCPFDCEFAGDSISFISHLTTIHNSSVQTLAKNAYLCASEIANELNQNPNLKDQEILLLQIAQENSLKRVLRQQQKERREGKIKSLQCLFCNNEGLLNRQEWFEHSFHVHGLNIGLADNIVYINRLLEKIKNELESFRCLCCHVPCKNKKLLREHMNNKRHFRLDPKSSEYDEFYIINYASVTKSITISHSQFAINEDINETDDTISDINDEDAEPLSVECIFCTNFYEPVFCFEHCKIVHDWDIKKIQKDYSLDVYGAIRVINYSRKTKKKSIPAETDSFWKEPGWLIPVVPDDALIICLSEVIEDPRL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEAHNQFLKTFQKERHDMKEAEKDEILLMENSRRFVMFPIKYHEIWAAYKKVEASFWTAEEIELAKDTEDFQKLTDDQKTYIGNLLALSISSDNLVNKYLIENFSAQLQNPEGKSFYGFQIMMENIYSEVYSMMVDAFFKDPKNIPLFKEIANLPEVKHKAAFIERWISNDDSLYAERLVAFAAKEGIFQAGNYASMFWLTDKKIMPGLAMANRNICRDRGAYTDFSCLLFAHLRTKPNPKIIEKIITEAVEIEKEYYSNSLPVEKFGMDLKSIHTYIEFVADGLLQGFGNEKYYNAVNPFEFMEDVATAGKTTFFEKKVSDYQKASDMSKSATPSKEINFDDDF", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPSKRKRNPLQYQTSGSLDEETNQRSAFPQIDNNSASESLEYDIPLDGLDYLATVREEARKLVPFVAARREPETRETIPLRKLEIEAGKKSFDPFLRYLLNIIDKEGERLEQYMESSSLDASILPKNLQQWRVYIEHKAPCWAILAVVDLATVLEILESLSSWLEKDAIDLQSQWIFCFCYKLPELLNGEDISTLRSVLKSLRSTHTSFPALQMSASALQAVLVYRYGQKDLFQT", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDIELPYLAEYARTGRATCKGCKSTISKDTLRIAVMVQSAFHDAKVPNWFHKTCFFKNQRPSSVGDIQNIGNLRFADQKELTDLVENIQEVISAQLGKKRSKAFNLALKDFGIEYAKSSRSTCRGCEQKINKDLVRLRKTVYDTEVGMKYGGQPLWHHLECFAQLRSELGWFASGEDMPGFQSLADDDQAKVKNAIPPIKSEELPDTKRAKMELSDTNEEGEKKQRLKDQNDAYFRFRDDIKNKMKKKDIDILLKFNNQQPVTGDTEKLFDQTADLLTFGAIESCSECNSCQFIVNKSGYICNGNHSEWTKCNKLLKEPTRSACIVPKELKALYNFLNTVKEIPSTRIFNNFPPNKSTFSRSLLKTNKNNDVLVRPTIPRISPPLYNLKFSIIGLKNQHKELRKRIENLGGKFEVKISENTIAIISTELEIQKKSTRMKFAEELGIHIVPIEFLDFVEADTEGAIKYINSTCICSWGTDPKSRIPKETTKSLNSNSIYTKSMPVSRTFKVKDGLAVDPDSGLEDIAHVYVDSNNKYSVVLGLTDIQRNKNSYYKVQLLKADKKEKYWIFRSWGRIGTNIGNSKLEEFDTSESAKRNFKEIYADKTGNEYEQRDNFVKRTGRMYPIEIQYDDDQKLVKHESHFFTSKLEISVQNLIKLIFDIDSMNKTLMEFHIDMDKMPLGKLSAHQIQSAYRVVKEIYNVLECGSNTAKLIDATNRFYTLIPHNFGVQLPTLIETHQQIEDLRQMLDSLAEIEVAYSIIKSEDVSDACNPLDNHYAQIKTQLVALDKNSEEFSILSQYVKNTHASTHKSYDLKIVDVFKVSRQGEARRFKPFKKLHNRKLLWHGSRLTNFVGILSHGLRIAPPEAPPTGYMFGKGIYFADMVSKSANYCCTSQQNSTGLMLLSEVALGDMMECTSAKYINKLSNNKHSCFGRGRTMPDPTKSYIRSDGVEIPYGETITDEHLKSSLLYNEYIVYDVAQVNIQYLFRMEFKYSY", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFQGQRGWFCGSVSQDLRQIWEDEGGMVSDVKAADFLFSCDASHPDTLRIYQSLEYIEDNATVFHAYYLAAIANTEMKNSVALGHFVLPPACLQKEIRRKIGSFIWEQDEKFQIEKHDRMASSDKENIRPTPEHKQELSKSAEHHLTRTPVIEKQMCFPLHSYPVNNMVTGYISIDALEKFLGELHDFTPGSSGYLAYHIQDEINMSAIKNKLRRKLS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTKHPPNRRGISFEVGAQLEARDRLKNWYPAHIEDIDYEEGRVLIHFKRWNHRYDEWFCWDSPYLRPLEKIQLRKEGLHDEDGSSEFQINQQVLACWSDCRFYPARVTAVNKDGTYTVKFYDGVVQTVKHIHVKAFSKDQNIVGNARPKETDHKSLSSSPEKREKFKEQRKVTVNVKKDKVEKALKTEKRPKQPDKEGKLICSEKGKVSEKSLPKNEKEDKENISENEREYSGDAQVEKKPEKDLVKNPQENLKEPKRKRGRPPSITPTAVDSNSQTLQPITLELRRRKISKRSDTPLKRPRLDKNSPQEQSKKRSENSDKDLSRRRSSRLSTNGTREILDPDSIVPDLVHTVDTNPLPDKSPSAKDSAEGQLKSPLEAGQVSSALTCHPIGDGLGAADLELNCKSMGENTMKTEPVSPLAEVQEVSTVEVPNTLKKVDDSVTLNVPAVDLDHKFRCKVLDCLKFFRKAKLLHYHMKYFHGMEKSPEPEEGPGKTHVQTRGSAVPDKTSQESLTRKRVSASSPTAKEKEKTKEKKFKELVRVKPKKKKKKKKKTKPECPCSEDISDTSQEPSPPKTFAVTRCGSSHKPGVHMSPQLHGSDNGNHKGKLKTCEEDNLSESSSESFLWSDEEYGQDVDVTTNPDEELEGDDRYDFEVVRCICEVQEENDFMIQCEECQCWQHGVCMGLLEENVPEKYTCYVCQDPPGQRPGFKYWYDKEWLSRGHMHGLAFLDQNYSHQNARKIVATHQLLGDVQRVIQVLHGLQLKMSILQSREHPDLQLWCQPWKQHSGEGRAHPRHIHITDARSEESPSYRTLNGAVEKPSPLPRSVEESYITSEHCYQKPRAYYPAVEQRLVVETRGSALDAAVSPLCENGDDSLSPRLGWPIDQDRSRGDIDPKPSSPKVREYISKNVLPEETPARKLLDRGGEGLVSSQHQWQFNLLTHVESLQDEVTHRMDSIEKELDVLESWLDYTGELEPPEPLARLPQLKHCIKQLLTDLGKVQQIALCCST", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MADFQDELLALAGIDDSDVASNRKRAHDDLDDVLSSSSDEDNNENVGQDYAEESGGEGNEKSEDEFEEKFKNPYRLEGKFKDEADRAKIMAMTEIERESILFEREEEISKLMERRELAIRLHQQNAQYMAQSTRRSTRDKPLTSAAAGKRDKLTELKKRRQERSARSVSERTRKRSPVSDYEEQNESEKSEEEEGYSPSYAEEKVEQVSKDNASANLYDLNAIRLGRKHVAEYMYHPIFESTVTGCFVRVKIGERDGQGVYRLCQVKGILESRKPYRVDGVLTKVSLECFHGRSKRVFDVNVLSNEPFSDHDFQRWHHQMMEDKLSMPSKNFVQRKLNDLRDMSKYVLSEKEVSDIINRKKELSRVPSNIAAEKTRLRQRRQAAYVAGNAELVKEIDDQLNTLEELSMGSNQNSNSAMDQLAKVNERNRRRNHTEIRLAEQRMNEERRRLSAAATATPMSAPTSVLTGTSPQPSPSLSTSIMSTPKLNPSESVVVASEKASSPDLSPKLLPSESQIFDEGIAVTQTPNTLEDKDFKLHEKAVHGIDDIIATVDFGIDINI", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKPVFCGNFEYDAREGDLERLFRKYGKVERVDMKAGFAFVYMEDERDAEDAIRALDRFEFGRKGRRLRVEWTKSERGGDKRSGGGSRRSSSSMRPSKTLFVINFDADNTRTRDLEKHFEPYGKIVNVRIRRNFAFIQYEAQEDATRALDASNNSKLMDKVISVEYAVKDDDARGNGHSPERRRDRSPERRRRSPSPYKRERGSPDYGRGASPVAAYRKERTSPDYGRRRSPSPYKKSRRGSPEYGRDRRGNDSPRRRERVASPTKYSRSPNNKRERMSPNHSPFKKESPRNGVGEVESPIERRERSRSSPENGQVESPGSIGRRDSDGGYDGAESPMQKSRSPRSPPADE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSEQGNGSNPSTSPEVEGTKTIPFRRRLQRGQRVFAPKLMEALRRSRVSSEEAPVRHLSRRWRATTAQKVYSLKLYDALQRSRRSATVRDTADKVLATTARGATRWSRAILVSRFGTSLRRRRNTKPASALAAAIRGSGGSGRRRKLSAVGNRVRVLGGLVPGCRRTALPELLDETADYIAALEMQVRAMTALSKILSELQPSTNLGSAL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAAADGALPEAAALEQPAELPASVRASIERKRQRALMLRQARLAARPYSATAAAATGGMANVKAAPKIIDTGGGFILEEEEEEEQKIGKVVHQPGPVMEFDYVICEECGKEFMDSYLMNHFDLPTCDNCRDADDKHKLITKTEAKQEYLLKDCDLEKREPPLKFIVKKNPHHSQWGDMKLYLKLQIVKRSLEVWGSQEALEEAKEVRQENREKMKQKKFDKKVKELRRAVRSSVWKRETIVHQHEYGPEENLEDDMYRKTCTMCGHELTYEKM", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPLNLLKHKSWNVYNEKNIERVRRDEELARLSADKEQAKNDLEESKRRIARLRGTVYEEDSKETEPKVEFANFWAEQEEKERKRQKVYSENRHDLEIMKERHGLGPLPWYMKTDKISIDETSNNMSSKYRAPQDDPMFLVEKLLSNRKTKNPESDRRRQSRKKKSTQIQASDEMKHRRHHVHKVHHYSQKQSSSTTRR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVQQRGARAKRDGGPPPPGPGPAEEGAREPGWCKTPSGHIKRPMNAFMVWSQHERRKIMDQWPDMHNAEISKRLGRRWQLLQDSEKIPFVREAERLRLKHMADYPDYKYRPRKKSKGAPAKARPRPPGGSGGGSRLKPGPQLPGRGGRRAAGGPLGGGAAAPEDDDEDDDEELLEVRLVETPGRELWRMVPAGRAARGQAERAQGPSGEGAAAAAAASPTPSEDEEPEEEEEEAAAAEEGEEETVASGEESLGFLSRLPPGPAGLDCSALDRDPDLQPPSGTSHFEFPDYCTPEVTEMIAGDWRPSSIADLVFTY", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MFLYNLTLQRATGISFAIHGNFSGTKQQEIVVSRGKILELLRPDPNTGKVHTLLTVEVFGVIRSLMAFRLTGGTKDYIVVGSDSGRIVILEYQPSKNMFEKIHQETFGKSGCRRIVPGQFLAVDPKGRAVMISAIEKQKLVYILNRDAAARLTISSPLEAHKANTLVYHVVGVDVGFENPMFACLEMDYEEADNDPTGEAAANTQQTLTFYELDLGLNHVVRKYSEPLEEHGNFLITVPGGSDGPSGVLICSENYITYKNFGDQPDIRCPIPRRRNDLDDPERGMIFVCSATHKTKSMFFFLAQTEQGDIFKITLETDEDMVTEIRLKYFDTVPVAAAMCVLKTGFLFVASEFGNHYLYQIAHLGDDDEEPEFSSAMPLEEGDTFFFQPRPLKNLVLVDELDSLSPILFCQIADLANEDTPQLYVACGRGPRSSLRVLRHGLEVSEMAVSELPGNPNAVWTVRRHIEDEFDAYIIVSFVNATLVLSIGETVEEVTDSGFLGTTPTLSCSLLGDDALVQVYPDGIRHIRADKRVNEWKTPGKKTIVKCAVNQRQVVIALTGGELVYFEMDPSGQLNEYTERKEMSADVVCMSLANVPPGEQRSRFLAVGLVDNTVRIISLDPSDCLQPLSMQALPAQPESLCIVEMGGTEKQDELGERGSIGFLYLNIGLQNGVLLRTVLDPVTGDLSDTRTRYLGSRPVKLFRVRMQGQEAVLAMSSRSWLSYSYQSRFHLTPLSYETLEFASGFASEQCPEGIVAISTNTLRILALEKLGAVFNQVAFPLQYTPRKFVIHPESNNLIIIETDHNAYTEATKAQRKQQMAEEMVEAAGEDERELAAEMAAAFLNENLPESIFGAPKAGNGQWASVIRVMNPIQGNTLDLVQLEQNEAAFSVAVCRFSNTGEDWYVLVGVAKDLILNPRSVAGGFVYTYKLVNNGEKLEFLHKTPVEEVPAAIAPFQGRVLIGVGKLLRVYDLGKKKLLRKCENKHIANYISGIQTIGHRVIVSDVQESFIWVRYKRNENQLIIFADDTYPRWVTTASLLDYDTVAGADKFGNICVVRLPPNTNDEVDEDPTGNKALWDRGLLNGASQKAEVIMNYHVGETVLSLQKTTLIPGGSESLVYTTLSGGIGILVPFTSHEDHDFFQHVEMHLRSEHPPLCGRDHLSFRSYYFPVKNVIDGDLCEQFNSMEPNKQKNVSEELDRTPPEVSKKLEDIRTRYAF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSDINEIEIPSRKDEIRQVTPKDPMHEIEDKSTYHAKIKKSDSGTVLGAIPLNSRSSSNSSVTSTGQSSRRVTKKTTKKKKKNACYFDTCSSAASKFIGDCNFCKGHFCSKHRLMENHACNGLTSCKEQLHQRNADKLEAEQTKAPKIQI", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAKRPLGLGKQSREKKRKVESVEKKSDEPSRESTPVRSQMSVELDDDADLDDELAQLKGLWSKYFHSDRDDEYVLNGIVHECDRLLRLSEEDKEIKKTLNDIFHGIYALALSELTIFKAGDEEATEEKRKKDVSSFFESAIERVELGLSHFPESQFLKLVLAKIIFQRIPLEYISNLHLKSKDKKLDLVGQLEHGKKHFSIYENDTEFTFEILQMVNDLLDIVENFGREQSIQEGIDSDNEEEEELIDIELEPEHPVYPLQQSLEANYEWLRNHFDKLLDNTNTDVKIYASIANTLGELYLKKAEEPSKVFLSLQYDDGGSEKVSDKEAKNAQETALKHTKKALEYLEKAKLEDDPDTWVQVAEAYIDLGNLLDNESAEQEEAYKTAEEILGKANKASHGKFQDVLDNFLQG", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MYKLQVVLVPPSLQATMPIQFGYGPTIAESSQLLPNRTNMAQSAGDASLQYANLRSANVSFTPSYFNQSRFRKFLLFTKPTNTLLNLSDEIIDKCEKMYPSLQEDIEILSLQDNSGCDLDPDFLVKDVFNVNNIVRVILKNEIDLDDSAPVSLYKSVKRSKLNNGSPQSVQPQQQIPSSSGVLRIAKKRPPTGTTTTTTIRSATNGSMRVSTPLARQIYPPPSSKIVSNNSDDEDEDIGERSFLPPPTQPQSPPIRISSGIDAGKKIKSSIVEEDIVSRSATVDPDKTKQQRLLSGTPIMSTMTPNRVTLTGQRVVSEHAHKNELVFSASASSSSFANGGTAAVTAQDINRKPPVTTPRITSGMLKIPEPRISEIEKELKEGPSSPASILPAKAAKIPMKKPYLENGENYESDDSSSSENQETPETEPHSKASLQRSQSSIADNNGSPVKNSPLGDAMPHNVHLAELPKASNTSITKSSNGESWGKQQEHQPPRKSSLETIVEKKSQAEPSGIVEPKRMTNFLDDNQVREKEDTNDKLLEKEILPTIPHNDQPILASSDKSNGTLKSLAGKVSSNNNASKEDGTIINGTIEDDGNDNDEVDTTVRIVPQDSDSSSFPKSDLFKMIEGDDTDLPQWFKGKNSRTSGNSKNSKPYTTVLNKDIDNSKPDPRNILPQRTPRSAAKRAAQLLAGAKKNEVPQKSTEDSSSAASTDDESESGIETDFSSDDDFKRKNMSVPNNGPKDISLHSLKGSVVPVKDSKIINKEVDEERNDKRDSQKKSAVSESSVTNSKISEQMAKSFYPNSNKKQNEATKVETKPATQASSFPVVGGSPSVATKGTTSFNEEGNRKNVKTKAKNESAQIDRQQKETTSRVADLKSANIGGEDLNKKAEGSKEPEKASANIQDANDKNNSKEKEDSKSKQVSQKKLKMTDHLKEGNVQLPKPSANDKLKDLKAKFTNSKTLVPPGIISNEKNNSSANDDDSSSSGSSTEDESSSSSSSSDEETSTSRKARRVVVNTPREPVRSSSKIEAPSPSVNKKINATPDKIPVTQLMDMSSPPSVKSKTTSNPSSILHDLPRKVRPSLSSLSDLVSRGIPDVKEKTSKSNEKSQTKAPSSSDDESSSDSDSNSSSDSVSDSSSDSKSESDSDDSGDSSDDGKSFISAKSASAALGKKKKPSGGFASLIKDFKKK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEVKGKKQFTGKSTKTAQEKNRFHKNSDSGSSKTFPTRKVAKEGGPKVTSRNFEKSITKLGKKGVKQFKNKQQGDKSPKNKFQPANKFNKKRKFQPDGRSDESAAKKPKWDDFKKKKKELKQSRQLSDKTNYDIVVRAKQMWEILRRKDCDKEKRVKLMSDLQKLIQGKIKTIAFAHDSTRVIQCYIQYGNEEQRKQAFEELRDDLVELSKAKYSRNIVKKFLMYGSKPQIAEIIRSFKGHVRKMLRHAEASAIVEYAYNDKAILEQRNMLTEELYGNTFQLYKSADHRTLDKVLEVQPEKLELIMDEMKQILTPMAQKEAVIKHSLVHKVFLDFFTYAPPKLRSEMIEAIREAVVYLAHTHDGARVAMHCLWHGTPKDRKVIVKTMKTYVEKVANGQYSHLVLLAAFDCIDDTKLVKQIIISEIISSLPSIVNDKYGRKVLLYLLSPRDPAHTVREIIEVLQKGDGNAHSKKDTEVRRRELLESISPALLSYLQEHAQEVVLDKSACVLVSDILGSATGDVQPTMNAIASLAATGLHPGGKDGELHIAEHPAGHLVLKWLIEQDKKMKENGREGCFAKTLVEHVGMKNLKSWASVNRGAIILSSLLQSCDLEVANKVKAALKSLIPTLEKTKSTSKGIEILLEKLST", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEKEELNKLNHLSLVSNVCNELETHLGSAEKVLAEFIIDLGRHSETVDEFDKNLKEAGAEMPDYFVRSLLTTIHGIYPPKPKSEKKKEEGDDQKFKGLAIKDTKDKVKELEKEIEREAEERRREEDRNRDRDRRESGRDRDRDRNRDRDDRRDRHRDRERNRGDEEGEDRRSDRRHRERGRGDGGEGEDRRRDRRAKDEYVEEDKGGANEPELYQVYKGRVTRVMDAGCFVQFDKFRGKEGLVHVSQMATRRVDKAKEFVKRDMEVYVKVISISSDKYSLSMRDVDQNTGRDLIPLRKPSDEDDSSRSNPSYRTKDGQVTKTGISGIRIVEENDVAPSRRPLKKMSSPERWEAKQLIASGVLRVDEFPMYDEDGDGMLYQEEGAEEELEIEMNEDEPAFLQGQTRYSVDMSPVKIFKNPEGSLSRAAALQSALTKERREMREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAFGKTPTFGQRSKLSIQEQRESLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTKGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILIDENLSQYSVIMLDEAHERTIHTDVLFGLLKKLMKRRLDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPETDYLDAALITVLQIHLTEPEGDILVFLTGQEEIDSACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFDPPPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKQNVYNPKQGLESLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMPPTSIPEIQRINLGMTTLTMKAMGINDLLSFDFMDPPQPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLEPPLSKMLLASVDLGCSDEILTMIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFIQSRSLRRAQDVRKQLLSIMDKYKLDVVTAGKNFTKIRKAITAGFFFHGARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHDLVMTTKEYMREVTVIDPKWLVELAPRFFKVSDPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEGERKNNNKRWYFTREQLENSPSRRFGVDPDKELSYRQQAANLLQDMGQRLNVSQLTINTAIVYMHRFYMIQSFTQFPGNSVAPAALFLAAKVEEQPKKLEHVIKVAHTCLHPQESLPDTRSEAYLQQVQDLVILESIILQTLGFELTIDHPHTHVVKCTQLVRASKDLAQTSYFMATNSLHLTTFSLQYTPPVVACVCIHLACKWSNWEIPVSTDGKHWWEYVDATVTLELLDELTHEFLQILEKTPNRLKRIWNWRACEAAKKTKADDRGTDEKTSEQTILNMISQSSSDTTIAGLMSMSTSTTSAVPSLPVSEESSSNLTSVEMLPGKRWLSSQPSFKLEPTQGHRTSENLALTGVDHSLPQDGSNAFISQKQNSKSVPSAKVSLKEYRAKHAEELAAQKRQLENMEANVKSQYAYAAQNLLSHHDSHSSVILKMPIEGSENPERPFLEKADKTALKMRIPVAGGDKAASSKPEEIKMRIKVHAAADKHNSVEDSVTKSREHKEKHKTHPSNHHHHHNHHSHKHSHSQLPVGTGNKRPGDPKHSSQTSNLAHKTYSLSSSFSSSSSTRKRGPSEETGGAVFDHPAKIAKSTKSSSLNFSFPSLPTMGQMPGHSSDTSGLSFSQPSCKTRVPHSKLDKGPTGANGHNTTQTIDYQDTVNMLHSLLSAQGVQPTQPTAFEFVRPYSDYLNPRSGGISSRSGNTDKPRPPPLPSEPPPPLPPLPK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSSNKLFQYASTDMDKVLLKYTEYNEPHESRTNSDIVEALNKKEHRGCDSPDPDTSYVLTPHTEEKYKKINEEFDNMMRNHKIAPGLPPQNFSMSVTVPVTSPNALSYTNPGSSLVSPSLAASSTLAESSMLSPPPATLHRNVSPGAPQRPPSTGSAGGMLSTTDLTVPNGAGNGPVGNGFVDSRASPNLIGNTGANSVGKVMPTKSPPPPGGGSVGMNSRKPDLRVVIPPSSKGMMPPLNAQRISSSQATQPLATPVVSVTTPSLPPQGLVYSAMPTAYNTDYSLTSADLSALQGFTSPGMLSLGQASAWQQHHLGQAALSSLVAGGQLSQGSNLSINTNQNINIKTEPISPPRDRMTPSGFQQQQQQQPQQQPPPQPPQPQPQPRQEMGRSPVDSLSSSSSSYDGSDREDPRGDFHSPIVLGRPPNAEDRESPSVKRMRMDTWVT", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MARRPRHSIYSSDEDDEDFEMCDHDYDGLLPKSGKRHLGKTRWTREEDEKLKKLVEQNGTDDWKVIANYLPNRTDVQCQHRWQKVLNPELIKGPWTKEEDQRVIELVQKYGPKRWSVIAKHLKGRIGKQCRERWHNHLNPEVKKTSWTEEEDRIIYQAHKRLGNRWAEIAKLLPGRTDNAIKNHWNSTMRRKVEQEGYLQESSKASQPAVATSFQKNSHLMGFAQAPPTAQLPATGQPTVNNDYSYYHISEAQNVSSHVPYPVALHVNIVNVPQPAAAAIQRHYNDEDPEKEKRIKELELLLMSTENELKGQQVLPTQNHTCSYPGWHSTTIADHTRPHGDSAPVSCLGEHHSTPSLPADPGSLPEESASPARCMIVHQGTILDNVKNLLEFAETLQFIDSFLNTSSNHENSDLEMPSLTSTPLIGHKLTVTTPFHRDQTVKTQKENTVFRTPAIKRSILESSPRTPTPFKHALAAQEIKYGPLKMLPQTPSHLVEDLQDVIKQESDESGIVAEFQENGPPLLKKIKQEVESPTDKSGNFFCSHHWEGDSLNTQLFTQTSPVADAPNILTSSVLMAPASEDEDNVLKAFTVPKNRSLASPLQPCSSTWEPASCGKMEEQMTSSSQARKYVNAFSARTLVM", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MYRSSARSSVSSHRPKDDGGGGPRSGRSSGSSSGPARRSSPPPPPSGSSSRTPARRPRSPSGHRGRRASPSPPRGRRVSPSPPRARRGSPSPPRGRRLFPPGPAGFRGSSRGESRADYARDGRGDHPGDSGSRRRSPGLCSDSLEKSLRITVGNDHFCVSTPERRRLSDRLGSPVDNLEDMDRDDLTDDSVFTRSSQCSRGLERYISQEEGPLSPFLGQLDEDYRTKETFLHRSDYSPHISCHDELLRGTERNREKLKGYSIRSEERSREAKRPRYDDTVKINSMGGDHPSFTSGTRNYRQRRRSPSPRFLDPEFRELDLARRKREEEEERSRSLSQELVGVDGGGTGCSIPGLSGVLTASEPGYSLHRPEEVSVMPKKSILKKRIEVDIMEPSMQLESFSSSTSSSQDHPLYSGHPSLPLSGAIAAFASEIENKGTMVETALKEPQGNLYQWGPLPGIPKDNSPLREKFGSFLCHKDNLDLKAEGPERHTDFLLPHERASQDGSGFSRILSMLADSTSTQEKRRRSFPDIEDEEKFLYGDEEEDLKAESVPKPLGSSESEVMRQKASSLPSSAPAVKLESLEETNPEYAKIHDLLKTIGLDIGVAEISQLAARTQERLHGKKPSLRSSADRRSSVDRYFSADHCSSVDHRFSADRCSSVDHCFSADRRSSDPHRLESREAHHSNTHSPEVSHPHPPSPVDPYLLTKNSPPFLKSDHPVGHISGPEVVGSGFQSSVAVRCMLPSAPSAPIRLPHTAALSQFHMPRASQFAAARIPPNYQGPAIPPASFDAYRHYMAYAASRWPMYPTSQPSNHPVPEPHRIMPITKQATRSRPNLRVIPTVTPDKPKQKESLRGSIPAAQVPVQVSIPSLIRYNPEKISDEKNRASQKQKVIEEREKLKNDREARQKKMYYLRTELERLHKQQGEMLRKKRREKDGHKDPLLVEVSRLQDNIMKDIAELRQEAEEAEKKQSELDKVAQILGINIFDKSQKSLSDSREPTEKPGKAEKSKSPEKVSSFSNSSSNKESKVNNEKFRTKSPKPAESPQSATKQLDQPTAAYEYYDAGNHWCKDCNTICGTMFDFFTHMHNKKHTQTLDPYNRPWASKTQSEAKQDAIKRTDKITVPAKGSEFLVPISGFYCQLCEEFLGDPISGEQHVKGHQHNEKYKKYVDENPLYEERRNLDRQAGLAVVLETERRRQSELKRKLSEKPKEEKKEKKAKAVKEVKEDDKVSEKLEDQLSEGRNSPEKAENKRNTGIKLQLKEEVKKESPTSSSFGKFSWKKPEKEEEKSSLVTPSISKEEILESSKDKEDGKTEAGKAKPIKIKLSGKTVVAHTSPWMPVVTTSTQTKIRPNLPIPSTVLRKSCSATMSKPAPLNTFLSIKSSGTTAKPLPVVKESSADLLLPPDIISKAFGGEEVILKGSPEEKVVLAEKSEPSHLPEQILPPPPPPPPPPPPPPPVIPHPAAPSAAQANAILAPVKSNPVVSQTLSPGFVGPNILNPVLPVAIMASAQPAAIPSDETAPGVSESDRDQTLFSVLVRPPPPLSSVFSEQAKKLEKRNSCLATANAKDLYDIFYSSGGKGAPETKGAPETKLSGGPLANGENSNLSRTKSSDTSSTSPLNSSASQEELHQDEGLVAAPIVSNSEKPIAKTLVALGKWSVVEHVGPKSTGSTYGFLQPLTRLCQSRPYETITPKTDTLAIWTSSSFQSDTSRDISPEKSELDLGEPGPPGVEPPPQLLDIQCKESQKLVEIHLRESVNQDKESQELRKSEDCRESEIETNTELKERVKELSEGIVDEGVSTSIGPHSIDDSNLNHGNRYMWEGEVKQPNLLMIDKEAEQSNKLMTGSETPSKVVIKLSPQACSFTKAKLDSFLSEARSLLNPQDTPVKISAPELLLHSPARSAMCLTGSPQEQGVSVVSEEGLENSAPESASRTSRYRSLKLKRERSKDFQVKKIYELAVWDENKKRPETWESPEKPKTEALELQDVHPELTVTIESKALEDFEATDLKVEELTALGNLGDMPVDFCTTRVSPAHRSPTVLCQKVCEENSVSPIGCNSSDPADFEPIPSFSGFPLDSPKTLVLDFETEGERNSPNPRSVRIPSPNILKTGLTENVDRGLGGLEGTHQALDLLAGGMMPEEVKESSQLDKQESLGLELKTINSAGLGPSPCLPDLVDFVTRTSGVQKDKLCSPLSEPGDPSKCSSLELGPLQLEISNASTTEVAILQVDDDSGDPLNLVKAPVSRSPPREQVIEDNMVPQGMPEQETTVGAIQDHTESSVHN", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MESKEAKEKGVNTSDSKGSQIESSISDLREKSQHLFGVLLEPQVPVIQYGLNQLEEKARNLESKVLLTRDGDTKAHYLLAESGMNAEQTRQKIYSIHIHSPWDQLELDKKSLYEQPHTKLYNGQNVVASIENGYQSNVYEFQLRLMKNNGIAWENTKTEFMEDVGKLLHSKDNSGLGTSISMSLRPNLARPLLTASSVKSQSVRSLREVGSNLPIPTGSLTKIDGLNNQLSNDLTRSQTTNIFGFAEKASSFAAAVHKLNEARIRNQACHVWSLFASVSQMVNTEVIQLFDAWSLLAHMIDETRYGMGDFEARHLALDSSSAALAVEKNCIEGSLKYLENQFLSLIDLHLSDAGHITTVNSVEKVIAYSKLRFYKNGSWIKSTVSVVNDVPLWVVLFYLMRSGQLDAALQFVNTYSDDFEKLGRSFPLYFYSYAKNPSLPLPKQLRDRLQAEYGQLMKYAPEDPFKHAIYKLLGNCEPHRVSLPEVCVTSEDYMWIQLMFCRVNQNDVIDSNGGQSTNSLFNLYQLEKKIVAFGPRYFNPKNNTPTNYFLALLMCGEFERAISFLHTNYPVEATHFAVAMAYYGLLRTKNYEKNENILIYEADDVKINFPQLIIAYLKHLEYVDAAVYLDYIACIPLVPAYQACSINLTKILLLQSHEFSKFLGDIKPDTERTTGLLDLYLRLIPFDHDSLQKLYLEGAREADDDGRFGDSIILYHLLGDYDTVIGVAIKNLSQSIVSRGLWSIDSKESKNMHISSNVVASEAPDALAANLLAMYESNPKKSAKVSATNKKALKVLLKVVKVQKLYGQEKWDEVLQLIEHLDLLPINEVQAEFEPNEQIPPISARLRRRAFEFSTFQDEVLSVIPSLMYISMSSIKALYRTISKLPVVNEESKKKLQRLQFKGSMLVMFSTMIESRLSPQILEYLQAEQLTLL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSSAKDSDKDASRKMKLQKRPEWNNGGSLEDCISNVYALLELPGIKWKCYRTKPNAPRGVALTADFVLKAYSKCLLDGILCTWRRKPLPPTDNKETPKLTNFSNDAPKELWVFWYDDEPALLQKNCEGLDNDDELSSANQMNIVSYEVRTIIFKALHVVLERDLTKDGFVRFGRWFTVPYDARENYLHFTYPSHSPAIRFNFFVHGSSTICASVQAQRQPTLITLARRHLECKTKRVVVVVGPWSMRGQLVQDQIALLADPKIQESAEKEWNQWKDYLQMEEKEPENVSEERQKSPDSDPPAPPQTTRVLLADSSDEEQSQSFEAIDESKKDDIPQRLRWMYEPDYRQEKSKEETPEEKEKREQSREVRKQRRKLREERRKEVERQRTEVKNPDDYDSDVVTDEDVGEKEECVNNDVPKMVLLDIDGVRLLYPSKFLCVTIDEDRQMLESIGLKTNQQPPELQAQNRRPRNKLVVNVANPILSTMAVYQYCENERLISKENVPQKSATSGQLLPPLKEKFLNWKFTAKKYGCRGDVSCRHCAEAEYQGESDGQVILDVDRHNRFLKYGPYTENSIRWHKIRSRIQKKFDFHAYHKKHPIGPITPRKAGDSVKQTKQLSAVKRRRRARRERAQKKRRDKKRPTGSTRADSEDDSFRMDKLSQDDWANFEGEHPKGIPEIEVDKDFELHNDIKIPKVPNDDNYKPKSFLPITYLTNNVDNNDFESMPGDDDMSEERFNQIFKDKYNRWKPGMSRAEKIEHAHYQFDPSNQMTGSPREYHLKDLPKKIQKYESILPTPKGNKFFKGSICRKGLRGYDEICADQDEKEMMRKAKWLKKARRKVSKQQIKKAGQYHIDMQKQIVKRSLKRAIRKLKLTTKKDSQSKGTKQQKLVLLSYKKKKVDEKKFQQYLKEVENVLDKLDVNSFCKKNEKAGFSEKAIPSGYIIPDYESSIHCGMEEESDSEIDEPRHGLGEGTCGQYLEGESVDLADMEHHHNELPMEVTSIGHIRTVGEHIGPDGMLSPPASNEMPKGGPLSVGPPSIESQGLNQIYPTPPSVQMLLGDAAQAHSPVMHTKSRYAMNTLEDDVERIAVPGGVGSLVEIEADDIETKNMQKWSKLSNQSKLSKFIALSCKDPFLKSKKKKYDTPVTSKFKIENEPPSMSAELVYAPMVTRNFYSAPHRTFGTLAQKVVDKRPSQFAIPSTSTAAHPGLTTPMASIFQSPTMPPPHTPSPFSAGPMSHHPMGPPAYPGTPGSFPPTTPTYPGMTPRPGPNFGPPGPVYPNSQQMMGPGGYPPQMGMINSQRHFQNQQMYQQQMVRMQQMRQMGGPPGYGAPGVSPYQQVNAITSPAGFGMPPNGPSSVQRLNNFVNQSQFGPNNGHPTPPYGYMQQMIPQSPNFNQQYNGMGNQLMSPIHQHQFHQQQMVQQQQQQQMQMHRQQMQMQNQNMSQPFQPPTAQMLQMQQNEQMRIAFSTLSERDKAKFQKRQGQLRSGIMPKSLQPAVLKNLKNPPDANIPYMKQDALFRPPESNSLQGESLTIAIVLSDTLLDLHFDSVFDACPICSCSVSIRSRDIGMYITPHTVLYSTERGHTNMREHTTGPWSGFNVNSATNCTCGFSAIRHRYLSCCSGLFEEDADEATASEHAVAPVIPPLDYPKKSTARDMSWFDSKSVHDLALLDQIRQMAFSNSLGKAVSHMATIKEHNRNLAIAVDLGNDVTVPSEYILSHVDALELLMLGNSVLGPMKNTSIANQVASHNKFLGFFHPWGIQIANGMTELEASEWVDLLGVVTPTLEGSMKQARHIPAETPFELDGPMTWKQIVTKSNRGKPPADDEEDFSLPEPVPAIMRALTKEAIRAAPNIEQYYEQAGLGPVDQPKDVMYITVIPDDDDIYSRTVEFMDTLTRTYEKMRLGRHIPFPVSTGTATRFREAFKSCQSQFPFQEQQHLAYTNQTLRNMPQTLADTPDPVNSDPKVWSRRAKRKLDFEEELKLPEAGSETIDESDDDEENEEVSKEENNELIDSEDVMDEVPKGFYEREGILRVGAPMEPSRSPHTVTNTPEFDNMTKYLSDENGFVSRLRLYLQQMEDLVHFALSENPEAFDRRGYRYQLAVEGRLKRQKHKMDIIEERLRFEASKDFDEQSDRKEPLEENDPEITPGIVISNNPVESWIDDELEEKRQQRQKENEQYPPEAQQAPSPVPAGMIHIPETLSKEERAVQPTLEMILADPATVAPINAQNIVWKQRDTRVPNPFPSSNQPPVAFEAAGSPDTDAYSTLPHVIVVYVVNPFSYGPEGHSALHMRIAILAFIRAFNSIMCKIPYEKRPQLQLEIVGMEGMDNVAKPIPDYFNDAKIPFDLLNDRPIRVERPGESVQGELARSLSIAVYTHPRVFFPDVYKSASARCMTAFGPGSQLMNTINKIEALNKDSFARMAKRSKTTLDTMDMYRHPGMIQAQQSTEKKNYIAYRVPSNIAVLAPPPMVYQMDEKGKAIMNQLDEQTLFISYCLVGTDFLVATATDAQGKLIDNCISNIKPRRQSNQVYRYRNKTQILDGMGKLWSFILGIMASETKNWRLVVGRLGRIGHGEFRAWTHLLNKTSLLRYSGSLKDICGACRSMPSVIGTPAILSACLITLEPEPSIRIMPEFHDQELSTKKSFLFQTPGDLSCTHILTFPVGTEINLEVQDQTADTKADENWEFGDLDIMEGLDDGDTEIMKDLGLETPSSAAIRQTGGPSMFFSEDSSSIEIQNQPLASGYYISTAPAPELPAWFWATCPSAKRHSPVHLKSSLHIHISEVKNDDIAMESTKEKEKDKEKDEKDAHPLESRQTEEVLRHVLESYNALSWLNLNRQTGDRYSCLPIHIQHLLRLYHSVARLLA", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTTIADLFTKYNCTNCQDDIQGIRVHCAECENFDLCLQCFAAGAEIGAHQNNHSYQFMDTGTSILSVFRGKGAWTAREEIRLLDAIEQYGFGNWEDISKHIETKSAEDAKEEYVNKFVNGTIGRATWTPAQSQRPRLIDHTGDDDAGPLGTNALSTLPPLEINSDEAMQLGYMPNRDSFEREYDPTAEQLISNISLSSEDTEVDVMLKLAHVDIYTRRLRERARRKRMVRDYQLVSNFFRNRNYAQQQGLTKEQREFRDRFRVYAQFYTCNEYERLLGSLEREKELRIRQSELYRYRYNGLTKIAECTHFEQHAATATHRSTGPYGHGKTDHTHTSNGSHRPPSSSLHSPQPNLRKVEMSSGGEASSNSIAPRNTLHIADPTCSGALLPSKNYLDSCRGSSAATMLQTTGMVMGVTVDSGATTGVTSTATTMANLPTNSAKGSQQHLQPLQQHPQLLQSGNQHKMQNEAAGGGSDQVPSMSLKLRTQLEELKHLPQPPGSELLSHNELDLCKKHNITPTTYLSVKTVCLSGAPSLGSPMETSLRKFFIKCGWLSH", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKPNNRTCDVITNKDESLPALLLPALNSYTCDDSLLKGQISSNGRYQPFGFSDCSLLPKRLNIQAGQGSMPVSSIQCADHSYSNWQKESEKTKLPKLGCPTEYTEYYKTVSSGETTDSAVVSSIATNRLKRKRQRDGPSCDSCRIKKIKCNATIIIFLQDRNLISSISSNLHYTLSQDDINQFRMKFFRKLPDVMGTYEVIKHLDKIVLFKACTSCSRRNQKNGKCLFSRGFTKSDMNVFPKINSKLKDKSIFEMTVDDYVAAGFQTL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNIDKDVSALLCTAKKYVTQKEFNRQKMKFLLDDITKLLSKSFVSRIEQLDENEFMEKIMILQSITFTLHLITEKQELSSEEKIRLFQSVIASMCAFEDEELAKCFDRILSVLMISVTKQDPRETIYFLQGLLEVVKYCDVEPSSSLPQLITPEIASAISLGASGFFRYHLKWNIISTLFGENAGECVHFDELVLFWPHCRSQLEDKLKNDCSMTENCLRAGLTILKYKKLHNSILDQALFIDELFATFNSLTAKKSTNHMTFPKLLNEIQHFLQDHGKVLIMHKELRQKPVQWLIIYLELIDDANDKFGLLENFSLLLLDLSTNHDIHEFPARLCMTASEICMRRIKHSIQSTHTDCLADYLDILASLLKLARIQKLDIFQCFATEIEAHHSLNNPLWPRILRSLLSVQNPHCQQFCKKFVPSIDKLWITLLNGESSRATLNSLVIVTASCPFANNNDEGVKQIIPFLLIPCFQDFRDRVGSKWDNFRFIGLPKSEPSELINLERFTTVLERSTSESTKLRAVNCALQLEKKTGKYINLVAEMSLAVFSKSQTDRIANSFEPLILFLTDNLQLINDFITILIAKLCEKQEFYSELTILKYFKTLQKLFCLAANGRNSCKKCGEECFVDPDSKENVKIDYESLSGLIKGIVARRNTFSQELIREFLQTSRVMLLHVDSKGIASSFTSIIKALDIGFSENANAYFRVWELVCCRSEATVEKKLQMYIPLIGRSFALLGDDAALKVHGFLSNVLSARLSSTVKATLFASSFAHSVLKNCEIDWNVSYTWKTQFSQCLIKAPVGTCEEALQIMFKRYSTIFTRCFLNRLFFDASSAGAQKPSYDEHRIREITRCYLSHVLSDFNFPNQKITFNMIRPSLLYWSLLSSARGINSKLTLSVIDFICQEMSAASVSMSSISPNPSDIRIVMIQRKSLITETFAQLVQFLAFENNRNTTSFWKFCSDHCDFKDEDIRTVISSYRKQVFMHLLLVSAREFLNPRNPSMIVEQLRATYENIKKGEKFMISTAIETRNEGIEFLFIFRTYFTNDQYFLTREATAESFRILLQNMKQDFFDTNWYIILMTLRQIPLNLDSTQSSWISFIEQINYSILRSNIWRILTDISRIENNDILIEKVWNRLSYDMVIDKISTDAMIKRVFWLIPLEVEKRIDLKFEISKSRQIEDVLEFIRMFPQYPSVQFADNLASKIERKTVYKEELPKLIGSLSRILPQCHSKREQNKIIKILQKLPIICSDLPDHNFIRWDTRFKFFCEPRQLTQAVLEDCAGIVEVMTGTSKIEYVDRTMCEIYKFFNPNEASPEMKSTLDGIKNMYAKMICSQKPEPSMIEQKTIDELSLGGSRRESFSRWLTVIILKCAEMSEDAPLSSLASIAHVDDTRFLSKLAMRFILTVIHMERDSVTQWILSTFEEALTNTNHRRLTNSDRGPASFVFYVFDFIYSYSSSEELRKKKKIWEKVISFWKSMMSWTFKDENGHGQPLIVKVAETFGMEKRCILWLEMFMEQKRKTSTEIESETEAAYYFTLMNLYGRIHELNGVRGAYARLSRIQIDHVYGKISMREAFGDFNSAACFARMTGKGKPFNSTEAIQKLIDELNCLEYSQIERNEQEDYLNSLKTLSQWVNIDNDIGPSPHIFSRNIEYWATESTILKMIRNDERDEIVNNAIENAKSKVIERLSECAIGGSCSYEIATPFLVELQKLNEIVELKNVSNDELSAFNSDFWKNIQKRTDDSEQKISILEPILRVRRSMLDIRMQSMTGRDKENIRSRIVEVHLQSARIARLTGCFERAQLSLINAKKVLPFENKIVLEEAKLQLQTSDELNGMSLLDSIISKNFGDLHTIYTDTQQSVNLDVQKSAKLKIEHYQEETKNLFSSVQMLRISHMIKAGNTIGFDKVYHETTQLLQCFAHSGVMYEAAWLLDYLSNYKERSKHVLPLLKAYKEVAKYEKNQVLQARAVERMTSLWLSNTRKISTHISSVPKLPEGQISDLRQNIKSMNREIQTALEHIGWRAFYPAYAVLARHIDHQDEEVTRTIKQIMKQLILRMPHQCMWQSAYLLRQNIASVKEKYMEVLTEVKRKAPCYVTLIDQYDYASGVFNTVSGKVESDDCKLSEKVDGLKTMFRDKKYDPKELVMNRRVDCDCKILSGIMVPVRSVIDESVHDTEIRDDGFEESCHLPDRYLIHDFSDKVKVLHSNTKPVIIKLTTKTGRIVRLICKKDDDLSKDYHFTKMVEMCNDLLMKDEQTRIQKMTATTYSVIPLGKQGGIIEFMEGVTSFYETLDKLMGMTSGEWLEKLKFWNTHMKPMGKEERTKYFREVACKNTPVVMGKWFRIQYPEAGQWFASRKLFAKSTAVMSVIGYIFGLGDRHTKNLMVHTTGKCIHVDFDMIFNKGETLGTPELVPFRLTQNMINGMGEVALDGEFRTVCEQALRVFRENSYEIEKYIADLPNLVADFPSNKRAPKDFDMSEAKRLVSGRLRGQIMTAKLYRSNPISHPMQVSQLASSLIELATSEEKLSEMYLGWMATL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAIIAVAELVEEVSMSRVEHVLNYLKRRQLENPGFLYAIEDDCGNVFWADPTCRLNYTYFGDTLVFDTTYRRGKRYQVPFAAFTGFNHHGQPVLFGCALILNESESSFAWLFQTWLQAMSAPPPPSITVEPDRLIQVAVSRVFSQTRLRFSQPLIFEETEEKLAHVFQAHPTFESEFINCVTETETAAEFEASWDSIVRRYYMEDNDWLQSIYNARQQWVRVFIRDTFYGELSTNEGSSILNSFFQGFVDASTTMQMLIKQYEKAIDSWREKELKADYEATNSTPVMKTPSPMEKQAASLYTRAAFIKFQEEFVETLAIPANIISDSGTHTTYRVAKFGEVHKGHTVSFDSLEVKANCSCQMFEYSGIICRHILAVFSAKNVLALPSRYLLRRWTKEAKIRGTEEQPEFSNGCQESLNLCFNSLRQEATKYVEEGAKSIQIYKVAMDALDEAAKKVAAASNRTPGTRLPNGEAYPSEEARETANATNHPGGEKERTILELTAELERTGQRCEVYRANLLSILRDMEEQKFQLSLKVQNARLSLKE", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MIRGFEAPMAENPPPPPPPVIFCHDSPKRVLVSVIRTTPIKPTCGGGGEPEPPPPLIPTSPGFSDFMVYPWRWGENAHNVTLSPGAAGAAASAALPAAAAAEHSGLRGRGAPPPAASASAAASGGEDEEEASSPDSGHLKDGIRRGRPRADTVRDLINEGEHSSSRIRCNICNRVFPREKSLQAHKRTHTGERPYLCDYPDCGKAFVQSGQLKTHQRLHTGEKPFVCSENGCLSRFTHANRHCPKHPYARLKREEPTDTLSKHQAADNKAAAEWLARYWEMREQRTPTLKGKLVQKADQEQQDPLEYLQSDEEDDEKRGAQRRLQEQRERLHGALALIELANLTGAPLRQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MARARKGALVQCDPSIKALILQIDAKMSDIVLEELDDTHLLVNPSKVEFVKHELNRLLSKNIYNPMDEEENQ", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAARIYIGRLTSRVSEKDIEHFFRGYGQIRDVLLKNGFGFVEFDDKRDAEDAVHDLNGKELGGERVILDYSKPRGGGGDRGGFGGGGRGGARVSSYSGGGGGGRDRFDRYDRGPPRRESRYGRPYSTRHRVVVENLSSRISWQDLKDQVRRQGVEPTYAEAHKRPNEALLCFATPSDLKRCIEKCDGMDLNGRKIKMIDDSQAGRSRSRSNSRSRSRSRSRDRRRSRSRSSSRSKSRSRSPPKRSRRESKSKSRSRSRSRSADNRKSRSPSRSPKKVDRSPSPPRGSRSPSEKGSPRRSRSASPMDNGDGDN", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLGTVKMEGHESNDWNSYYADTQEAYSSVPVSNMNSGLGSMNSMNTYMTMNTMTTSGNMTPASFNMSYANTGLGAGLSPGAVAGMPGASAGAMNSMTAAGVTAMGTALSPGGMGSMGAQPATSMNGLGPYAAAMNPCMSPMAYAPSNLGRSRAGGGGDAKTFKRSYPHAKPPYSYISLITMAIQQAPSKMLTLSEIYQWIMDLFPYYRQNQQRWQNSIRHSLSFNDCFVKVARSPDKPGKGSYWTLHPDSGNMFENGCYLRRQKRFKCEKQPGAGGGSGGGGSKGGPESRKDPSGPGNPSAESPLHRGVHGKASQLEGAPAPGPAASPQTLDHSGATATGGASELKSPASSSAPPISSGPGALASVPPSHPAHGLAPHESQLHLKGDPHYSFNHPFSINNLMSSSEQQHKLDFKAYEQALQYSPYGATLPASLPLGSASVATRSPIEPSALEPAYYQGVYSRPVLNTS", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAKKKSKSRSKSSRRVLDALQLAEREINGEFDNSSDNDKRHDARRNGTVVNLLKRSKGDTNSDEDDIDSESFEDEELNSDEALGSDDDYDILNSKFSQTIRDKKENANYQEEEDEGGYTSIDEEDLMPLSQVWDMDEKTAQSNGNDDEDASPQLKLQDTDISSESSSSEESESESEDDEEEEDPFDEISEDEEDIELNTITSKLIDETKSKAPKRLDTYGSGEANEYVLPSANAASGASGKLSLTDMMNVIDDRQVIENANLLKGKSSTYEVPLPQRIQQRHDRKAAYEISRQEVSKWNDIVQQNRRADHLIFPLNKPTEHNHASAFTRTQDVPQTELQEKVDQVLQESNLANPEKDSKFEELSTAKMTPEEMRKRTTEMRLMRELMFREERKARRLKKIKSKTYRKIKKKELMKNRELAAVSSDEDNEDHDIARAKERMTLKHKTNSKWAKDMIKHGMTNDAETREEMEEMLRQGERLKAKMLDRNSDDEEDGRVQTLSDVENEEKENIDSEALKSKLGKTGVMNMAFMKNGEAREREANKETLRQLRAVENGDDIKLFESDEEETNGENIQINKGRRIYTPGSLESNKDMNELNDHTRKENKVDESRSLENRLRAKNSGQSKNARTNAEGAIIVEEESDGEPLQDGQNNQQDEEAKDVNPWLANESDEEHTVKKQSSKVNVIDKDSSKNVKAMNKMEKAELKQKKKKKGKSNDDEDLLLTADDSTRLKIVDPYGGSDDEQGDNVFMFKQQDVIAEAFAGDDVVAEFQEEKKRVIDDEDDKEVDTTLPGWGEWAGAGSKPKNKKRKFIKKVKGVVNKDKRRDKNLQNVIINEKVNKKNLKYQSSAVPFPFENREQYERSLRMPIGQEWTSRASHQELIKPRIMTKPGQVIDPLKAPFK", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEAITFTARKHPFPNEVSVDFGLQLVGSLPVHSLTTMPMLPWVVAEVRRLSGQCSKKEPRTKQVRLWVSPSGLRCEPDLEKSQPWDPLICSSIFECKPQRVHKLIHNSHDPSYFACLIKEDAAHRQSLCYVFKADDQTKVPEIISSIRQAGKIARQEELRCPSEFDDTFAKKFEVLFCGRVTVAHKKAPPALIDECIEKFNHVSCGRRTDWEAPTGQPSAPGPRPMRKSFSQPGLRSLAFRKEFQDASLRSSTFSSFDNDIENHLIGGHNVVQPTDMEENRTMLFTIGQSEVYLISPDTKKIALEKNFKEISFCSQGIRHVDHFGFICRECSGGGSGGFHFVCYVFQCTNEALVDEIMMTLKQAFTVAAVQQTAKAPAQLCEGCPLQGLHKLCERIEGMNSSKTKLELQKHLTTLTNQEQATIFEEVQKLRPRNEQRENELIISFLRCLYEEKQKEHSHTGEPKQTLQVAAENIGSDLPPSASRFRLDSLKNRAKRSLTESLESILSRGNKARGLQDHSASVDLDSSTSSTLSNTSKELSMGDKEAFPVSETSFKLLGSSDDLSSDSEGHIAEESALLSPQQAFRRRANTLSHFPVECPAPPEPAQSSPGVSQRKLMRYHSVSTETPHERNVDHLPGGESQGCPGQPSAPPPPRLNPSASSPNFFKYLKHNSSGEQSGNAVPKSVSYRNALRKKLHSSSSVPNFLKFLAPVDENNTCDFKNTNRDFESKANHLGDTDGTPVKTRRHSWRQQIFLRVATPQKACDSPSRYEDYSELGELPPRSPLEPVCEDGPFGPVQEEKRKTSRELRELWKKAILQQILLLRMEKENQKLQASENDLLNKRLKLDYEEITPCLKEVTTVWEKMLSTPGRSKIKFDMEKVHSAVGQGVPRHHRGEIWKFLAEQFHLKHPFPSKQQPKDVPYKELLKKLTSQQHAILIDLGRTFPTHPYFSAQLGAGQLSLYNILKAYSLLDQEVGYCQGLSFVAGILLLHMSEEEAFKMLKFLMFDMGLRKQYRPDMIILQIQMYQLSRLLHDYHRDLYNHLEEHEIGPSLYAAPWFLTVFASQFPLGFVARVFDMIFLQGSEVIFKVALSLLGSHKPLILQHENLETIVDFIKNTLPNLGLVQMEKTISQVFEMDIAKQLQAYEVEYHVLQEELIESSPLSDNQRMEKLEKTNSSLRKQNLDLLEQLQVANARIQSLEATVEKLLTSESKLKQAALTLEVERSALLQMVEELRRQSARPSTPEPDCTQLEPTGD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPGVTVKDVNQQEFVRALAAFLKKSGKLKVPEWVDTVKLAKHKELAPYDENWFYTRAASTARHLYLRGGAGVGSMTKIYGGRQRNGVMPSHFSRGSKSVARRVLQALEGLKMVEKDQDGGRKLTPQGQRDLDRIAGQVAAANKKH", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MMDNEVLDFDIGVGVSSGGDVDDDAIDIEHHALDDDDMLDSPIMPCGNGLVGNSGNYFPNQEEEACDLLDLEPYDGLEFESEEAAKAFYNSYARRIGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNMNEKRTKDREIKRPRTITRVGCKASLSVKMQDSGKWLVSGFVKDHNHELVPPDQVHCLRSHRQISGPAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQKSIEGEIQLLLDYLRQMNADNPNFFYSVQGSEDQSVGNVFWADPKAIMDFTHFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPILFGCAFIINETEASFVWLFNTWLAAMSAHPPVSITTDHDAVIRAAIMHVFPGARHRFCKWHILKKCQEKLSHVFLKHPSFESDFHKCVNLTESVEDFERCWFSLLDKYELRDHEWLQAIYSDRRQWVPVYLRDTFFADMSLTHRSDSINSYFDGYINASTNLSQFFKLYEKALESRLEKEVKADYDTMNSPPVLKTPSPMEKQASELYTRKLFMRFQEELVGTLTFMASKADDDGDLVTYQVAKYGEAHKAHFVKFNVLEMRANCSCQMFEFSGIICRHILAVFRVTNLLTLPPYYILKRWTRNAKSSVIFDDYNLHAYANYLESHTVRYNTLRHKASNFVQEAGKSLYTCDVAVVALQEAAKTVSLAMNKEVRRTMANRHFKASSVTGGKHQQEVLAQPEPEDEMDKKINQLRNELELANRKCEAYRTNLLSVLKEMEDQKLQVSIKVQNIKISLKDNL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEALGSSDCNLEILETLSDDAIQEITESYDGFFTTVESLIAGTGDSLVEDEFVSHVYCLCKYGLDSLVRDHFLRSLEQAFEKGGASSFWQHFDAYSEKKHHNYGEEIQIVLCKALEEISIEKQYHEKCLSIVVHALQSFKEQSSDDRQNSDTERVHLFSRFQSMLSSTLMTTLPQHFPEILHWYFKERLEELSAIMDGDGIEEQEDDCMDLDEKLRYKNGEMDVDEGCSQGKRLGHDKLVKNIGKVVRDLRSIGFTSMAENAYASAIFLLLKAKVHDLAGDDYRTSVLESIKEWIQTVPLQFLNALLSYLGDSVSYGTTSSGLTSPLACCPSPSFSRVVTPSEGIVRWKLRLEYFAYETLQDLRIAKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVESFISSLKYRLLTAGASTNDILHQYVSTIKALRAIDPAGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNANGSGNPGDSLLEELMRDEESQENVGFDDDFHTDDKQAWINASRWEPDPVEADPLKGSLSQRKVDILGMLVDIIGSKEQLVNEYRVMLAEKLLNKTDYDIDTEIRTVELLKIHFGEASMQRCEIMLNDLIDSKRVNTNIKKASQTGAELRENELSVDTLTSTILSTNFWPPIQDEPLELPGPVDKLLSDYANRYHEIKTPRKLLWKKNLGTVKLELQFEDRAMQFTVSPTHAAIIMQFQEKKSWTYKDLAEVIGIPIDALNRRVNFWISKGVLRESTGANSNSSVLTLVESITDSGKNEGEELLTGEEEGETSIASVEDQLRKEMTIYEKFIMGMLTNFGSMALERIHNTLKMFCVADPSYDKSLQQLQSFLSGLVSEEKLEFRDGMYLLKK", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MESLAHIPPGYRFHPTDEELVDYYLKNKVAFPGMQVDVIKDVDLYKIEPWDIQELCGRGTGEEREWYFFSHKDKKYPTGTRTNRATGSGFWKATGRDKAIYSKQELVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGPPHEEGWVVCRAFKKKLTTMNYNNPRTMMGSSSGQESNWFTQQMDVGNGNYYHLPDLESPRMFQGSSSSSLSSLHQNDQDPYGVVLSTINATPTTIMQRDDGHVITNDDDHMIMMNTSTGDHHQSGLLVNDDHNDQVMDWQTLDKFVASQLIMSQEEEEVNKDPSDNSSNETFHHLSEEQAATMVSMNASSSSSPCSFYSWAQNTHT", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKVVKFPWLAHREESRKYEIYTVDVSHDGKRLATGGLDGKIRIWSIDSILRCMELESLTPEIPLPQDLQMPLCSMSRHTGSITCVKFSPDGKYLASGSDDRILLIWALDEEQSSQPAFGSEHEREHWTVRKRLVAHDNDIQDICWAPDSSILVTVGLDRSVIVWNGSTFEKLKRFDVHQSLVKGVVFDPANKYFATTSDDRTMKIFRYHKTGDISFTIEHIITEPFKESPLTTYFRRPSWSPDGQHIAVPNATNGPVSSVAIVNRGTWDTNVSLIGHDAPTEVARFNPRLFERNAGVKQKKDDDPENALVGQNDDKVHHFDKNIDSVVATAGQDKSLAVWSTSRPRPILVAFDIANKSITDMSWNPDGSLLFVASLDSSITLFKFENNELGKPIPLEKNMEQLYRYGVDKDSLDFPESINQLLLEDQTKSFKHTKISTSKLGENHPTLATNSASNQKDNNDASVSRSEHINILIPKRKKDAILNKAVTLKSGKKRVAPTLISTSSSSPFSNGIKKPTLDSKRIENNVKSSTKTINSKNTLLNVPEGVEKKISISSFPLPRLGIHSLIMGTKERSAWKISNSELENDDADNAGGKGSDGTSNSIDDIAVLSEEENDFHRMTLNAKLTQEKIWSEEPTTRCLLQSDVIPDTDVVVLEGGSLDDIAVLEIRNGVERSIQFDSEALLDNPTRILGYQGGKRTIETFIPEVIICAIGSKDCKCWCLASANGSIYILSYNGQQRIPKICLGHKVIKMVTSSKYLLVLTERGLFFAWDLLDLKLVLRNVPILPILNGQPIHGNKVRINKVIKCFRLDGSSCDLLLEVGDPKNVYKWTKDLGCWSLYK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEAARRPRLGLSRRRPRPAGGPSGGRPWFLLGGDERERLWAELLRTVSPELILDHEVPSLPAFPGQEPRCGPEPTEVFTVGPKTFSWTPFPPDLWGPGRSYRLLHGAGGHLESPARSLPQRPAPDPCRAPRVEQQPSVEGAAALRSCPMCQKEFAPRLTQLDVDSHLAQCLAESTEDVTW", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPEQPKKVADRYLKQEVLGQGTYGVVFKATDTKTEQTVAIKKIRLGKQREGVNITALREIKMLKELKHPHIILLIDAFPHKENLHLVFEFMETDLEAVIRDSNIFLSPADIKSYLLMTFKGLAYCHDKWVLHRDMKPNNLLIGVDGQLKLADFGLARIFGSPNRKFTHQVFARWYRAPELLFGAKQYGAAVDVWAVACIFAELLLRRPFLQGNSDIDQLSKIFAAFGTPKADQWPDLTKLPDYVEYQFVPAPSLRSLFPAVSDDALDLLSKMFTYDPKARISIKQALEHRYFTSAPAPTDPAKLPKPVPKQDGKSSYGKHEAITVQSPPRKLRRVMPERGRVDSLKSHVDKDQQAPMSLDFTILAERPPNRPTITSADRSHLKRKLDLEFQ", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASVQQNGQRFGVSEPISMGGPTEFDVIKTRELEKHLQDVGLYESKEEAVRREEVLGILDQIVKTWIKTISRAKGLNDQLLHEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREGDFFGELQRMLSEMPEVTELHPVPDAHVPLMGFKLNGVSIDLLYAQLPLWVIPEDLDLSQDSILQNADEQTVRSLNGCRVTDQILRLVPNIQNFRTTLRCMRFWAKRRGVYSNVSGFLGGINWALLVARICQLYPNALPNILVSRFFRVFYQWNWPNAIFLCSPDEGSLGLQVWDPRINPKDRLHIMPIITPAYPCMNSSYNVSESTLRIMKGEFQRGNEICEAMESNKADWDTLFEPFAFFEAYKNYLQIDISAANVDDLRKWKGWVESRLRQLTLKIERHFKMLHCHPHPHDFQDTSRPLHCSYFMGLQRKQGVPAAEGEQFDIRRTVEEFKHTVNAYTLWIPGMEISVGHIKRRSLPNFVFPGGVRPSHTSKGTWDSNRRSEHRNSSTSSAPAATTTTTEMSSESKAGSNSPVDGKKRKWGDSETLTDQPRNSKHIAVSVPVENCEGGSPNPSVGSICSSPMKDYCTNGKSEPISKDPPENVVAFSKDPPESLPIEKIATPQAHETEELEESFDFGNQVIEQISHKVAVLSATATIPPFEATSNGSPFPYEAVEELEVLPTRQPDAAHRPSVQQRKPIIKLSFTSLGKTNGK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSNGTDQAQPPPENPATPVDSKSRKRATPGDDNWLPPDWRTEIRVRTSGTKAGTVDKFYYEPITGRKFRSKNEVLYYLEHGTPKKKSVKTAENGDSHSEHSEGRGSARRQTKSNKKVTEPPPKPLNFDFLNVPEKVTWTGINGSEEAWLPFIGDYKIQESVSQDWDRVFTLVTSQNAGKTMF", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MQELQYDVVLLQKIVYRNRNQHRLSVWWRHVRMLLRRLKQSLDGNEKAKIAILEQLPKSYFYFTNLIAHGQYPALGLVLLGILARVWFVMGGIEYEAKIQSEIVFSQKEQKKLELQSQDDIDTGTVVARDELLATEPISLSINPASTSYEKLTVSSPNSFLKNQDESLFLSSSPITVSQGTKRKSKNSNSTVKKKKKRARKGRDEIDDIFG", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLQACKMEGFPLVPPPSEDLVPYDTDLYQRQTHEYYPYLSSDGESHSDHYWDFHPHHVHSEFESFAENNFTELQSVQPPQLQQLYRHMELEQMHVLDTPMVPPHPSLGHQVSYLPRMCLQYPSLSPAQPSSDEEEGERQSPPLEVSDGEADGLEPGPGLLPGETGSKKKIRLYQFLLDLLRSGDMKDSIWWVDKDKGTFQFSSKHKEALAHRWGIQKGNRKKMTYQKMARALRNYGKTGEVKKVKKKLTYQFSGEVLGRGGLAERRHPPH", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSRRSDLSDKDSQSRKRHWLTDQAVTNEEKEQSPTKRTRKTKSQGLGGLFNTFFGMFVSSNSGEKEKTEVSGEVQVQEDDEIIVEGTTRRVAENKKYMIFLNEDAPVRANAGSEENEVIIEKHVQKNVEIRNDEEKQEVQGDLVLTLSSSPKSPKNLEKSFEVQQDDEEPDVLFEKVVKTPNKQLQEARRFQNELIFLNDNPDTPDDVSVISDSRSKEFISPTPDDSVSRPITPSLSSLSNYTSNNVRDYWRRNSAKKPEVLRRVPVRHQFKHSTSVRKMNTIIDLKKIKNHLSSRDRLLQGVVASGQYEAKAISGIVEKKPKKMQRTSSTDILARAKNKIAELGGSRSNTPSLLSREPSIIIDSEESTSSSYRQHARSNSSESDSYRKLNDILSQINSLGIGSAYRGPQRYQNSYQLSKQKEDKLLEEARIREGHRSQTRGDRLEDVRKRLELQGIAIRPKVEKKKVDDFMALPDAADALVERAWSGGNPNEQFVDAFSIQICKKDLATLSGLHWLNDEIINFYLQLICDRSNGDSKYPKIYAFNTFFYSNIVSKGYASVKRWTRKVDIFAFDIVLVPVHLGMHWCMAVIDMGEKKIEFYDSLYDGNTAVLPALRGYLEAESLDKKKTAMNFSGWTIQQMTDIPRQQNGSDCGVFSCQFGEWASRRTTPRFTQKNMPYYRKRMVYEIVSKKLLATI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGDRHTIQQNLNGLLSKLNDPDPDMRYMSLNDLYGILSNPCSSYLAHDQASATRLAEGLLKALDDQHGDVQNQALKCLGPLVARLPLEGLRTLLERLSNLTTSQTIDTSVPNTALRVIVTALPRPQPNQAPSPDANMAYSAVSEVLIPRLIGPGPHKRRGSVTKGMLEKDPAKGFSSDAIDVLIQVASCFGALLQESELTALEKAVMSIIDNDTAGTVVTKRALAAISALVVYFSDEQFGILVSELVERFNSPQLSTVHRRHLIAAVGCLARTVPAKFGPHLSTLAPFIFSAVGEDNLEVSHVLHYLCTSASNVYSSRNKPKIYHQYRQLPKEKLITGM", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSRDSGNDSEVAVTHGEVQEITEENPEIGSMHITQETDISDAPETNTDSSRQRTESTTSVSSESWQNNDEMMSNLRRAQRLLDDGATPLQIIQQIFPDFNASRIATMSENAHFAILSDLLERAPVRQKLTNYNSLADAVELFKTKKHILVLTGAGVSVSCGIPDFRSKDGIYARLRSEFPDLPDPTAMFDIRYFRENPAPFYNFAREIFPGQFVPSVSHRFIKELETSGRLLRNYTQNIDTLEHQTGIKRVVECHGSFSKCTCTRCGQKYDGNEIREEVLAMRVAHCKRCEGVIKPNIVFFGEDLGREFHQHVTEDKHKVDLIVVIGSSLKVRPVALIPHCVDKNVPQILINRESLPHYNADIELLGNCDDIIRDICFSLGGSFTELITSYDSIMEQQGKTKSQKPSQNKRQLISQEDFLNICMKEKRNDDSSDEPTLKKPRMSVADDSMDSEKNNFQEIQKHKSEDDDDTRNSDDILKKIKHPRLLSITEMLHDNKCVAISAHQTVFPGAECSFDLETLKLVRDVHHETHCESSCGSSCSSNADSEANQLSRAQSLDDFVLSDEDRKNTIHLDLQRADSCDGDFQYELSETIDPETFSHLCEEMRI", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDAFSGSGLKRKFDDVDVGSSVSNSDDEMSSSDSADSCDSLNPPTTASFTPTSILKRQKQLRRKNVRFDQVTVYYFARRQGFTSVPSQGGSSLGMAQRHNSVRSYTLCEFAQEQEVNHREILREHLKEEKLHAKKMKLTKNGTVESVEADGLTLDDVSDEDIDVENVEVDDYFFLQPLPTKRRRALLRASGVHRIDAEEKQELRAIRLSREECGCDCRLYCDPEACACSQAGIKCQVDRMSFPCGCSRDGCGNMAGRIEFNPIRVRTHYLHTIMKLELESKRQVSRPAAEEEPLPGAQSSQTQDFQEFIAENETAVMHLQSAEELERLKAEEDSSGSSASLDSSMESLGVCILEEPLAVPQELCPGLAAPILIQAQLPPGSSVLCFTENSEHPAASPMSSPSYLNSGPLVYYQVEQRPVVGVKAESGSEEGPASFPKEKDLSVFSLPVTSLVACGPSASAALCKPEVGKTSSLNKLLPEDCGLKEPESEDLHPSWSPSSLPFRTDNEEGCGVQNSQQSEDRTSEDSALELPLAV", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MADGSELYTVESILEHRKKKGKSEFYIKWLGYDHTHNSWEPKENIVDPTLIEAFFTREAARKAEIKAKKDKMAAGKKGASSKASASVSKASASTPARGAKAAPKPPPKKSPPKRQRLAGGDIRPDSDTDEEHSSADKKSKAEDEEEVEDDEEPVPKKKKEVQEEPEEEESVEGEDEEESQEVEDLKEDEKMEEDEKEEEEDVQLESEKNEKEEEEEKVEEKKEEEEEEEEEEIQLVIVEKTVIETTIVEPAVATPEPSEPSSSEKAVVENGSSSAAAGNSASKPEVSAVEVVTVEDDDDIAIIE", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTINIKYSSKFSSSKTSSSEELKPKTYIPAYYQPPVSMPKYYVNWLRIKLSLNKLKNIRAIYLFDQCQNFNQYQESSRKFSAGQVSSLTPWYSNFSNYSTVILRMKDTSLPPLENPSNGGTYLFNLITVFPSIALSFNYSWRGDTGPSISIFSFSLSVLFFSLFPQHKNICARAWCRPFRRSLSFSLRFIMSSEPASSSTEKVPEEPHPHSIKHKFQGPQFVIPRALSDYVLNVNNQTPESYEKALNAKYGRDDYITLCLHVTSLCTEYGPLDDGPEYVLLCDSRARVDKLIEDLVKLLEIDTDYVQLELHGGKRLHLQKPDAVLRDIAYKQSNEGSDKFFLEMKLVPSESMKAKIMKQEEEEEKARKHGQYQQYQEYHQQHQAMNDGQSSSSVPSTSSPSCSSEANRKEMETVREPAGPSELMRAINAPVAPAPVVIKIETPVALPEEDETLMDDDEMPSLTVEAPSEEASFEAEQPSPQVPQASIEGPSQQQQIPGTSQQKRQVARGSRTNMISYHDLPPGTGNAPPMACPQVTLKLEKNVPFEAKIRAVAGYTRKPISEVQKMRPSDLESIFHSICIASVQRIKRRNELVQQLQEINAQSCKSPTMTMNKKFTLAKAYQRVQNEIEKIDREQILPQQYMNMPPMPPQGQQRLPPPAYPPGILPPQQNRQQGVPPQFQRSPQFMIGPDGQRYAHPYMQLPNSNQRARILNTSSVQPSEEVRNRLVKIEAMAMNMAQLNPPRPPPPQPPHRALQGELQFLRPGAPDPCNFRPDSKQTYNNTYVTVASPATLTNSIIPWHFPPYEKSGRLNVSNTIKAINEYRLLCNSRQADPASFLEFYFLGDPMPHFNKILSIADYNMYLSRRRCDEADVKIHRMSHSDQLQLYLLELQSDESNVEKWKTFYRIMQWDLPLNNEFPRILLPSSLDIGRPVVDRKKKSIDQVMNHIHRMHSQRPPSMGNSSTSSEASSTSPTNAATATSSPASNRPTTSTAQPPTLNPT", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MENMRSENFNQGVSMEGVKHAPEMANTNRRALRDIKNIIGAPHQHMAVSKRGLLDKPAAKNQAGHRPMTRKFAATLANQPSSAPLAPIGSERQKRTADSAFHGPADMECTKITSDDLPLPMMSEMDEVMGSELKEIEMEDIEEAAPDIDSCDANNSLAVVEYVDEIYSFYRRSEGLSCVSPNYMLSQNDINEKMRGILIDWLIEVHYKLELLDETLFLTVNIIDRFLARENVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRTDILEMERMIVNTLQFDMSVPTPYCFMRRFLKAAQSDKKLELMSFFIIELSLVEYEMLKFQPSMLAAAAIYTAQCTINGFKSWNKCCELHTKYSEEQLMECSKMMVELHQKAGHGKLTGVHRKYSTFRYGCAAKSEPAVFLLKSVAL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDLDSSMVPENDQDPIATSENQSPMEEKEEASEQQTGSESESASLTPSLPPPSQQQQQQQQQPQVTAVVGPRCAPTYSVVNAIIEKKEDGPGPRCGHTLTAVPAVGEEGTSSYIGPRLILFGGATALEGNSGGTGTPTSAGSAGIRLAGATADVHCYDVLSNKWSRLTPYGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSARYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAATNTPPGRSPGRYGFSDERTGELPESAPDAVVLGSPVAPPVNGDMYTDISTENAMVPGIRRTSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKPSLSLIKPDSAVPNSVIPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVVAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDISYIDYLFLGDYVDRGQHSLETITLLLALKVEYQHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPERHIEDTWMQELNVNRPPTPTRGRPQNPNDRGSLAWI", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MARNSNSDEAFSSEEEEERVKDNEEEDEEELEAVARSSGSDDDEVAAADESPVSDGEAAPVEDDYEDEEDEEKAEISKREKARLKEMQKLKKQKIQEMLESQNASIDADMNNKGKGRLKYLLQQTELFAHFAKSDGSSSQKKAKGRGRHASKITEEEEDEEYLKEEEDGLTGSGNTRLLTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLAYLHEYRGINGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPEERRHIREDLLVAGKFDICVTSFEMAIKEKTALRRFSWRYIIIDEAHRIKNENSLLSKTMRLFSTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEAVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYRGYLYCRIDGNTGGDERDASIEAYNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTESAIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRYGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIQFKMDDSADFYDFDDDNKDENKLDFKKIVSDNWNDPPKRERKRNYSESEYFKQTLRQGAPAKPKEPRIPRMPQLHDFQFFNIQRLTELYEKEVRYLMQTHQKNQLKDTIDVEEPEEGGDPLTTEEVEEKEGLLEEGFSTWSRRDFNTFLRACEKYGRNDIKSIASEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYRNPWLELKIQYGQNKGKLYNEECDRFMICMIHKLGYGNWDELKAAFRTSSVFRFDWFVKSRTSQELARRCDTLIRLIEKENQEFDERERQARKEKKLAKSATPSKRPLGRQASESPSSTKKRKHLSMR", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSASSPDQRLDHLLSAVESEFQKGSEKGDASERDIKLSLEDAELWTKFKELTNEMIVTKTGRRMFPVLRASVTGLDPNAMYSVLLDFVAADNNRWKYVNGEWVPGGKPEPQSPSCVYIHPDSPNFGAHWMKAPVSFSKVKLSNKLNGGGQIMLNSLHKYEPRIHIVKVGGIQKMISSQSFPETQFIAVTAYQNEEITALKIKHNPFAKAFLDAKERSDHKEVPDHSTDNQQSGYSQLGGWFLPSNGPMGPSSSPPQFNGAPVHSSGSYCERYSSLRNHRAAPYPSHYSHRSTTTNNYMDNSSGSLASHDSWSALQIPNSSGMGTLAHTTNTTSNTSQYPSLWSVAGTTLTPSGSASGSITGGLTSQFLRGSSMSYSGLTSSLPVSSPSSMYDPGLSEVGVGDAQFESSIARLTASWAPVAQSY", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVHCAGCERPILDRFLLNVLDRAWHVKCVQCCECKCNLTEKCFSREGKLYCKNDFFRRFGTKCAGCAQGISPSDLVRRARSKVFHLNCFTCMMCNKQLSTGEELYIIDENKFVCKEDYLNNNNAAKENSFISVTGSDPSLSPESQDPLQDDAKDSESANVSDKEAGINENDDQNLGAKRRGPRTTIKAKQLETLKAAFAATPKPTRHIREQLAQETGLNMRVIQVWFQNRRSKERRMKQLSALGARRHAFFRSPRRMRPLVDRLEPGELIPNGPFAFYGDYQSEYYGPGSNYDFFPQGPPSSQAQTPVDLPFVPSSVPAGTPLGAMDHPIPGHHPSSDAQRFTDIMSHPPGDSPSPEPNLPGSMHSMSAEVFGQSPPFSSLSVNGGYGNHLSHPPEMNETAVW", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKLKKPSLPSSLLCAVPPCLSQIRLLIPRRVRVSSSTFANAKLVTLRNHTVNLHIYYCSMAGTDNRRSRHDDESPKNPNKKKKGNRNPEKSLLINLHSCSKRKDLSAALALYDAAITSSDIRLNQQHFQSLLYLCSAFISDPSLQTVAIDRGFQIFDRMVSSGISPNESSVTAVARLAAAKGDGDYAFKLVKDLVAVGGVSVPRLRTYAPALLCFCDTLEAEKGYEVEDHMDASGIVLEEAEISALLKVSAATGRENKVYRYLQKLRECVGCVSEETSKAIEEWFYGVKASEVSDNGIGSDIELLRAAVLKNGGGWHGLGWVGEGKWIVKKGNVSSAGKCLSCDEHLACVDTNEVETEDFVNSLVTLAMERKAKMNSCEPMADFSEFQEWLEKHGDYEAILDGANIGLYQQNFADGGFSLPQLEAVVKELYNKSGSKKQPLILLHKKRVNALLENPNHRNLVEEWINNNVLYATPPGSNDDWYWLYAAAKLKCLLVTNDEMRDHIFELLSNSFFQKWKERHQVRFTFVKGCLKLEMPPPFSVVIQESEKGSWHVPITSQDKEESLRSWMCITRQSS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEEGDFFNCCFSEISSGMTMNKKKMKKSNNQKRFSEEQIKSLELIFESETRLEPRKKVQVARELGLQPRQVAIWFQNKRARWKTKQLEKEYNTLRANYNNLASQFEIMKKEKQSLVSELQRLNEEMQRPKEEKHHECCGDQGLALSSSTESHNGKSEPEGRLDQGSVLCNDGDYNNNIKTEYFGFEEETDHELMNIVEKADDSCLTSSENWGGFNSDSLLDQSSSNYPNWWEFWS", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MADDEQFSLCWNNFNTNLSAGFHESLCRGDLVDVSLAAEGQIVKAHRLVLSVCSPFFRKMFTQMPSNTHAIVFLNNVSHSALKDLIQFMYCGEVNVKQDALPAFISTAESLQIKGLTDNDPAPQPPQESSPPPAAPHVQQQQIPAQRVQRQQPRASARYKIETVDDGLGDEKQSTTQIVIQTTAAPQATIVQQQQPQQAAQQIQSQQLQTGTTTTATLVSTNKRSAQRSSLTPASSSAGVKRSKTSTSANVMDPLDSTTETGATTTAQLVPQQITVQTSVVSAAEAKLHQQSPQQVRQEEAEYIDLPMELPTKSEPDYSEDHGDAAGDAEGTYVEDDTYGDMRYDDSYFTENEDAGNQTAANTSGGGVTATTSKAVVKQQSQNYSESSFVDTSGDQGNTEAQAATSASATKIPPRKRGRPKTKVEDQTPKPKLLEKLQAATLNEEASEPAVYASTTKGGVKLIFNGHLFKFSFRKADYSVFQCCYREHGEECKVRVVCDQKRVFPYEGEHVHFMQASDKSCLPSQFMPGESGVISSLSPSKELLMKNTTKLEEADDKEDEDFEEFEIQEIDEIELDEPEKTPAKEEEVDPNDFREKIKRRLQKALQNKKK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGGQESISNNNSDSFIMSSPNLDSQESSISPIDEKKGTDMQTKSLSSYSKGTLLSKQVQNLLEEANKYDPIYGSSLPRGFLRDRNTKGKDNGLVPLVEKVIPPIHKKTNNRNTRKKSSTTTKKDVKKPKAAKVKGKNGRTNHKHTPISKQEIDTAREKKPLKKGRANKKNDRDSPSSTFVDWNGPCLRLQYPLFDIEYLRSHEIYSGTPIQSISLRTNSPQPTSLTSDNDTSSVTTAKLQSILFSNYMEEYKVDFKRSTAIYNPMSEIGKLIEYSCLVFLPSPYAEQLKETILPDLNASFDNSDTKGFVNAINLYNKMIREIPRQRIIDHLETIDKIPRSFIHDFLHIVYTRSIHPQANKLKHYKAFSNYVYGELLPNFLSDVYQQCQLKKGDTFMDLGSGVGNCVVQAALECGCALSFGCEIMDDASDLTILQYEELKKRCKLYGMRLNNVEFSLKKSFVDNNRVAELIPQCDVILVNNFLFDEDLNKKVEKILQTAKVGCKIISLKSLRSLTYQINFYNVENIFNRLKVQRYDLKEDSVSWTHSGGEYYISTVMEDVDESLFSPAARGRRNRGTPVKYTR", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNSNEIENFIYLNNTLLSEVPEPNSVFYTPKCFSNSKLPHQSDLEEPSSACSLSKNTIIDGGADEPYDSSDSCATFEFADFFKDNLDDFALDGPIININHVNQTSPYTHHNAEPLHDLQTFSSNLNHSNNRRQTICNFNMANDASKENETPYMVLNNKFNPVLTTEYTQQHLVQCKMVLENHITSRFPHFYTKLPDVSLVPNNMPHYPDEVTAKSAPKDDFYVPRFTRGHGISKLGLCPICSHQGEFIWLRTKTSAYWYHMNFVHGIHSKGRPYQPPIEFRTVRLRKTRNAIGVPNKKYMIEGKCHQCNKWIRCQGRKDVSVKIPEIFWWRHAHRCHIITTDLR", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MHLSAGFLSCRERKGDFSCLTAARICEASTSDAVVQAAGTAMPVPLAPYPTPPVPFTPPNGAQSQLVCSGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGTDQKPSS", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPVLGVASKLRQPAVGPKPVHAALPIPNLGISVSRRCSSRPLEFATPERSMLSCQLTLKSTCEFGEKKALQGTAKEIEDSKIYTDWANHYLAKSGHKRLIKDLQQDIADGVLLADIIQIIANEKVEDINGCPRSQSQMIENVDVCLSFLAARGVNVQGLSAEEIRNGNLKAILGLFFSLSRYKQQQHHQQQYYQSLVELQQRVTHTAPQSEASQAKTQQDMQSSLTARYAAQSKHSGIATSQKKPTRLPGPSRVPAASSSNKAQGASNLNRRSQSFNSIDKNKPPNYANGNEKDSPKGPQPSSGINGNTQPPSTSGQPPASAIPSPSASKPWRSKSMNVKHSATSTMLTVKQPSPATSPTPSSDRLKPPVTEGVKSAPSGQKSMLEKFKLVNARTALRPPQAPSSGPNDGGREDDAFSESGEMEGFNSGLNSGGSTNSSPKVSPKLTPPKAGSKNFSNKKSLLQPKEKEEKTRDKNKACAEKSGKEEKDQVTTEAAPKKTSKIASLIPKGSKTAAAKKESLIPSSSGIPKPGSKVPTPKQTISPGSAASKESEKFRTSKGSSSQAFPKAITAEKASTPSLSTPLDGREAGQASPSSSCVMQVTHSSGQSPGNGAVQLPQQQQHSHPNTATVAPFIYRAHSENEGTSLPPADSCTSPTKMDSSYSKTAKQCLEEISGEDPEARRMRTVKNIADLRQNLEETMSSLRGTQISHSTLETTFDTTVTTEVNGRAIPNLTSRPSPMTWRLGQACPRLQAGDAPSMGAGYSRSGTSRFIHTDPSRFMYTTPLRRAAVSRLGNMSQIDMSEKASSDLDVSSEVDVGGYMSDGDILGKSLRADDINSGYMTDGGLNLYTRSLNRVPDTATSRDVIQRGVHDVTVDADSWDDSSSVSSGLSDTLDNISTDDLNTTSSISSYSNITVPSRKNTQLKTDAEKRSTTDETWDSPEELKKAEGDCDSHGDGAAKWKGATSGLAEDSEKTGQKASLSVSQTGSWRRGMSAQGGTPATARQKTSTSALKTPGKTDDAKASEKGKTPLKGSSLQRSPSDAGKSSGDEGKKPPSGIGRSTASSSFGYKKPSGVGASTMITSSGATITSGSATLGKIPKSAAIGGKSNAGRKTSLDGSQNQDDVVLHVSSKTTLQYRSLPRPSKSSTSGIPGRGGHRSSTSSIDSNVSSKSAGATTSKLREPTKIGSGRSSPVTVNQTDKEKEKVAVSDSESVSLSGSPKSSPTSASACGTQGLRQPGSKYPDIASPTFRRLFGAKAGGKSASAPNTEGAKSSSVVLSPSTSLARQGSLESPSSGTGSMGSAGGLSGSSSPLFNKPSDLTTDVISLSHSLASSPASVHSFTSGGLVWAANLSSSSAGSKDTPSYQSMTSLHTSSESIDLPLSHHGSLSGLTTGTHEVQSLLMRTGSVRSTLSESMQLDRNTLPKKGLRYTPSSRQANQEEGKEWLRSHSTGGLQDTGNQSPLVSPSAMSSSATGKYHFSNLVSPTNLSQFNLPAPSMMRSSSIPAQDSSFDLYDDAQLCGSATSLEERPRAVSHSGSFRDSMEEVHGSSLSLVSSTSSLYSTAEEKAHSEQIHKLRRELVASQEKVATLTSQLSANAHLVAAFEKSLGNMTGRLQSLTMTAEQKESELIELRETIEMLKAQNSAAQAAIQGALNGPDHPPKDLRIRRQHSSESVSSINSATSHSSIGSGNDADSKKKKKKNWLRSSFKQAFGKKKSTKPPSSHSDIEELTDSSLPASPKLPHNAGESGSSSMKPSQSASAICECTEAEAEIILQLKSELREKELKLTDIRLEALSSAHHLDQIREAMNRMQNEIEILKAENDRLKAETGNTAKPARPPSDSSSTASSSSSRQSLGLSLNNLNITESVTSDILLDDTGDATGHKDGRSVKIIVSISKGYGRAKDQKSQAYLIGSIGVSGKTKWDVLDGVIRRLFKEYVFRIDTSSSLGLSSDCIASYCIGDLIRSHNLEVPELLPCGYLVGDNNIITVNLKGVEENSLDSFVFDTLIPKPITQRYFNLLMEHHRIILSGPSGTGKTYLANKLAEYVITKSGRKKTEDAIATFNVDHKSSKELQQYLANLAEQCSADNNGVELPVVIILDNLHHVGSLSDIFNGFLNCKYNKCPYIIGTMNQGVSSSPNLELHHNFRWVLCANHTEPVKGFLGRYLRRKLIEMEIERNIRNNDLVKIIDWIPKTWHHLNSFLETHSSSDVTIGPRLFLPCPMDVEGSRVWFMDLWNYSLVPYVLEAVREGLQMYGKRAPWEDPSKWVLDTYPWSSASLPQEGPALLQLRPEDVGYEACTSTKEATTSKHIPQTDTEGDPLMNMLMKLQEAANYPSTQSCDGDSVSHREDILDTSIESTL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTSPSPRIQIISTDSAVASPQRIQIVTDQQTGQKIQIVTAVDASGSPKQQFILTSPDGAGTGKVILASPETSSAKQLIFTTSDNLVPGRIQIVTDSASVERLLGKTDVQRPQVVEYCVVCGDKASGRHYGAVSCEGCKGFFKRSVRKNLTYSCRSNQDCIINKHHRNRCQFCRLKKCLEMGMKMESVQSERKPFDVQREKPSNCAASTEKIYIRKDLRSPLIATPTFVADKDGARQTGLLDPGMLVNIQQPLIREDGTVLLATDSKAETSQGALGTLANVVTSLANLSESLNNGDTSEIQPEDQSASEITRAFDTLAKALNTTDSSSSPSLADGIDTSGGGSIHVISRDQSTPIIEVEGPLLSDTHVTFKLTMPSPMPEYLNVHYICESASRLLFLSMHWARSIPAFQALGQDCNTSLVRACWNELFTLGLAQCAQVMSLSTILAAIVNHLQNSIQEDKLSGDRIKQVMEHIWKLQEFCNSMAKLDIDGYEYAYLKAIVLFSPDHPGLTSTSQIEKFQEKAQMELQDYVQKTYSEDTYRLARILVRLPALRLMSSNITEELFFTGLIGNVSIDSIIPYILKMETAEYNGQITGASL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVKKAKWLKNVKKAFSPDSKKLKHESVECQDSVISYPVLIATSRSSSPQFEVRVDEVNYEQKKNLYPPSSDSVTATVAHVLVDSPPSSPESVHQAIVVNRFAGKSKEEAAAILIQSTFRGHLARRESQVMRGQERLKLLMEGSVVQRQAAITLKCMQTLSRVQSQIRSRRIRMSEENQARHKQLLQKHAKELGGLKNGGNWNYSNQSKEQVEAGMLHKYEATMRRERALAYAFTHQQNLKSFSKTANPMFMDPSNPTWGWSWLERWMAGRPWESSEKEQNTTNNDNSSVKNSTNRNSQGGETAKSSNRNKLNSSTKPNTPSASSTATRNPRKKRPIPSSIKSKSSDDEAKSSERNRRPSIARPSVSDDETLSSSTARRSSNLIPTTKSARGKPKSQTSSRVAVTTSTTEESSILPEKAPAKKRLSTSASPAPKPRRSSAPPKVEKGVLKAERTP", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDSAKLIFINQINDCKDGQKLRFLGCVQSYKNGILRLIDGSSSVTCDVTVVLPDVSIQKHEWLNIVGRKRQDGIVDVLLIRSAVGINLPRYRQMVSERQKCD", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSNNLRRVFLKPAEENSGNASRCVSGCMYQVVQTIGSDGKNLLQLLPIPKSSGNLIPLVQSSVMSDALKGNTGKPVQVTFQTQISSSSTSASVQLPIFQPASSSNYFLTRTVDTSEKGRVTSVGTGNFSSSVSKVQSHGVKIDGLTMQTFAVPPSTQKDSSFIVVNTQSLPVTVKSPVLPSGHHLQIPAHAEVKSVPASSLPPSVQQKILATATTSTSGMVEASQMPTVIYVSPVNTVKNVVTKNFQNIYPKPVTEIAKPVILNTTQIPKNVATETQLKGGQHSQAAPVKWIFQDNLQPFTPSLVPVKSSNNVASKILKTFVDRKNLGDNTINMPPLSTIDPSGTRSKNMPIKDNALVMFNGKVYLLAKKGTDVLPSQIDQQNSVSPDTPVRKDTLQTVSSSPVTEISREVVNIVLAKSKSSQMETKSLSNTQLASMANLRAEKNKVEKPSPSTTNPHMNQSSNYLKQSKTLFTNPIFPVGFSTGHNAPRKVTAVIYARKGSVLQSIEKISSSVDATTVTSQQCVFRDQEPKIHNEMASTSDKGAQGRNDKKDSQGRSNKALHLKSDAEFKKIFGLTKDLRVCLTRIPDHLTSGEGFDSFSSLVKSGTYKETEFMVKEGERKQQNFDKKRKAKTNKKMDHIKKRKTENAYNAIINGEANVTGSQLLSSILPTSDVSQHNILTSHSKTRQEKRTEMEYYTHEKQEKGTLNSNAAYEQSHFFNKNYTEDIFPVTPPELEETIRDEKIRRLKQVLREKEAALEEMRKKMHQK", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDTIININNNNQKYPQSAEEYHKVLCNYFITLQSAMQSTSRPSSSSPPSLPAVSSELLSSSFPTNAPESSSRDLAPKQNQFTINVVLFDEKKKKNPEENLPANGDQLATLRSLMMQINPSSPPSIPATSPQLPLAESENVPESSSTLKDHKFSLNTMLFNVKKNDAVKISLANQEQWAKFHEIGTEMMVFNSGRRLFPLLAYKVSGLDPHKLYCAGVHMIPDSAYKQEYDHDLQQWVNCLNQKKTIFKPTSEILGRIENGFKLMSLGIDMSDVKIFNIAIRKKTPLQIEKSRKPNLDKTIEVLIQYKYLPVIKIYELSNSGMEKKEIAQATFPETSFVTVSIYRNQKIKEMKTLGNKYCRTDRKQIVMEQRGELEQ", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MIFYDKLKPADVLVIGSADGRVIEAIEYIADLHKQHGFKFAICLGNLFSHKRTTSADVVKLKNEKVKVPIPVYFGVGTAGLPESIISHMAMYGPEVAPNLFCMGICGFMKTFYKFTIAQLGGSYNEEKYYQPPEKFEQSLNEKCFHRSDVQKLSKRCDILFSSEWPEDVQENSTLPERKLPKGCMPLAALAANCMPQYFFVPGPVYYEREPYKNSAAINVNTGTVTHFVALAPFKNSKNEKFSYAFTLYPLTTEYMQPAPPNCTASPFEHRPIPLKRASEDQIIPQQTNKFHKSKSSTALFKSKKDSSSSLNKMHKSESHSALNNLHKSESGTSLNNRRSKVGPGSCFFCLSNPNVALHLIVAIGNEAYMALPKGPLTTTASNTPALASSGHVLIIPIAHASALSTLSDTSYEKTLNEMNRFRKAVTDMYNACDSDALVYEISRANGVHLHWQMIPIPKISSHRIESVFLEMAKEAGYDFEERDVEPHELNYFRVFLPSGKILIHRLQLRERFDLQFGRRAAAKILGLEDRVDWRKCVQTEDEEKAESEAFKMCFKPYDFT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSNELDFRSVRLLKNDPVNLQKFSYTSEDEAWKTYLENPLTAATKAMMRVNGDDDSVAALSFLYDYYMGPKEKRILSSSTGGRNDQGKRYYHGMEYETDLTPLESPTHLMKFLTENVSGTPEYPDLLKKNNLMSLEGALPTPGKAAPLPAGPSKLEAGSVDSYLLPTTDMYDNGSLNSLFESIHGVPPTQRWQPDSTFKDDPQESMLFPDILKTSPEPPCPEDYPSLKSDFEYTLGSPKAIHIKSGESPMAYLNKGQFYPVTLRTPAGGKGLALSSNKVKSVVMVVFDNEKVPVEQLRFWKHWHSRQPTAKQRVIDVADCKENFNTVEHIEEVAYNALSFVWNVNEEAKVFIGVNCLSTDFSSQKGVKGVPLNLQIDTYDCGLGTERLVHRAVCQIKIFCDKGAERKMRDDERKQFRRKVKCPDSSNSGVKGCLLSGFRGNETTYLRPETDLETPPVLFIPNVHFSSLQRSGGAAPSAGPSSSNRLPLKRTCSPFTEEFEPLPSKQAKEGDLQRVLLYVRRETEEVFDALMLKTPDLKGLRNAISEKYGFPEENIYKVYKKCKRGETSLLHPRLSRHPPPDCLECSHPVTQVRNMGFGDGFWRQRDLDSNPSPTTVNSLHFTVNSE", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSGSRGNSSNSSVSNNSNNNNNNDGGDERLLFLRSVGERNEIGFPSRFKSAHYKKPTRRHKSARQLISDENKRINALLTKANKAAESSTAARRLVPKATYFSVEAPPSIRPAKKYCDVTGLKGFYKSPTNNIRYHNAEIYQLIVKPMAPGVDQEYLKLRGANFVLK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNEQQLLENIASLAGAINQYKNEKEPTQVLDAAKANKNTRSYPYSVSRNYSFILNKSNRSKSTAASPPYVIPSTSSNADDANKEPEKQSTSDYVSRKNRHMQLIKKNILEHDLQARKANLESYRAKLEKEYKTLAENKIQQRLSDGTKQLVTIDGLQYITGVSDTKWLEFVSAKGQCPKYLYWNNKSYLLKKKRFLKEVGNSPSAVYCRYYNANGICGKGAACRFVHEPTRKTICPKFLNGRCNKAEDCNLSHELDPRRIPACRYFLLGKCNNPNCRYVHIHYSENAPICFEFAKYGFCELGTSCKNQHILQCTDYAMFGSCNNPQCSLYHGAVSADVPEQTEAPISKTAGSINPEDSGSEIGSNSLESNLDFISV", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLDRDVGPTPMYPPSYMEPGIGRHTPYGNQTDYRIFELNKRLQNWTEQDCDNLWWDAFTTEFFEDDAMLTITFCLEDGPKRYTIGRTLIPRYFRSIFEGGATELFYVLKHPKESFHNNFVSLDCDQCTMVTQNGKPMFTQVCVEGRLYLEFMFDDMMRIKTWHFSIRQHREVVPRSILAMHAQDPQMLDQLSKNITRCGLSNSTLNYLRLCVILEPMQELMSRHKTYSLSPRDCLKTCLFQKWQRMVAPPAEPARQAPNKRRKRKMSGGSTMSSGGGNNNNSNSKKKSPASSFALSSQDVMVVGEPTLMGGEFGDEDERLITRLENTQFDAANGIDDEDSFNSSPTMGTNSPWNSKAPSSQQGKNDNPSSQSSQ", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVYPVRNKDDLDQQLILAEDKLVVIDFYADWCGPCKIIAPKLDELAHEYSDRVVVLKVNVDENEDITVEYNVNSMPTFVFIKGGNVLELFVGCNSDKLAKLMEKHAGVYTDEAADVKAVHIDGECIVDLTAESSESDNDNNNVNEVSAHDENAVLEH", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNPQIRNPMERMYRDTFYDNFENEPILYGRSYTWLCYEVKIKRGRSNLLWDTGVFRGQVYFKPQYHAEMCFLSWFCGNQLPAYKCFQITWFVSWTPCPDCVAKLAEFLSEHPNVTLTISAARLYYYWERDYRRALCRLSQAGARVTIMDYEEFAYCWENFVYNEGQQFMPWYKFDENYAFLHRTLKEILRYLMDPDTFTFNFNNDPLVLRRRQTYLCYEVERLDNGTWVLMDQHMGFLCNEAKNLLCGFYGRHAELRFLDLVPSLQLDPAQIYRVTWFISWSPCFSWGCAGEVRAFLQENTHVRLRIFAARIYDYDPLYKEALQMLRDAGAQVSIMTYDEFEYCWDTFVYRQGCPFQPWDGLEEHSQALSGRLRAILQNQGN", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MALKRLKTKKSKRLTGRLKHKIEKKVRDHNKKERRAAKKNPKKGSKKQKLIQIPNICPFKDDILKEVEEAKQRQEAERLARREAFKAEREQNKFKTLESMVEDADMRSTVHGIMHENDAQDQDEKKYKNAVTKEQSLKQYFKEFRKVIENADVVLEVVDARDPLGTRCNEVERAVRGAPGNKRLVLVLNKADLVPRENLNNWIKYFRRSGPVTAFKASTQDQANRLGRRKLREMKTEKAMQGSVCIGAELLMSMLGNYCRNKGIKTSIRVGVVGIPNVGKSSIINSLTRGRSCMVGSTPGVTKSMQEVELDSKIKLIDCPGIVFTSGGENSHAVLKNAQRVGDVKDPFTIAESVLKRASKEYFCTMYDITNYDTFEEFFAKKAARMGKFLKKGVPDVVAAARSVLNDWNTGKIKYCTQPPEVQEGQSVHISASIVHSEAREFDVENFESMETEILEHCAVKTDDIMEITSTGPLEIRQPREEAEPADKITASLVIDEKEKPAKGRKRKLDEEKEKVDPSLLLEENQSLNKGIKQMQKLKKKQNVRNEKKISKITDVLDSFSLGPSSSKAEKYDFDEDYVIE", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVEADHPGKLFIGGLNRETNEKMLKAVFGKHGPISEVLLIKDRTSKSRGFAFITFENPADAKNAAKDMNGKSLHGKAIKVEQAKKPSFQSGGRRRPPASSRNRSPSGSLRSARGSRGGTRGWLPSHEGHLDDGGYTPDLKMSYSRGLIPVKRGPSSRSGGPPPKKSAPSAVARSNSWMGSQGPMSQRRENYGVPPRRATISSWRNDRMSTRHDGYATNDGNHPSCQETRDYAPPSRGYAYRDNGHSNRDEHSSRGYRNHRSSRETRDYAPPSRGHAYRDYGHSRRDESYSRGYRNRRSSRETREYAPPSRGHGYRDYGHSRRHESYSRGYRNHPSSRETRDYAPPHRDYAYRDYGHSSWDEHSSRGYSYHDGYGEALGRDHSEHLSGSSYRDALQRYGTSHGAPPARGPRMSYGGSTCHAYSNTRDRYGRSWESYSSCGDFHYCDREHVCRKDQRNPPSLGRVLPDPREACGSSSYVASIVDGGESRSEKGDSSRY", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSLQSRLSGRLAQLRAAGQLLVPPRPRPGHLAGATRTRSSTCGPPAFLGVFGRRARTSAGVGAWGAAAVGRTAGVRTWAPLAMAAKVDLSTSTDWKEAKSFLKGLSDKQREEHYFCKDFVRLKKIPTWKEMAKGVAVKVEEPRYKKDKQLNEKISLLRSDITKLEVDAIVNAANSSLLGGGGVDGCIHRAAGPLLTDECRTLQSCKTGKAKITGGYRLPAKYVIHTVGPIAYGEPSASQAAELRSCYLSSLDLLLEHRLRSVAFPCISTGVFGYPCEAAAEIVLATLREWLEQHKDKVDRLIICVFLEKDEDIYRSRLPHYFPVA", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAAELSMGQELPTSPLAMEYVNDFDLLKFDVKKEPLGRAERPGRPCTRLQPAGSVSSTPLSTPCSSVPSSPSFSPTEPKTHLEDLYWMASNYQQMNPEALNLTPEDAVEALIGSHPVPQPLQSFDGFRSAHHHHHHHHPHPHHGYPGAGVTHDDLGQHAHPHHHHHHQASPPPSSAASPAQQLPTSHPGPGPHATAAATAAGGNGSVEDRFSDDQLVSMSVRELNRHLRGFTKDEVIRLKQKRRTLKNRGYAQSCRYKRVQQKHHLENEKTQLIQQVEQLKQEVSRLARERDAYKVKCEKLANSGFREAGSTSDSPSSPEFFL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDAGGLPVFQSASQAAAVAQQQQQQQQQQQQHLNLQLHQQHLGLHLQQQQQLQLQQQQHNAQAQQQQIQVQQQQQQQQQQQQQQHSPYNANLGATGGIAGITGGNGAGGPTNPGAVPTAPGDTMPTKRMPVVDRLRRRMENYRRRQTDCVPRYEQAFNTVCEQQNQETTVLQKRFLESKNKRAAKKTDKKLPDPSQQHQQQQHQQQQQHQQHQQHQQAQTMLAGQLQSSVHVQQKFLKRPAEDVDNGPDSFEPPHKLPNNNNNSNSNNNNGNANANNGGNGSNTGNNTNNNGNSTNNNGGSNNNGSENLTKFSVEIVQQLEFTTSAANSQPQQISTNVTVKALTNTSVKSEPGVGGGGGGGGGGGSGNNNNNGGGGGGGNGNNNNNGGDHHQQQHQQQQQQQGGGLGGLGNNGRGGGPGGMATGPGGVAGGLGGMGMPPNMMSAQQKSALGNLANLVECKREPDHDFPDLGSLDKDGGGGQFPGFPDLLGDDNSENNDTFKDLINNLQDFNPSFLDGFDEKPLLDIKTEDGIKVEPPNAQDLINSLNVKSEGGLGHGFGGFGLGLDNPGMKMRGGNPGNQGGFPNGPNGGTGGAPNAGGNGGNSGNLMSEHPLAAQTLKQMAEQHQHKNAMGGMGGFPRPPHGMNPQQQQQQQQQQQQQQAQQQHGQMMGQGQPGRYNDYGGGFPNDFGLGPNGPQQQQQAQQQQPQQQHLPPQFHQQKGPGPGAGMNVQQNFLDIKQELFYSSQNDFDLKRLQQQQAMQQQQQQQHHQQQQQQQQPKMGGVPNFNKQQQQQQVPQQQLQQQQQQQQQQQQQQQQQYSPFSNQNPNAAANFLNCPPRGGPNGNQQPGNLAQQQQQPGAGPQQQQQRGNAANGQQNNPNAGPGGNTPNAPQQQQQQSTTTTLQMKQTQQLHISQQGGGAHGIQVSAGQHLHLSGDMKSNVSVAAQQGVFFSQQQAQQQQQQQQPGGTNGPNPQQQQQQPHGGNAGGGVGVGVGVGVGNGGPNPGQQQQQPNQNMSNANVPSDGFSLSQSQSMNFNQQQQQQAAAQQQQVQPNMRQRQTQAQAAAAAAAAAAQAQAAANASGPNVPLMQQPQVGVGVGVGVGVGVGVGNGGVVGGPGSGGPNNGAMNQMGGPMGGMPGMQMGGPMNPMQMNPNAAGPTAQQMMMGSGAGGPGQVPGPGQGPNPNQAKFLQQQQMMRAQAMQQQQQHMSGARPPPPEYNATKAQLMQAQMMQQTVGGGGVGVGGVGVGVGVGGVGGANGGRFPNSAAQAAAMRRMTQQPIPPSGPMMRPQHAMYMQQHGGAGGGPRTGMGVPYGGGAGGPMGGPQQQQRPPNVQVTPDGMPMGSQQEWRHMMMTQQQTQMGFGGPGPGGPMRQGPGGFNGGNFMPNGAPNGAAGSGPNAGGMMSGPNVPQMQLTPAQMQQQLMRQQQQQQQQQQQHMGPGAANNMQMQQLLQQQQSGGGGNMMASQMQMTSMHMTQTQQQITMQQQQQFVQSTTTTTHQQQQMMQMGPGGGGGGGGPGSANNNNGGGGGGAAGGGNSASTIASASSISQTINSVVANSNDFGLEFLDNLPVDSNFSTQDLINSLDNDNFNLQDFNMP", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSTSAIALALSSSKAIEQLDHVQTETPNLKQEMSESPSNSGVASKRKLQSTEWLDPELYGLRRSGRTRSNPGRYVDTDDQEDVFPSKHRKGTRNGSSFSRHRTIRDLDDEAESVTSEESESDDSSYGGTPKKRSRQKKSNTYVQDEIRFSSRNSKGVNYNEDAYFESFEEEEEEEMYEYATEVSEEPEDTRAIDVVLDHRLIEGHDGSTPSEDYEFLIKWVNFSHLHCTWEPYNNISMIRGSKKVDNHIKQVILLDREIREDPTTTREDIEAMDIEKERKRENYEEYKQVDRIVAKHLNSDGSVEYLVKWKQLLYDFCTWEASSIIEPIAATEIQAFQEREESALSPSRGTNYGNSRPKYRKLEQQPSYITGGELRDFQLTGVNWMAYLWHKNENGILADEMGLGKTVQTVAFLSYLAHSLRQHGPFLVVVPLSTVPAWQETLALWASDMNCISYLGNTTSRQVIRDYEFYVDGTQKIKFNLLLTTYEYVLKDRSVLSNIKWQYMAIDEAHRLKNSESSLYEALSQFKNSNRLLITGTPLQNNIRELAALVDFLMPGKFEIREEINLEAPDEEQEAYIRSLQEHLQPYILRRLKKDVEKSLPSKSERILRVELSDLQMYWYKNILTRNYRVLTQSISSGSQISLLNIVVELKKASNHPYLFDGVEESWMQKINSQGRRDEVLKGLIMNSGKMVLLDKLLSRLRRDGHRVLIFSQMVRMLDILGDYLSLRGYPHQRLDGTVPAAVRRTSIDHFNAPNSPDFVFLLSTRAGGLGINLMTADTVIIFDSDWNPQADLQAMARAHRIGQKNHVMVYRLLSKDTIEEDVLERARRKMILEYAIISLGVTDKQKNSKNDKFSAEELSAILKFGASNMFKAENNQKKLEDMNLDEILEHAEDHDTSNDVGGASMGGEEFLKQFEVTDYKADVSWDDIIPLTEREKFEEEDRLREEEEALKQEIELSSRRGNRPYPSSAVESPSYSGTSERKSKKQMLKDEVLLEKEIRLLYRAMIRYGSLEHRYNDIVKYADLTTQDAHVIKKIAADLVTASRKAVSAAEKDLSNDQSNNKSSRKALLITFKGVKNINAETLVQRLNDLDILYDAMPTSGYSNFQIPMHVRSVHGWSCQWGPREDSMLLSGICKHGFGAWLEIRDDPELKMKDKIFLEDTKQTDNSVPKDKENKEKKVPSAVHLVRRGEYLLSALREHHQNFGIKSSPAISTNGKTQPKKQTANRRQSGKPNVKSAQKIESATRTPSPAISESRKKPSSKDTKIETPSREQSRSQTASPVKSEKDDGNVSLNAEQKARCKELMYPVRKHMKRLRKDSSGLGRAELVKLLTECLTTIGKHIEKTVNDTPSEEKATVRKNLWMFACYFWPKEEVKYTSLISMYEKMK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDSDLDRILPIASRALLCEGNRDWAGAYVSYCKVLEEMKKSSAARDRMGLGPLTGAEACSWNGLYDNCLSKASKLRKTILESEMERQNYQLAAKLSKKAPVDLHPLRPVRSQTPAYTPMTTRMMYRQTRGAQSEVNLSTPKQIYSKHSPPSTSTSSIVSSSYGDAPSYLAPSKPNRSPPLKPEDPFASFNSSASAIAAASKSAAASASALSSDTGRSATMNSTTFPTAMKSQSTTKPTLSNSVSSPSIQVSNNQNANNSTPLSFHAPIPPLHVPAVPLTSASHSSSDGKSRKHPSPYKPYLNSSHDTLGSSTRPSSADTAGSPATSPPATADSKTIVSKTISASTTQQTEPLQQTTPSSDFEYAIMNEIISNHEPVYWSDIAGLDDAKNSLKEAVIYPFLRPELFQGLREPVQGMLLFGPPGTGKTMLARAVATEAKATFFSISASSLTSKYLGDSEKLVRALFEVAKRQTCSVIFVDEIDSILSARNDSGNEHESSRRLKTEFLIQWSSLTNAAPDKQTGHSPRVLVLAATNLPWCIDEAARRRFVKRTYIPLPEKETRYKHLSHLLHNQVHCLTEEDLEELVNLTEGYSGSDITALAKDAAMGPLRNLGDALLTTSAEMIPPISLNHFKASLRTIRPSVSQEGIHRYEEWNKQFGSQR", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAPSPVRVKLLFDYPPPAIPESCMFWLLLDAKRCRVVTDLASIIRHKYMDGQGGGISLYVEDCLLPPGESILVIRDNDSIRVKWDGAAIERNQEAETCNDGAQNKSKKRHWKKSEDECDSGHKRKKQKSSSTQVDLKSGKDGGIRDKRKPSPPMECNASDPEELRESGRKTHKGKRTKKKSEAPIENPPDKHSRKCPPQASNKALKLSWKRQTSSSDSSDTSSCSDQPTPTTQQKPQSSAKRQNQAATRESVTHSVSPKAVNGISSTKNKKADAPISSSDMDTAVGGNETLICPVPPEDLSTHIQQHSQSPTSDSAESIELVIKKSNASLSSLTDNRVAGVSDKLSPNVSGRGRGRGEDFSWRGQRGRWFRGQGNNSNRGRGRGDSSNVFYKYNTEKEKQQQLEESATNVSIIIQNPQETMKRDYSSLPLLAAAPQVGKLIAFKLLEVSENYTPEVSEYKEGKILSFDPVTKQIEMEIISQQTMRKPGKFDVVYQSEDGEDIVEYAVPQESKVMLNWNTLIEPRLLMEKESQVQC", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKARRNKKQVPSFRKLIKTSKVKLENKLKNKQFKQQSTIKKYRKEQRKLRQAVKDAVSKKPIPLEDPKSKRPVKRMEREEDEEEEALPLDMMDEDDLQLMKDLGQKASFLTRDLSSSEPVHIKKRKHESVIEKYEKVPRTLQTAPEKELIHLLPIKDKSGIIPQAREKPVTDVQQEEEAEEELEDEEEVIEDPRKELTIEEHVIERKKKLQDKKIQIAALASAILSDPESHIKKLKELRSMLMEQDPDVAVTVRKLVIISLMELFKDITPSYKIRPLTEAEKSTKIRKETQKLREFEEGLVSQYKFYLENLEQIVKDWKQRKLKKSNVVSLKAYKGLAEVAVKSLCELLVALPHFNFHNNIIVLIVPLMNDGSKPVSEMCCEAVKKLFKQDKLGQASLGVIKVISGFVKGRNYEVRPEMLKTFLCLRIKEVEVKKDTEDINKPKRFMTFKEKRKTLSRMQRKWKKAEEKLERELREAEASESTEKKLKLHTETLNIVFVTYFRILKKAQKSPLLPAVLEGLAKFAHLINVEFFDDLLVVLHTLIESGELSYQESLHCVQTAFHILSGQGDVLNIDPMKFYTHLYKTLFTLHAGATNDGIEIVLHCLDVMLSKRRKQVSHQRALAFIKRLCTLALQVLPNSSIGLLATTRILMHTFPRTDLLLDNESQGSGVFLPELEEPEYCNAQNTALWELHTLRRHYHPIVRRFAAHLLAGAPSEGSEALKPELSRRSAVELFETYSMAAMTFNPPVESSHSKRKDKFLPGDSFLNEDLNQLIKRYCNEAAPETPLDFAKCLESSSRQYRVNGLS", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTSHGGGEVRGERIHTVQPERDLVANWEVDLSEKLEEYLLKICSGEITGNEEDGQIPVNFAEAALLLQGSVQVYSKKVEYLYNLVLRTLEFLSKQRDQEQSKGTSNENEASSSRQVDEEENDLFWNVDDIPVDTKNRLDSSVGGDTCPSQFVKPPANLVVLEGDCLDTSGDGGELESYLLATTHLYRDFILLDPCDAVAVNEFLGDNYGGKGRNSAHRGSSVRKSFHSSVGRSGGSARKSSVGKNQGTNVHLSPICGNGPNDQNCDQGSQPPVFEDNDHGFDMDNEYGGAMDFSDTDADEDDPWKPLNPYEPGKLKVKPFKKVKILKKIGWSITKDHMTSMFPLARPNGPISSELIEIWKMHGCASKDEQASQDIPYYEKLREMLVNGGNQPCGANGNYNDNDKDNHDEANNGDFHDFGEHDGDDAEHPFMDEDVLNMNDGGAAEFHNYDGFENGESNCQESLEDLCRSHLDALLANIAKSEKQTDLAARVSTWKQKIEQNLEEQELHPPFDIQEYGDRIINKLTVEESGNVETFTDLMKDQEKHEVARAFSALLQLVNNGDVDLEKPGNSTNEPMCYTAVKPFSVRLLKVHNRKNEKRGIHLPQKRAKSPITKGKSHESPPPKKRNTCSVSSQTRKVSLKISKINGVGVRCTPNSKKRRKGRSDDVTEVTEVASIEKSLGKL", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEPGSDDFLPPPECPVFEPSWAEFRDPLGYIAKIRPIAEKSGICKIRPPADWQPPFAVEVDNFRFTPRIQRLNELEAQTRVKLNYLDQIAKFWEIQGSSLKIPNVERRILDLYSLSKIVVEEGGYEAICKDRRWARVAQRLNYPPGKNIGSLLRSHYERIVYPYEMYQSGANLVQCNTRPFDNEEKDKEYKPHSIPLRQSVQPSKFNSYGRRAKRLQPDPEPTEEDIEKNPELKKLQIYGAGPKMMGLGLMAKDKTLRKKDKEGPECPPTVVVKEELGGDVKVESTSPKTFLESKEELSHSPEPCTKMTMRLRRNHSNAQFIESYVCRMCSRGDEDDKLLLCDGCDDNYHIFCLLPPLPEIPKGVWRCPKCVMAECKRPPEAFGFEQATREYTLQSFGEMADSFKADYFNMPVHMVPTELVEKEFWRLVNSIEEDVTVEYGADIHSKEFGSGFPVSDSKRHLTPEEEEYATSGWNLNVMPVLEQSVLCHINADISGMKVPWLYVGMVFSAFCWHIEDHWSYSINYLHWGEPKTWYGVPSLAAEHLEEVMKKLTPELFDSQPDLLHQLVTLMNPNTLMSHGVPVVRTNQCAGEFVITFPRAYHSGFNQGYNFAEAVNFCTADWLPAGRQCIEHYRRLRRYCVFSHEELICKMAACPEKLDLNLAAAVHKEMFIMVQEERRLRKALLEKGITEAEREAFELLPDDERQCIKCKTTCFLSALACYDCPDGLVCLSHINDLCKCSSSRQYLRYRYTLDELPAMLHKLKVRAESFDTWANKVRVALEVEDGRKRSLEELRALESEARERRFPNSELLQQLKNCLSEAEACVSRALGLVSGQEAGPHRVAGLQMTLTELRAFLDQMNNLPCAMHQIGDVKGVLEQVEAYQAEAREALASLPSSPGLLQSLLERGRQLGVEVPEAQQLQRQVEQARWLDEVKRTLAPSARRGTLAVMRGLLVAGASVAPSPAVDKAQAELQELLTIAERWEEKAHLCLEARQKHPPATLEAIIREAENIPVHLPNIQALKEALAKARAWIADVDEIQNGDHYPCLDDLEGLVAVGRDLPVGLEELRQLELQVLTAHSWREKASKTFLKKNSCYTLLEVLCPCADAGSDSTKRSRWMEKELGLYKSDTELLGLSAQDLRDPGSVIVAFKEGEQKEKEGILQLRRTNSAKPSPLASSSTASSTTSICVCGQVLAGAGALQCDLCQDWFHGRCVSVPRLLSSPRPNPTSSPLLAWWEWDTKFLCPLCMRSRRPRLETILALLVALQRLPVRLPEGEALQCLTERAISWQGRARQALASEDVTALLGRLAELRQRLQAEPRPEEPPNYPAAPASDPLREGSGKDMPKVQGLLENGDSVTSPEKVAPEEGSGKRDLELLSSLLPQLTGPVLELPEATRAPLEELMMEGDLLEVTLDENHSIWQLLQAGQPPDLERIRTLLELEKAERHGSRARGRALERRRRRKVDRGGEGDDPAREELEPKRVRSSGPEAEEVQEEEELEEETGGEGPPAPIPTTGSPSTQENQNGLEPAEGTTSGPSAPFSTLTPRLHLPCPQQPPQQQL", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MWIIEAEGDILKGKSRILFPGTYIVGRNVSDDSSHIQVISKSISKRHARFTILTPSEKDYFTGGPCEFEVKDLDTKFGTKVNEKVVGQNGDSYKEKDLKIQLGKCPFTINAYWRSMCIQFDNPEMLSQWASNLNLLGIPTGLRDSDATTHFVMNRQAGSSITVGTMYAFLKKTVIIDDSYLQYLSTVKESVIEDASLMPDALECFKNIIKNNDQFPSSPEDCINSLEGFSCAMLNTSSESHHLLELLGLRISTFMSLGDIDKELISKTDFVVLNNAVYDSEKISFPEGIFCLTIEQLWKIIIERNSRELISKEIERLKYATASNSTPQKIIQPQRHIQKNIVDDLFSVKKPLPCSPKSKRVKTLENLSIMDFVQPKQMFGKEPEGYLSNQSNNGSAQNKKSGDNSEKTKNSLKSSSKKSANTGSGQGKTKVEYVSYNSVDKGNSSPFKPLELNVVGEKKANAEVDSLPSENVQESEDDKAFEENRRLRNLGSVEYIRIMSSEKSNANSRHTSKYYSGRKNFKKFQKKASQKAPLQAFLSLSEHKKTEVFDQDDTDLEPVPRLMSKVESIPAGASSDKSGKSSISKKSSNSFKELSPKTNNDEDDEFNDLKFHF", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLAEPVPDALEQEHPGAVKLEEDEVGEEDPRLAESRPRPEVAHQLFRCFQYQEDMGPRASLGRLRELCNHWLRPALHTKKQILELLVLEQFLSVLPPHVLSRLHGQPLRDGEEVVQLLEGVPRDISHMGPLDFSFSAGKNAPADIISEEQNSPSQVPSHSPQTELPSEEIPALHPLNELPPPQPAPIRPAEPEEWRLAPSSNWPMSPEPQEILQDPRESNPSQGPSWLEENSRDQELAAVLESLTFEDTSEKRAWPANPLGFGSRMPDNEELKVEEPKVTTWPVVIGAESQTEKPEVAGEPLTQTVGQETSSTGWGGTPADGSEVVKVRGASDAPEPQGEMQFICTYCGVNFPEMSHLQAHQLQSHPNLQPHPSSRSFRCLWCGKTFGRSSILKLHMRTHTDERPHACHLCNRRFRQSSHLTKHLLTHSSEPAFRCAECNQGFQRRSSLMQHLLAHAQGKNLTPNPEGKTKVPEMAAVLCSHCGQTFKRRSSLKRHLRNHAKDKDHLSSEDPGSLSSSQESNPYVCSDCGKAFRQSEQLMIHTRRVHTRERPFSCQVCGRCFTQNSQLISHQQIHTGEKPHACPQCSKRFVRRAGLARHLLTHGSLRPYHCAQCGKSFRQMRDLTRHVRCHTGEKPCRCNECGEGFTQNAHLARHQRIHTGEKPHACDICGHRFRNSSNLARHRRSHTGERPYSCPTCGRSFRRNAHLQRHLITHTGSKQEKEVPQECPECGKSFNRSCNLLRHLLVHTGARPYSCALCGRSFSRNSHLLRHLRTHARESLY", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGVPKRKASGGQDGAASSAGAAKRARKEELTGVRFKAQLKDPQGPGPGLEAFVSAAKKLPREDVYDVVEGYIKISVECVEIFQLLSGEKRPESETMLIFQVFEAILLRTASDLSHFHVVGTNIVKKLMNNHMKLICESLYASGYRLARACLSLMTAMVTQGPEAARDVCSHFDLNKKTLYTLVTKRDSKGVYDVRQAYVQFALSFLIAGDDSTIVQVLEVKEFIPCIFSSGIKEDRISTINILLSTLKTKVVHNKNITKTQKVRFFTGQLLNHIASLYNWNGITDVNPENVKVSAEEAGKTMVRELVHNFLMDLCCSLKHGINFYDASLGTFGRGGNLTLLHFLLGLKTAADDDLVADLVVNILKVCPDLLNKYFKEVTFSFIPRAKSTWLNNIKLLNKIYEAQPEISRAFQTREFIPLPRLLAMVMVTTVPLVCNKSMFTQALNLDSTSVRHTALSLISVILKRALKTVDHCLNKEVWQESGVYTAVMMEEFVQLFREALSKILPDLNTVVWVWQSLKKQETKQDDKKGQKRSDGPPAACDAHQCDDAETILLKAVLLQVICLYQKVVPHVVMQYNFDFSKLLKGVISEQGLREEVPPILQHHMLKVALELPASKFLWLKAQEGPDAEIIGGERSVFYLLMKMFVTSSHLQLKSLTKLLIMKILRDTGVFEHTWKELELWLEHLENTMEEDKETVIQFLERILLTLVANPYSYTDKASDFVQEASMLQATMTKQEADDMSIPISHIDDVLDMVDVLVEGSEGLDEEIGFTLSEDMILLTFPFSAVVPAALEARNKLLLGTGNEAAENVVTYLTAVLTDLLHTQRDPLALCLLLQAYDKLEPPCLVPCCQQLSRFNRYYSLWIPEQAREAWLLQAQGSPSPPALPLASSFTALLQAAYESQALRDEHIQVQLQATMPHLSMQQVLLAAKQVLLYLRSTVENFGQLGRSVGPPLLQLFLDLLRRLVVHCEQLDAQNQQRCEAARAEADLFLDMESVASLELANDQTLEEVLVAILRHPTLEGWFLALEQQALPPHTLSPVLVKLLATHFSAGVLQLLAASAPILQNIGQLGLLARYSEAITQSVLKELQNRRAGPATSPPKTPPQLEALQELHPYMEGAQLREVTLALLSLPETHLVTQQPTKSPGKERHLNALGKTLVQLLTCSPQDQLQSGELLWSSEYVRGLGALLPTLAVDELDTVLLHTLQRDPVLAPAVGADLLDYCLARRTQAALSIAALLLQESCTHLLWFEQWCLQAGPGLGLQGDLDDFLPLIHVYLQCRTRSHFTRPAGVSSAVIPVLRKTLWRQLQSRLLSTDSPPASGLYQEILAQLVPFARAKDLSVLMDRLPSLLHTPSSHKRWIVADSISAALEGSAEELCAWRRTLLESCVKWLIVSFSGGQQDDDNTQNQEKEMLLRLNALLHALNEVDPGDWQKFVKKGLKFRYQDHTFLKMLLTAVQLLYSPESSVRTKLIQLPVVYVMLMQHSLFLPTLLTSDGEESPDSQVKEALVDLMLTVVEMCPSVCESSHFAVLLGAYGATLSVLDQKILLLLRAYEQNKLSLINFRVLLWGPAAVEHHKTCRSLGRSLWQQPSVGDILRLLDRDRMMQTILHFPQNRRLLPPEDTQELIFKDKSRVDLDGLYDPCFLLQLFSELTRPEFVVDCRKFLDSNALGLTVTALSSYDPQMRAIAYHVLAAYYSHLEGARFQEQSQLLYLLDVVRNGIRTQDMRLTFTLALFIAKAALQILKPEEHMYLKVSNFLLSHEYLNMDKVPGFYQFFYSSDFEQKTEQKWVFGVLRQGIRDKQCYELCARRGIFHIILSFFHSPLCDEAAQNWILEILQNAAQVARSAYEIIRDYSLLTWILHILESKFLETPLLSNVISLLHTLWVTNLGDKAVEWESQRLCQPSSQEPAKRLALHLVNEFLYVLIVLMKHLRPTLAPVQLTNFFGTLDSVLRYRATVIQAFRDMNRFTVNETVLSTKDVLVLLHKWSLIERDLKLQEDLRAAIEKAQARELMKMLKDKNKPVMPARAKGPRGRKRRPGEAEEMADPELMASTLETCKGLLRSILTYWRPVIPGPDPTQEPVDSASPESDAPGPVYAAASLAVSWVLRSVAEHPLSRAEAAGLIGWLKSHILPHPVVVADLLKDSAVRSSIFRLYSRLCGAEGLAGPVQEVACLFNTVMLQLVAAQGRAGSPFHPAMEALSLSSLSEKDEATQASAAFLVSLYIKDIWLGAQRPDTLLTHVRMVCEAADDAPSSEEEAIVVLCKDAASAASDA", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDAEAEDKTLRTRSKGTEVPMDSLIQELSVAYDCSMAKKRTAEDQALGVPVNKRKSLLMKPRHYSPKADCQEDRSDRTEDDGPLETHGHSTAEEIMIKPMDESLLSTAQENSSRKEDRYSCYQELMVKSLMHLGKFEKNVSVQTVSENLNDSGIQSLKAESDEADECFLIHSDDGRDKIDDSQPPFCSSDDNESNSESAENGWDSGSNFSEETKPPRVPKYVLTDHKKDLLEVPEIKTEGDKFIPCENRCDSETERKDPQNALAEPLDGNAQPSFPDVEEEDSESLAVMTEEGSDLEKAKGNLSLLEQAIALQAERGCVFHNTYKELDRFLLEHLAGERRQTKVIDMGGRQIFNNKHSPRPEKRETKCPIPGCDGTGHVTGLYPHHRSLSGCPHKVRVPLEILAMHENVLKCPTPGCTGRGHVNSNRNTHRSLSGCPIAAAEKLAMSQDKNQLDSPQTGQCPDQAHRTSLVKQIEFNFPSQAITSPRATVSKEQEKFGKVPFDYASFDAQVFGKRPLIQTVQGRKTPPFPESKHFPNPVKFPNRLPSAGAHTQSPGRASSYSYGQCSEDTHIAAAAAILNLSTRCREATDILSNKPQSLHAKGAEIEVDENGTLDLSMKKNRILDKSAPLTSSNTSIPTPSSSPFKTSSILVNAAFYQALCDQEGWDTPINYSKTHGKTEEEKEKDPVSSLENLEEKKFPGEASIPSPKPKLHARDLKKELITCPTPGCDGSGHVTGNYASHRSVSGCPLADKTLKSLMAANSQELKCPTPGCDGSGHVTGNYASHRSLSGCPRARKGGVKMTPTKEEKEDPELKCPVIGCDGQGHISGKYTSHRTASGCPLAAKRQKENPLNGASLSWKLNKQELPHCPLPGCNGLGHVNNVFVTHRSLSGCPLNAQVIKKGKVSEELMTIKLKATGGIESDEEIRHLDEEIKELNESNLKIEADMMKLQTQITSMESNLKTIEEENKLIEQNNESLLKELAGLSQALISSLADIQLPQMGPISEQNFEAYVNTLTDMYSNLERDYSPECKALLESIKQAVKGIHV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDRSSAVGGCGGGGGLGVGVVTSSATALGPGGLTNGGGGVVSGALNGLEAMSAESTGLCLQDLVSAGTANGAGSAGSAESATTTSTALSSGSTGSSTVNGGGSSTSGTEHLHSHHSLHDSSSSVSISPAISSLMPISSLSHLHHSAGQDLVGGYSQHPHHTVVPPHTPKHEPLEKLRIWAETGDFRDSHSSMTAVANSLDSTHLNNFQTSSTSSISNRSRDRKDGNRSVNETTIKTENISSSGHDEPMTTSGEEPKNDKKNKRQRRQRTHFTSQQLQELEHTFSRNRYPDMSTREEIAMWTNLTEARVRVWFKNRRAKWRKRERNAMNAAVAAADFKSGFGTQFMQPFADDSLYSSYPYNNWTKVPSPLGTKPFPWPVNPLGSMVAGNHHQNSVNCFNTGASGVAVSMNNASMLPGSMGSSLSNTSNVGAVGAPCPYTTPANPYMYRSAAEPCMSSSMSSSIATLRLKAKQHASAGFGSPYSAPSPVSRSNSAGLSACQYTGVGVTDVV", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQPPYIQERLKSLNDIETQLCSMLQEASQVTFIFGELKRGNESVKPQFENHVKQFYERLDKSTTQLRKEIQLLDENVGTRLLPINVNKKALGQDTEKMEEQLDLLSAILDPSKSK", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAEANERSKEMARSNSCVFPRDLLQRFISNSVEGEDDDEEEDDDEIELNLGLSLGGRFGVDKSNKLVRSSSVVVTMPLFREDHHHHQAAAMITTKVSTETVAGATRGTGLMRTTSLPAESEEEWRKRKEMQTLRRMAAKRRRSEKLRTGVGGGNSNNPEEAATATASRRRGRPSSGLPRWSATANKSGLLRQHSAGLDSLQVSGESLGGGRAAGSSSSVSELETKASSDEARSLPSTTQPQQETTTKPTNRLRRLSSVDMNMKMEPQGKGKSEMPCVFTKGDGPNGKRVDGILYRYGSGEEVRIMCVCHGDFLSPADFVKHAGGPHVDHPLRHIVVNTSSPSNLL", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDAFRDAILQRAPIETFALKTVQHFIQPQKQTKLAQDENQMLENMLRTLLQELVAAAAQSGEQIMQYGQLIDDDDDDDDIHGQIPHLLDVVLYLCEKEHVEGGMIFQLLEDLTEMSTMKNCKDVFGYIESKQDILGKQELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKDPPKGISVDFNFYKTFWSLQEYFCNPASLTSASTKWQKFSSSLAVVLNTFDAQPLSEEEGEANSLEEEAATFNIKYLTSSKLMGLELKDSSFRRHILLQCLIMFDYLRAPGKNDKDLPSETMKEELKSCEDRVKKLLEITPPKGKEFLRAVEHILEREKNWVWWKRDGCPPFEKQPIDKKSPNAGQKKRRQRWRLGNKELSQLWRWADQNPNALTDSQRVRTPDIADYWKPLAEDMDPSAGIEDEYHHKNNRVYCWKGLRFTARQDLEGFSRFTEMGIEGVVPVELLPPEVRSKYQAKPNEKAKRAKKEETKGGSHETEGNQIGVSNSEAEAEGGRGDAETMESDAIADTPTPEEQQRLGGSDTENGQEAGQIEDGETEEAGLMDTDLDHPPMPVS", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDQNLHFVESPALAPPEVHLDIAAQQQNEADLAAAAAQPLPDDDDDAFE", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAVVIRLQGLPIVAGTMDIRHFFSGLTIPDGGVHIVGGELGEAFIVFATDEDARLGMMRTGGTIKGSKVTLLLSSKTEMQNMIELSRRRFETANLDIPPANASRSGPPPSSGMSSRVNLPTTVSNFNNPSPSVVTATTSVHESNKNIQTFSTASVGTAPPNMGASFGSPTFSSTVPSTASPMNTVPPPPIPPIPAMPSLPPMPSIPPIPVPPPVPTLPPVPPVPPIPPVPSVPPMTPLPPMSGMPPLNPPPVAPLPAGMNGSGAPMNLNNNLNPMFLGPLNPVNPIQMNSQSSVKPLPINPDDLYVSVHGMPFSAMENDVRDFFHGLRVDAVHLLKDHVGRNNGNGLVKFLSPQDTFEALKRNRMLMIQRYVEVSPATERQWVAAGGHITFKQNMGPSGQTHPPPQTLPRSKSPSGQKRSRSRSPHEAGFCVYLKGLPFEAENKHVIDFFKKLDIVEDSIYIAYGPNGKATGEGFVEFRNEADYKAALCRHKQYMGNRFIQVHPITKKGMLEKIDMIRKRLQNFSYDQREMILNPEGDVNSAKVCAHITNIPFSITKMDVLQFLEGIPVDENAVHVLVDNNGQGLGQALVQFKNEDDARKSERLHRKKLNGREAFVHVVTLEDMREIEKNPPAQGKKGLKMPVPGNPAVPGMPNAGLPGVGLPSAGLPGAGLPSTGLPGSAITSAGLPGAGMPSAGIPSAGGEEHAFLTVGSKEANNGPPFNFPGNFGGSNAFGPPIPPPGLGGGAFGDARPGMPSVGNSGLPGLGLDVPGFGGGPNNLSGPSGFGGGPQNFGNGPGSLGGPPGFGSGPPGLGSAPGHLGGPPAFGPGPGPGPGPGPIHIGGPPGFASSSGKPGPTVIKVQNMPFTVSIDEILDFFYGYQVIPGSVCLKYNEKGMPTGEAMVAFESRDEATAAVIDLNDRPIGSRKVKLVLG", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRTQVYEGLCKNYFSLAVLQRDRIKLLFFDILVFLSVFLLFLLFLVDIMANNTTSLGSPWPENFWEDLIMSFTVSMAIGLVLGGFIWAVFICLSRRRRASAPISQWSSSRRSRSSYTHGLNRTGFYRHSGCERRSNLSLASLTFQRQASLEQANSFPRKSSFRASTFHPFLQCPPLPVETESQLVTLPSSNISPTISTSHSLSRPDYWSSNSLRVGLSTPPPPAYESIIKAFPDS", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSVALADEPLIDLEEDLEDGEIDDDEEDEQQSSKIQVQKKTFVGDDDVQFVGVEAKNQNDDEDVVYVGPSTDAVCLQNSNSTKSKKPRPLEDDHASSIELAIANALKKKGIEPPMPRMRSSNQDTSDQSLEGSGEGLATANPLLQSTRSSRRRKRKKEREREQKKDKEQQNRSRRDENDVSVVPGGVEDMDEYEMMNVRGGSPPPGGAAPPLSSCGQRFSGADWDMDDGSAATGAAGLGAGGGGGYNSHSSYDSYSDEETNGPGLMNQRRRTRRDNEKEHQRGVNNRKRRDRDRLEGGLAGSGSKRNRRDSGEGGGGGQEKMGGSNRVEPRKLELCKFYLMDCCAKRDKCSYMHKEFPCKYYYLGMDCYAGDDCLFYHGEPLSEQLRNVLLKHMETAPKEILGDFKRISRDIAIVQMTRRHEQLCDQLNRENTWNSIGCGLMGKRQDHQMQQQQQQLQHQQLQQQQEQQQTQQQAAADGGGCIPSLLDMVINPPLSENKRKSRWTEKMGAKAAAGAAGSSERDSTSPDAKPLPPHLDLANLSHVLSAENMAKLNKLGITNLEQMLQVPFGQLTEAGLTLVEIGEIQRKAEDAKPQTQAELESSTPPSKRETEANNSNSKSNGLIMVDYTQYLKDAHVSFSGNDPLDDDRDDDEQLIIDDGNDSTAEEDQQPKKAKAPPAATHESSTEEAPLPSVFDLPSFMNNMLGQGSSARQLLPASATSPNQENAHLPGGDQSTHKSAPIGGGTSTNVLGRILFGDKQSDPEARAAFYRDIIRNPFKAHSGDGDVDSSNENSNSNSHSLTPTPTPEPGSQSPKPEDHDQDMPELPVIAPALPPTTPSLYVRRSMYDFDPVKEQEHGRQELLTEEKEQYQRDTDMRLPFEPMKHYMPATEIDAAIFSHTPIRWQLHEVTIEESSYAQIRASALHKEQRELRDPRMRRILGLPETPDNSGPLGSVPIMGPSSFSVDNIARCATTIASPDLETAVRDSTPSSPPPSVVNLPSMSVPPPSMRVPPPNIQVEKPTVRTDPRRDPRRAVLQAPTKGASTANTTAPNASGGSKQISEIRSLLQVSNWYNNLGTNNKIMVNQQLALVFTELKKFHQLPNDAPKIFDVSFIVNNTTLQQIFAKLFIFVDDNGEVVQIPEEPNGNGAALGGGGDSGGGVGGGGGGGGVVLPNLSQPPPNLSQMLRLPPPNIRMLRMSGMMMQMGNVGPPFNQPPPRGGLMGMPPNGNGLNQGVGNLGGLGQLGINQGGGPVPNGNPFNPFGGNNGGGAGVMNNMNSMGNMGMGFNNFNNNGGRGGHFPGGGSGGNGNGNNRNQRGGNHRNRNI", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MATTSYRLVVAPAGSQRSSTGNVVVTTTSSGSHSSNGANGGTGGTSAGSSTLGSGLNVTTITATSGGQLQSAGNTSQSNGTTYKIEMLEEDIQSLGSDDDDEDLISSDGSLYEGDLGSMPVNDDVAHQLAAAGPVGVAAAAAIASSKKRKRPHCFETNPSVRKRQQNRLLRKLRAIIYEFTGRVGKQAVVLVATPGKPNTSYKVFGAKPLEDVLRNLKNIVMDELDNALAQQAPPPPQDDPSLFELPGLVIDGIPTPVEKMTQAQLRAFIPLMLKYSTGRGKPGWGRESTRPPWWPKELPWANVRMDARSEDDKQKISWTHALRKIVINCYKYHGREDLLPTFADDEDKVNALISQSGDEDEDMELSNPPTIHTVTTMTPPTGNSNQPQQVNVVKINSAGTVITTHTAQSNTPAPTIIQSTNNQHVTTTATLPASTKIEICQAPAQNQQHHQHHQTHLPNAVHIQPVAGGQPQTIQLTTASGTATATAVQTTAAAVSAAQAHAHSQSQAHSQSSANQTVTAQQIANAQVCIEPITLSDVDYTTQTVLSQNADGTVSLIQVDPNNPIITLPDGTTAQVQGVATLHQGEGGATIQTVQSLTDVNGHENMTVDLTETQDGQIYITTEDGQGYPVSVSNVISVPVSMYQSVMANVQQIQTNSDGTVCLAPMQVENGDQLETITMSPGMHQMMIQGGPGQEPQLVQVVSLKDATLLSKAMEAINSGNVKSEDTIIMEQ", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVKERCPKQAFQDSLEDIKERMKEKRIKKLAKVATVNKTLCTKVQILNSGSTAIKNYKANNTALALALEAEKLKTRQAQDLILGLKREHQRLIFEIFMLRRRLQSQQGRDTAESKLASLKDIIAKVTHNLLETASLLEPAHLLCSSANNNTPNPSKVEEKLSSGASAILRLPSHAPISDTLPKNVIPNRLEPEQRNFKDKVVLEANRNTAGVNRQSRGRRSHSNQPSFTSRLEECNNEDKTESGATMNKNVSLRRRASSLNICLEESLPLEDTNVNSEHTVVETERPFPTEEFSNESRTDREIDNVDNPASPLKVKCFPHANGSKMTGLASEAKQTSNKNKEEPRVGRERVKKGKAERVAVSQMKKPWENSKPRARSKSRDRSASKKSVAKEKMNSSLNSGDAFDFACEESIHVTPFRQNKQEESQNESSLEISSSEGELDDSLYKPYKDKSKNKNLKPDIAPVPLRSRSKRNTARKNSIAENELMSDVQAEANEKKITRNGLKRKSENSFTESAETYREKSFMPTCINTNNAIAENPEVKVFSDECNGGIIYTADEPSGASTPRISLSDVTNLPGNTDAKKHINLLFNEDEMKRSSTPSRKRRCKVSINYAEPKLSGKLRRGDPFTDSEFLQSPIFKNESKRNSLNRQSLSRYNEVFVGCRR", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEKQRREESSFQQPPWIPQTPMKPFSPICPYTVEDQYHSSQLEERRFVGNKDMSGLDHLSFGDLLALANTASLIFSGQTPIPTRNTEVMQKGTEEVESLSSVSNNVAEQILKTPEKPKRKKHRPKVRREAKPKREPKPRAPRKSVVTDGQESKTPKRKYVRKKVEVSKDQDATPVESSAAVETSTRPKRLCRRVLDFEAENGENQTNGDIREAGEMESALQEKQLDSGNQELKDCLLSAPSTPKRKRSQGKRKGVQPKKNGSNLEEVDISMAQAAKRRQGPTCCDMNLSGIQYDEQCDYQKMHWLYSPNLQQGGMRYDAICSKVFSGQQHNYVSAFHATCYSSTSQLSANRVLTVEERREGIFQGRQESELNVLSDKIDTPIKKKTTGHARFRNLSSMNKLVEVPEHLTSGYCSKPQQNNKILVDTRVTVSKKKPTKSEKSQTKQKNLLPNLCRFPPSFTGLSPDELWKRRNSIETISELLRLLDINREHSETALVPYTMNSQIVLFGGGAGAIVPVTPVKKPRPRPKVDLDDETDRVWKLLLENINSEGVDGSDEQKAKWWEEERNVFRGRADSFIARMHLVQGDRRFTPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLASQFPVPFVPSSNFDAGTSSMPSIQITYLDSEETMSSPPDHNHSSVTLKNTQPDEEKDYVPSNETSRSSSEIAISAHESVDKTTDSKEYVDSDRKGSSVEVDKTDEKCRVLNLFPSEDSALTCQHSMVSDAPQNTERAGSSSEIDLEGEYRTSFMKLLQGVQVSLEDSNQVSPNMSPGDCSSEIKGFQSMKEPTKSSVDSSEPGCCSQQDGDVLSCQKPTLKEKGKKVLKEEKKAFDWDCLRREAQARAGIREKTRSTMDTVDWKAIRAADVKEVAETIKSRGMNHKLAERIQGFLDRLVNDHGSIDLEWLRDVPPDKAKEYLLSFNGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPMLESIQKYLWPRLCKLDQKTLYELHYQMITFGKVFCTKSKPNCNACPMKGECRHFASAFASARLALPSTEKGMGTPDKNPLPLHLPEPFQREQGSEVVQHSEPAKKVTCCEPIIEEPASPEPETAEVSIADIEEAFFEDPEEIPTIRLNMDAFTSNLKKIMEHNKELQDGNMSSALVALTAETASLPMPKLKNISQLRTEHRVYELPDEHPLLAQLEKREPDDPCSYLLAIWTPGETADSIQPSVSTCIFQANGMLCDEETCFSCNSIKETRSQIVRGTILIPCRTAMRGSFPLNGTYFQVNEVFADHASSLNPINVPRELIWELPRRTVYFGTSVPTIFKGLSTEKIQACFWKGYVCVRGFDRKTRGPKPLIARLHFPASKLKGQQANLA", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTLQSTDSAIVIDSEADVDISSSQASPSKDNIALSEHNVITVLDTPQRSTQCDSLLKSFSTPLVSGSEDVLPSPRDALNITNKKSVTDNLLLSLTSSNQSTNTNLNPSSRVEIINLNSSPPNSLSSQPKHQEFHLFHTPTIPRTTQLSSKTSSPIVIPDDNEQVASPLSKKAASLTSSPLKDFQSSPPLSTVLQKSHSLHDILLDTNDDDHFPFTQSPLTKTKSFNDALTSSSSILKPCMPSIASPTSNRLSHAPSTPNLFPNQDSSNTIDLINNRSKTSVENQERTFNLTSDVHLDSPTSPSKHSSIEPNTSQEDSFQELPSLNKLSIQSRAFKKMRLPKISRTTDTPPASTSNSNKKNLDKLKKMRKLCSRSLEPYELDSNTQRKRKRYEDSLKKSKTLDKVDSLNRKMAKELDRKNSKELQKINKVKRTKEECLSEIILLSPDDWASSWYSTVRSQLDSYNCQFVVNSNQPKDSIMWKRKVNNVFNSSTNRFELSIEHEQIEPFALLRLKCRDFIKYIEEDQADTFFHEMSEKFKGCKLILLLEGIPNYFKSLKAELNRQYAAAVNSGTRPLLFGSLSKYQNFTKEKLESEIVRFSFEHSILINTSNDEKETAQWIVSFTGDIALSRYKHFSKFSARASTTEIGHVKSADRIENSLNFMLRQILRVTPNIANAICDQFDSIPSLIHHLKTHGEESLTNVVIQSSISERNLGPVLSRRIYNTFLCKEASSDAP", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEEDESTTPKKKSDSQLNLPPSMNRPTVSLESRINRLIDSNHYHSPSKPIYSDRFIPSRSGSNFALFDLASSSPNKKDGKEDGAGSYASLLKTALFGPVTPEKSDVVNGFSPSGNIFRFKTETQRSLNLYPPFDSDVVSGVSPSPVKSPRKILRSPYKVLDAPALQDDFYLNLVDWSAQNVLAVGLGNCVYLWNACSSKVTKLCDLGVDETVCSVGWALRGTHLAIGTSSGTVQIWDVLRCKNIRTMEGHRLRVGALAWSSSVLSSGSRDKSILQRDIRTQEDHVSKLKGHKSEICGLKWSSDNRELASGGNDNKLFVWNQHSTQPVLRFCEHAAAVKAIAWSPHHFGLLASGGGTADRCIRFWNTTTNTHLNCVDTNSQVCNLVWSKNVNELVSTHGYSQNQIIVWKYPTMSKLATLTGHSYRVLYLAVSPDGQTIVTGAGDETLRFWNVFPSPKSQSRESEIGALSFGRTTIR", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDSSKKTEVVLLACGSFNPITNMHLRLFELAKDYMHATGKYSVIKGIISPVGDAYKKKGLIPAHHRIIMAELATKNSHWVEVDTWESLQKEWVETVKVLRYHQEKLATGSCSYPQSSPALEKPGRKRKWADQKQDSSPQKPQEPKPTGVPKVKLLCGADLLESFSVPNLWKMEDITQIVANFGLICITRAGSDAQKFIYESDVLWRHQSNIHLVNEWITNDISSTKIRRALRRGQSIRYLVPDLVQEYIEKHELYNTESEGRNAGVTLAPLQRNAAEAKHNHSTL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSSTPHDPFYSSPFGPFYRRHTPYMVQPEYRIYEMNKRLQSRTEDSDNLWWDAFATEFFEDDATLTLSFCLEDGPKRYTIGRTLIPRYFSTVFEGGVTDLYYILKHSKESYHNSSITVDCDQCTMVTQHGKPMFTKVCTEGRLILEFTFDDLMRIKTWHFTIRQYRELVPRSILAMHAQDPQVLDQLSKNITRMGLTNFTLNYLRLCVILEPMQELMSRHKTYNLSPRDCLKTCLFQKWQRMVAPPAEPTRQPTTKRRKRKNSTSSTSNSSAGNNANSTGSKKKTTAANLSLSSQVPDVMVVGEPTLMGGEFGDEDERLITRLENTQYDAANGMDDEEDFNNSPALGNNSPWNSKPPATQETKSENPPPQASQ", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSRISGDGGSRVSIPADLLQTIQNIREVTGKQHSDEDIFSVFKECFSDPHETTQKLLYLDTFHEVRSKRERKKENLVPNTQGRGRTGRKNFASSYTDASNGRSAAFKKQSGANHIIGGSGTASSAPNNARNDTKPSSIMAPNPISLPSGISNQKIQDAIISPVDKVDTEEQPLSKATSSSKDVVEPDKSKESSVSVAVSDSVVENDTQYAVVETFQIPQQSERVIKSEVAASKCKNESLLKSDVGERPHVTFPVHIQVAKMLENGLTFGSFDSNFVREASSDKFTIGCDDSNIESSHGTAASARKDISTFSQDKNHEISNSAAQNELTLQPDQTVLPEEGSEGDKVKNEVLPITDTHQAAKCDAPPISYPDQYSLAAAQQAMHLYRQQYSLNYFPYGPYFPPYYMPQPYIHQYLSPNGFQQQSYLPPGDDAPAPPGAELPLTHIKPGSDIGNSPPTTIPFSYTSYAFNHIPSAATINATHKEEKKENMYTTGPLSLANLQASPMYNLSLQGQPIAFPTMQAGIRGLYQQTQPILAPLSISARTEPIGPSHVTNQQPQAARTNLGNNY", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKIRCDVCDKEEASVFCTADEASLCGGCDHQVHHANKLASKHLRFSLLYPSSSNTSSPLCDICQDKKALLFCQQDRAILCKDCDSSIHAANEHTKKHDRFLLTGVKLSATSSVYKPTSKSSSSSSSNQDFSVPGSSISNPPPLKKPLSAPPQSNKIQPFSKINGGDASVNQWGSTSTISEYLMDTLPGWHVEDFLDSSLPTYGFSKSGDDDGVLPYMEPEDDNNTKRNNNNNNNNNNNTVSLPSKNLGIWVPQIPQTLPSSYPNQYFSQDNNIQFGMYNKETSPEVVSFAPIQNMKQQGQNNKRWYDDGGFTVPQITPPPLSSNKKFRSFW", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQRMIQQFAAEYTSKNSSTQDPSQPNSTKNQSLPKASPVTTSPTAATTQNPVLSKLLMADQDSPLDLTVRKSQSEPSEQDGVLDLSTKKSPCAGSTSLSHSPGCSSTQGNGRPGRPSQYRPDGLRSGDGVPPRSLQDGTREGFGHSTSLKVPLARSLQISEELLSRNQLSTAASLGPSGLQNHGQHLILSREASWAKPHYEFNLSRMKFRGNGALSNISDLPFLAENSAFPKMALQAKQDGKKDVSHSSPVDLKIPQVRGMDLSWESRTGDQYSYSSLVMGSQTESALSKKLRAILPKQSRKSMLDAGPDSWGSDAEQSTSGQPYPTSDQEGDPGSKQPRKKRGRYRQYNSEILEEAISVVMSGKMSVSKAQSIYGIPHSTLEYKVKERLGTLKNPPKKKMKLMRSEGPDVSVKIELDPQGEAAQSANESKNE", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MATPSGKAAPPNPQVSKRSLPRDASSEVPSKRKNSNPLPTLPRPSGTFVEGSIVRIAMENFLTYDICEVSPGPHLNMIIGANGTGKSSIVCAICLGLAGKPAFMGRADKVGFFVKRGCSKGLVEIELFRTSGNLIITREIDVIKNQSFWFINKKPVTQKIVEEQVAALNIQVGNLCQFLPQDKVGEFAKLSKIELLEATEKSVGPPEMHRYHCELKNFREKEKQLETSCKEKTEYLEKMVQRNERYKQDVERFYERKRHLDLIEMLEAKRPWVEYENVRQEYEGVKLIRDRVKEEVRKLKEGQIPMTRRIEEIDRQRHTLEVRIKEKSTDIKEASQKCKQRQDLIERKDRQIKELQQALTVKQNEELDRQKRISNTRKMIEDLQSELKTAENCENLQPQIDTVTNDLRRVQEEKALCEGEIIDKQREKEMLEKQRRSVSDHITRFDNLMNQKEDKLRQRYRDTYDAVLWLRNNRDRFKQRVCEPIMLTINMKDNKNAKYVENHISSNDLRAFVFESQEDMEIFLREVRDNKKLRVNAVIAPKISYADKAPSRSLNDLKQYGFFSYLRELFDAPDPVMSYLCCQYHIHEVPVGTERTRERIERVIQETRLKQIYTAEEKYVLKTSVYSNKVISSNTSLKVAQFLTVTVDLEQRRHLEEQLKEMNRQLEAVDSGLAALRDTNRHLELKDNELRLKKKELLERKTRKRQLEQKISSKLASIRLMEQDTCNLEEEERKASTKIKEINVQKAKLVTELTGLVKICTSFQIQKVDLILQNTTVISEKNKLEADYMASSSQLRVTEQQFIELDDNRQRLLQKCKELMKKARQVCNLSADQAVPQEFQTQVPTIPNGHSSSPPMAFQDLPNTLDEIDALLTEERSRASCFTGLNPSVVEEYSKREVEIQQLTEELQGKKVELDEYRENISQVKERWLNPLKELVEKINEKFSNFFSSMQCAGEVDLHTENEEDYDKYGIRIRVKFRSSTQLHELTPHHQSGGERSVSTMLYLMALQELNRCPFRVVDEINQGMDPINERRVFEMVVNTACKENTSQYFFITPKLLQNLPYSEKMTVLFVYNGPHMLEPNRWNLKAFQRRRRRITFTQPQ", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGAPKQKWTPEEEAALKAGVLKHGTGKWRTILSDTEFSLILKSRSNVDLKDKWRNISVTALWGSRKKAKLALKRTPPGTKQDDNNTALTIVALTNDDERAKPTSPGGSGGGSPRTCASKRSITSLDKIIFEAITNLRELRGSDRTSIFLYIEENFKTPPNMKRHVAVRLKHLSSNGTLVKIKHKYRFSSNFIPAGARQKAPQLFLEGNNKKDPTKPEENGANSLTKFRVDGELYMIKGMTAQEAAEAAARAVAEAEFAITEAEQAAKEAERAEAEAEAAQIFAKAAMKALKFRIRNHPW", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAAADSFSGGPAGVRLPRSPPLKVLAEQLRRDAEGGPGAWRLSRAAAGRGPLDLAAVWMQGRVVMADRGEARLRDPSGDFSVRGLERVPRGRPCLVPGKYVMVMGVVQACSPEPCLQAVKMTDLSDNPIHESMWELEVEDLHRNIP", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAEPIPSSSLSPKSLQSPNPMEPSPASSTPLPSSSSQQQQLMTAPISNSVNSAASPAMTVTTTEGIVIQNNSQPNISSPNPTSSNPPIGAQIPSPSPLSHPSSSLDQQTQTQQLVQQTQQLPQQQQQIMQQISSSPIPQLSPQQQQILQQQHMTSQQIPMSSYQIAQSLQRSPSLSRLSQIQQQQQQQHQGQYGNVLRQQAGLYGTMNFGGSGSVQQSQQNQQMVNPNMSRAGLVGQSGHLPMLNGAAGAAQMNIQPQLLAASPRQKSGMVQGSQFHPGSSGQQLQGMQAMGMMGSLNLTSQMRGNPALYAQQRINPGQMRQQLSQQNALTSPQVQNLQRTSSLAFMNPQLSGLAQNGQAGMMQNSLSQQQWLKQMSGITSPNSFRLQPSQRQALLLQQQQQQQQQLSSPQLHQSSMSLNQQQISQIIQQQQQQSQLGQSQMNQSHSQQQLQQMQQQLQQQPQQQMQQQQQQQQQMQINQQQPSPRMLSHAGQKSVSLTGSQPEATQSGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDVHAKLDPDVEDLLLEVADDFIDSVTSFACSLAKHRKSSVLEPKDILLHLEKNLHLTIPGFSSEDKRQTKTVPTDLHKKRLAMVRALLESSKPETNASNSKETMRQAMVNPNGPNHLLRPSQSSEQLVSQTSGPHILQHMTRY", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSQYAVELCEILVEEFFGDCCSAVASALLRHGRLTIPMLQKRTSLPGPKIRQALVSLMQHHMVLYVTVIENVREVTYYETQWKEIYNILRKGKDVYLISQKLNQEAASVVKYLSTQGRARVLEVFNAFDKKVDGSDEESRMMQKNLTELIYQKFLLVVQPRHLIPVGDQEMQLRIKHLDRRKSENVSEIKKNREVDDSVALEMLELRAADMSELQGLTRKPKESIPHPTKRRKRAVGSAPSVSTDLNNILDDDNSILVPDLSAHVRINSGKLSVLSKNARLTHWVERRIGKSTSLVYSHVLSMLEPRLFSISNQSPVFTLTTMELTRNFPNDIDVESSIVDKQYSVNSASNELRVMEKLNELDELAEEDNYEESVDENANRKSKVLAQHLELLADCSLKFISKIGNRGMGEWAVNFTHLTDMLRAIEYENFIEQKFGERAIRLLRIIKDKGKIEEKQLANIALLRQRDLRTVLQAMAEIGALELQEVPRSSDRAPSKTFFLWFHRPDRAYSLLLDELYHVIARLYMRLRDARAQRAQLIEKAERIDIKGNEEQYLQKFEQAELKKLYSYEEKLLLQASRLDDMVLVFRDNL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEGKFAISESTNLLQRIKDFTQSVVVDLAEGRSPKISINQFRNYCMNPEADCLCSSDKPKGQEIFTLKKEPQTYRIDMLLRVLLIVQQLLQENRHASKRDIYYMHPSAFKAQSIVDRAIGDICILFQCSRYNLNVVSVGNGLVMGWLKFREAGRKFDCLNSLNTAYPVPVLVEEVEDIVSLAEYILVVEKETVFQRLANDMFCKTNRCIVITGRGYPDVSTRRFLRLLMEKLHLPVHCLVDCDPYGFEILATYRFGSMQMAYDIESLRAPDMKWLGAFPSDSEVYSVPKQCLLPLTEEDKKRTEAMLLRCYLKREMPQWRLELETMLKRGVKFEIEALSVHSLSFLSEVYIPSKIRREVSSP", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGDTAPPQAPAGGLGGASGAGLLGGGSVTPRVHSAIVERLRARIAVCRQHHLSCEGRYERGRAESSDRERESTLQLLSLVQHGQGARKAGKHTKATATAATTTAPPPPPAAPPAASQAAATAAPPPPPDYHHHHQQHLLNSSNNGGSGGINGEQQPPASTPGDQRNSALIALQGSLKRKQVVNLSPANSKRPNGFVDNSFLDIKRIRVGENLSAGQGGLQINNGQSQIMSGTLPMSQAPLRKTNTLPSHTHSPGNGLFNMGLKEVKKEPGETLSCSKHMDGQMTQENIFPNRYGDDPGEQLMDPELQELFNELTNISVPPMSDLELENMINATIKQDDPFNIDLGQQSQRSTPRPSLPMEKIVIKSEYSPGLTQGPSGSPQLRPPSAGPAFSMANSALSTSSPIPSVPQSQAQPQTGSGASRALPSWQEVSHAQQLKQIAANRQQHARMQQHQQQHQPTNWSALPSSAGPSPGPFGQEKIPSPSFGQQTFSPQSSPMPGVAGGSGQSKVMANYMYKAGPSAQGGHLDVLMQQKPQDLSRSFINNPHPAMEPRQGNTKPLFHFNSDQANQQMPSVLPSQNKPSLLHYTQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQSSISAQQQQQQQSSISAQQQQQQQQQQQQQQQQQQQQQQQQQQQPSSQPAQSLPSQPLLRSPLPLQQKLLLQQMQNQPIAGMGYQVSQQQRQDQHSVVGQNTGPSPSPNPCSNPNTGSGYMNSQQSLLNQQLMGKKQTLQRQIMEQKQQLLLQQQMLADAEKIAPQDQINRHLSRPPPDYKDQRRNVGNMQPTAQYSGGSSTISLNSNQALANPVSTHTILTPNSSLLSTSHGTRMPSLSTAVQNMGMYGNLPCNQPNTYSVTSGMNQLTQQRNPKQLLANQNNPMMPRPPTLGPSNNNNVATFGAGSVGNSQQLRPNLTHSMASMPPQRTSNVMITSNTTAPNWASQEGTSKQQEALTSAGVRFPTGTPAAYTPNQSLQQAVGSQQFSQRAVAPPNQLTPAVQMRPMNQMSQTLNGQTMGPLRGLNLRPNQLSTQILPNLNQSGTGLNQSRTGINQPPSLTPSNFPSPNQSSRAFQGTDHSSDLAFDFLSQQNDNMGPALNSDADFIDSLLKTEPGNDDWMKDINLDEILGNNS", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MANRKIFLITSLIISLLLIHIFIFSPLNEPEKNAKAGPLGLSDVSVPSAPKLPAKDSTDFEVFLENPVIIFSRPGCPYSAAAKKLLTETLRLDPPAVVVEVTDYEHTQELRDWLSSISDISTMPNIFVGGHSIGGSDSVRALYQEEKLQSTLDEWTHNKVLILPTD", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSSESRENEVKAETKDEIANDGSPQLNGDNNIQSSDGHNDENEESLSRKRDSSGATVGDLKQEEKESMPKKEPEPTVKKIRGSGMPPPQQKYCLAIVRQLKRTKNSAPFKVPVDPIKQNIPDYPTIVKNPMDLGTIEKKLTSYEYSVPQEFIDDMNLMFSNCFLYNGTESPVGSMGKALQEVFERQLKQLPDAEQPAAAPVKKSKQKSASTAPPRTRRNSSVSSTSASVAASTAPKAASPAVLPEGKPRRRKNNSQMRFCSTVLKELYKRQYESFAFPFYQPVDPVACDCPDYFDVIKEPMDLSTIQSKLNKNEYSTLEEFESDILLMFNNCFTYNPPGTPVHVMGRQLENVFKEKWEARPKFDDATLVKQQEAETDALFDNGEEEEALMSEEEINGAKFAAVDKQISMLQDTLEAMKAKKMNRMRKPRRRDLTKEYGPITYAMQNELAERCNYLSAEQLSNVAEILREEMPWLRDTDEIEIDVGNMKPEVFHRIYRYVCKPDADSSEPASPVLMPTKPEKKKGRVLSETEQAEKIRRLQQQLDRFAGKTSPTSPESNNAANVSDSESDNESESSESA", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEQKVSADVLLNKYQTSISITKNLINSWLGNENTSVSSDEKNDDPPLQARPPRLGLGASRKDQSENSWVTSKNEKLKSLPPALKKKIERQLQKKKEAEKIEGGKNHDNLKRKLNKVGDELNEQQSDTDDDDDDSKARITSRSKRANAQSSGFDIYKKLGKKKR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNPSCVVYVGNIPYEMAEEQVIDIFKQSGPVKSFQLVIDPESGQPKGYGFCEYHDPATAASAVRNLNNYDAGTRRLRVDFPTADQIRRLDKLLGPSRYGYYPQSYANQSYTYGNNFGSYPPTQPSTQPLPQSYGYPSYPPAGYRGGSARPSGVLANDEVYRVLAQLAPNEIDYMLSAIKALCLEAPEQAAQLFETNPQLSYAVFQAMLMKRYTSESVVADLLIPAGVNLPGAQEPNRGYFSPMHTYSSAVPGPISVPSAPYGRASSTIAEVSPMYGSHAAPYASTPSAAVGSSRGSTPASATVPISPARGFPTTSAYNPAPPAYGMANPAYGSTGIRSSSIPSSGSIRSPSLTTTSAQATTNATNNITTTTAAQDENATKAALIAQLMALTDDQINVLPPDQKERILQIRQALPSSYKTESK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVMSELRWHTASPEDNKNSLKRDLLKSTPTSAREAAVHIMQNRYISRLSRSPSPLQSNASDCDDNNSSVGTSSDRCRSPLSPALSLSHQQAKRQLMSLQPHPAHHHHNPHHLNHLNHHQYKQEEDYDDANGGALNLTSDNSRHSTQSPSNSVKSATASPVPVISVPSPVPPMISPVLAPSGCGSTTPNSMAAAAAAAAAVASTMGSGISPLLALPGMSSPQAQLAAAGLGMNNPLLTGSLSPQDFAQFHQLLQQRQVALTQQFNSYMELLRSGSLGLAQDDPALTAQVAAAQFLMQSQLQALSQASQQLQALQKQQQRQVDEPLQLNHKMTQQPRSSTPHSIRSPIAIRSPASSPQQLHHHHHHPLQITPPSSAASLKLSGMLTPSTPTSGTQMSQGTTTPQPKTVASAAAARAAGEPSPEETTDLEELEQFAKTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNMCKLKPLLQKWLDDADRTIQATGGVFDPAALQATVSTPEIIGRRRKKRTSIETTIRGALEKAFLANQKPTSEEITQLADRLSMEKEVVRVWFCNRRQKEKRINPSLDSPTGADDDESSYMMH", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEDDDGRESFEINDMDLEYAMNPGGRRRFQNKDQATYGVFAPDSDDDDDEQGTSRGPYKKRSKISAPMSFVSGGIQQGNKIDKDDPASLNLNLGGEKKPKEDDEGSIQIDFDKRTKKAPKQNGAQVFAGMRSSANHGAADINQFGSWMRGDGNSNKIMKMMQAMGYKPGEGLGAQGQGIVEPVQAQLRKGRGAVGAYGKESTATGPKFGESAADAQKRMAQEGTSSRPTNDDQEKSGLKIKGSWKKSQTVKTKYRTIEDVMEEGMSASRPASHQQSQQYSNIKVIDMTGKQQKIYSGYDSFSMKTRSEYDTVDDEERTVFDVPELIHNLNLLVDLTEEGIRRSNQQLISLKDQTTALEYDLQQVQKSLGTEEQEAQHIKDVYELIDGFSSNRSPSMEECQELFRRLRSEFPHEYELYSLETVAIPTVLPLIQKYFVAWKPLEDKNYGCELISTWRDILDDSKNGRKMTFGHNKTKGDEIRAYDRIIWEGILPSIRRACLQWDPSTQMHEMIELVEQWIPLLSAWITENILEQLVVPKIAERVNQWDPMTDEIPIHEWLVPWLVLLGDRIQTVMPPIRQKLSKALKLWDPMDRSALETLRPWQNVWSAATFSAFIAQNIVPKLGVALDTMELNPTMNPEYPEWTACMEWLEFTHPDAIANIVTKYFFPRFYNCLCLWLDSPGVDYNEVKRWYGSWKARIPQVLVNYPTVNENLRRSMIAIGRSLQGEKVGGLQATPIAPMAPPPPMAPHFTQAAPVQKLSLKEIIEYTAGKNGFTYHPQKDRYKDGRQVFWFGALSIYLDSEMVYVMDPIEFVWRPSGLNELIQMAQGAQG", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSARGEGAGQPSTSAQGQPAAPVPQKRGRGRPRKQQQEPTCEPSPKRPRGRPKGSKNKSPSKAAQKKAETIGEKRPRGRPRKWPQQVVQKKPAQETEETSSQESAEED", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKRDHHHHHHQDKKTMMMNEEDDGNGMDELLAVLGYKVRSSEMADVAQKLEQLEVMMSNVQEDDLSQLATETVHYNPAELYTWLDSMLTDLNPPSSNAEYDLKAIPGDAILNQFAIDSASSSNQGGGGDTYTTNKRLKCSNGVVETTTATAESTRHVVLVDSQENGVRLVHALLACAEAVQKENLTVAEALVKQIGFLAVSQIGAMRKVATYFAEALARRIYRLSPSQSPIDHSLSDTLQMHFYETCPYLKFAHFTANQAILEAFQGKKRVHVIDFSMSQGLQWPALMQALALRPGGPPVFRLTGIGPPAPDNFDYLHEVGCKLAHLAEAIHVEFEYRGFVANTLADLDASMLELRPSEIESVAVNSVFELHKLLGRPGAIDKVLGVVNQIKPEIFTVVEQESNHNSPIFLDRFTESLHYYSTLFDSLEGVPSGQDKVMSEVYLGKQICNVVACDGPDRVERHETLSQWRNRFGSAGFAAAHIGSNAFKQASMLLALFNGGEGYRVEESDGCLMLGWHTRPLIATSAWKLSTN", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MARTKQTARKSTGVKAPRKQLATKAARKSAPVSGGVKKPHKFRPGTVALREIRKYQKTTDLLIRKLPFQRLVRDIAMEMKSDIRFQSQAILALQEAAEAYLVGLFEDTNLCAIHARRVTIMTKDLHLARRIRGERF", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSDENHNSDVQDIPSPELSVDSNSNENELMNNSSADDGIEFDAPEEEREAEREEENEEQHELEDVNDEEEEDKEEKGEENGEVINTEEEEEEEHQQKGGNDDDDDDNEEEEEEEEDDDDDDDDDDDDEEEEEEEEEEGNDNSSVGSDSAAEDGEDEEDKKDKTKDKEVELRRETLEKEQKDVDEAIKKITREENDNTHFPTNMENVNYDLLQKQVKYIMDSNMLNLPQFQHLPQEEKMSAILAMLNSNSDTALSVPPHDSTISTTASASATSGARSNDQRKPPLSDAQRRMRFPRADLSKPITEEEHDRYAAYLHGENKITEMHNIPPKSRLFIGNLPLKNVSKEDLFRIFSPYGHIMQINIKNAFGFIQFDNPQSVRDAIECESQEMNFGKKLILEVSSSNARPQFDHGDHGTNSSSTFISSAKRPFQTESGDMYNDDNGAGYKKSRRHTVSCNIFVKRTADRTYAIEVFNRFRDGTGLETDMIFLKPRMELGKLINDAAYNGVWGVVLVNKTHNVDVQTFYKGSQGETKFDEYISISADDAVAIFNNIKNNRNNSRPTDYRAMSHQQNIYGAPPLPVPNGPAVGPPPQTNYYQGYSMPPPQQQQQQPYGNYGMPPPSHDQGYGSQPPIPMNQSYGRYQTSIPPPPPQQQIPQGYGRYQAGPPPQPPSQTPMDQQQLLSAIQNLPPNVVSNLLSMAQQQQQQPHAQQQLVGLIQSMQGQAPQQQQQQLGGYSSMNSSSPPPMSTNYNGQNISAKPSAPPMSHQPPPPQQQQQQQQQQQQQQQQPAGNNVQSLLDSLAKLQK", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTTKQWGITPPISTAPATEQENALNTALINELKNQNLFESPAESEKRVKVLDELQQITTEFVKKVSLAKHMNEKMANEAGGKIFTYGSYRLGVYGPGSDIDTLVVVPKHVSRDNFFQDLEPMLREREEVTDLAAVPDAYVPIIKFKFLGISIDLIFARLSVPRVPRDLELSDNNLLKGVEERCVLSLNGTRVTDQILQLVPNRAVFKHALRAIKFWAQRRAIYANVVGFPGGVAWAMMVARICQLYPNAVSSVIVAKFFRILHQWNWPQPILLKPIEDGPLQVRIWNPKLYPSDKAHRMPIITPAYPSMCATHNITLSTQTIILREMVRAGEIADQIMVKALPWSALFQKHDFFHRYKHYLTITAAAKTAEAQLKWAGLVESKLRHLVTRLELVDAIALAHPFNKGFDKVYNCSSEEEAQQVASGVTLEVAYESTDHEKLANDTVNEEKADNTESKADGSENGEKQIFPVYTTTCYIGLELEKKKGHPIKRLDISWPTQEFYELCKKWDKYDDTLMNVFIKNTKNTALPDEVFEPGEERPKATKKRSTADTAHSTEQLKRQKVSTA", - "output": "Nucleus" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSGPGNKRAAGDGGSGPPEKKLSREEKTTTTLIEPIRLGGISSTEEMDLKVLQFKNKKLAERLEQRQACEDELRERIEKLEKRQATDDATLLIVNRYWAQLDETVEALLRCHESQGELSSAPEAPGTQEGPTCDGTPLPEPGTSELRDPLLMQLRPPLSEPALAFVVALGASSSEEVELELQGRMEFSKAAVSRVVEASDRLQRRVEELCQRVYSRGDSEPLSEAAQAHTRELGRENRRLQDLATQLQEKHHRISLEYSELQDKVTSAETKVLEMETTVEDLQWDIEKLRKREQKLNKHLAEALEQLNSGYYVSGSSSGFQGGQITLSMQKFEMLNAELEENQELANSRMAELEKLQAELQGAVRTNERLKVALRSLPEEVVRETGEYRMLQAQFSLLYNESLQVKTQLDEARGLLLATKNSHLRHIEHMESDELGLQKKLRTEVIQLEDTLAQVRKEYEMLRIEFEQNLAANEQAGPINREMRHLISSLQNHNHQLKGDAQRYKRKLREVQAEIGKLRAQASGSAHSTPNLGHPEDSGVSAPAPGKEEGGPGPVSTPDNRKEMAPVPGTTTTTTSVKKEELVPSEEDFQGITPGAQGPSSRGREPEARPKRELQEREGPSLGPPPVASALSRADREKAKVEETKRKESELLKGLRAELKKAQESQKEMKLLLDMYKSAPKEQRDKVQLMAAERKAKAEVDELRSRIRELEERDRRESKKIADEDALRRIRQAEEQIEHLQRKLGATKQEEEALLSEMDVTGQAFEDMQEQNGRLLQQLREKDDANFKLMSERIKANQIHKLLREEKDELGEQVLGLKSQVDAQLLTVQKLEEKERALQGSLGGVEKELTLRSQALELNKRKAVEAAQLAEDLKVQLEHVQTRLREIQPCLAESRAAREKESFNLKRAQEDISRLRRKLEKQRKVEVYADADEILQEEIKEYKARLTCPCCNTRKKDAVLTKCFHVFCFECVRGRYEARQRKCPKCNAAFGAHDFHRIYIS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSQNNTISSMNPERAYNNVTLKNLTAFQLLSQRENICELLNLVESTERHNSIINPERQRMSLEEMKKMLDALKNERKK", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEEMEALVGVVPHSADCDLFKEPVRKRRRLHRDRQFQAFPSAEQSALKEYEKLECRTRRVLSNTYQKLIQSVFLDDSIPSGLKYLINRLLALIEKSPLEPVYVGFLGITGAGKSSLINALIRQAMFLPVSGESVCTSCIVQVSSGCCEQYEAKIHLLSDQEWKAELKDLTKLLHRAEQSGEEEADLWDRDDATEEAAQKLRMLYGHGAERRHYEELLRLKPRGRIPNSRTITLKAEEAGELSVKLDPYIRTRRRDWDGESAETQIWPLIKYVEVILPKSALIPEGVVLVDIPGTGDFNSKRDKMWKKTIDKCSVIWVISDIERVSGGKTHEDLLSESIKACQRGFCRDIALVVTKTDKLHLQEYLRERKMGNQAIQSQREAVLQRNEIIKLQRKRMLKEKLKRKLPADSKVLEASDLVYTVSAHEYWQRTILTEEESEIPKLREYIRKRILDKKRRLVTKYVTEAFGLLLLTDTLNTEESLLTEELNTGGLRQFVEEKMELLEKAIEQCFARMEQPLQTGVQVAMTSYRRILGSCLVRSRGNQGFHQTLKAVCLKNGVYASRTLARIDLNEALSQPIYDQIDPVFGGIFRDGKPTAPALMQHIDAFKHSLEERMAEVGVRSGWKQDGYKRSFLIQEISAILGGLESHILRRKRKIYKSVTSSIQNDLKPCYEEAAQITGKKACERMKDVIRRGVERQVAEGLFERAQERMWHQFRQLKHGITEKVKGSITTMLTLAAPQGVGLCKELADVRNEQKEMEKLYRSLREVAENAQLRRSMQDFLLRMSPSKAGPHGTKL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSGWADERGGEGDGRIYVGNLPTDVREKDLEDLFYKYGRIREIELKNRHGLVPFAFVRFEDPRDAEDAIYGRNGYDYGQCRLRVEFPRTYGGRGGWPRGGRNGPPTRRSDFRVLVSGLPPSGSWQDLKDHMREAGDVCYADVQKDGVGMVEYLRKEDMEYALRKLDDTKFRSHEGETSYIRVYPERSTSYGYSRSRSGSRGRDSPYQSRGSPHYFSPFRPY", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPSHRNSNLKFCTVCASNNNRSMESHKVLQEAGYNVSSYGTGSAVRLPGLSIDKPNVYSFGTPYNDIYNDLLSQSADRYKSNGLLQMLDRNRRLKKAPEKWQESTKVFDFVFTCEERCFDAVCEDLMNRGGKLNKIVHVINVDIKDDDENAKIGSKAILELADMLNDKIEQCEKDDIPFEDCIMDILTEWQSSHSQLPSLYAPSYY", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEMDIGEGESCCGRRKQQQQQNISSSKSRKCCPLRRSRKGCMKGKGGPENQRCPFRGVRQRTWGKWVAEIREPNRGARLWLGTFNTALDAARAYDSAARALYGDCARLNLLLAAATAGAPPAAATPSVATPCSTNDDSNNSSSTTHQQQLTTMLQLDDDNYTLQPSSSDQEDFETYVTRLPKAEDFGLEGFQEVPLDVLDEAGGGISIWDLSICPADFMATAATTTAKSS", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGPKSKEYENPSSYKNDEDNDDDGDFVLENVMSEEDIEIETPSRNRKRVSTTRRTPSKPIRSQPLTPSSSKGAGNEPKSQNSSTTRGSAKKQSSKGLEEKLINSYGTHVESLNKGRRLIEIWKYYETAPGQSSFEGQTSSDSKLQTLLNLDGQQLLSYSENPFYLFEVKNLSISFHFESPQQVEPCQPVNPFKENPQKSGFVVNTGIPLSSVSWLPTNKETQFLAVGGMLKFSETTESVFMRTSGRNQIQLWKLENKTNFKSEFILYHDWGSVLQLEWCPTISVEDSILGFLAVVCSDGKLRVLRVPRSPVKFHVFVEQADFTFGFNDSLISCCTWVSPEHGDIHQILVGCSNGYLALWDILSSQECPLFYIPYHDSYIHNVVQCLDDFPWLFLTTAFDCYTRIFDIRDPIIDNRPLSHKRDICYTITWNNMLQSIISCSESQSVVIESLRGTSTQLLDERNGSIISLSNSKFHPFVACAASDGIVTIVNPFRLLGFSHKQKANVHRIFQLEYSEKQDSYRMLDGFRPRLPKAKKLDMYIYPWQIQVNKVEWNGNKGYAGWLASGMACGILRVEDLSAVERR", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSNISNDSGLDDSANSGAVVSANGPLAATRLSWFLAEVDDGLMKDGKPNGRRRLCVLHSMELLESDVSDKYMTRFVEFRVNGMVLEAKLILAADERRLVDAALLSMSKEEREDAGGQQLLVQYTENEKAGERLIQKLVSPNNVMLLSTKPELKGNPLVRLAPGCIAHILYAYESRDYMEKILLHLKARSFDLAFDDNLEAEPEAMNDDTWMLVQYSPEPEMVVYQVVQYRQTVWRKENLFKDVIAYMQLPGSDIVLQAVVISYGQDKEVQDAKYEELQRFSFDIDFPLPEELEKHPDHMTSTALFSRTSLYQKAEQRDTTGEHRELRKSLEQMSEKAQGEAQMIIDAFDMVDNINKNLQSRLSGEVRSVVEVTSGDELH", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGDELHNRLLHQNDGTKDAILYKIIESLVCSICHDYMFVPMMTPCGHNYCYGCLNTWFASNTQKELACPQCRSDITTIPALNTTLQQYLSFILEKLRDQNDESFKKLLTTKTKEENDYKNDKEKDTLFDKVFKNSALAVADDSDDGITRCSNCHWELDPDEVEDGNVCPHCNARIRNYAGGRDEFDEEEYSEGELDEIRESMRRRRENRFASTNPFANRDDVSSEDDDSSEEEPMREHIPLGRWARSHNRSIAVDAVDDEDDEEEDEEEEEEMDSDLKDFIEDDEDDEDEDGSRRNLVLSALKNRHVIITDDEEEEQRRHATEEEDRDSDFYEHNDDGFVSGDSLDEDQKEVTRIQSSSDSEDRSLSYSGSSDVKDNNDDNTEELDDPQPKRQKRFRVVLGDSDDE", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MALSSNWQALLASESNPTSNGKNKQSNRKIRNVKKVSKTVNVSSTTQYAPRKRKNGSKIMDMVYNMNKEISKHEKDKLEGKVFEFNPNKANTSTTIKEPVKVGISEDTRINSNKSKEIGKYIAMDCEFVGVGPEGKESALARISIVNYFGHVVLDEFVKPREKVVEWRTWVSGIKPEHMKNAITFKEAQKKTADILEGRILVGHALKHDLEALMLSHPKSLLRDTSRHLPFRKLYAKGKTPSLKKLTREVLKISIQEGEHSSVEDARATMLLYKKEKTEFEKIHRNTFN", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSQEATEAPAMPGEGHGHNKAKARWLLGTDRKRSRINRTRQDLWEDTSWSNHRLSRATSAPRGTRARGTAHGRSEASPENAARERTRVKTLRQAFLALQAALPAVPPDTKLSKLDVLVLATSYIAHLTRTLGHELPGPAWPPFVRGLRYLHPLKKWPMRSRLYAGGLGCSDLDSTTAITTGQRCKDAELGSQDSVAAESLLTSPAFGNK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRNSSKGQDPNFSYDSILSTPTPSARRTIGPRAPKSKTTYHKPPSSIESVSTLIQPNKSQSVTSPYVKQFTFSSKEYNSHNKHALQNSQLPLPKTPEKSTVHRPKANKVEVTDLPSSSSVEHLHTSKHLKGPRLPKNIIKSSEDVQIAPVTPPVHSRSFDPLPKPPVPSVPVSKTKRRTKHKLAPVVEVPEITNEVSPKFTSTNDEQVYRLRSIRAGSPNSVCSFQFEIPSTRPPSLDQLIHLFNDFLRHPVFDFDENAIEMLQSCTPDEKWCFIRSNFAGFDDPSFQIPELAAVHRPVSWFVIQLWNKTISNLQLITLSSLLSTQSDRWISLFLELQGLRALHNLLTYFNSSAVVQPQQAEVPRCMLTLLKKKPTLVTSNSYIFQAITVTLISPNLLPRKVAADLLTWVLSLKEPLVVSILETGFKEINAEYEKEVPLFFGWIKSFKDIILEKELARTPPSSPARNSASSSPSNIAFLEYCTSTMEFINQLIVACEELEQGFDLDILDSLRESGIHEVIQLLRNFPDQQLEKQLNIYESEEERRTISQTTHEDVDSFMSNESSILSSFNEFASNEVGRLLESTIQNILLAKGTEKQKVKLIKVFNSLLQRILLNSKVSNESFEDSLQASLNMLTERFYSDDTARNALKEAKASRAMAEKMVIERDAMAAQVNLGAEDLIAKLNKEVEDQKDVILSQKRTNETLKTEIDALQKSHVTQIQRSEVELRELYLLINSDSFQGSTNSKERIIEYLLDKLDLRKKEIAAESTLWSNDGIDDKLRDLREQMSRQSSQPSTVSTILQIPDKKFHRPFPRHLHRYVGRSASESLTSEKDESIKSMKGIDDFANLEIPGKGIESNVVIKDISNQTHEINSVENKAETVSNNSKITNFDIPNDATSLPTIITHPTPPPPPPLPVKTSLNTFSHPDSVNIVANDTSVAGVMPAFPPPPPPPPPLVSAAGGKFVSPAVSNNISKDDLHKTTGLTRRPTRRLKQMHWEKLNSGLEFTFWTGPSDEANKILETLHTSGVLDELDESFAMKEAKTLVKKTCARTDYMSSELQKLFGIHFHKLSHKNPNEIIRMILHCDDSMNECVEFLSSDKVLNQPKLKADLEPYRIDWANGGDLVNSEKDASELSRWDYLYVRLIVDLGGYWNQRMNALKVKNIIETNYENLVRQTKLIGRAALELRDSKVFKGLLYLILYLGNYMNDYVRQAKGFAIGSLQRLPLIKNANNTKSLLHILDITIRKHFPQFDNFSPELSTVTEAAKLNIEAIEQECSELIRGCQNLQIDCDSGALSDPTVFHPDDKILSVILPWLMEGTKKMDFLKEHLRTMNTTLNNAMRYFGEQPNDPNSKNLFFKRVDSFIIDYSKARSDNLKSEEEEASQHRRLNLVNNHKEHVLERAMSENNKMDNEAMDGFLDKLRNVKLESHHKPRNRSAITMGKEHLIEAPNTSTKSSPAKNELFVPKRSSVKSDLAKVRPRYPKGSESTDGLSDALNITPTKKGEVSSKAKKGYNYEKRRSGRQVSDSYVLNKNSKNKSNKGRSASYTFSDPSSLEDSNRQKPFNGEKFRRFSSKSRRGSQNRDSKKTGKARKDKGINNNQTSPQNKPSKESLKSDTISNEKKVFPQKASKVNLLTPTISNGTRASKHANEKENTFPRGVENNLVAPMIPNNTELNEDTSAVSRNLENATNDLKETFPTTTTISTARAKPGNNDINTILRRNNSRGRRRMLQQMSPLKSNKFSGTNDLNFQQATKPDGSNKSSYMERLEKLKQNSERHLQSVGGKKVYSSEETPVNKILVSPSVSILDHNRILSQSTPIKSPQRAQEMLAGLLSGKLAPKENEK", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAKAKEVKAKPIKGEEAEKLVYEYLRKTNRPYSATDVSANLKNVVSKQVAQKALEQLRDTGLIHGKLYGKQSVFVCLQDDLAAATPEELAEMEKQIQELKDEVSVVKTLYKEKCIELQALNNSLSPAEIREKIQSIDKEIEETSSKLESLRNGTVKQISKEAMQKTDKNYDFAKKGFSNRKKMFYDLWHLITDSLENPKQLWEKLGFETEGPIDLN", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSEAGTPEAPIKKKRPPVKEEDLKGARGSLSKNQEIKSKTYQVMRDYEQAGSAAPSIFSRNRTGTETVFEKPKEGPAKSVFG", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGEKLELRLKSPVGAEPAVYPWPLPVYDKHHDAAHEIIETIRWVCEEIPDLKLAMENYVLIDYDTKSFESMQRLCDKYNRAIDSIHQLWKGTTQPMKLNTRPSTGLLRHILQQVYNHSVTDPEKLNNYEPFSPEVYGETSFDLVAQMIDEIKMTDDDLFVDLGSGVGQVVLQVAAATNCKHHYGVEKADIPAKYAETMDREFRKWMKWYGKKHAEYTLERGDFLSEEWRERIANTSVIFVNNFAFGPEVDHQLKERFANMKEGGRIVSSKPFAPLNFRINSRNLSDIGTIMRVVELSPLKGSVSWTGKPVSYYLHTIDRTILENYFSSLKNPKLREEQEAARRRQQRESKSNAATPTKGPEGKVAGPADAPMDSGAEEEKAGAATVKKPSPSKARKKKLNKKGRKMAGRKRGRPKKMNTANPERKPKKNQTALDALHAQTVSQTAASSPQDAYRSPHSPFYQLPPSVQRHSPNPLLVAPTPPALQKLLESFKIQYLQFLAYTKTPQYKASLQELLGQEKEKNAQLLGAAQQLLSHCQAQKEEIRRLFQQKLDELGVKALTYNDLIQAQKEISAHNQQLREQSEQLEQDNRALRGQSLQLLKARCEELQLDWATLSLEKLLKEKQALKSQISEKQRHCLELQISIVELEKSQRQQELLQLKSCVPPDDALSLHLRGKGALGRELEPDASRLHLELDCTKFSLPHLSSMSPELSMNGQAAGYELCGVLSRPSSKQNTPQYLASPLDQEVVPCTPSHVGRPRLEKLSGLAAPDYTRLSPAKIVLRRHLSQDHTVPGRPAASELHSRAEHTKENGLPYQSPSVPGSMKLSPQDPRPLSPGALQLAGEKSSEKGLRERAYGSSGELITSLPISIPLSTVQPNKLPVSIPLASVVLPSRAERARSTPSPVLQPRDPSSTLEKQIGANAHGAGSRSLALAPAGFSYAGSVAISGALAGSPASLTPGAEPATLDESSSSGSLFATVGSRSSTPQHPLLLAQPRNSLPASPAHQLSSSPRLGGAAQGPLPEASKGDLPSDSGFSDPESEAKRRIVFTITTGAGSAKQSPSSKHSPLTASARGDCVPSHGQDSRRRGRRKRASAGTPSLSAGVSPKRRALPSVAGLFTQPSGSPLNLNSMVSNINQPLEITAISSPETSLKSSPVPYQDHDQPPVLKKERPLSQTNGAHYSPLTSDEEPGSEDEPSSARIERKIATISLESKSPPKTLENGGGLAGRKPAPAGEPVNSSKWKSTFSPISDIGLAKSADSPLQASSALSQNSLFTFRPALEEPSADAKLAAHPRKGFPGSLSGADGLSPGTNPANGCTFGGGLAADLSLHSFSDGASLPHKGPEAAGLSSPLSFPSQRGKEGSDANPFLSKRQLDGLAGLKGEGSRGKEAGEGGLPLCGPTDKTPLLSGKAAKARDREVDLKNGHNLFISAAAVPPGSLLSGPGLAPAASSAGGAASSAQTHRSFLGPFPPGPQFALGPMSLQANLGSVAGSSVLQSLFSSVPAAAGLVHVSSAATRLTNSHAMGSFSGVAGGTVGGVVFNHAVPSASAHPFGARVGRGAACGSATLGPSPLQAAASASASSFQAPASVETRPPPPPPPPPPPLPPPAHLGRSPAGPPVLHAPPPPNAALPPPPTLLASNPEPALLQSLASLPPNQAFLPPTSAASLPPANASLSIKLTSLPHKGARPSFTVHHQPLPRLALAQAAPGIPQASATGPSAVWVSLGMPPPYAAHLSGVKPR", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSGMGDGYVGTAQDAVRIRRLQKQREAERKKIQELKSKSASGNDQSGLLQFGTSSCEILDTAFKKETVGLVTREEYVEKRVNIRNKFEEEEKEKLQKLQQEEEELQLEKRNKKRKIKGSSRLSFAEDFENGSDEDDGENKSSGTGNLRCGKLGKDPSVETNFLPDSEREAEEQAERERLKKQWLREQEQIKNEPLEITYSYWDGTGHRRVIQVRKGDPIGNFLRAVQQQLAPDFREIRTASVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTVHGD", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MINRRQRLEFALTLLPYDPETVQLSETQKKVEAIIARLRTDDSFTEEESDDCKVRRIQDANEFADSAMRHIEMSDSGKLSTLETLTLAAEKLLRTQRSPDQDFDDMVQDVEYSQLMRNTIQAVNEARLKLLQQWERSKRKALDLLTIEIEKVQEMDQEPEHKQSHEQDQDQEQSSEPFNAFRDGADEHNTSTPKTNDEDLGLDDDDEDYVPGGEETMGNKRKRIKKPVTSTPNAKRRCPGFEFDLDGESPMVTIGPNGTEVSRISLSAINWDMTGPSITRKLLCEIFDRDTLAHHTLSGKPSPAFRDCARPSKQQLDPLKVADLVYLMTNSLDMTPREVRTAITTKCADENKMLRSRMQRKSK", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTLDHQIINPTLKWSQPAVPSGGPLVQHAHTTLDSDAGLTENPLTKLLAIGKEDDNAQWHMEDVIEDIIGMESSFKEEGADSPLLMQRTLSGSILDVYSGEQGISPINMGLTSASCPSSLPMKREITETDTRALAKERQKKDNHNLIERRRRYNINYRIKELGTLIPKSNDPDMRWNKGTILKASVEYIKWLQKEQQRARELEHRQKKLEQANRRLLLRIQELEIQARTHGLPTLASLGTVDLGAHVTKQQSHPEQNSVDYCQQLTVSQGPSPELCDQAIAFSDPLSYFTDLSFSAALKEEQRLDGMLLDDTISPFGTDPLLSATSPAVSKESSRRSSFSSDDGDEL", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSDSDMDIDDDEVEQKVQVHTIVRESELFDKPPIQASNSHNDVKRHSVTTPLDEQSKIIKEQAFAQDNGTLPRFPAPGIPPRSFFTGGGGNEPEQKRAALPCKFFAKGWCFNGVSCKFLHVKENSNCTSQQLAENSMAGNGGIRSDLERRILDSREGVRVSQLSENGVTSLPTREDISFMNPQRVFSSMSFVNPPGSQRVFPFNNEMRFMPSFENIRRESLKQTYGADFTDNRSLVINNANSFALRSSFVHEHRPSISSYLKTDMGSAGPAWTGSLSSSVPMNDRASTVGDFENGNSLSGSGSLPTLQGVAVSSDKGAEANTTSTKKKVSSDDWEPSEPFKASFTIPPYILPSSDALYDPFTDIENLGDRPLNDSLSSKGEHARKSSCQQKDGDSASGPQARDCKNDDKSSSCSQNQHQETVARSLEAHGVVEGVATSVVDQNDTATPSKEISSATAAENRVVLKRIKPAGHDSWHRSDGSSYKKTKKSDEIDGEVRSDAGMKVMRLFRTAVVETIKEMLKPLWREGRLTKDVHNMIVKKAAEKVVGAAVQFHQVPTDTESVDQYLGLSGTRIVKLVEGYVEKYGKP", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLTRKIKLWDINAHITCRLCSGYLIDATTVTECLHTFCRSCLVKYLEENNTCPTCRIVIHQSHPLQYIGHDRTMQDIVYKLVPGLQEAEMRKQREFYHKLGMEVPGDIKGETCSAKQHLDSHRNGETKADDSSNKEAAEEKPEEDNDYHRSDEQVSICLECNSSKLRGLKRKWIRCSAQATVLHLKKFIAKKLNLSSFNELDILCNEEILGKDHTLKFVVVTRWRFKKAPLLLHYRPKMDLL", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSYRESSGSFPAPDRTSLPKMFTNGDSRLRHLPPISSPPPPKRYKSESTPGSDAGHSRYYSHSVASDRTRSRQPSSAMDLYTLIDRDPVDKDPRRNARFTSNGSVATQASHASNASQISRSSPIIISDRKIPEKYPNHKENGRMYHGYRKGIYPLPCDEEEQDRLDIFHKLFTVARAEDGLIYAPHPPGSRILDLGCGTGIWSIEVANKFPGSFVVGVDLAPIQPTNTPKNCDFYAPFDFEAPWTMGEDSWDIIHMQMGCGSVASWPSLYRRVFQHLKPGGWFEQVEIDFRPRVEDKDGEPGRAMANWYSTLKHATEATMRPLAHSSNETIRNLQEAGFTEIDHQIVGLPMNPWHPDSHEQKVARWYNLAISESVQPMCLAPFSRVLSWTREQIDRIAFDVKQEAFDKRIKTYNLLHIYQARKPVEE", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPKKKTGARKKAENRREREKQLRASRSTIDLAKHPCNASMECDKCQRRQKNRAFCYFCNSVQKLPICAQCGKTKCMMKSSDCVIKHAGVYSTGLAMVGAICDFCEAWVCHGRKCLSTHACACPLTDAECVECERGVWDHGGRIFSCSFCHNFLCEDDQFEHQASCQVLEAETFKCVSCNRLGQHSCLRCKACFCDDHTRSKVFKQEKGKQPPCPKCGHETQETKDLSMSTRSLKFGRQTGGEEGDGASGYDAYWKNLSSDKYGDTSYHDEEEDEYEAEDDEEEEDEGRKDSDTESSDLFTNLNLGRTYASGYAHYEEQEN", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPKRKAAGQGDMRQEPKRRSARLSAMLVPVTPEVKPKRTSSSRKMKTKSDMMEENIDTSAQAVAETKQEAVVEEDYNENAKNGEAKITEAPASEKEIVEVKEENIEDATEKGGEKKEAVAAEVKNEEEDQKEDEEDQNEEKGEAGKEDKDEKGEEDGKEDKNGNEKGEDAKEKEDGKKGEDGKGNGEDGKEKGEDEKEEEDRKETGDGKENEDGKEKGDKKEGKDVKVKEDEKEREDGKEDEGGNEEEAGKEKEDLKEEEEGKEEDEIKEDDGKKEEPQSIV", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MHSILRSSLSSREALRMRQLKGLRKERPGRHPLGVRLRAIWTSFLFPNPPHSGGKLRASTAAVEEHQEWSMDLPEGQAGGPTAQMYLWEQPEEASSRPLLSLEEQILNSTFEACDPHKTGTVTVAHLLAYLEAVTGQGPQDVRLQTLARSLDPYGEGAGATVELDTFLVVMRDWIAACQLQGGLERAEETAYEGALASPHLPSVCPEAEESANLESFGGEDPRPEGPATAELLSNLEDLELSNRRLAGENAKLQRSVETAEEGSARLGEEITALRKQLRSTQQALQVAKALDEELEDLKTLAKSLEEQNRSLMAQARHTEKEQQHLAAEVETLQEENEKLLAERDGVKRRSEELATEKDALKRQLCECERLICQREAVLSERTRHAESLARTLEEYRTTTQELRQEISNLEEQLSQSQEGPEELLEGAEAGRVGWIMALPPSLDLEIQAIRQEQDVASAGLSSPLYGVWQWEEVEPEPEPEPEPEPEPEPQEVEFPSEDPARQQTDLQREPVRALEGSRAPCLRLSRSQEEEEEEEESWVLADPSSPLGTYHHKLAPGSSRESCHIVPEMHQALMPVVRDLVPVERSRTQHCLHPQHSPGIRISQHPLVPTPVLGLLLLLLLSILLFSQSPPPTWPHLQLYYLQPPPV", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGRKSAILAVILAIIYFRSNFSKMSNTPVQETEGPVYVAYSMEDMLKSPRDLYKSVKEVAKFVNSAEGKSMSARFKKFGTPREAMDFLAYGDAPTTPKTVPPVAPTEPNSPFSGVNRIQMNEFKKYVEKGDMENFLRLVDSNPRFLVNTGGDVASIVMEGFRYNALHIAAKAGQTEIIAKILELIQNIDFLIRLYGTGADDVTLRKINILDSYLNTPDKGNSDTPLHFASKFGKIGVVRVLTENSATDRTLLNKSGKSALDCAGERYTGEDKDMVQRDIHLAIEGFYVFLHRNPTTGSTQLTVSQKPPATYSTSPTTATVTVSAQAGPFFTEREARDFAKSWQTAGKELKRTDFDKGWERVGRVLAEQSEAMWRETWHFLGSMELLDLGSEQGLGVLEAFLREKRRGNLRNSEISEISTKKSIFRRGIHARKLDFGILDGEKSAEISENLTPDGSDSADDEDDDDIFYDTFSEIPAAAEKSINDPDDTLGSLTDRFAAISIFSPLPPPPPPQWSNSPNFDYSEGEDSFATPPTTPPPTFVADDEPCKIDNDLFEVLAQISSELISKFPLTQDYVQKLGKLTAHDRSTWRPIDSPARCDSRRKI", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDKKEYSETFYHPYKPYDIQVQLMETVYRVLSEGKKIAILESPTGTGKTLSLICATMTWLRMNKADIFTRMETNIKTNEDDSENLSDDEPDWVIDTYRKSVLQEKVDLLNDYEKHLNEINTTSCKQLKTMCDLDKEHGRYKSVDPLRKKRKGARHLDVSLEEQDFIPRPYESDSENNDTSKSTRGGRISDKDYKLSELNSQIITLLDKIDGKVSRDPNNGDRFDVTNQNPVKIYYASRTYSQLGQFTSQLRLPSFPSSFRDKVPDEKVKYLPLASKKQLCINPKVMKWKTLEAINDACADLRHSKEGCIFYQNTNEWRHCPDTLALRDMIFSEIQDIEDLVPLGKSLGICPYYASREALPIAEVVTLPYQYLLSESTRSSLQINLENSIVIIDEAHNLIETINSIYSSQISLEDLKNCHKGIVTYFNKFKSRLNPGNRVNLLKLNSLLMTLIQFIVKNFKKIGQEIDPNDMFTGSNIDTLNIHKLLRYIKVSKIAYKIDTYNQALKEEESSKNENPIKETHKKSVSSQPLLFKVSQFLYCLTNLTSEGQFFFEKNYSIKYMLLEPSKPFESILNQAKCVVLAGGTMEPMSEFLSNLLPEVPSEDITTLSCNHVIPKENLQTYITNQPELEFTFEKRMSPSLVNNHLFQFFVDLSKAVPKKGGIVAFFPSYQYLAHVIQCWKQNDRFATLNNVRKIFYEAKDGDDILSGYSDSVAEGRGSLLLAIVGGKLSEGINFQDDLCRAVVMVGLPFPNIFSGELIVKRKHLAAKIMKSGGTEEEASRATKEFMENICMKAVNQSVGRAIRHANDYANIYLLDVRYNRPNFRKKLSRWVQDSINSEHTTHQVISSTRKFFSMRSLNSR", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTSLSIQPFPEISRMARDLDSRKKRRISLDGIAALCEHSKEIIDSLPMLNSPDYFLKPCINELVEREIESPDYCSRVPDFTIGRIGYGYIRFLGNTDVRRLDLDHIVKFHRHEVIVYDDESSKPVVGEGLNKAAEVTLVVNIPDLTWGKQQVNHIAYKLKQSTERQGATFISFDPDNGLWKFFVPHFSRFGLSDDEAEDIAMDDAPGLGDPVGLDGKKVADIDEEDQMETSELELSHSLPAHLGLDPEKMKEMRMLMFPNEDEDESEDFREQTSHLMTSLTKRNVRPSQKIAQRNSHQDPPPVVRKTPLALLEYNPGNDKSSPGSILMVQQNKNLAVRKSKTGGFELDISHVTPLTDNYSRNVVDAALFMGRSFRAGWGPNGVLFHTGKPICSSSSQMVLSSVINKEKIAIDKVVWDRKGKVQKELIDSAFEAPLSLHKELNHVEEEVRFGSFSLKLQNVVTDRVVLSDICRSYIGIIEKQLEVAGLSTSAKLFLMHQVMVWELIKVLFSERQSTERLMYAASDNEEDVMQDVKEDSAKIDTEALPLIRRAEFSCWLQESVSHRVQEDVSDLNGSSYLEHLFFLLTGRELDSAVELAISKGDVRLACLLSQAGGSTVNRNDILQQLHLWRRNGLDFNFIEKERIKLYELLAGNIHDALQDFTIDWKRFLGLLMWHHLPPDSSLPIIFRSYQLLLNQAKAPWPVPIYIDEGPADGFVSDNKHSDILYYLMLLHSKEEEEFGFLQTMFSAFSSTDDPLDYHMIWHHRGILEAVGAFTSDDLHTLDMGFVAQLLSQGLCHWAIYVVLHIPFREDHPYLHVTVIREILFQYCETWSSMESQRQFIKDLGIPSEWMHEALAVYYNYHGDFVKALDQFIECANWQRAHSIFMTSVAHSLFLSANHSEIWRIATSMDDRKSEIENWDLGAGIYMSFYLLKSSLQEDADTMVELEPLDSTNESCRNFVGRLNESLAVWGDRLPVEARVAYSKMAEEICDLLLSDLSKNPSRETQLTCFETAFDAPLPEDVRSTHLQDAVSLFSLYLSETGQISA", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MYIKQVIIQGFRSYRDQTIVDPFSSKHNVIVGRNGSGKSNFFYAIQFVLSDEFSHLRPEQRLALLHEGTGPRVISAFVEIIFDNSDNRLPIDKEEVSLRRVIGAKKDQYFLDKKMVTKNDVMNLLESAGFSRSNPYYIVKQGKINQMATAPDSQRLKLLREVAGTRVYDERKEESISLMKETEGKRDKINELLKYIEERLHTLEEEKEELAQYQKWDKMRRALEYTIYNQELNETRAKLDELSSKRETSGEKSRQLRDAQQDARDKMEEIERQVRELKSKISAMKEEKEQLSSERQEQIKQRTKLELKTKDLQDELAGNSEQRKRLLKERQKLLEKIEEKQKELAETEPKFSSVKQKEESGIARLAQATQERTDLYAKQGRGSQFTSKEERDKWIKKELKSLDQAINDKKRQIAAINKDLEETEVNKEKNLEQYTKLDQDLNEVKTRVEELDKKYYEVKNKKDELQSERNYLWREENAEQQSLAAKREDLEKKQQLLRAATGKAILNGIDSINKVLEHFRRKGINQHVINGYHGIVMNNFDCEPAFYTCVEVTAGNRLFYHIVESDEVSTKILMEFNKMNLPGEVTFLPLNKLDVRDTAYPETNDAIPMISKLRYNLRFDKAFKHVFGKTLICRSMEVSTQLARAFTMDCITLEGDQVSHRGALTGGYYDTRKSRLELQKDVRKVEDELHALEAKLNENLRRNIERINNEIDQLMNQMQQIETQQRKFKASRDSILSEMKMLKEKRQQSEKTFMPKQRSLQSLEASLHAMESTRESLKAELGTDLLSQLSLEDQKRVDALNDEIRQLQQENRQLLNERIKLEGTITRVETYLNENLRKRLDQVEQELNELRETEGGTVLTATTSELEAINKRVKDTLARSEGLDITIDKTEVESKDLVKSMDRWKNMEKDHMDAINHDTKELEKMTNRQGMLLKKKEECMKKIRELGSLPQEAFEKYQTLSLKQLFRKLEQCNTELKKYSHVNKKALDQFVNFSEQKEKLIKRQEELDRGHKSIMELMNVLELRKYEAIQLTFKQVSKNFSEVFQKLVPGGKATLVMKKGDVEGSQSQDEGEGSTQSSVPSVDQFTGVGIRVSFTGKQAEMREMQQLSGGQKSLVALALIFAIQKCDPAPFYLFDEIDQALDAQHRKAVSDMIMELASHAQFITTTFRPELLESADKFYGVKFRNKVSHIDVITAEQAKDFVEDDTTHG", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDAFFKDRAQAEHILQEWVRRREPVCELDIRDSGGVYAKTPLQRGTRYGPFPMKLSHQPNDPQLAWKAHSRHYNGWLEPTEDVSTWLKKIRSVQDDCIGEANLQSYINAGYLWYETNRYVNAGSEMVVDGRPKSPVQLNEDFMNGGKVLAAAAAAAAAVVAASSSGAKSGGGGSSAPSDDRSDRDNGSLYSGDEFSKDKKNSSLIREGDIDFTDDENGFDIRCEVCDKVYPDLDLLDDHLIGAHHFKQDEFPCKQCALRFCHRPLLIKHEAISHNNIRKYSCENCSKVFCDPSNLQRHIRAYHVGARCHPCPECGKTFGTSSGLKQHQHIHSSVKPFACEVCSKAYTQFSNLCRHKRMHATCRMQIKCDKCNQSFSTLTSLTKHKKFCDSTGPGPYRNQHVNRHHQHPHQHPLPHQPHLAATATSTCPAPPRESSESSSSAAAAAVAAMSTPPNPFLMFRTAPSFFPGFPPYGFPPFLPQNPLHPTNIPMFFSKNPMDLGCGGPEITSPVSAFDQKLPFGFLKGENSESQAYDKVTEKELVFKAEEKLKKEPLVQAFEGEEDESRSSLDIKGKLEDTRNDSKSEEQDDMKQEPERVSTPDQQQAEDDRKSIDIMSTPPPADTPSGGDGPLDLSICRKRSAGSFFTAPAEDNLMLHRFMPRLHEFEAERGQPLKMRKSHSSAESSTSQKSHKGSSPTPTPTASPGLTPSPSPPTSAGGELSSTSEGGAVPTMAAAAFAADHSALASGPTLPQTHPTFHPLLLEEIYRSGFPFLCQPGGRRGIEALLAGAASAAAPKRPLPPVKFSAGSVVGLKTKDRYSCKFCGKVFPRSANLTRHLRTHTGEQPYPCKYCDRAFSISSNLQRHVRNIHNKERPFRCELCDRSFGQQTNLDRHVKKHESEGNNFRDSPSSSGIAEREEYFDDIRKFMNRVYTPNSLAGNEGDTEEYPNSDDQSVNLEKDSGNFNNNSSNISNNNSSSGNNNNSSKAITISS", - "output": "Nucleus" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASSTSTRTPAGKRVVNQEELRRLMREKQRLSTNRKRIESPFAKYNRLGQLSCALCNTPVKSELLWQTHVLGKQHRERVAELKGAKGATQGPSTGTVPQATKRRATDVESQDAKKAKASAGPQVQPSTSASSANLDAARAAPSKPGLGLLPDYDDEEEEEEEGGGEERRDSSKHLPDAQGKEHSLASPRETTSNVLPNDPFNTNPPKAPLVPHSGSIEKAEIHEKVVERRENTAEALPEGFFDDPEVDAKVRKVDAPKDQMDKEWDEFQKAMRQVNTISEAIVAEEDEEGRLDRQIGEIDEQIECYRRVEKLRNRQDEIKNKLKEVLTIKELQKKEEENVDSDDEGELQDLLSQDWRVKGALL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSVVGSLIFCLDCGDLLENPNAVLGSNVECSQCKAIYPKSQFSNLKVVTTTADDAFPSSLRAKKSVVKTSLKKNELKDGATIKEKCPQCGNEEMNYHTLQLRSADEGATVFYTCTSCGYKFRTNN", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRHNQMCCETPPTVTVYVKSGSNRSHQPKKPITLKRPICKDNWQAFEKNTHNNNKSKRPKGPCLVIQRQDMTAFFKLFDDDLIQDFLWMDCCCKIADKYLLAMTFVYFKRAKFTISEHTRINFFIALYLANTVEEDEEETKYEIFPWALGKNWRKLFPNFLKLRDQLWDRIDYRAIVSRRCCEEVMAIAPTHYIWQRERSVHHSGAVRNYNRDEVQLPRGPSATPVDCSLCGKKRRYVRLGLSSSSSLSSHTAGVTEKHSQDSYNSLSMDIIGDPSQAYTGSEVVNDHQSNKGKKTNFLKKDKSMEWFTGSEE", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTAEDAAAAMSSDSAAGGAASAKAPEGVAGAPNEAALLALLARTGYRMVQENGQRKYGGPPPGWEGPHPQRGCEVFVGKIPRDVYEDELVPVFEAVGRIYELRLMMDFDGKNRGYAFVTYCHKGEAKRAVRELNNHEIRPGRLLGVCCSVDNCRLFIGGIPKMKKREEILEEIAKVTEGVLDVIVYASAADKMKNRGFAFVEYESHRAAAMARRKLMPGRIQLWGHQIAVDWAEPEIDVDEDVMETVKILYVRNLMIETTEDTIKKSFGQFNPGCVERVKKIRDYAFVHFASREDAVLAMNSLNGTELEGSCLEVTLAKPVDKEQYSRYQKAAKGGGAAEAAAPQPGYVYSCDPYTLAYYGYPYNALIGPNRDYFVKAGSIRGRGRGAAGSRAPGPRGSYLGGYSAGRGIYSRYHEGKGKQQEKGYELVPNLEISAVNPVAIKPGTVAIPAIGAQYSMFQAAPAPKMIEDGKIHTMEHMISPIAVQPDPASAAAAAAAAAAAVIPAVSTPPPFQGRPITPVYTVAPNVQRIPAAGLYGAGYVPFAAPATATLATLQKNAAAAAVYGGYAGYIPQAFPAATIQVPIHDVYPTY", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVHCSCVLFRKYGNFIDKLRLFTRGGSGGMGYPRLGGEGGKGGDVWVVAQNRMTLKQLKDRYPRKRFVAGVGANSKISALKGSKGKDCEIPVPVGISVTDENGKIIGELNKENDRILVAQGGLGGKLLTNFLPLKGQKRIIHLDLKLIADVGLVGFPNAGKSSLLSCVSHAKPAIADYAFTTLKPELGKIMYSDFKQISVADLPGLIEGAHMNKGMGHKFLKHIERTRQLLFVVDISGFQLSSHTQYRTAFETIILLTKELELYKEELQTKPALLAVNKMDLPDAQDKFHELMSQLQNPKDFLHLFEKNMIPERTVEFQHIIPISAVTGEGIEELKNCIRKSLDEQANQENDALHKKQLLNLWISDTMSSTEPPSKHAVTTSKMDII", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MENIFKEKEKGKEKAKEEEKENDSGDLFDSEDEGTEDYKKGGYHPVKVGEVYKSNYRIVKKLGWGHFSTVWLAIDEKNGGREVALKIVKSASHYREAAEDEIHLLQTISEGDPESKYCVVKLLDSFLHTGPHGKHICMVFEKLGSNLLDLIKLHNYKGIPLPLVKCMTKQILIGLDYLHTKCKIIHTDLKPENVLLDHLLRPDTLNWDDQFLDGASSSSPISNDAENARQTRSGKIKWEPSARIADSLSRKIVKVPIVKIADLGTACWTHKHFTDDVQTRQYRCPEVILGQKWDTTIDMWSLACMVFELATGDLLFCPKKGDKYDKTDDHLALMIELLGRMPRSFITKGSKSEKYFNSKGELKYIRKLGPQWGMSDVLYEKYRFPKEEADKLSAFLLPMLQYEPEKRATARDSLEHPYMADVPPFL", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAVKQLLPAGSQVLVSFEDVAVLLSREEWGRLGPAQRGLYSDVMLETYRNLISLGLQGSKPDVISRLEKGEEPWAPYSAKIEESWIRSHESESFQSLMEKKGLTPKQEISKAMGFRRAKSEYVRNVSKESEFEEMNKTKGKLKNYRKKSAEEELKKSFSQKNSSRPVTLTHVKSPVSGKGQKSSSLEVDYTVDASPVRFHRASTGGSLHQNVPCVNDFQQSQDLINLQCLHLGERACQTDLFMKAPRQSSVLSENQRVNNPEKSFECTECRRLFSPSKALSQHQRSHTGEIPCESGGCGRTSHHCSVLSQHQEVHHGGESHTCAECGKAFKAHSYFIQQHNTHTGERPYECSECAHLSYSQHLQIHSGQKPHECSQCGKAFSHSSNLFHHQRIHSGEKPYECKECGKAFGRHSHLLQHKRIHSGEKPYDCTECGKAFSARLSLIQHQRTHTGEKPYECNECGKSFSLNRTLIVHQRIHTGEKPYRCNECGKSFSQRAQVIQHKRIHTGEKPYVCNECGKSFSARLSLIQHQRIHTGEKPYGCSECGKTFSQKGHLIQHQRIHTGEKPYECNECGKAFSQSFNLIHHQRTHNGEKPYECNECDKAFSVLSSLVQHQRVHNGEKPYECHKCGKAFSQGSHLIQHQRSHTGEKPYECNECGKTFGQISTLIKHERTHNGEKPYECGDCGKAFSQSAHLVRHRRIHTGENPYECSDCGKAFNVRSSLVQHHRIHTGEKPYECEKCGKAFSQHSQFIQHQRIHTGEKPYICNECEKAFSARLSLIQHKRIHTGEKPYKCTECGKSFRQSSHLIRHQRVHSGERPYMCNECGKTFSQRITLTSHEKTHTREQAYKCVKREDLLTAQSASIQHHKVHNGE", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQPQPHISPNTATAAISAALESQRSRKNRGSYNCGRCGQPKKGHVCLLTAPPDIPTTPIASEPVSCISAAASSSRSTVLSLTAAPSSRQTFTHLRRALSFDDVDARNSLDESDLDAASMDLDLQLDTDIVQPGRFHAVGLWEVLKRLPPSSLLMAARVCKGWRETSRKMWKAAEELRIRVPERAQIGYIGSLLQKCPRLIRLSLKIESDFDATTLACIAFSCPNLEVLEITTSGAAVNRISGDELSRFVANKRGLTSLKMEGCSNLGGFSLSSSSLSTLWLSDLHSLSKMIFNCPNLTEISLEFSRQEDDSTDLVTMVDGLGRTCTRLQNIHIASLKLSHTVVLSLTAVNFRYLRMLSLVLGINITDASVAAISSGYKNLELLDLSGSSITDTGLGMICDVLPDTLSKLLVALCPNITSSGIQFATAQLPLLELMDCGMTVSDPNSDNPTFVENPSPHKTPGYNQKMFIKHKRLKKLSLWGCSSLDALFLNCPELMDLNLNLCSNLHPESLVLQCPKLQLVYASGCQGLLTGAIRKQVSENFSAGENHMPRKRLADASKRIQALPSLYQETREDGIYAGKRRKLEKEMCTIIH", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPFPVTTQGSQQTQPPQKHYGITSPISLAAPKETDCLLTQKLVETLKPFGVFEEEEELQRRILILGKLNNLVKEWIREISESKNLPQSVIENVGGKIFTFGSYRLGVHTKGADIDALCVAPRHVDRSDFFTSFYDKLKLQEEVKDLRAVEEAFVPVIKLCFDGIEIDILFARLALQTIPEDLDLRDDSLLKNLDIRCIRSLNGCRVTDEILHLVPNIDNFRLTLRAIKLWAKRHNIYSNILGFLGGVSWAMLVARTCQLYPNAIASTLVHKFFLVFSKWEWPNPVLLKQPEECNLNLPVWDPRVNPSDRYHLMPIITPAYPQQNSTYNVSVSTRMVMVEEFKQGLAITDEILLSKAEWSKLFEAPNFFQKYKHYIVLLASAPTEKQRLEWVGLVESKIRILVGSLEKNEFITLAHVNPQSFPAPKENPDKEEFRTMWVIGLVFKKTENSENLSVDLTYDIQSFTDTVYRQAINSKMFEVDMKIAAMHVKRKQLHQLLPSHVLQKKKKHSTEGVKLTPLNDSSLDLSMDSDNSMSVPSPTSAMKTSPLNSSGSSQGRNSPAPAVTAASVTNIQATEVSLPQINSSESSGGTSSESIPQTATQPAISSPPKPTVSRVVSSTRLVNPPPRPSGNAAAKIPNPIVGVKRTSSPHKEESPKKTKTEEDETSEDANCLALSGHDKTETKEQLDTETSTTQSETIQTATSLLASQKTSSTDLSDIPALPANPIPVIKNSIKLRLNR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MMATPNQTACNAESPVALEEAKTSGAPGSPQTPPERHDSGGSLPLTPRMESHSEDEDLAGAVGGLGWNSRSPRTQSPGGCSAEAVLARKKHRRRPSKRKRHWRPYLELSWAEKQQRDERQSQRASRVREEMFAKGQPVAPYNTTQFLMNDRDPEEPNLDVPHGISHPGSSGESEAGDSDGRGRAHGEFQRKDFSETYERFHTESLQGRSKQELVRDYLELEKRLSQAEEETRRLQQLQACTGQQSCRQVEELAAEVQRLRTENQRLRQENQMWNREGCRCDEEPGT", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MASPAAASVRPPRPKKEPQTLVIPKNAAEEQKLKLERLMKNPDKAVPIPEKMNEWAPRAPPEFVRDVMGSSAGAGSGEFHVYRHLRRREYQRQDYMDAMAEKQKLDAEFQKRLEKNKIAAEEQTAKRRKKRQKLKEKKLLAKKMKLEQKKQKEEPSQCQEQHASSSDEASETEEEEEEPSVVIMGR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDKSGIDSLDHVTSDAVELANRSDNSSDSSLFKTQCIPYSPKGEKRNPIRKFVRTPESVHASDSSSDSSFEPIPLTIKAIFERFKNRKKRYKKKKKRRYQPTGRPRGRPEGRRNPIYSLIDKKKQFRSRGSGFPFLESENEKNAPWRKILTFEQAVARGFFNYIEKLKYEHHLKESLKQMNVGEDLENEDFDSRRYKFLDDDGSISPIEESTAEDEDATHLEDNECDIKLAGDSFIVSSEFPVRLSVYLEEEDITEEAALSKKRATKAKNTGQRGLKM", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKQPIMADGPRCKRRKQANPRRKNVVNYDNVVDTGSETDEEDKLHIAEDDGIANPLDQETSPASVPNHESSPHVSQALLPREEEEDEIREGGVEHPWHNNEILQASVDGPEEMKEDYDTMGPEATIQTAINNGTVKNANCTSDFEEYFAKRKLEERDGHAVSIEEYLQRSDTAIIYPEAPEELSRLGTPEANGQEENDLPPGTPDAFAQLLTCPYCDRGYKRLTSLKEHIKYRHEKNEENFSCPLCSYTFAYRTQLERHMVTHKPGTDQHQMLTQGAGNRKFKCTECGKAFKYKHHLKEHLRIHSGEKPYECPNCKKRFSHSGSYSSHISSKKCIGLISVNGRMRNNIKTGSSPNSVSSSPTNSAITQLRNKLENGKPLSMSEQTGLLKIKTEPLDFNDYKVLMATHGFSGTSPFMNGGLGATSPLGVHPSAQSPMQHLGVGMEAPLLGFPTMNSNLSEVQKVLQIVDNTVSRQKMDCKAEEISKLKGYHMKDPCSQPEEQGVTSPNIPPVGLPVVSHNGATKSIIDYTLEKVNEAKACLQSLTTDSRRQISNIKKEKLRTLIDLVTDDKMIENHNISTPFSCQFCKESFPGPIPLHQHERYLCKMNEEIKAVLQPHENIVPNKAGVFVDNKALLLSSVLSEKGMTSPINPYKDHMSVLKAYYAMNMEPNSDELLKISIAVGLPQEFVKEWFEQRKVYQYSNSRSPSLERSSKPLAPNSNPPTKDSLLPRSPVKPMDSITSPSIAELHNSVTNCDPPLRLTKPSHFTNIKPVEKLDHSRSNTPSPLNLSSTSSKNSHSSSYTPNSFSSEELQAEPLDLSLPKQMKEPKSIIATKNKTKASSISLDHNSVSSSSENSDEPLNLTFIKKEFSNSNNLDNKSTNPVFSMNPFSAKPLYTALPPQSAFPPATFMPPVQTSIPGLRPYPGLDQMSFLPHMAYTYPTGAATFADMQQRRKYQRKQGFQGELLDGAQDYMSGLDDMTDSDSCLSRKKIKKTESGMYACDLCDKTFQKSSSLLRHKYEHTGKRPHQCQICKKAFKHKHHLIEHSRLHSGEKPYQCDKCGKRFSHSGSYSQHMNHRYSYCKREAEEREAAEREAREKGHLEPTELLMNRAYLQSITPQGYSDSEERESMPRDGESEKEHEKEGEDGYGKLGRQDGDEEFEEEEEESENKSMDTDPETIRDEEETGDHSMDDSSEDGKMETKSDHEEDNMEDGM", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAPLLHTRLPGDAAASSSAVKKLGASRTGISNMRALENDFFNSPPRKTVRFGGTVTEVLLKYKKGETNDFELLKNQLLDPDIKDDQIINWLLEFRSSIMYLTKDFEQLISIILRLPWLNRSQTVVEEYLAFLGNLVSAQTVFLRPCLSMIASHFVPPRVIIKEGDVDVSDSDDEDDNLPANFDTCHRALQIIARYVPSTPWFLMPILVEKFPFVRKSERTLECYVHNLLRISVYFPTLRHEILELIIEKLLKLDVNASRQGIEDAEETATQTCGGTDSTEGLFNMDEDEETEHETKAGPERLDQMVHPVAERLDILMSLVLSYMKDVCYVDGKVDNGKTKDLYRDLINIFDKLLLPTHASCHVQFFMFYLCSFKLGFAEAFLEHLWKKLQDPSNPAIIRQAAGNYIGSFLARAKFIPLITVKSCLDLLVNWLHIYLNNQDSGTKAFCDVALHGPFYSACQAVFYTFVFRHKQLLSGNLKEGLQYLQSLNFERIVMSQLNPLKICLPSVVNFFAAITNKYQLVFCYTIIERNNRQMLPVIRSTAGGDSVQICTNPLDTFFPFDPCVLKRSKKFIDPIYQVWEDMSAEELQEFKKPMKKDIVEDEDDDFLKGEVPQNDTVIGITPSSFDTHFRSPSSSVGSPPVLYMQPSPL", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPTALCPRVLAPKESEEPRKMRSPPGENPSPQGEPPSPESSRRLFRRFRYQEAAGPREALQRLWELCRGWLRLERHTKEQILELLVLEQFLAILPWEIQSWVRAQEPESGEQAVAAVEALEREPGRPWQWLKHCEDPVVIDDGDGPAAPQDLEQERMSAESQSYPDAPPGALVQGTGLLSRSPGQPSEDLVPQDAFVVQEQSIRDAQPVATCQLPPNRVSPFKDMILCFSEEDWSLLDPAQTGFYGEFIIGEDYAVSMPPNEPPVQPGHSHEEENGLRVTEWTTDLQDKEIPQASCLDLSSLQPFQGEERRKWEELQVPELQPCPQVVLSQSPCPAGGDPPALKSSLDQEVTIEIVLSSSGDEDSQHSPYCTEELRSPPEDLHSVPAHQSNASAEGEVQTSQKSYVCPNCGKIFRWRVNFIRHLRSRREQKPHKCSVCGELFSDSEDLDGHLETHEAQKPYRCTACGKSFRLNSHLISHRRIHLQPASQQPMKKSEEEALETEGTGASDLLEKSKAKLSFQCGDCEKSFQRHDHLVRHRRHCHLKDETRPFQCRYCVKTFRQNYDLLRHERLHMKRRSKQALNSY", - "output": "Nucleus" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPQESSDAKRIEPPRKVDFMLKPRFTNTVPDVPFDAKFMTCPFVPLGRFVEFQPAAIYRDYKHAVICDDDMGLNVDLIDLKKYDEDPIETEIDEKDNILLEDDGAAKLIAKRSQQHSKLVPWMRKTEYISTEFNRFGVTADRQETKLGYNLKKNQQVEDMYRDKQSQIDAINKTFEDVRKPVKEHYSKKGVKAVEESFVFPDFDHWKHLFAHVQFDGDTITTEFEEEDERQQARESSVIKAMEFEDQKFAAVFVPTIGCLTSFMDDLELERPFDEDMKYEFLLSREYTFKMEHLPPRDRDVFIMYHRNNVFQYNEVDCNVKMTRKPKMALSRKSKLTLTYRNPSELEQKDMNKREAELYEQPKTRKQEILEKIQEKKEEGGDSSDQSSDSDDDKPQKSRSDSSSDVSSDDDSPRKKEPTVDSDSD", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSRERKGLSELRSELYFLIARFLEDGPCQQAAQVLIREVAEKELLPRRTDWTGKEHPRTYQNLVKYYRHLAPDHLLQICHRLGPLLEQEIPQSVPGVQTLLGAGRQSLLRTNKSCKHVVWKGSALAALHCGRPPESPVNYGSPPSIADTLFSRKLNGKYRLERLVPTAVYQHMKMHKRILGHLSSVYCVTFDRTGRRIFTGSDDCLVKIWATDDGRLLATLRGHAAEISDMAVNYENTMIAAGSCDKMIRVWCLRTCAPLAVLQGHSASITSLQFSPLCSGSKRYLSSTGADGTICFWLWDAGTLKINPRPTKFTERPRPGVQMICSSFSAGGMFLATGSTDHIIRVYFFGSGQPEKISELEFHTDKVDSIQFSNTSNRFVSGSRDGTARIWQFKRREWKSILLDMATRPAGQNLQGIEDKITKMKVTMVAWDRHDNTVITAVNNMTLKVWNSYTGQLIHVLMGHEDEVFVLEPHPFDPRVLFSAGHDGNVIVWDLARGVKVRSYFNMIEGQGHGAVFDCKCSPDGQHFACTDSHGHLLIFGFGSSSKYDKIADQMFFHSDYRPLIRDANNFVLDEQTQQAPHLMPPPFLVDVDGNPHPSRYQRLVPGRENCREEQLIPQMGVTSSGLNQVLSQQANQDISPLDSMIQRLQQEQDLRRSGEAGVSNASRVNRGSVSSTSEVHSPPNIGLRRSGQIEGVRQMHSNAPRSEIATERDLVAWSRRVVVPELSAGVASRQEEWRTAKGEEEIKSYRSEEKRKHLTVAKENKILTVSKNHAHEHFLDLGDSKKQQANQHNYRTRSALEETPRPLEELENGTSSSDEGEVLAVSGGTSEEEERAWHSDGSSSDYSSDYSDWTADAGINLQPPKKVPKHKTKKPESSSDEEEESENQKQKHIKKERKKANEEKDGPTSPKKKKPKERKQKRLAVGELTENGLTLEEWLPSAWITDTLPRRCPFVPQMGDEVYYFRQGHEAYVEMARKNKIYSINPKKQPWHKMELREQELMKIVGIKYEVGLPTLCCLKLAFLDPDTGKLTGGSFTMKYHDMPDVIDFLVLRQQFDDAKYRPWNIGDRFRSVIDDAWWFGTIESQEPLQPEYPDSLFQCYNVCWDNGDTEKMSPWDMELIPNNAVFPEELGTSVPLTDVECRSLIYKPLDGEWGANPRDEECERIVGGINQLMTLDIASAFVAPVDLQAYPMYCTVVAYPTDLSTIKQRLENRFYRRFSSLMWEVRYIEHNTRTFNEPGSPIVKSAKFVTDLLLHFIKDQTCYNIIPLYNSMKKKVLSDSEEEEKDADVPGTSTRKRKDHQPRRRLRNRAQSYDIQAWKKQCQELLNLIFQCEDSEPFRQPVDLLEYPDYRDIIDTPMDFATVRETLEAGNYESPMELCKDVRLIFSNFKAYTPSKRSRIYSMSLRLSAFFEEHISSVLSDYKSALRFHKRNTISKKRKKRNRSSSLSSSAASSPERKKRILKPQLKSEVSTSPFSIPTRSVLPRHNAAQMNGKPESSSVVRTRSNRVAVDPVVTEQPSTSSATKAFVSKTNTSAMPGKAMLENSVRHSKALSTLSSPDPLTFSHATKNNSAKENMEKEKPVKRKMKSSVFSKASPLPKSAAVIEQGECKNNVLIPGTIQVNGHGGQPSKLVKRGPGRKPKVEVNTSSGEVTHKKRGRKPKNLQCAKQENSEQNNMHPIRADVLPSSTCNFLSETNAVKEDLLQKKSRGGRKPKRKMKTHNLDSELIVPTNVKVLRRSNRKKTDDPIDEEEEFEELKGSEPHMRTRNQGRRTAFYNEDDSEEEQRQLLFEDTSLTFGTSSRGRVRKLTEKAKANLIGW", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTEEACRTRSQKRALERDPTEDDVESKKIKMERGLLASDLNTDGDMRVTPEPGAGPTQGLLRATEATAMAMGRGEGLVGDGPVDMRTSHSDMKSERRPPSPDVIVLSDNEQPSSPRVNGLTTVALKETSTEALMKSSPEERERMIKQLKEELRLEEAKLVLLKKLRQSQIQKEATAQKPTGSVGSTVTTPPPLVRGTQNIPAGKPSLQTSSARMPGSVIPPPLVRGGQQASSKLGPQASSQVVMPPLVRGAQQIHSIRQHSSTGPPPLLLAPRASVPSVQIQGQRIIQQGLIRVANVPNTSLLVNIPQPTPASLKGTTATSAQANSTPTSVASVVTSAESPASRQAAAKLALRKQLEKTLLEIPPPKPPAPEMNFLPSAANNEFIYLVGLEEVVQNLLETQGRMSAATVLSREPYMCAQCKTDFTCRWREEKSGAIMCENCMTTNQKKALKVEHTSRLKAAFVKALQQEQEIEQRLLQQGTAPAQAKAEPTAAPHPVLKQVIKPRRKLAFRSGEARDWSNGAVLQASSQLSRGSATTPRGVLHTFSPSPKLQNSASATALVSRTGRHSERTVSAGKGSATSNWKKTPLSTGGTLAFVSPSLAVHKSSSAVDRQREYLLDMIPPRSIPQSATWK", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGAAGSKLEKALGDQFPEGERYFGFENFGNTCYCNSVLQALYFCAPFREQLLEHYANNKADAEENLLTCLADLFSQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNYLLNELVEILEKETQATKADNETSSSPEKIANVLKAPLANGVHKEPIVTWVHKIFQGILTNETRCLRCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLHAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYMEQLGRYKKLSYRVVFPLELKLSNTVDEYVDIEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDESVEIIEESAVQTFFGSSQEYSSNTDHGYILLYESLGTR", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPELTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKKVSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVHLALSEDHAWVVFGSNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKMEVAFMVCAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGRPDPLTLYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCREDEEIYKEFFEVANDVIPNLLKEAASLLEAGEERPGEQAQGTQGQGSALQDPECFAHLLRFYDGICKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVHIVSREAEAAEAEEPWGDEAREGRRRGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPPRKTSGTVSGTARGTEVSSAAQAPAPAASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVSTPSDYTLSFLKRQRKGL", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEAKTLGTVTPRKPVLSVSARKIKDNAADWHNLILKWETLNDAGFTTANNIANLKISLLNKDKIELDSSSPASKENEEKVCLEYNEELEKLCEELQATLDGLTKIQVKMEKLSSTTKGICELENYHYGEESKRPPLFHTWPTTHFYEVSHKLLEMYRKELLLKRTVAKELAHTGDPDLTLSYLSMWLHQPYVESDSRLHLESMLLETGHRAL", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSGQPTAVDCLESSGSTVEDVQETPASREKSYGLPVRKGENSLESPAEQAAKDVEIEELTHSEAIAATGSTRKQCPYGGKAPDEPGKLADESEDRKGENTKAIASSPVLVAVDSDSSVELIESPVKFSSANESEKDPPKPDAVNEAAAKEAEEMTDSSISSPTSESFPEKDEKTNKENEQEPPGMEVDQDVEESISRPAEEYKIENTLKGHKRISLTEIEEHKIVDKKDDVLEVELEKGTAPKAAEDEKLNALLSDGDVFYDKECVNCNCTKLHKQYVLANMATLNFYQVLRKSSKQQFLCMGCHDTAMDLYEEYAGQLMAKQPLLLKDFHQDHADFVALDSSDEEEEEKQPEKSDFSKNKLQLIEDELDDAIKNVLNKVDFTAQLSWSKTILQAKADHLERQFALADVELEKVQTTADKMHCALYNSCPVAHKHLPTLDIEPSDYVHEVPPPGEIVRPPIQLGETYYAVKNKAIASWVSIKVIEFTESTAINGNTMKSYKIRYLNTPYQMIKTVTAKHIAYFEPPPVRLTIGTRVIAYFDGTTLSRGKDKGVVQSAFYPGIIAEPLKQANRYRYLIFYDDGYTQYVPHRDVRLVCQASEKVWEDVHAASRDFIQKYVEKYSVDRPMVQCTRGQSMTTESNGTWLYARVIDIDCSLVLMQFEGDKNHTEWIYRGSLRLGPVFRETQNNMNSSSAQQLRVPRRTEPFIRYTKEMESSSKVNQQMRAFARKSSASAQNNALAAASSAATPAGGRTNAGGVSTSNSASAVRHLNNSTIYVDDENRPKGHVVYFTAKRNLPPKMYKCHECSPNCLFKIVHRLDSYSPLAKPLLSGWERLVMRQKTKKSVVYKGPCGKSLRSLAEVHRYLRATENVLNVDNFDFTPDLKCLAEYSIDPSIVKDTDISKGQEKMAIPLVNYYDNTLPPPCTYAKQRIPTEGVHLNLDEEFLLCCDCEDDCSDKSKCACWQLTVAGVRYCNPKKPIEEIGYQYKRLHEHVPTGIYECNSRCKCKKNCLNRVVQFSLEMKLQVFKTSNRGWGLRCVNDIPKGAFICIYAGHLLTETMANEGGQDAGDEYFADLDYIEVAEQLKEGYESEVDHSDPDAEEDNGGPDAEDDDDFRPNYHYQRKIKRSSRSGSTQNSSTQSSELDSQERAVINFNPNADLDETVRENSVRRLFGKDEAPYIMDAKTTGNLGRYFNHSCSPNLFVQNVFVDTHDLRFPWVAFFSAAHIRSGTELTWNYNYEVGVVPGKVLYCQCGAPNCRLRLL", - "output": "Nucleus" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSNPACSNLFNNGCDHNSFNYSTSLSYIYNSHGSYYYSNTTNPNYINHTHTTSTSPNSPPLREALPLLSLSPIRHQEQQDQHYFMDTHQISSSNFLDDPLVTVDLHLGLPNYGVGESIRSNIAPDATTDEQDQDHDRGVEVTVESHLDDDDDHHGDLHRGHHYWIPTPSQILIGPTQFTCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCFCCAPGCKNNIDHPRAKPLKDFRTLQTHYKRKHGSKPFACRMCGKAFAVKGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHVKAFGNGHVPCGIDSFGGDHEDYYDAASDIEQ", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSDESASGSDPDLDPDVELEDEEEEEEEEEVAVEEHDRDDEEGLLDDTSLEGMCGTEHAQLGEDGQRPPRCTSTTSSQSEPSEQLRHQGKILASEDPKKKRAQKPSHMRRNIRKLLREDQLEPVTKAAQQEELERRKRLEQQRKEYAAPIPTVPLEFLPEEIVLRASDGPQLPPRVLAQEVICLDSSSGSEDEKSSRDEVIELSSGEEDTLHIVDSSESVSEEDEEEEKGGTHVNDALNQHDALGRVLVNLNHPPEEENVFLAPQLARAVKPHQIGGIRFLYDNLVESLERFKTSSGFGCILAHSMGLGKTLQVISFIDVLFRHTPAKTVLAIVPVNTLQNWLAEFNMWLPAPEALPADSKPEEVQPRFFKVHILNDEHKTVASRAKVTADWVSEGGVLLMGYEMYRLLTLKKSLATSRPKKTKKRSHPVIIDLDEEDRQQEFRREFEKALCRPGPDVVICDEGHRIKNCQASTSQALKNIRSRRRVVLTGYPLQNNLIEYWCMVDFVRPDFLGTRQEFSNMFERPILNGQCIDSTPQDVRLMRYRSHVLHSLLEGFVQRRGHTVLKIHLPAKEENVILVRLSQIQRDLYTQFMDRFRDCGTSGWLGLNPLKAFCVCCKIWNHPDVLYEALQKENLANEQDLDVEELGSAGTSARCPPHGTKVKGEDSALPSSMGEATNSKFLQGVGFNPFQERGNNIVTYEWAKELLTNYQTGVLENSPKMVLLFHLIEESVKLGDKILVFSQSLSTLALIEEFLGKRDMPCLPGAEGQGTQKWVRNVSYFRLDGSTPAFERERLINQFNDPSNLTTWLFLLSTRAGCLGVNLIGANRVVVFDASWNPCHDAQAVCRVYRYGQKKPCHIYRLVADYTLEKKIYDRQISKQGMSDRVVDDLNPMLNFTRKEVENLLHFVEKEPAPQTSLDIKGIKESVLQLACLKYPHLITKEPFEHESLLLNRKDHKLTKAEKKAAKKSYEEDKRTSVPYTRPSYAQYYPASDQSLTSIPAFSQRNWQPTLKGDEKPVASVRPVQSTPIPMMPRHVPLSGGVSSASSTNTSMNFPINYLQRAGVLVQKVVTTTDIVIPGLNSSTDVQARINAGESIHIIRGTKGTYIRTSDGRIFAVRATGKPKAPEDGRMAASGSQGPSLASTSNGRHSASSPKAPDPEGLARPVSPDSPEIISELQQYADVAAARESRQSSPSISAALPGPPGQLMDNSTIPGTALGTEPCLGGHCLNSSLLVTGQPSGGRHPVLDLRGHKRKLATPSVTQESIRRRSRKGHLPAPVQPYEHGYPVSGGFAMPPVSLNHNLTTPFTSQAGENSLFMGSNPSYYQLSNLLADARLVFPVTTDPLVPAGPVSSSSTATSVTASNPSFMLNPSVPGMLPSYSLPFSQPLLSEPRMFAPFPSPGLPSNLSRGVSVYPGYMSPHAGYPAGGLLRSQVPPFDSHEVAEVGFSSNDDEDKDDDVIEVTGK", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSAAPLVGYSSSGSEDESEDGMRTRPGDGSHRRGQSPLPRQRFPVPDSVLNMFPGTEEGPEDDSTKHGGRVRTFPHERGNWATHVYVPYEAKEEFLDLLDVLLPHAQTYVPRLVRMKVFHLSLSQSVVLRHHWILPFVQALKARMTSFHRFFFTANQVKIYTNQEKTRTFIGLEVTSGHAQFLDLVSEVDRVMEEFNLTTFYQDPSFHLSLAWCVGDARLQLEGQCLQELQAIVDGFEDAEVLLRVHTEQVRCKSGNKFFSMPLK", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAARKGRRRTCETGEPMEAESGDTSSEGPAQVYLPGRGPPLREGEELVMDEEAYVLYHRAQTGAPCLSFDIVRDHLGDNRTELPLTLYLCAGTQAESAQSNRLMMLRMHNLHGTKPPPSEGSDEEEEEEDEEDEEERKPQLELAMVPHYGGINRVRVSWLGEEPVAGVWSEKGQVEVFALRRLLQVVEEPQALAAFLRDEQAQMKPIFSFAGHMGEGFALDWSPRVTGRLLTGDCQKNIHLWTPTDGGSWHVDQRPFVGHTRSVEDLQWSPTENTVFASCSADASIRIWDIRAAPSKACMLTTATAHDGDVNVISWSRREPFLLSGGDDGALKIWDLRQFKSGSPVATFKQHVAPVTSVEWHPQDSGVFAASGADHQITQWDLAVERDPEAGDVEADPGLADLPQQLLFVHQGETELKELHWHPQCPGLLVSTALSGFTIFRTISV", - "output": "Nucleus" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAGVFPYRGPGNPVPGPLAPLPDYMSEEKLQEKARKWQQLQAKRYAEKRKFGFVDAQKEDMPPEHVRKIIRDHGDMTNRKFRHDKRVYLGALKYMPHAVLKLLENMPMPWEQIRDVPVLYHITGAISFVNEIPWVIEPVYISQWGSMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNILDVEPLEAIQLELDPEEDAPVLDWFYDHQPLRDSRKYVNGSTYQRWQFTLPMMSTLYRLANQLLTDLVDDNYFYLFDLKAFFTSKALNMAIPGGPKFEPLVRDINLQDEDWNEFNDINKIIIRQPIRTEYKIAFPYLYNNLPHHVHLTWYHTPNVVFIKTEDPDLPAFYFDPLINPISHRHSVKSQEPLPDDDEEFELPEFVEPFLKDTPLYTDNTANGIALLWAPRPFNLRSGRTRRALDIPLVKNWYREHCPAGQPVKVRVSYQKLLKYYVLNALKHRPPKAQKKRYLFRSFKATKFFQSTKLDWVEVGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREVLRLTKLVVDSHVQYRLGNVDAFQLADGLQYIFAHVGQLTGMYRYKYKLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAAGWRVWLFFMRGITPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDILDMMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPTPIENMILRYVKAKADWWTNTAHYNRERIRRGATVDKTVCKKNLGRLTRLYLKAEQERQHNYLKDGPYITAEEAVAVYTTTVHWLESRRFSPIPFPPLSYKHDTKLLILALERLKEAYSVKSRLNQSQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSHLVPVYDVEPLEKITDAYLDQYLWYEADKRRLFPPWIKPADTEPPPLLVYKWCQGINNLQDVWETSEGECNVMLESRFEKMYEKIDLTLLNRLLRLIVDHNIADYMTAKNNVVINYKDMNHTNSYGIIRGLQFASFIVQYYGLVMDLLVLGLHRASEMAGPPQMPNDFLSFQDIATEAAHPIRLFCRYIDRIHIFFRFTADEARDLIQRYLTEHPDPNNENIVGYNNKKCWPRDARMRLMKHDVNLGRAVFWDIKNRLPRSVTTVQWENSFVSVYSKDNPNLLFNMCGFECRILPKCRTSYEEFTHKDGVWNLQNEVTKERTAQCFLRVDDESMQRFHNRVRQILMASGSTTFTKIVNKWNTALIGLMTYFREAVVNTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVVFYTPKELGGLGMLSMGHVLIPQSDLRWSKQTDVGITHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAIAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDMIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKWKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESIVMDLCQVFDQELDALEIETVQKETIHPRKSYKMNSSCADILLFASYKWNVSRPSLLADSKDVMDSTTTQKYWIDIQLRWGDYDSHDIERYARAKFLDYTTDNMSIYPSPTGVLIAIDLAYNLHSAYGNWFPGSKPLIQQAMAKIMKANPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGELFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKVEKFGDLILKATEPQMVLFNLYDDWLKTISSYTAFSRLILILRALHVNNDRAKVILKPDKTTVTEPHHIWPTLTDEEWIKVEVQLKDLILADYGKKNNVNVASLTQSEIRDIILGMEISAPSQQRQQIAEIEKQTKEQSQLTATQTRTVNKHGDEIITSTTSNYETQTFSSKTEWRVRAISAANLHLRTNHIYVSSDDIKETGYTYILPKNVLKKFICISDLRAQIAGYLYGVSPPDNPQVKEIRCIVMVPQWGTHQTVHLPSQLPQHEYLKEMEPLGWIHTQPNESPQLSPQDVTTHAKIMADNPSWDGEKTIIITCSFTPGSCTLTAYKLTPSGYEWGRQNTDKGNNPKGYLPSHYERVQMLLSDRFLGFFMVPAQSSWNYNFMGVRHDPNMKYELQLANPKEFYHEVHRPSHFLNFALLQEGEVYSADREDLYA", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLNGLTRVSTSSELESILDIVQSSGEIAVVFISPSIGDLETITSETQRRQLRIAGIPRGGYTILPAIPLYDDELLQMCERYTAANDYEKAQIRDSLFMREYPLFAYSVRNHKALFHPADYVSRILQFCSYYVQAPDADVLSLLDRSPFLHISPIKEICTHIRLIARGTPLAPEDSESPAPEQLRFHAESDAEKLAAERAGAMSIATSSGGASETEQLSLFSGVVPSALFQKDAVEEVDKDTEETMVDLTGEETVDAVHSFQAEYLTLDGLELVTKAAIFYDREGEGQRIVAVYIPGGVPEDTCRAAAAVLEPAATKKNLRALTNGGLPPDTGLVGYYDYLTNPTRHKCRETEFSRRNWGLLAQSEPLLKHLDKLYSQLAPMHHHLQKVAIPSQYQLCGTVFSTITVNRNFRTAVHTDKGDFRSGLGVLSVINGEFEGCHLAIKKLKKAFQLKVGDVLLFDTSLEHGNTEVINPEIHWQRTSIVCYLRTGLMSSVCEMERRKHLNRLILQQLRNTEVLNTTVNINGADSSLPPLFVPTRLASHLAPVQLAALGFIVERTEKQSGCVVAMTMGLGKTLVALTLCFSQLHLAPQADILILTPKPIISHWVDEKNKWAMHGLHFPHFVASDGLNSLEFEQQLLEYERQKNNEKPKLGHVFVINGEYLAGFLRRFKRFTPLLIIVDEGHRVAAKGNKLTESLDRLRCNLRIVLSGTPLQNDASELYRLVGWVNKGVGRVLPPKRFQELANDINQFVEGDDGAFYNAVMAQEYIQDWMRGFVFREMENDLPPLHDYLLICGSSDVQREYEEKLGLTETTMTALKATEHRPHHLSTHPACYLAFISDSYQSMVSGWTVRAQANTSRMRVSQLEEIDTMRLEHYVQMVENEQLDTFIDLSGKMRVLVDIVLRVQARKEKLIIFSLYVGSQDLIHRTLTALRVCTFTVRGRDSQDRRRRAMQEFSENKDLIVLVLSTKIAAYGLDFTAANHVVLFDSWWNPQVDAQAIARAYRRNQRKPVTVYRLISATENKFVLSSQTRKIALFKCILHERTSRQALPDELEDCAANEKDEERRSFWAKLKTTLLAGGTRALLNVYRYQESVRESE", - "output": "Nucleus" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRHSKRTYCPDWDERDWDYGTWRSSSSHKRKKRSHSSAREQKRCRYDHSKTTDSYYLESRSINEKAYHSRRYVDEYRNDYMGYEPGHPYGEPGSRYQMHSSKSSGRSGRSSYKSKHRSRHHTSQHHSHGKSHRRKRSRSVEDDEEGHLICQSGDVLSARYEIVDTLGEGAFGKVVECIDHKVGGRRVAVKIVKNVDRYCEAAQSEIQVLEHLNTTDPHSTFRCVQMLEWFEHRGHICIVFELLGLSTYDFIKENSFLPFRMDHIRKMAYQICKSVNFLHSNKLTHTDLKPENILFVKSDYTEAYNPKMKRDERTIVNPDIKVVDFGSATYDDEHHSTLVSTRHYRAPEVILALGWSQPCDVWSIGCILIEYYLGFTVFPTHDSREHLAMMERILGPLPKHMIQKTRKRRYFHHDRLDWDEHSSAGRYVSRRCKPLKEFMLSQDAEHELLFDLIGKMLEYDPAKRITLKEALKHPFFYPLKKHT", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MALRDLSSSLSSSSSPELHVLAVDDSFVDRKVIERLLKISACKVTTVESGTRALQYLGLDGDNGSSGLKDLKVNLIVTDYSMPGLTGYELLKKIKESSALREIPVVIMSSENIQPRIEQCMIEGAEEFLLKPVKLADVKRLKELIMRGGEAEEGKTKKLSPKRILQNDIDSSPSSSSTSSSSSSHDVSSLDDDTPSSKRIKLESRG", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKTPNAQEAEGQQTRAAAGRATGSANMTKKKVSQKKQRGRPSSQPRRNIVGCRISHGWKEGDEPITQWKGTVLDQVPINPSLYLVKYDGIDCVYGLELHRDERVLSLKILSDRVASSHISDANLANTIIGKAVEHMFEGEHGSKDEWRGMVLAQAPIMKAWFYITYEKDPVLYMYQLLDDYKEGDLRIMPESSESPPTEREPGGVVDGLIGKHVEYTKEDGSKRIGMVIHQVEAKPSVYFIKFDDDFHIYVYDLVKKS", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEELSSVGEQVFAAECILSKRLRKGKLEYLVKWRGWSSKHNSWEPEENILDPRLLLAFQKKEHEKEVQNRKRGKRPRGRPRKLTAMSSCSRRSKLKEPDAPSKSKSSSSSSSSTSSSSSSDEEDDSDLDAKRGPRGRETHPVPQKKAQILVAKPELKDPIRKKRGRKPLPPEQKATRRPVSLAKVLKTARKDLGAPASKLPPPLSAPVAGLAALKAHAKEACGGPSAMATPENLASLMKGMASSPGRGGISWQSSIVHYMNRMTQSQAQAASRLALKAQATNKCGLGLDLKVRTQKGELGMSPPGSKIPKAPSGGAVEQKVGNTGGPPHTHGASRVPAGCPGPQPAPTQELSLQVLDLQSVKNGMPGVGLLARHATATKGVPATNPAPGKGTGSGLIGASGATMPTDTSKSEKLASRAVAPPTPASKRDCVKGSATPSGQESRTAPGEARKAATLPEMSAGEESSSSDSDPDSASPPSTGQNPSVSVQTSQDWKPTRSLIEHVFVTDVTANLITVTVKESPTSVGFFNLRHY", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSLARGHGDTAASTAAPLSEEGEVTSGLQALAVEDTGGPSASAGKAEDEGEGGREETEREGSGGEEAQGEVPSAGGEEPAEEDSEDWCVPCSDEEVELPADGQPWMPPPSEIQRLYELLAAHGTLELQAEILPRRPPTPEAQSEEERSDEEPEAKEEEEEKPHMPTEFDFDDEPVTPKDSLIDRRRTPGSSARSQKREARLDKVLSDMKRHKKLEEQILRTGRDLFSLDSEDPSPASPPLRSSGSSLFPRQRKY", - "output": "Nucleus" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVLINGIKYACERCIRGHRVTTCNHTDQPLMMIKPKGRPSTTCDYCKQLRKNKNANPEGVCTCGRLEKKKLAQKAKEEARAKAKEKQRKQCTCGTDEVCKYHAQKRHLRKSPSSSQKKGRSISRSQPMFERVLSSTSLDSNMLSGHGALSDTSSILTSTFLDSEPGVGKISKDYHHVPSLASISSLQSSQSLDQNFSIPQSPPLSSMSFNFLTGNINETNQNHSNHQHSKSGNNWQDSSVSLPAKADSRLNMMDKNNSVGLDLLGHSKRISPISNSRVGEVSVPLEEYIPSDIDGVGRVTDKSSLVYDWPFDESIERNFSTTATAATGESKFDINDNCNRINSKSYSKTNSMNGNGMNNSNNNNINSNGNDKNNNNSSRQEHQGNGLFDMFTDSSSISTLSRANLLLQEKIGSQENSVKQENYSKNPQLRHQLTSRSRSFIHHPANEYLKNTFGNSHSNDIGKGVEVLSLTPSFMDIPEKERETERSPSSNYITDRPFTRKPRSSSIDVNHRYPPMAPTTVATSPGALNNAVASNLDDQLSLTSLNSQPSSIANMMMDPSNLAEQSSIHSVPQSINSPRMPKTGSRQDKNIHTKKEERNPLNNIHDLSQLENVPDEMNQMFSPPLKSMNRPDAIRENSSSSNFIIQGNSMISTPSGRNDLPDTSPMSSIQTASPPSQLLTDQGFADLDNFMSSL", - "output": "Nucleus" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSLFGLGRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDANEWLAVNTVDFFNQVNLLYGTLTEFCTPDNCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIETQLDDETLFPQRLGAPFPQNFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTHEFGLIDKKELAPLQELIESIISPY", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNNGGPTEMYYQQHMQSAGQPQQPQTVTSGPMSHYPPAQPPLLQPGQPYSHGAPSPYQYGYANGMASPSGGPVPSNLPSNQPVLPLPGVGGQGAMPAHYSFDTTGQHPPPGMKPRVTATLWEDEGSLCFQVEARGICVARREDNHMINGTKLLNVAGMTRGRRDGILKSEKVRHVVKIGPMHLKGVWIPYERALDFANKEKITELLYPLFVHNIGALLYHPTNQTRTSQVMAAAERRKQDQGQMRTPPAGLPSIQHQPHNSMALPGPQSSLPSNNMARPPLDRAATFPTPPTTASSVMPNMGSTDNFNWQGQSMNGNQGTNAIAIDANLGHARSMPTTPATTPPGSMQPYGSAQSFDGSRQQMYNAPSQQSPYPASNGAHDRMYGQGNSYAKNDMGPPSSRPSGSAPSGEHEHKGSNGILPSEHGHQSHAGEEDGEHEQHDAEYTHDSGAYDSNRPSYNYTAPGVGSLAGDANNVDPSMTGSPNHPPASGRATPRTAAQPQPYYHNSGYGASPRVQQAPGFYNGVGGDRPAVNGGSGSDVYAPPADMANPMPNGYAPAPQVPNGVSGVKRGREGDDDLSRPVGDVPGMDMKRRKTLESSMPAPPFDSMSGRTAPTIGGDPRQR", - "output": "Nucleus" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MENSHPPHHHHQQPPPQPGPSGERRNHHWRSYKLMIDPALKKGHHKLYRYDGQHFSLAMSSNRPVEIVEDPRVVGIWTKNKELELSVPKFKIDEFYVGPVPPKQVTFAKLNDNIRENFLRDMCKKYGEVEEVEILYNPKTKKHLGIAKVVFATVRGAKDAVQHLHSTSVMGNIIHVELDTKGETRMRFYELLVTGRYTPQTLPVGELDAVSPIVNETLQLSDALKRLKDGGLSAGCGSGSSSVTPNSGGTPFSQDTAYSSCRLDTPNSYGQGTPLTPRLGTPFSQDSSYSSRQPTPSYLFSQDPAVTFKARRHESKFTDAYNRRHEHHYVHNSPAVTAVAGATAAFRGSSDLPFGAVGGTGGSSGPPFKAQPQDSATFAHTPPPAQATPAPGFKSAFSPYQTPVAHFPPPPEEPTATAAFGARDSGEFRRAPAPPPLPPAEPLAKEKPGTPPGPPPPDTNSMELGGRPTFGWSPEPCDSPGTPTLESSPAGPEKPHDSLDSRIEMLLKEQRTKLLFLREPDSDTELQMEGSPISSSSSQLSPLAPFGTNSQPGFRGPTPPSSRPSSTGLEDISPTPLPDSDEDEELDLGLGPRPPPEPGPPDPAGLLSQTAEVALDLVGDRTPTSEKMDEGQQSSGEDMEISDDEMPSAPITSADCPKPMVVTPGAAAVAAPSVLAPTLPLPPPPGFPPLPPPPPPPPPQPGFPMPPPLPPPPPPPPPAHPAVTVPPPPLPAPPGVPPPPILPPLPPFPPGLFPVMQVDMSHVLGGQWGGMPMSFQMQTQVLSRLMTGQGACPYPPFMAAAAAAASAGLQFVNLPPYRGPFSLSNSGPGRGQHWPPLPKFDPSVPPPGYMPRQEDPHKATVDGVLLVVLKELKAIMKRDLNRKMVEVVAFRAFDEWWDKKERMAKASLTPVKSGEHKDEDRPKPKDRIASCLLESWGKGEGLGYEGLGLGIGLRGAIRLPSFKVKRKEPPDTTSSGDQKRLRPSTSVDEEDEESERERDRDMADTPCELAKRDPKGVGVRRRPARPLELDSGGEEDEKESLSASSSSSASSSSGSSTTSPSSSASDKEEEQESTEEEEEAEEEEEEEVPRSQLSSSSTSSTSDKDDDDDDSDDRDESENDDEDTALSEASEKDEGDSDEEETVSIVTSKAEATSSSESSESSEFESSSESSPSSSEDEEEVVAREEEEEEEEEEMVAEESMASAGPEDFEQDGEEAALAPGAPAVDSLGMEEEVDIETEAVAPEERPSMLDEPPLPVGVEEPADSREPPEEPGLSQEGAMLLSPEPPAKEVEARPPLSPERAPEHDLEVEPEPPMMLPLPLQPPLPPPRPPRPPSPPPEPETTDASHPSVPPEPLAEDHPPHTPGLCGSLAKSQSTETVPATPGGEPPLSGGSSGLSLSSPQVPGSPFSYPAPSPSLSSGGLPRTPGRDFSFTPTFSEPSGPLLLPVCPLPTGRRDERSGPLASPVLLETGLPLPLPLPLPLPLALPAVLRAQARAPTPLPPLLPAPLASCPPPMKRKPGRPRRSPPSMLSLDGPLVRPPAGAALGRELLLLPGQPQTPVFPSTHDPRTVTLDFRNAGIPAPPPPLPPQPPPPPPPPPVEPTKLPFKELDNQWPSEAIPPGPRGRDEVTEEYMELAKSRGPWRRPPKKRHEDLVPPAGSPELSPPQPLFRPRSEFEEMTILYDIWNGGIDEEDIRFLCVTYERLLQQDNGMDWLNDTLWVYHPSTSLSSAKKKKRDDGIREHVTGCARSEGFYTIDKKDKLRYLNSSRASTDEPPADTQGMSIPAQPHASTRAGSERRSEQRRLLSSFTGSCDSDLLKFNQLKFRKKKLKFCKSHIHDWGLFAMEPIAADEMVIEYVGQNIRQVIADMREKRYEDEGIGSSYMFRVDHDTIIDATKCGNFARFINHSCNPNCYAKVITVESQKKIVIYSKQHINVNEEITYDYKFPIEDVKIPCLCGSENCRGTLN", - "output": "Nucleus" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSHIAVERNRRRQMNEHLKSLRSLTPCFYIKRGDQASIIGGVIEFIKELQQLVQVLESKKRRKTLNRPSFPYDHQTIEPSSLGAATTRVPFSRIENVMTTSTFKEVGACCNSPHANVEAKISGSNVVLRVVSRRIVGQLVKIISVLEKLSFQVLHLNISSMEETVLYFFVVKIGLECHLSLEELTLEVQKSFVSDEVIVSTN", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "METGMNRKRSRSKRANSNVGVEKDKEKEKSKGVSNVPNEVETESSSHEPSFKKDVDEEIPSLTAELSEEEEGEYSSESGRSTPELSPDDFEDADDEEEFEEIDAGYSSDSSTEDVAPGLYESPYDENLYINYDIDGKKITRPATPAALDSLIASIDKDKGWTGIVDPMTGKPVNLTTEELGLLKRLAQSEIPDENFDPYPDYDDFFTNTVRETPLSSAPEPKRRFAPSKHEQKRILQLAYAIRKGRILTSEQRAERERESQSNYADHDLWADDDQATVNQRKLDYAPAPKLPPPSHEESYNPPEEYLKQSSDFPKKYKSLRVVPAYSNLIKEKFERCLDLYLAPRVRRTKLNIDPESLLPKLPTPSELRPFPTRCTNVFIGHKGRVRCLSVHVSGNWLASGGDDGVLRIWEVMTGRCVWKCSLDSFGNAHNIDSDEDAVNESLSHSTKSSIIQSLAWGPLSDSPVLAVAVDETVYFITPPIFSDEQIEASKELFTSAPYQESSAIWRRGAKQSLQLHGGIVHATVSTPSSIKSLSWHRRGDYLATSSPTSSSQAVLIHQLSRGASQSPFSKSKGSVQAVTFHPTMPYLLVATQRYVRIYNLVKQELVKTLLTGVKWVSSLSVHSSGDHVIIGSYDKRLCWFDLDFSSKPYKNLRYHSRALRDVSYHPSLPLFCSGSDDGDVQVFHGRVYSDLLANPLIVPLKILRNHKVVDNVGVLSTCWHPKEAWLFSAGAGGEIRMWT", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLFSTVLSHRTLYILTCPNTLIHSYTHPHIHAYLAFTGFLTQLHHLEISCLLLLFFSLSSLLKLMADPDCIFRNGYVDYYNYSFNYATSLSRIYNSHDSFYYPHQTTNPNINENPNLTSPDSPPLREALPLLSLSPIHKHQEPTANHHEYYFMETTETSSNSNFLDQCQDSYRHDVTVDLHLGLPNLGDGGSSSSDVVLDSTDHQEGHHDHHQDQGLEVTMASDHDDEHGGLQRGNHLHHFWIPTPSQILMGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLKLPCYCCAPGCKNNIDHPRARPLKDFRTLQTHYKRKHGVRPFACRRCGKAFAVKGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHVKAFGNGHVPCCGIDHEEEEAASDVEQQE", - "output": "Nucleus" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MARTKQTARKSTSIKAPRKQLAAKAARKSAPISGGIKKPHKFRPGTVALREIRKYQKTTDLLIRKLPFQRLVRDIAMEMKSDIRFQSQAILALQEAAEAYLVGLFEDTNLCAIHARRVTIMTKDLHLARRIRGERF", - "output": "Nucleus" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDEFELFQQLNQTAPLVKTEEPEVPDEFQQANNNQSAPLRTGLSDLSHEIAAAKQREEEEAQRLADFMQKDMKEPAVKRKRGSEEYKKDPLESKAPLSTFGHSSRPRRSVNYASIERGDEAQAQSLVTDFGSRGNRKKPKRTRDELDENYMEENEGNSGRKKKPNAKGASRQFQVPGLPTYASQYSRPPKQEDVFKTIVPLAEDARAEGERVIGFRLDSQPAVRRASGGFRRFCAWLSDNQIFSIMQTVDKLCIVGANNEDHDEILLKSIRHVYNAMPPTFRRDWEYAARKDVFDSRLFVQNMPMPLSEISVTDPRHPPSPIARGTTVRPNCCENQPLFLNMCETIEHYLGHHDVVHLFGCDICYRVYPSRYELTKHDCKEFAEYLRQLTFKQQTLHLEAAYMYLCCSQCGLWLSVKPSGEGKKGWTYFATALMNHSCQPLVPVVAYFPKPLKDEGKGIRIQFQVMSELNIGLPLSCSECNIEEFHSVVEIEEHFKEKHEANHTCIKCGKTFGTEFMLKHHAQSHTTQTAQFANYLQMSATYQPPPSSGRLPYVGFGSSIPAIGGLTSGEVQALEASENKKSEFVEPDEYTIRKKLLRWKHAKTKKENRNITDSNEKEFSYEPGESSGEEDFQKSLLEQDNQSSSSSDSDSDSDDFTSSKQKKKRNIKIRGDLGYEHINRNKFFERPESEKEARKRIEKVYKKHVLLSRERLLDPEEALRILEESRMVHLNSIQSTLADDIAMSCIRTISLPASNCIDPLKDLLLVNKIFYFCTKCNYIFSKDPVVHCLSCEVTEDDLIEVYHAASGPHAGVRCIDPECKAHLCSVISLKTHLSDVHSKQATLELVSGELDNFSENRFDRSLMLMAKHFTQLQFDERTYLARFTDIECFMPFSGLLEAKDQPRPMPIRQQPQQIKPAYSLVRPDIIAPNELMRPYTLTPAIRPGQRIKPYKVPRTSRWYSCSWCDREYESLNQFVDHLTRFHTHPCPSCGKAFSSQNTRRTHVCSRLFAEIKGRGATLCGQCPSCPEIHQVERIFVHMLNRHFSTIEYVLATGELLPPARDVGIRYNHGENGGYGRSYESLRAIEQSVVDPRSPDYRLKQVKISALPIHGVELNRLPARDPPMGSFTVCPPKDKNIDPRLMCYMCELTFDSYDELTHHMDDHPEKWANCPFCAANTPTHFDLQKHLIQEHVVQISGQACCAFCQEHHRFMSSHILFRCKRVSRCTICGVKSNDPLANRVHIQRSHALTLRRFQCAYCIKVFVSVGEYYEHECASGGGRVYSCTCSPNKFFNSPIEFCDHFDSVHILRNKCQLCSYDAPSQDGMVKHRKTHMRSGCPKEQTKKLFILMKCLFPKHNSGYMRFIEGGPVPASYQDVDRSQMNYLMCNMGTVSPSCHKSYAQAPRTLLEALEGVASDSRSGGLQKVVNVTTRMNEPSSSDVIMLSDDEDDDCVVFEKAVPNGVAQGSSTSTPNPESSINCEVRVETSQAGYGGAQQPGYVDEDDTDLEVAQGGKSPYGEPVVKEVVDENGDDELAVVAEVENSTGTLPSSISAGREKKFKCQKCSLAFYTNGSLESHMRDHRQDAGAQLCTETYGIPVVTKASWLCRNCCVVFENQPKYQKHMAIHGDTCLTCIHCSGIAFNHTAIQNHMKSHEEKKVRYSCGTCLCTFASDLALFDHLSVAHGVSLYYFCKVCGFGSTSADSVFQHISIHNGHNYSLVQRFGACPAQLLNYDPTDELEFRSQILNKTIQLVSPSDCSHRSMLLQCETVVSCKTCHCTQAWFNYMAFNNHSEETGFPQFKNVDLANDYRRDFPLSRHLNERNALSMSQFGNAKHGSANHSHGQAQPNKRTFRHEVPYRTAAPRSSLQTNGSSMGSVTTNGGRVVRPSPPNSMNVTLRRAPPQQAPPRRIVIANSAPNNTNVLRNHVAVTTKCQFKDCDKVLHSEFDRQLHSMHSSNSSWFCRQCGHSPKSEIDLFLHYIQVHLKPAYDKHQSNSFKSNVFHLKCPIRSCTSPEFQSPKAFEKHMRTAHAAELPFEASCCDARFASKALCVKHDQEHASFLDSNGTDASCCPICGSLSMWSLPKDPHTDCLQSHIIRHGLDYRSSCRQCLKQFPADVNQDQVIAHILDTHGMSMHGNTFHCNLCTTGTKTVEEFAEHCRKAHVFHILVKSSHSTRGELVVTTGQEYENYVGLKSVTRASLNSISSQRASNAGETAQPSVLCAGSGNAALLTIAAAIGEPETSNNTAEVLTLD", - "output": "Nucleus" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLFLAFHAGSWGSWCCCCCVITADRPWDRGRRWQLEMADTPSVYETRFEAAVKVIQSLPKNGSFQPTNEMMLKFYSFYKQATEGPCKLSRPGFWDPIGRYKWDAWSSLGDMTKEEAMIAYVEEMKKIIETMPMTEKVEELLHVIGPFYEIVEDKKSSKSSDLTSDLGNVLTSSNAKAVNGKAESSDSGAESEEEEAQEELKGAEQSGSDDKKTLKKSADKNLEIIVTNGYKGSFVQDIQSDIHTDSSRSTRSSEDEKPGDESSQQTGHTIVCAHQDRNEDPSEDASGIHHLTSDSDSEVYCDSMEQFGQEEYYLGGDPTQHLESSGFCEDAQQSPGNGSIGKMWMVAVKGKGEVKHGGEDGRSSSGAPHRETRGGESEDFSSVRRGRGNRIPHLSEGPKGRQVGSGGDGERWGSDRGSRGSLNEQIALVLIRLQEDMQNVLQRLHKLETLTASQAKLSLQTSNQPSSQRPAWWPFEMSPGALAFAIIWPFIAQWLAHLYYQRRRRKLN", - "output": "Peroxisome" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASPGEPNRTAEDESQAAARRIERLSLHLTPIPLDDSQGVEMETCAAGKAKAKIEVDMGSLSLYMRGKHREIQERVFEYFNSRPELQTPVGISMADHRELCMKQLVGLVREAGIRPFRFVNEDPAKYFAIMEAVGSVDVSLAIKMGVQFSLWGGSVINLGTKKHRDRFFDGIDNVDYPGCFAMTELHHGSNVQGLQTTATFDPITDEFIINTPNDGAIKWWIGNAAVHGKFATVFAKLVLPTHDSRKTADMGVHAFIVPIRDLKSHKTLPGIEIHDCGHKVGLNGVDNGALRFRSVRIPRDNLLNRFGEVSRDGKYKSSLPSINKRFAATLGELVGGRVGLAYSSASVLKIASTIAIRYSLLRQQFGPPKQPEVSILDYQSQQHKLMPMLASTYAFHFSTMQLVEKYAQMKKTHDEELVGDVHALSAGLKAYVTSYTAKSLSTCREACGGHGYAVVNRFGTLRNDHDIFQTFEGDNTVLLQQVAAYLLKQYQEKFQGGTLAVTWNYLRESMNTYLSQPNPVTARWESADHLRDPKFQLDAFQYRTSRLLQSVAVRLRKHTKNLGSFGAWNRCLNHLLTLAESHIESVILAQFIESVQRCPNANTQATLKLVCDLYALDRIWNDIGTYRNVDYVAPNKAKAIHKLTEYLCFQVRNIAQELVDAFDLPDHVTRAPIAMKSNAYSQYTQYIGF", - "output": "Peroxisome" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTMESYKTLEIIRKNTDSSVFHLIINRPSHLNALSLDFFIEFPKALSSLDQNPDVSVIILSGAGKHFCSGIDLNSLSSISTQSSSGNDRGRSSEQLRRKIKSMQAAITAIEQCRKPVIAAIHGACIGGGVDLITACDIRYCSEDAFFSIKEVDLAIVADLGTLQRLPSIVGYANAMELALTARRFSGSEAKDLGLVSKVFGSKSELDNGVTTIAEGIGGKSPLAVTGTKAVLLRSREVSVEQGLDYVATWNSAMLISDDLNEAVSAQMMKRKPRFAKL", - "output": "Peroxisome" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAHIDRINILANHLSKEEEEENELLTSPVSADKKKETVTVTDNRNGKSYDFKIKNDTINALNFKELQLAKGDGGLMIYDPGFQNTAVVTSYITYIDGDKGILRYRGYPIEELAERSNFLEVAYLLINGNLPNKSQLDGWSNKIMTHTFLHENLVGLMKTFRYDAHPMGMLISTVAALGTFYPEANPALAGGDIFKSENVRNKQIYRIVGKLPTIAACAWRHRIGRPYNTPVNHLGYTENFLYMLDKLSEPDYKPNPVLCRALEILFILHADHELNCSTAAMRHISSSGTDPYTSVAGAAGALYGPSHGGANEAVLDMLIHIGSKENIPQFISDVKSKKKKLMGFGHRIYKNYDPRAKIIRRVAYEVFESLGKEPLIEVATELEKQALEDEYFVSRKLYPNVDFYSGLIYKAMGFPTDMFPVLFTIPRAVGWLAHWVEHLEDPETKIYRPRQVYKGEWFRNYVPIDGRPPAKVRSQDSYSSATTKRYSKVTSH", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGTTLDVSRAELALVVMYLNKAEARDKLCRAIQYGSKFLSGGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPKGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRSGIYKNKERAELLGRISLFCWMGSSVCTTLVEVGEMGRLSSSMKKIEKGLKNGNKYQDEDYRAKLKKSNERSLALIKSAMDIVVAAGLLQLAPTKITPRVTGAFGFITSIISCYQLLPTRPKIKTP", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSVSPIQIPSRLPLLLTHEGVLLPGSTMRTSVDSARNLQLVRSRLLKGTSLQSTILGVIPNTPDPASDAQDLPPLHRIGTAALAVQVVGSNWPKPHYTLLITGLCRFQIVQVLKEKPYPIAEVEQLDRLEEFPNTCKMREELGELSEQFYKYAVQLVEMLDMSVPAVAKLRRLLDSLPREALPDILTSIIRTSNKEKLQILDAVSLEERFKMTIPLLVRQIEGLKLLQKTRKPKQDDDKRVIAIRPIRRITHISGTLEDEDEDEDNDDIVMLEKKIRTSSMPEQAHKVCVKEIKRLKKMPQSMPEYALTRNYLELMVELPWNKSTTDRLDIRAARILLDNDHYAMEKLKKRVLEYLAVRQLKNNLKGPILCFVGPPGVGKTSVGRSVAKTLGREFHRIALGGVCDQSDIRGHRRTYVGSMPGRIINGLKTVGVNNPVFLLDEVDKLGKSLQGDPAAALLEVLDPEQNHNFTDHYLNVAFDLSQVLFIATANTTATIPAALLDRMEIIQVPGYTQEEKIEIAHRHLIPKQLEQHGLTPQQIQIPQVTTLDIITRYTREAGVRSLDRKLGAICRAVAVKVAEGQHKEAKLDRSDVTEREGCREHILEDEKPESISDTTDLALPPEMPILIDFHALKDILGPPMYEMEVSQRLSQPGVAIGLAWTPLGGEIMFVEASRMDGEGQLTLTGQLGDVMKESAHLAISWLRSNAKKYQLTNAFGSFDLLDNTDIHLHFPAGAVTKDGPSAGVTIVTCLASLFSGRLVRSDVAMTGEITLRGLVLPVGGIKDKVLAAHRAGLKQVIIPRRNEKDLEGIPGNVRQDLSFVTASCLDEVLNAAFDGGFTVKTRPGLLNSKL", - "output": "Peroxisome" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTPKIAIIGEGVIGCSTALQVAQAVPDARVTVLSDRPFEQTCSFGPAGLFRIDDIANREFGKSTFDWFAHLHRTEKGDKTGVKLLSGHIQSDSKERLEQQQKAYGDIVYNFRFLEKREILDLFPNPSEHCIHYTAFASEGNKYVPYLKFQCQARGVEFLHRKVRDLEELANEGYDVIVNCAGLSGGTLAGDDDSVYPIRGVVLDVEAHWHKHFNYKDFITFTIPKENSVVIGSVKQENRWDLEITDVDRKDILERYVALHPAMREPKILGEWSGLRPARKTIRIEKVEKKSEKSGKKYTVVHHYGHGGNGFTLGWGTAVEATKLVKSALNSSKL", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MFKIESIKSQSPQVAIDKERKATKFDINKMFEFLESGKDEAALTKSLMQQIERDTILKTNASYYDLTKDQHRELTAQKIARLASYIEKDAPFFENFQKRLNLIAIVDPQLGTRVGVHLGLFLSAIRGNGTEEQFKYWAFERGAAYLKDVYGCFGMTELAHGSNVAGLETTATFDQKTKEFEINTPHLGATKWWIGGAAHSANHCVVYARLIVSGKDYGVKTFVVPIRDRNHNLHSGVAIGDIGAKMGRDGIDNGWIQLTNVRIPMNYMRSKFTKVTQRQEIVEVPPLEQLAYGALLGGRVTMVTDSFRMAQRFITIALRYSVGRRQFGAKNSSEELKLIDYPLHQRRLLPYLALTYALSISSFDLSQTYDSVLSNLDAAGKSQDFSKLGQAIAGLKNLFCASASLKSTATWYVAQLIDECRQACGGHGYSSYSGFGKAYNDWVVQCTWEGDNNILASNAGRLLCNLLSSCKKKEKKIKGDLSYLNGISNIDKEAICWNKQSMTNLSNSNIDKELFCFNKQVCTVKLINAIQGTIIRLGVRVPNIGSKKSTWDDIAAQRVVLSKLNAVLYMLQHLVLKIKQLGDEEAHKQYLVQIAALFATSQIEMNFASYFLQFKAIDSLEPVADVVSELCLSVRDQVIGLTDSFQFSDYFINSALGSHSGDIYNTYFDTVNNLNNPQVRDGKAAYSEALEAMLRRDPLEVRECFEKSDKVLKKLAPKI", - "output": "Peroxisome" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAKPVSIEVYNPNGKYRVVSTKPMPGTRWINLLVDQGCRVEICHLKKTILSVEDIIDLIGDKCDGVIGQLTEDWGETLFSALSKAGGKAFSNMAVGYNNVDVEAANKYGIAVGNTPGVLTETTAELAASLSLAAARRIVEADEFMRGGLYEGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYFDLYQSTRLEKFVTAYGQFLKANGEQPVTWKRASSMEEVLREADLISLHPVLDKTTYHLVNKERLAMMKKEAILVNCSRGPVIDEAALVEHLKENPMFRVGLDVFEEEPFMKPGLADTKNAIVVPHIASASKWTREGMATLAALNVLGRVKGYPIWHDPNRVDPFLNENASPPNASPSIVNSKALGLPVSKL", - "output": "Peroxisome" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSESIDRVFVKAIGTIRTLSSRTGYGGLPRPPIENRVKLYGLYKQATEGDVAGVMERPLGDSPEAEAAKRKWDAWRSEQGTSKTEAKRQYISYLIDTMKQFASDTTEARELLSELEYLWNQISDVSPNDSSDSESNAGPAQLLQNHAQLLSRDISVVDDPITSSGMDPMYNPSFQRHNSSRFINASTAERLNSLSNYYSNLNPTPPLSSRRYQGSVTPRNVDFIKWQNDINNSINKLNHDLQLLANRRLQSSASDPLYSKRGSDLTHDDFVNDISSSSSNRRFRARRNQPLVSKVLLGTISLLLKLIKTVIKHVAIDAVIIAVLVAVIKRSIIIPNLISNEISLQKIHHSELESNSSIKGDSNGGRLTIVLPFINGKDFFQENSLLGKLLKVFHDYVDHVSRIRLIKRN", - "output": "Peroxisome" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEITNVMEYEKIAKEKLPKMVYDYYASGAEDQWTLQENRNAFSRILFRPRILIDVSKIDVSTTVLGFNISMPIMIAPTAMQKMAHPDGELATARATSAAGTIMTLSSWATCSVEEVASTGPGIRFFQLYVYKDRNVVIQLVKRAEEAGFKAIALTVDTPRLGRRESDIKNRFALPRGLTLKNFEGLDLGKIDKTNDSGLASYVAGQVDQSLSWKDIKWLQSITSLPILVKGVITAEDARIAVEYGAAGIIVSNHGARQLDYVPATIVALEEVVKAVEGRIPVFLDGGVRRGTDVFKALALGASGVFVGRPSLFSLAADGEAGVRKMLQMLRDEFELTMALSGCRSLREISRTHIKTDWDTPHYLSAKL", - "output": "Peroxisome" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASQPPPPPKPWETRRIPGAGPGPGPGPTFQSADLGPTLMTRPGQPALTRVPPPILPRPSQQTGSSSVNTFRPAYSSFSSGYGAYGNSFYGGYSPYSYGYNGLGYNRLRVDDLPPSRFVQQAEESSRGAFQSIESIVHAFASVSMMMDATFSAVYNSFRAVLDVANHFSRLKIHFTKVFSAFALVRTIRYLYRRLQRMLGLRRGSENEDLWAESEGTVACLGAEDRAATSAKSWPIFLFFAVILGGPYLIWKLLSTHSDEVTDSINWASGEDDHVVARAEYDFAAVSEEEISFRAGDMLNLALKEQQPKVRGWLLASLDGQTTGLIPANYVKILGKRKGRKTVESSKVSKQQQSFTNPTLTKGATVADSLDEQEAAFESVFVETNKVPVAPDSIGKDGEKQDL", - "output": "Peroxisome" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAHLQRTFPTEMSKGRASFPKGFLFGTASSSYQYEGAVNEGARGQSVWDHFSNRFPHRISDSSDGNVAVDFYHRYKEDIKRMKDINMDSFRLSIAWPRVLPYGKRDRGVSEEGIKFYNDVIDELLANEITPLVTIFHWDIPQDLEDEYGGFLSEQIIDDFRDYASLCFERFGDRVSLWCTMNEPWVYSVAGYDTGRKAPGRCSKYVNGASVAGMSGYEAYIVSHNMLLAHAEAVEVFRKCDHIKNGQIGIAHNPLWYEPYDPSDPDDVEGCNRAMDFMLGWHQHPTACGDYPETMKKSVGDRLPSFTPEQSKKLIGSCDYVGINYYSSLFVKSIKHVDPTQPTWRTDQGVDWMKTNIDGKQIAKQGGSEWSFTYPTGLRNILKYVKKTYGNPPILITENGYGEVAEQSQSLYMYNPSIDTERLEYIEGHIHAIHQAIHEDGVRVEGYYVWSLLDNFEWNSGYGVRYGLYYIDYKDGLRRYPKMSALWLKEFLRFDQEDDSSTSKKEEKKESYGKQLLHSVQDSQFVHSIKDSGALPAVLGSLFVVSATVGTSLFFKGANN", - "output": "Peroxisome" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MALNFKDKVVIVTGAGGGIGKVYALEFAKRGAKVVVNDLGGSHTGQGSSSKAADKVVEEIKAAGGTAVANYDSVEDGEKIVQTAMDSFGGVDILINNAGILRDVSFGKMTDGDWDLVYRVHAKGAYKLSRAAWNHMREKNFGRIIMTSSAAGLYGNFGQANYGSMKMALVGLSNTLAQEGKSKNIHCNTIAPIAASRLTESVMPPEILEQMKPDYIVPLVLYLCHQDTTETGGVFEVGAGWVSKVRLQRSAGVYMKDLTPEKIKDNWAQIESFDNPSYPTSASESVSGILAAVNSKPADGESVLVRPPKVAVPKALAATPSGSVVVDGYNASKIFTTIQGNIGAKGAELVKKINGIYLINIKKGTNTQAWALDLKNGSGSIVVGAGSTKPNVTITVSDEDFVDIMTGKLNAQSAFTKGKLKISGNMGLATKLGALMQGSKL", - "output": "Peroxisome" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAATKWRDIDDLPKIPANYTALTPLWFLDRAAVVHPTRKSVIHGSREYTWRQTYDRCRRLASALADRSIGPGSTVAIIAPNIPAMYEAHFGVPMCGAVLNCVNIRLNAPTVAFLLSHSQSSVIMVDQEFFTLAEDSLRLMEEKAGSSFKRPLLIVIGDHTCAPESLNRALSKGAIEYEDFLATGDPNYPWQPPADEWQSIALGYTSGTTASPKGVVLHHRGAYIMALSNPLIWGMQDGAVYLWTLPMFHCNGWCFPWSLAVLSGTSICLRQVTAKEVYSMIAKYKVTHFCAAPVVLNAIVNAPKEDTILPLPHTVHVMTAGAAPPPSVLFSMNQKGFRVAHTYGLSETYGPSTVCAWKPEWDSLPPETQAKLNARQGVRYTGMEQLDVIDTQTGKPVPADGKTAGEIVFRGNMVMKGYLKNPEANKETFAGGWFHSGDIAVKHPDNYIEIKDRSKDVIISGGENISSVEVENVVYHHPAVLEASVVARPDERWQESPCAFVTLKSDYEKHDQNKLAQDIMKFCREKLPAYWVPKSVVFGPLPKTATGKIQKHILRTKAKEMGPVPRSRL", - "output": "Peroxisome" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLTKTNDSRLIDRSSGFDQRTGIYHSLRPSLSLPPIDQPLSAAEFALSLLLKSSPPATAGKNIEALTYLVNSSSGDNLTYGELLRRVRSLAVSLRERFPSLASRNVAFILSPSSLDIPVLYLALMSIGVVVSPANPIGSESEVSHQVEVSEPVIAFATSQTVKKLQSSSLPLGTVLMDSTEFLSWLNRSDSSSVNPFQVQVNQSDPAAILFSSGTTGRVKGVLLTHRNLIASTAVSHQRTLQDPVNYDRVGLFSLPLFHVFGFMMMIRAISLGETLVLLGRFELEAMFKAVEKYKVTGMPVSPPLIVALVKSELTKKYDLRSLRSLGCGGAPLGKDIAERFKQKFPDVDIVQGYGLTESSGPAASTFGPEEMVKYGSVGRISENMEAKIVDPSTGESLPPGKTGELWLRGPVIMKGYVGNEKASAETVDKEGWLKTGDLCYFDSEDFLYIVDRLKELIKYKAYQVPPVELEQILHSNPDVIDAAVVPFPDEDAGEIPMAFIVRKPGSNLNEAQIIDFVAKQVTPYKKVRRVAFINAIPKNPAGKILRRELTKIAVDGNASKL", - "output": "Peroxisome" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASVNSRSGFCNSNSTFYSKRTPIPLPPNPSLDVTTFISSQAHRGRIAFIDASTGQNLTFTELWRAVESVADCLSEIGIRKGHVVLLLSPNSILFPVVCLSVMSLGAIITTTNPLNTSNEIAKQIKDSNPVLAFTTSQLLPKISAAAKKLPIVLMDEERVDSVGDVRRLVEMMKKEPSGNRVKERVDQDDTATLLYSSGTTGMSKGVISSHRNLIAMVQTIVNRFGSDDGEQRFICTVPMFHIYGLAAFATGLLAYGSTIIVLSKFEMHEMMSAIGKYQATSLPLVPPILVAMVNGADQIKAKYDLSSMHTVLCGGAPLSKEVTEGFAEKYPTVKILQGYGLTESTGIGASTDTVEESRRYGTAGKLSASMEGRIVDPVTGQILGPKQTGELWLKGPSIMKGYFSNEEATSSTLDSEGWLRTGDLCYIDEDGFIFVVDRLKELIKYKGYQVAPAELEALLLTHPEITDAAVIPFPDKEVGQFPMAYVVRKTGSSLSEKTIMEFVAKQVAPYKRIRKVAFVSSIPKNPSGKILRKDLIKIATSNSKL", - "output": "Peroxisome" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAQPPPDVEGDDCLPEYHHLFCPDLLQDKVAFITGGGSGIGFRIAEIFMRHGCHTVIVGRSLQKVTTAAKKLVAATGKRCLPLSMDVRVPPEVMTAVDQALQEFGKINILINCAAGNFLCPASALSFNAFKTVVDIDTIGTFNVSSVLYKKFFRDHGGVIVNITATLSMRGQVLQLHAGAAKAAVDAMTRHLAVEWGPQNIRVNSLAPGAISGTEGLRRLRGSNASSKLKHFSNPIPRLGTKTEIAHSVLYLASPLASYVSGIVLVVDGGSWMTFPNGIKQLLEFESFSAKL", - "output": "Peroxisome" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLPNTGRLAGCTVFITGASRGIGKAIALKAAKDGANIVIAAKTAQPHPKLLGTIYTAAEEIEAVGGKALPCIVDVRDEQQISAAVEKAIKKFGGIDILVNNASAISLTNTLDTPTKRLDLMMNVNTRGTYLASKACIPYLKKSKVAHILNISPPLNLNPVWFKQHCAYTIAKYGMSMYVLGMAEEFKGEIAVNALWPKTAIHTAAMDMLGGPGIESQCRKVDIIADAAYSIFQKPKSFTGNFVIDENILKEEGIENFDVYAIKPGHPLQPDFFLDEYPEAVSKKVESTGAVPEFKEEKLQLQPKPRSGAVEETFRIVKDSLSDDVVKATQAIYLFELSGEDGGTWFLDLKSKGGNVGYGEPSDQADVVMSMTTDDFVKMFSGKLKPTMAFMSGKLKIKGNMALAIKLEKLMNQMNARL", - "output": "Peroxisome" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTQQPPDVEEDDCLSEYHHLFCPDLLQDKVAFITGGGSGIGFRIAEIFMRHGCHTVIVSRSLPRVSEAAKKLVAATGKRCLPLSMDVRVPPAVMAAVDQALKEFGKIDILINCAAGNFLCPASALSFNAFKTVVDIDTLGTFNVSRVLYEKFFRDHGGVIVNITATLSMRGQVLQLHAGAAKAAVDAMTRHLAVEWGPQNIRVNSLAPGAISGTEGLRRLGGPKASSKFKYLSSPIPRLGTKTEIAHSVLYLASPLASYVSGIVLVVDGGSWMTLPNDIGRLLEFESSSAKL", - "output": "Peroxisome" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAVEKSNTTVGGVEILKPRTDNREYRMIVLKNLLQVLLISDPDTDKCAASMSVSVGSFSDPQGLEGLAHFLEHMLFYASEKYPEEDSYSKYITEHGGSTNAYTASEETNYHFDVNADCFDEALDRFAQFFIKPLMSADATMREIKAVDSENQKNLLSDGWRIRQLQKHLSKEDHPYHKFSTGNMDTLHVRPQAKGVDTRSELIKFYEEHYSANIMHLVVYGKESLDKIQDLVERMFQEIQNTNKVVPRFPGQPCTADHLQILVKAIPIKQGHKLGVSWPVTPSIHHYDEAPSQYLGHLIGHEGEGSLFHALKTLGWATGLSAGEGEWTLDYSFFKVSIDLTDAGHEHMQEILGLLFNYIQLLQQTGVCQWIFDELSAICETKFHYQDKIPPMSYIVDIASNMQIYPTKDWLVGSSLPTKFNPAIVQKVVDELSPSNFRIFWESQKFEGQTDKAEPWYNTAYSLEKITSSTIQEWVQSAPDVHLHLPAPNVFIPTDLSLKDADDKETVPVLLRKTPFSRLWYKPDTMFSKPKAYVKMDFNCPLAVSSPDAAVLTDIFTRLLMDYLNEYAYYAQVAGLYYGVSLSDNGFELTLLGYNHKLRILLETVVGKIANFEVKPDRFAVIKETVTKEYQNYKFRQPYHQAMYYCSLILQDQTWPWTEELDVLSHLEAEDVAKFVPMLLSRTFIECYIAGNVENNEAESMVKHIEDVLFNDPKPICRPLFPSQHLTNRVVKLGEGMKYFYHQDGSNPSDENSALVHYIQVHRDDFSMNIKLQLFGLVAKQATFHQLRTVEQLGYITALAQRNDSGIYGVQFIIQSSVKGPGHIDSRVESLLKNFESKLYEMSNEDFKSNVTALIDMKLEKHKNLKEESRFYWREIQSGTLKFNRKEAEVSALKQLQKQELIDFFDEYIKVGAARKKSLSIRVYGSQHLKEMASDKDEVPSPSVEIEDIVGFRKSQPLHGSFRGCGQPKL", - "output": "Peroxisome" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAALSKSIPHNCYEIGHTWNPSCRVSFLQITWGALEESLRIYAPLYLIAAVLRKRKLEYYLYKLLPEILQSASFLTANGALYITFFCVLRKILGKFYSWTPGFGAALPASYVAILIERKSRRGLLTIYMANLATETLFRMGVARGTITTLRNGEVLLFCITAAMYMFFFRCKDGLKGFTFSALRFIVGKEEIPTHSYSPETAYAKVEQKREKHRGTTRAMSLIALVRSLVDSICKHGPRHRCCKHYEDNCISYCIKGFIRMFSVGYLIQCCLRIPSAFRHLFTEPSRLLSLFYNKENFQLGAFLGSFVSIYKGTSCFLRWIRNLDDELHAIVAGFLAGVSMMFYKSTTISMYLASKLVETMYFKGIEAGKVPYFPQADTIIYSISTAICFHAAVMEVQNLRPSYWKFLLRLTKGRFALMNRKALDVFGTGASREFHNFIPRLDPRYTVVTPELPIDFS", - "output": "Peroxisome" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDAFTRFTNQTQGRDRLFRATQYTCMLLRYLLEPKAGKEKVVMKLKKLESSVSTGRKWFRLGNVVHAIQATEQSIHATDLVPRLCLTLANLNRVIYFICDTILWVRSVGLTSGINKEKWRTRAAHHYYYSLLLSLVRDLYEISLQMKRVTCDRAKKEKSASQDPLWFSVAEEETEWLQSFLLLLFRSLKQHPPLLLDTVKNLCDILNPLDQLGIYKSNPGIIGLGGLVSSIAGMITVAYPQMKLKTR", - "output": "Peroxisome" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVLSRGETKKNSVRLTAKQEKKPQSTFQTLKQSLKLSNNKKLKQDSTQHSNDTNKSVKAKKNGTSSKKTGTQRKRISTQRFSLFTYGNVQVMNSFVPIHNDIPNSSCIRRNSQVSANNVTESSGVFFNDTQSQDSQNTIKLKPTSLMAKGPIEIYQICTGFDKLKENIAPFQKSSKASSHDGHVVNYLSIGRHGDIVHPVLPKLQITRLNGAGFKYFISFYNPERYWEIEFLPLISQSQSELENSVKAFENVISKICQFSHINEGATIGNNESLSDKFKLPPTSDIEPPNTEIINNDDDNDDDDDNYDDDDLNYLLDEEYEQGCTDNSFSVISNTCSNLNASFLYPSDPTDAVSISINEAFKNAIRRTAPVLNIPIAAPSIHSKQQNKRYSSYPFIDSPPYLQDRHRRFQRRSISGLGDL", - "output": "Peroxisome" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTSDPVNTNISSPTLTDRNADESWELLKREFNTLFSNLKTDSKEEGNFTDNKGVIAKKPIVLQDNDDSDFTQNQGKVATATSTTSDRSFKRTLGSIEMKKRYVKKNCQAKFVFNTLEGKEVCSKILQHTLGLLSLLLLTRKIRLLNFSSKLRLVIQQLSLFRYYLRFGNFAINLYKIIKRFRWLREMKKLHYKDQSILFYFKNFRFFDIIEAFYNLTDELILFHKLQSMFGKKNTSHANTNRLMTFVKEQHYILWEVLNILAINKNIEQWRQLIRDEIYLSIYNTSGNAIKEYELKYKLPTNDKVNLELRKNNITLDFYKIILNLLSNLINIKGKRDKYNSELAYEIISVGSGVTELLKLWNRAKVTSANEHTSAV", - "output": "Peroxisome" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVAAIPQGAAISNTDSKNPPPRDRQDKPQLTANNGGLQRRPRAAKNVPSRYLSPSPSHSTTTTTTTATSTSTSSSSSVILRSSKRYPSPLLSRTTNSASNLVYTPSSLPKRSQSVDRRRPSAVSDTRTEMSAATKMLITSTRSLSVSFQGEAFSFPISKKKETATPVSHRKCTPERRRATPVRDQRENSKPVDQQLWPGASRRGSSESVVPNSLSRSVDSDSDDGRKLGSGFVGRSMLQHSQSSRVSGDGRLNLGFVGGDGMLEMRDENKARQSTHPRLASSVSCDFTASDTDSVSSGSTNGAHECGSGEVSKTRSLPRNGMASTKFWQETNSRLRRMQDPGSPQCSSPSSRISSISSKFSQSKRFSSDSPLTSSPRGMTSPIRGATRPASPSKLWATATSAPARTSSSPSRVRNGVSEQMNAYNRTLPSILCFSADIRRGKIGEDRVMDAHLLRLLYNRDLQWRFANARADSTLMVQRLSAEKILWNAWVSISELRHSVTLKRIKLLLMRQKLKLASILKEQMCYLEEWSLLDRNHSNSLSGATEALKASTLRLPVSGKAVVDIQDLKHAVSSAVDVMHAMVSSIFSLTSKVEEMNSVMAEMVNITGKEEVLLEQCQGFLTRVAAMQVTDCSMKTHIIQLSRL", - "output": "Peroxisome" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAIPEEFDILVLGGGSSGSCIAGRLANLDHSLKVGLIEAGENNLNNPWVYLPGIYPRNMKLDSKTASFYTSNPSPHLNGRRAIVPCANVLGGGSSINFMMYTRGSASDYDDFQAEGWKTKDLLPLMKKTETYQRACNNPDIHGFEGPIKVSFGNYTYPVCQDFLRASESQGIPYVDDLEDLVTAHGAEHWLKWINRDTGRRSDSAHAFVHSTMRNHDNLYLICNTKVDKIIVEDGRAAAVRTVPSKPLNPKKPSHKIYRARKQIVLSCGTISSPLVLQRSGFGDPIKLRAAGVKPLVNLPGVGRNFQDHYCFFSPYRIKPQYESFDDFVRGDAEIQKRVFDQWYANGTGPLATNGIEAGVKIRPTPEELSQMDESFQEGYREYFEDKPDKPVMHYSIIAGFFGDHTKIPPGKYMTMFHFLEYPFSRGSIHITSPDPYAAPDFDPGFMNDERDMAPMVWAYKKSRETARRMDHFAGEVTSHHPLFPYSSEARALEMDLETSNAYGGPLNLSAGLAHGSWTQPLKKPTAKNEGHVTSNQVELHPDIEYDEEDDKAIENYIREHTETTWHCLGTCSIGPREGSKIVKWGGVLDHRSNVYGVKGLKVGDLSVCPDNVGCNTYTTALLIGEKTATLVGEDLGYSGEALDMTVPQFKLGTYEKTGLARF", - "output": "Peroxisome" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASVNNYQVDCGSRSARIQPRINNGIHDEESLFEVLELSEEEFELDFHRLKSFNDVRVINNPDLSPECTNTAISRDETLESASSAFEVPSDEIAILSISSDSNKNSPPSEQPAPALRNIRSSSNSDRIDEWCLGSHLFNELHQNVPQSSDGVNHGFPVYSFKERELYTSAKLKKLTNAQRIAVQKLSRDLYPILRTCYREKTRRQLLTYHHERIFDDIPSFFPQRDFIFNYYSMPLEFDRLSDVDIDSSSRSRFTDESTGETLNRSPSAASSSLENTSWFGWTLLSRFLDREW", - "output": "Peroxisome" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MENRLRDTSRVVRSHAAPLNEVTQEDLKVERLHGRKYMNPSKKHVMREEFSDKIEHIMHDPRPQEGVHSELPVSISPLLCELAAPRQRIHFNPPETVVGIVTCGGICPGLNDVIRSLTLTAVNAYRVKRVIGFRFGYWGLSKKGSHTAMELYRTSVTSIHRYGGTILGSSRGPQDTSEMVDTLERLGVNILFTVGGDGTQRGALKIAEEAKRRGANLAVFGIPKTIDNDLSFSHRTFGFETAVDKAVEAVRAAYAEAISLNYGVGVVKLMGRDSGFIAAEAAVASAQANICLVPENPISEDIVMALIQRRFETSRSCVIIVAEGFGQDWEGGTGGHDASGNKKLTDIGVVLTKRIQAWLRKNKERYPNGTVKYIDPSYMIRACPPSANDALFCATLSTLAMHEAMAGATNCIIALRYNSYILVPIKVATSVRRVLDLRGQLWRQVREITVGLQDDVRAFKEAEVRRELEAISLVRERLIGQLSKL", - "output": "Peroxisome" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGVDLESVSEATSGAIGSLLSTTILYPLDTCKSKFQAEVRARGQQKYRYLSDVMWEAISKGQVFSLYQGLGTKNFQSFISQFIYFYSYSYFKRVHSERTGSKSIGTKANLLIAAAAGACTSVLIQPLDTASSRMQTSEFGESKGLWKTLTEGSWADAFDGLGISLLLTSNPAIQYTVFDQLKQHLLKQKNAKAENGSSPVVLSAFMAFVLGAVSKSVATVLTYPAIRCKVMIQAADESKENETKKPRRRTRKTIPGVVYAIWRKEGMLGFFKGLQAQILKTVLSSALLLMIKEKITATTWILILAIRRTLFLTNTKGKLRSP", - "output": "Peroxisome" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAEKSFKYIILGGGVSAGYAAKEFANQGVQPGELAVISKEAVAPYERPALSKGYLFPEGAARLPGFHCCVGSGGEKLLPESYKQKGIELILSTEIVKADLSAKSLVSATGDVFKYQTLIIATGSTVLRLTDFGVKGADSKNILYLREIDDADKLVEAIKAKKGGKAVVVGGGYIGLELSAVLRINNLDVTMVFPEPWCMPRLFTADIAAFYETYYTNKGVKIIKGTVASGFTAQPNGEVKEVQLKDGRTLEADIVIVGVGAKPLTSLFKGQVEEDKGGIKTDAFFKTSVPDVYAVGDVATFPLKMYGDVRRVEHVDHSRKSAEQAVKAIKAAEGGAAVEEYDYLPFFYSRSFDLSWQFYGDNVGDSVLFGDSNPSNPKPRFGAYWVQGGKVVGAFMEGGSGDENKALAKVAKARPSAESLDELVKQGISFAAKI", - "output": "Peroxisome" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTPTKPKVYIVGVGMTKFCKPGSVPGWDYPDMVKEAVTTALDDCKMKYSDIQQATVGYLFGGTCCGQRALYEVGLTGIPIFNVNNACASGSSGLFLGKQIIESGNSDVVLCAGFERMAPGSLENLAAPIDDRALSVDKHISVMSETYGLEPAPMTAQMFGNAAKEHMEKYGSKREHYAKIAYKNHLHSVHNPKSQFTKEFSLDQVINARKIYDFMGLLECSPTSDGAAAAVLVSEKFLEKNPRLKAQAVEIVGLKLGTDEPSVFAENSNIKMIGFDMIQKLAKQLWAETKLTPNDVQVIELHDCFAPNELITYEAIGLCPVGQGHHIVDRNDNTYGGKWVINPSGGLISKGHPIGATGVAQAVELSNQLRGKCGKRQVPNCKVAMQHNIGIGGAGVVGLYRLGFPGAAQSKI", - "output": "Peroxisome" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDAFIRVANQSQGRDRLFRATQHACMLLRYLLESKAGKEAVVTKLKNLETSVSTGRKWFRLGNVLHAIQATEQSIQATDLVPRLCLTLANLNRVVYYICDTVLWAKSVGLTSGINREKWQMRAARHYYYFLLLSLVRDLYEVLLHMGQVARDRAKREKSSGDPPKYSVANEESEWLQSFLLLLFQSLKRNPPLFLDTVKNFCDILIPLNQLGIYKSNLGVVGFGGLVSSVAGLITVVYPQLKLKAR", - "output": "Peroxisome" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGSNAKGRTVMEVGTDGVAIITIINPPVNSLSFDVLFSLRDSYEQALRRDDVKAIVVTGAKGKFSGGFDITAFGVLQGGKGEQPNVRNISIEMITDIFEAARKPAVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGIIPGFGGTQRLPRLVGLSKALEMMLTSKPIKGQEAHSLGLVDAIVPPEELINTARRWALEILERRRPWVHSLHRTDKLESLAEARKIFNLARAQAKKQYPNLKHTIACIDAVETGVVSGPRAGLWKEAEEFQGLLHSDTCKSLIHIFFAQRSTTKVPGVTDLGLVPRQIKKVAIVGGGLMGSGIATALILSNYHVVLKEVNDKFLQAGIDRVRANLQSRVKKGNMTNEKFEKSISLLKGVLNYESFKDVDMVIEAVIENVSLKQQIFSDLEKYCPPHCMLATNTSTIDLELIGERIKSRDRIIGAHFFSPAHIMPLLEIVRTKHTAAQVIVDLLDVGKNIKKTPVVVGNCTGFAVNRMFFPYSQAAILLAEHGVDPYQIDRAISKFGMPMGPFRLCDLVGFGVAAATASQFVQAFPERTYKSMLIPLMQEDKNAGESTRKGFYVYDKNRKAGPNPELKKYIEKARNSSGVSVDPKLTKLPEKDIVEMIFFPVVNEACRVLAEGIAVKAADLDIAGVMGMGFPSYRGGLMFWADSLGSNYIYSRLEEWSKQYGGFFKPCGYLAERAVQGATLSAPGGHAKPRM", - "output": "Peroxisome" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEFASPEQRRLETIRSHIDTSPTNDQSSSLFLNATASSASPFFKEDSYSVVLPEKLDTGKWNVYRSKRSPTKLVSRFPDHPEIGTLHDNFVHAVETYAENKYLGTRVRSDGTIGEYSWMTYGEAASERQAIGSGLLFHGVNQGDCVGLYFINRPEWLVVDHACAAYSFVSVPLYDTLGPDAVKFVVNHANLQAIFCVPQTLNILLSFLAEIPSIRLIVVVGGADEHLPSLPRGTGVTIVSYQKLLSQGRSSLHPFSPPKPEDIATICYTSGTTGTPKGVVLTHGNLIANVAGSSVEAEFFPSDVYISYLPLAHIYERANQIMGVYGGVAVGFYQGDVFKLMDDFAVLRPTIFCSVPRLYNRIYDGITSAVKSSGVVKKRLFEIAYNSKKQAIINGRTPSAFWDKLVFNKIKEKLGGRVRFMGSGASPLSPDVMDFLRICFGCSVREGYGMTETSCVISAMDDGDNLSGHVGSPNPACEVKLVDVPEMNYTSDDQPYPRGEICVRGPIIFKGYYKDEEQTREILDGDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYTKCRFVSQCFIHGDSFNSSLVAIVSVDPEVMKDWAASEGIKYEHLGQLCNDPRVRKTVLAEMDDLGREAQLRGFEFAKAVTLVPEPFTLENGLLTPTFKIKRPQAKAYFAEAISKMYAEIAASNPIPSKL", - "output": "Peroxisome" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTTLDLTRAELALIVLYLNKAEARDKICRAIQYGSKFLSGGQPGTAQTVDKNTSLARKVFRLFKFVNDFHGLISPVPKGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRSGIYKNKERTELLGRISLFCWLGSSVCTSAVEIGELGRLSSSMKKMEKELKADDELYRAKLQKSNDRTLALIKSSMDIIVAIGLLQLAPKTISPRVTGAFGFTTSLISCYQLLPSRPKLKTP", - "output": "Peroxisome" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MCSLEKRDRLFILKLTGDGEHRLNPTLLDSLRSTINQIRSDPSFSQSVLITTSDGKFFSNGYDLALAESNPSLSVVMDAKLRSLVADLISLPMPTIAAVTGHASAAGCILAMSHDYVLMRRDRGFLYMSELDIELIVPAWFMAVIRGKIGSPAARRDVMLTAAKVTADVGVKMGIVDSAYGSAAETVEAAIKLGEEIVQRGGDGHVYGKMRESLLREVLIHTIGEYESGSSVVRSTGSKL", - "output": "Peroxisome" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSYILAEALNFVRRGISHLNYWGASHSLSADNYWESNFQGFPRLLSDSSKAPSTIRTVQVLEDDVDDIAIQYNKIVRGPLDYLLAIPGKDIRSKLIDSFNIWLQLPEEKLSIVKDIINLLHTASLLIDDIQDASRLRRGKPVAHDVYGVAQTINSANYAYYLQQARLKEIGDPRAFEIFTRSLLDLHLGQGMDLYWRDMVVCPTEEEYTRMVMYKTGGLFNLALDLMRIQSRKNTDFSKLVELLGVIFQIRDDYMNLQSGLYAEKKGLMEDLTEGKFSYPIIHSIRASPESSELLDILKQRTEDEAVKIRAVKIMESTGSFQYTRETLSRLSAEARGYVKKLETSLGPNPGIHKILDLLEVEYPTNEKGRV", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGRQWGPSMRVAEQAGCVVSASRAGQPDAGSWSCSGVILSRNPGLVLCHGGIFTPFLRTGSAALTQTGTAFLPGDSCSDDLRLHVQWGPTAASPAGRADQELPGLCTPQCASLGLEPGAPSRARARPLQPPRPAQLLLLLSCPAFRSHFARLFGADAVDQWHFVSSAPDDAVSEEEEEDQLRALGWFALLRVQRGAAAEERRGPVVTVAPLGAVVKGAPLLACGSPFGAFCPDIFLNTLSRGVLSNAAGPLLLTDARCLPGTEGGGVFAARPAGALVALVAAPLCWKAREWVGLTLLCAAAPLLQVARWALARLHPGSASLSVLLPPPDVSTPRGLPLRDLGPPWAAAAVLVECGTVWGSGVVVAPRLVVTCRHVAPREAARVLVHSATPKNVAIWGQVVFATQETSPYDIAVVSLEEELNGVPTPVPAGHFHEGEPVSVVGFGVFGQACGPSVTSGILSAVVRVDGSPVMLQTTCAVHGGSSGGPLFSSGSGDLLGIVASNTRDNNTGATYPHLNFSIPITVLQPALKQYSQTGDLGGLRELDHTTEPVRVVWRLQRPLSEVPRSKL", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTTNSRPSALQAPGLQIFSMLKSSEEDGFMSSSLTLDSDNIIGVTENNRQEFYSTWRKPSLLSSRSVLHEYSPTIVGSNDCTFSPITVGKTTKFFNWDDIISRIFMQQPFGVTHQFFEEFRYSIITSHFLNDMNHYRLSLHLDQSIMNFHKSSTLLKNVPPKSVPFMATKYGKLAVAEDKKLYFRQNFNYLSMIITSYRVLTQLKKYCRKKNSPGLKRVVILILVAVYLSIQQEYFRRHLICYKTLLKVRKVLESLQQVDVMIHKYHLRFKEIKNHSFISRVSLISIADEHSSVIKELLVFSSDALFYKLKSIIPDIVIFSDTSELSKYCELYGIDVPNLYYNNTTTVKDLDGKLYRLKLLKKFMLCCLLSLDMTGNENLSNVNMRNALNKIFPDYMARVQLKKKYNPIGTFQNIVSLLRGLHSLLSTVLVSLNDHKQILYAFPEETSTNTGCERANVCSFSKNDKLFQALNYLKMIENNLLAIDIRNGITENDRNIIEDKLEELITFWKTSKICGNISRIQKVSPTNTINHGFHLDILKGRKSPRSSSVQGLSLERKVDFIDVAESVNDSFENDTELEEYEDYDCQEECSAGSRQNHRVDFIGKDSCRKPDFKQLSDNELRRKLDERILKLAQENREGRERLRTAKSFELLRKAQASMSVKFGFQKPLRDDAFLESRPLSKCKVSSEETIPFLYELKGLLGNDS", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MADRGIFLYPFRRFQEWSRSTALIDWMESNNSHIFKINVPGYNKEDIKVQIEEGNVLSIRGEGIKEEKKENLVWHVAEREAFSGGGSEFLRRIELPENVKVDQVKAYVENGVLTVVVPKDTSSKSSKVRNVNITSKL", - "output": "Peroxisome" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPNDPSDNQLKTYKETYPKPQVITTSNGAPIYSKTAVLTAGRRGPMLMQDVVYMDEMAHFDRERIPERVVHAKGAGAHGYFEVTHDISKYCKADIFNKVGKQTPLLIRFSTVGGESGSADTARDPRGFAIKFYTEEGNWDLVGNNTPIFFIRDPIHFPNFIHTQKRNPQTHLKDPNMIFDFWLHRPEALHQVMFLFSDRGLPDGYRHMNGYGSHTFKMVNKDGKAIYVKFHFKPTQGVKNLTVEKAGQLASSDPDYSIRDLFNAIEKGDFPVWKMFIQVMTFEQAEKWEFNPFDVTKVWPHGDYPLIEVGKMVLNRNPRNYFAEVEQSAFCPAHIVPGIEFSPDKMLQGRIFSYTDTHFHRLGPNYIQLPVNCPYRSRAHNTQRDGAMAYDNQQHAPNFFPNSFNYGKTRPDVKDTTFPATGDVDRYESGDDNNYDQPRQFWEKVLDTGARERMCQNFAGPLGECHDFIIKGMIDHFSKVHPDFGARVKALIQKQARSHI", - "output": "Peroxisome" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSTLETTRAELGLVVVYLNKAEARDKICRAIQYGSKFLSDGQPGTAQNVDKNTSLARKVFRLFKFVNDLHALISPVPKGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRTGIYKDKERAEILGRISLFCWMGSSVCTSLVEVGELGRLSASIKKLEKEIGNKDKHQNEQYRAKVEKSNERSLALIKAGMDVVVAFGLLQLAPKKVTPRVTGAFGFASSLISCYQLLPSHPKSKMV", - "output": "Peroxisome" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MQLRHIGDSVNHRVIQEHLAQEVGDVLAPFVALVFVRGQVLLRFFWNNHLL", - "output": "Peroxisome" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MFATPLRQPAAANHQTPKNSAGMDEHGKPYQYEITDHGYGKDAVKVLHVSRNGPVHAIQEFEVGTHLKLYSKKDYYQGNNSDIVATDSQKNTVYLLAKKHGIESPEKFALLLARHFINKYSHVEEAHVHVEAYPWQRVCQEETRTNVNGKCENGVQGNCDFSSIDNRSLHNHAFIFTPTALHYCDVVIRRTDPKQTVITGIKGLRVLKTTQSSFVNFVNDEFRSLPDQYDRIFSTVVDCSWEYSDTENLDFLRAWQTVKNIIIRNFAGDPQVGVSSPSVQHTLYLSERQVLDVLPQVSVISMTMPNKHYFNFDTKPFQKIAPGDNNEVFIPVDKPHGTIYAQLARKNINSHL", - "output": "Peroxisome" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDAWVRFSAQSQARERLCRAAQYACSLLGHALQRHGASPELQKQIRQLESHLSLGRKLLRLGNSADALESAKRAVHLSDVVLRFCITVSHLNRALYFACDNVLWAGKSGLAPRVDQEKWAQRSFRYYLFSLIMNLSRDAYEIRLLMEQESSACSRRLKGSGGGVPGGSETGGLGGPGTPGGGLPQLALKLRLQVLLLARVLRGHPPLLLDVVRNACDLFIPLDKLGLWRCGPGIVGLCGLVSSILSILTLIYPWLRLKP", - "output": "Peroxisome" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MCTLEKRGDLFLLTLTGDGEHRFHPDTIATILSLLEQAKSQSTRGSILITTANGKFFSNGFDLAWAQTAGSKTGAANRLHQMVESFKPVVAALLDLPMPTIAALNGHAAAAGLILALSHDYVFMRKDRGVLYMSEVDIGLSMPDYFSALVRAKIGTSAARRELLLSGKKIRGEEAVGLGIVDSAAYDSEEGVVVASVRLGEKLAAKKWSGEVYASIRKSLYPELCGILGLETRVFATPKL", - "output": "Peroxisome" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEAPRGNLRAVALIAGDNNVRGCLQFVQDISGTTHVTGKISGLSPGFHGFHIHSFGDTTNGCISTGPHFNPLNRVHGPPNEEERHAGDLGNILAGSNGVAEILIKDKHIPLSGQYSILGRAVVVHADPDDLGKGGHKLSKSTGNAGSRVGCGIIGLQSSADAKL", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRRQWGSAMRAAEQAGCMVSASRAGQPEAGPWSCSGVILSRSPGLVLCHGGIFVPFLRAGSEVLTAAGAVFLPGDSCRDDLRLHVQWAPTAAGPGGGAERGRPGLCTPQCASLEPGPPAPSRGRPLQPRLPAELLLLLSCPAFWAHFARLFGDEAAEQWRFSSAARDDEVSEDEEADQLRALGWFALLGVRLGQEEVEEERGPAMAVSPLGAVPKGAPLLVCGSPFGAFCPDIFLNTLSCGVLSNVAGPLLLTDARCLPGTEGGGVFTARPAGALVALVVAPLCWKAGEWVGFTLLCAAAPLFRAARDALHRLPHSTAALAALLPPEVGVPWGLPLRDSGPLWAAAAVLVECGTVWGSGVAVAPRLVVTCRHVSPREAARVLVRSTTPKSVAIWGRVVFATQETCPYDIAVVSLEEDLDDVPIPVPAEHFHEGEAVSVVGFGVFGQSCGPSVTSGILSAVVQVNGTPVMLQTTCAVHSGSSGGPLFSNHSGNLLGIITSNTRDNNTGATYPHLNFSIPITVLQPALQQYSQTQDLGGLRELDRAAEPVRVVWRLQRPLAEAPRSKL", - "output": "Peroxisome" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGSSPPKKTTLQRYLSQLQQHPLRTKAITAGVLSGVSDVVSQKLSGIQKIQLRRVLLKVIFAGGFLGPAGHFFHTYLDKFFKGKKDTQTVAKKVILEQLTLSPLNHLLFMIYYGVVIERTPWTLVRERIKKTYPTVQLTAWTFFPVVGWINYKYVPLHFRVILHSLVAFFWGIFLTLRARSMTLALAKAK", - "output": "Peroxisome" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAPIVDAEYLKEITKARRELRSLIANKNCAPIMLRLAWHDAGTYDAQSKTGGPNGSIRNEEEHTHGANSGLKIALDLCEGVKAKHPKITYADLYQLAGVVAVEVTGGPDIVFVPGRKDSNVCPKEGRLPDAKQGFQHLRDVFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTQEPLKFDNSYFVELLKGESEGLLKLPTDKTLLEDPEFRRLVELYAKDEDAFFRDYAESHKKLSELGFNPNSSAGKAVADSTILAQSAFGVAVAAAVVAFGYFYEIRKRMK", - "output": "Peroxisome" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEKKLPRRLEGKVAIVTASTQGIGFGITERFGLEGASVVVSSRKQANVDEAVAKLKSKGIDAYGIVCHVSNAQHRRNLVEKTVQKYGKIDIVVCNAAANPSTDPILSSKEAVLDKLWEINVKSSILLLQDMAPHLEKGSSVIFITSIAGFSPQGAMAMYGVTKTALLGLTKALAAEMAPDTRVNAVAPGFVPTHFASFITGSSEVREGIEEKTLLNRLGTTGDMAAAAAFLASDDSSYITGETLVVAGGMPSRL", - "output": "Peroxisome" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGNTCVGPSRNGFLQSVSAAMWRPRDGDDSASMSNGDIASEAVSGELRSRLSDEVQNKPPEQVTMPKPGTDVETKDREIRTESKPETLEEISLESKPETKQETKSETKPESKPDPPAKPKKPKHMKRVSSAGLRTESVLQRKTENFKEFYSLGRKLGQGQFGTTFLCVEKTTGKEFACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDVVAVHLVMECCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEACHSLGVMHRDLKPENFLFVSKHEDSLLKTIDFGLSMFFKPDDVFTDVVGSPYYVAPEVLRKRYGPEADVWSAGVIVYILLSGVPPFWAETEQGIFEQVLHGDLDFSSDPWPSISESAKDLVRKMLVRDPKKRLTAHQVLCHPWVQVDGVAPDKPLDSAVLSRMKQFSAMNKFKKMALRVIAESLSEEEIAGLKEMFNMIDADKSGQITFEELKAGLKRVGANLKESEILDLMQAADVDNSGTIDYKEFIAATLHLNKIEREDHLFAAFTYFDKDGSGYITPDELQQACEEFGVEDVRIEELMRDVDQDNDGRIDYNEFVAMMQKGSITGGPVKMGLEKSFSIALKL", - "output": "Peroxisome" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSESNSAEGSDRSEEQVSGAKVIAQALKTQDVEYMFGVVGIPVTEIALAAQELGIKYIGMRNEQAACYAASAVGYLTGRPGVCLVVSGPGLIHALGGMANANMNCWPLIVIGGSSERNQEAMGAFQEFPQVEACRLYSKFSARPSSIQHIPFVIEKAVRSSIYGRPGACYIDVPADLVTLQESTTSIKYKECCMPPPLSLAETSAVRAAASVLRSAKQPLLIIGKGAAYSHAEDSIRKLVELCNLPFLPTPMGKGVVPDNHPNCVGAARSRALQFADVIVLFGARLNWILHFGLPPRYQADVKFIQIDICAEELGNNVRPSVTLLGDVNAVSKQLLEQFVKNPWQYPTDSKWWETLREKRKNNEAVSKELASKKSLPMNYYTVFYHVQEQLPRNCFIVSEGANTMDIGRTVLQNYLPRHRLDAGSFGTMGVGLGFAIAAAVVAKERSPGQRVICVEGDSAFGFSGMEVETICRYNLPIIILVVNNNGIYQGFDADTWGKILNFQGTATTIPPMCLLPNSHYEQVMTAFGGKGYFVQTPEELQDSLRQALKDTSKPCLINIMIEPQSTRKAQDFHWLTRSNI", - "output": "Peroxisome" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MMEPPQTRLMINVFIVSFLALLVNLVVGVLGADNYSRDDFPLDFVFGSGTSAYQVEGAANKDGRTPSIWDTFAYAGYAHGENGDVACDGYHKYKEDVQLMLETGLDAYRFSISWSRLLPNGRGPVNPKGLQYSNNLINELISNGIQPHATLYNFDLPQVLEDEYGGWISRDIIRDFTYYAEVEFREFGDRVLYWTTVNEPNVFALGGYDQGNSPPRRCSPPFCATNDTMGNSTYEPYLAVHHILLSHSSAARLYWRKYRDKQHGFVGISIYTFGIFPQTNTEKDRVASQRARDFFVGWIMEPLQYGDYPISMKTNAGERIPAFTNHESKQVKGSFDFIGVIHYTNLNVSDNSDALKNQLRDFTADMAANIFGEDLFSNEEYLITPWGLRQELNKFKLLYGNPPIFIHENGQRTASNSSLQDVDKGEILHGYIGSVLDALRDASNIKGYFRMAFPGFVRVARWIQVSFGLYYVDRDDPQLKKIPKLFCKNGTTGFLKGRRTSILDLFELEQDPITCSKSPIIFSKISKWVLASLLFLIQHKIKFMWREPLPGQIPLKLVMF", - "output": "Peroxisome" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAANNMVNPAVDPALEDELFAKEVEEVKKWWSDSRWRQTKRPFTAEQIVSKRGNLKIEYASNAQAKKLWKILEDRFAKRDASYTYGCLEPTMVTQMAKYLDTVYVSGWQSSSTASSSDEPGPDLADYPYTTVPNKVGHLFMAQLFHDRKQRQERLSVPKDQREKLANIDYLRPIVADADTGHGGLTAVMKLTKLFIEKGAAGIHIEDQAPGTKKCGHMAGKVLVPIQEHINRLVAIRAQADIMGSDLLCIARTDAEAATLITTTIDPRDHAFILGCTNPDLEPLADLMMKAEAEGKTGAQLQAIEDDWLAKADLKRFDEAVLDVIAKGKFSNAKDLAAKYQAAVKGKQISNREARAIARQLLGQEIFFDWESPRTREGYYRLKGGCDCSINRAISYAPYCDAIWMESKLPDYAQAEEFAKGVHAVWPEQKLAYNLSPSFNWKTAMGRDDQETYIRRLAKLGYCWQFITLAGLHTTALISDQFAKAYSKIGMRAYGELVQEPEIDNGVDVVKHQKWSGATYVDELQKMVTGGVSSTAAMGKGVTEDQFH", - "output": "Peroxisome" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAKLTAVPLSALVDEPVHIRVTGLTPFQVVCLQASLKDDKGNLFNSQAFYRASEVGEVDLERDSSLGGDYMGVHPMGLFWSMKPEKLLTRLVKRDVMNRPHKVHIKLCHPYFPVEGKVISSSLDSLILERWYVAPGVTRIHVKEGRIRGALFLPPGEGPFPGVIDLFGGAGGLFEFRASLLASHGFATLALAYWGYDDLPSRLEKVDLEYFEEGVEFLLRHPKVLGPGVGILSVCIGAEIGLSMAINLKQITATVLINGPNFVSSNPHVYRGKVFQPTPCSEEFVTTNALGLVEFYRTFEETADKDSKYCFPIEKAHGHFLFVVGEDDKNLNSKVHAKQAIAQLMKSGKKNWTLLSYPGAGHLIEPPYSPLCSASRMPFVIPSINWGGEVIPHAAAQEHSWKEIQKFLKQHLNPGFNSQL", - "output": "Peroxisome" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAASFSVPSMIMEEEGRFEAEVAEVQTWWSSERFKLTRRPYTARDVVALRGHLKQGYASNEMAKKLWRTLKSHQANGTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSREERTKTPFVDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVSEHINRLVAARLQFDVMGTETVLVARTDAVAATLIQSNIDARDHQFILGATNPSLRGKSLSSLLAEGMTVGKNGPALQSIEDQWLGSAGLMTFSEAVVQAIKRMNLNENEKNQRLSEWLTHARYENCLSNEQGRVLAAKLGVTDLFWDWDLPRTREGFYRFQGSVAAAVVRGWAFAQIADIIWMETASPDLNECTQFAEGIKSKTPEVMLAYNLSPSFNWDASGMTDQQMVEFIPRIARLGYCWQFITLAGFHADALVVDTFAKDYARRGMLAYVERIQREERTHGVDTLAHQKWSGANYYDRYLKTVQGGISSTAAMGKGVTEEQFKESWTRPGADGMGEGTSLVVAKSRM", - "output": "Peroxisome" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEDAKNIKKGPAPFYPLEDGTAGEQLHKAMKRYALVPGTIAFTDAHIEVNITYAEYFEMSVRLAEAMKRYGLNTNHRIVVCSENSLQFFMPVLGALFIGVAVAPANDIYNERELLNSMNISQPTVVFVSKKGLQKILNVQKKLPIIQKIIIMDSKTDYQGFQSMYTFVTSHLPPGFNEYDFVPESFDRDKTIALIMNSSGSTGLPKGVALPHRTACVRFSHARDPIFGNQIIPDTAILSVVPFHHGFGMFTTLGYLICGFRVVLMYRFEEELFLRSLQDYKIQSALLVPTLFSFFAKSTLIDKYDLSNLHEIASGGAPLSKEVGEAVAKRFHLPGIRQGYGLTETTSAILITPEGDDKPGAVGKVVPFFEAKVVDLDTGKTLGVNQRGELCVRGPMIMSGYVNNPEATNALIDKDGWLHSGDIAYWDEDEHFFIVDRLKSLIKYKGYQVAPAELESILLQHPNIFDAGVAGLPDDDAGELPAAVVVLEHGKTMTEKEIVDYVASQVTTAKKLRGGVVFVDEVPKGLTGKLDARKIREILIKAKKGGKSKL", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRLNGDSGPGQDEPGSSGFHGGIRRFPLAAQPEIMRAAEKDDQYASFIHEACRDAFRHLFGTRIALAYQKEMKLLGQMLYYVLTTGSGQQTLGEEYCDIIQVAGPYGLSPTPARRALFILYQTAVPYIAERISTRAATQAVTFDESDEFFGDSHIHSPRMIDLPSSSQVETSTSVVSRLNDRLMRSWHRAIQRWPVVLPVAREVLQLVLRANLMLFYFEGFYYHISKRASGVRYVFIGKQLNQRPRYQILGVFLLIQLCILAAEGLRRSNLSSITSSIQQASIGSYQTSGGRGLPVLNEEGNLITSEAEKGNWSTSDSTSTEAVGKCTLCLSTRQHPTATPCGHVFCWSCIMEWCNEKQECPLCRTPNTHSSLVCLYHSDF", - "output": "Peroxisome" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEITNVTEYDAIAKQKLPKMVYDYYASGAEDQWTLQENRNAFARILFRPRILIDVSKIDMTTTVLGFKISMPIMVAPTAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKNRNVVEQLVRRAERAGFKAIALTVDTPRLGRRESDIKNRFTLPPNLTLKNFEGLDLGKMDEANDSGLASYVAGQIDRTLSWKDVQWLQTITKLPILVKGVLTGEDARIAIQAGAAGIIVSNHGARQLDYVPATISALEEVVKATQGRIPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLRDEFELTMALSGCRSLKEISRNHITTEWDTPRPSARL", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASSEQAEQPSQPSSTPGSENVLPREPLIATAVKFLQNSRVRQSPLATRRAFLKKKGLTDEEIDMAFQQSGTAADEPSSLGPATQVVPVQPPHLISQPYSPAGSRWRDYGALAIIMAGIAFGFHQLYKKYLLPLILGGREDRKQLERMEAGLSELSGSVAQTVTQLQTTLASVQELLIQQQQKIQELAHELAAAKATTSTNWILESQNINELKSEINSLKGLLLNRRQFPPSPSAPKIPSWQIPVKSPSPSSPAAVNHHSSSDISPVSNESTSSSPGKEGHSPEGSTVTYHLLGPQEEGEGVVDVKGQVRMEVQGEEEKREDKEDEEDEEDDDVSHVDEEDCLGVQREDRRGGDGQINEQVEKLRRPEGASNESERD", - "output": "Peroxisome" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSQEIRQNEKISYRIEGPFFIIHLMNPDNLNALEGEDYIYLGELLELADRNRDVYFTIIQSSGRFFSSGADFKGIAKAQGDDTNKYPSETSKWVSNFVARNVYVTDAFIKHSKVLICCLNGPAIGLSAALVALCDIVYSINDKVYLLYPFANLGLITEGGTTVSLPLKFGTNTTYECLMFNKPFKYDIMCENGFISKNFNMPSSNAEAFNAKVLEELREKVKGLYLPSCLGMKKLLKSNHIDAFNKANSVEVNESLKYWVDGEPLKRFRQLGSKQRKHRL", - "output": "Peroxisome" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSATLILEPPGRCCWNEPVRIAVRGLAPEQRVTLRASLRDEKGALFRAHARYCADARGELDLERAPALGGSFAGLEPMGLLWALEPEKPFWRFLKRDVQIPFVVELEVLDGHDPEPGRLLCQAQHERHFLPPGVRRQSVRAGRVRATLFLPPGPGPFPGIIDIFGIGGGLLEYRASLLAGHGFATLALAYYNFEDLPNNMDNISLEYFEEAVCYMLQHPQVKGPGIGLLGISLGADICLSMASFLKNVSATVSINGSGISGNTAINYKHSSIPPLGYDLRRIKVAFSGLVDIVDIRNALVGGYKNPSMIPIEKAQGPILLIVGQDDHNWRSELYAQTVSERLQAHGKEKPQIICYPGTGHYIEPPYFPLCPASLHRLLNKHVIWGGEPRAHSKAQEDAWKQILAFFCKHLGGTQKTAVPKL", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGRAFVYVILGGGVAAGYAALEFTRRGVSDGELCIISEEPVAPYERPALSKGFLLPEAPARLPSFHTCVGANDEKLTPKWYKDHGIELVLGTRVKSVDVRRKTLLSSTGETISYKFLIIATGARALKLEEFGVEGSDAENVCYLRDLADANRLATVIQSSSNGNAVVIGGGYIGMECAASLVINKINVTMVFPEAHCMARLFTPKIASLYEDYYRAKGVKFIKGTVLTSFEFDSNKKVTAVNLKDGSHLPADLVVVGIGIRPNTSLFEGQLTIEKGGIKVNSRMQSSDSSVYAIGDVATFPVKLFGEMRRLEHVDSARKSARHAVSAIMDPIKTGDFDYLPFFYSRVFAFSWQFYGDPTGDVVHFGEYEDGKSFGAYWVKKGHLVGSFLEGGTKEEYETISKATQLKPAVTIDLEELEREGLGFAHTVVSQQKVPEVKDIPSAEMVKQSASVVMIKKPLYVWHAATGVVVAASVAAFAFWYGRRRRRW", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSDALINGLAGAGGGIIAQLLTYPLQTVNTRQQTERDLKREKRKLGTIEHMCQVVKQEGWERLYGGLAPSLAGTAASQGVYYYFYQVFRNRAEATALARKKKGLGDGSVGMFASLLVAAFAGSVNVLMTNPIWVIVTRMQTHRKMTKDQTAAPESPSSNAEALVAVEPRPYGTFNTIREVYDEAGITGFWKGVIPTLIMVSNPSMQFMLYETMLTKLKKKRALKGSNNVTALETFLLGAVAKLGATVTTYPLLVVKSRLQAKQVTTGDKRQQYKGTLDAILKMIRYEGLYGFYKGMSTKIVQSVLAAAVLFMIKEELVKGAKLLLSNATSS", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKLKVYADRMSQPSRAVLIFCKVNEIQFDEILISLGKRQQLSPEFKEINPMGKVPAIVDGRLKLFESHAILIYLSSAYASVVDHWYPNDLSKRAKIHSVLDWHHTNLRPGASGYVLNSVLAPALGLPLNPKAAAEAENILTNSLSTLETFWLKGSAKFLLGGKQPSIADLSLVCELMQLQVLDDKDRLRLLSPHKKVEQWIESTRKATMPHSDEVHEVLFRAKDRFQKQREMATASKPGPQSKIIQFSSIGGTSDGPNLVQDTTDRKARRRKWSPPDDVILISAWLNTSKDRKVVVYDEQQAHTFWKRIGAHVSNSASLANLPKREWNHCRQRWRKINDYVCKFVGCYDQALNQRASGQSEDDVFQVAYQLYYNNYMSNFKLEHAWRELRHNKKWCSTYTSENSKGGGSSKRTKLNGGGVYSSSCNPESVPIALDGEEQVMDRPLGVKSSKQKEKKVATKTMLEEREADSRSRLENLWVLDEEEQVMDLPLGVKSSKQKERKVATKTMIEEREAANFRSRLGNLWLLKEKEEREADSRSRLENLWALKEKDIEEQKKLTRMEVLKSLLGRRTGETSEKEETLKNKLIDEML", - "output": "Peroxisome" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNTANTLDGKFVTEGSWRPDLFKGKVAFVTGGAGTICRVQTEALVLLGCKAAIVGRDQERTEQAAKGISQLAKDKDAVLAIANVDVRNFEQVENAVKKTVEKFGKIDFVIAGAAGNFVCDFANLSPNAFKSVVDIDLLGSFNTAKACLKELKKSKGSILFVSATFHYYGVPFQGHVGAAKAGIDALAKNLAVELGPLGIRSNCIAPGAIDNTEGLKRLAGKKYKEKALAKIPLQRLGSTRDIAESTVYIFSPAASYVTGTVLVVDGGMWHLGTYFGHELYPEALIKSMTSKL", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEISERVRARLAVLSGHLSEGKQDSPAIERWCTSADTSVAPLGSLKGTLTIVDERTGKNYKVPVSDDGTVKAVDFKKIVTGKEDKGLKLYDPGYLNTAPVRSSISYIDGDEGILRYRGYPIEEMAENSTFLEVAYLLMYGNLPSESQLSDWEFAVSQHSAVPQGVLDIIQSMPHDAHPMGVLVSAMSALSIFHPDANPALRGQDIYDSKQVRDKQIIRIIGKAPTIAAAAYLRMAGRPPVLPSGNLPYADNFLYMLDSLGNRSYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVYTAVAGAVGALYGPLHGGANEAVLKMLSEIGTVENIPEFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIKNLADEVFSIVGKDPLIEVAVALEKAALSDDYFVKRKLYPNVDFYSGLIYRAMGFPPEFFTVLFAIPRMAGYLSHWKESLDDPDTKIMRPQQVYTGVWLRHYTPVRERIVTDDSKESDKLGQVATSNASRRRLAGSSV", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSVNPIQIPSRLPLLLTHESVLLPGSTMRTSVDTARNLQLVRSRLLKGTSLQSTILGVIPNTPDPASDSQDLPPLHRIGTAALAVQVVGSNWPKPHYTLLITGLCRFQIVQVLKEKPYPVAEVEQLDRLEEFPNTCKTREELGELSEQFYRYSVQLVEMLDMSVPAVAKLRRLLDSLPREALPDILTSIIRTSNKEKLQILDAVSLEDRFKMTIPLLVRQIEGLKLLQKTRKPKQDDDKRVIAIRPIRRITHIPGALEDEEEEEDNDDIVMLEKKIRTSSMPEQAHKVCVKEIKRLKKMPQSMPEYALTRNYLELMVELPWNKSTTDRLDIRAARILLDNDHYAMEKLKRRVLEYLAVRQLKNNLKGPILCFVGPPGVGKTSVGRSVAKTLGREFHRIALGGVCDQSDIRGHRRTYVGSMPGRIINGLKTVGVNNPVFLLDEVDKLGKSLQGDPAAALLEVLDPEQNHNFTDHYLNVAFDLSQVLFIATANTTATIPPALLDRMEIIQVPGYTQEEKIEIAHRHLIPKQLEQHGLTPQQIQIPQLTTLAIITRYTREAGVRSLDRKFGAICRAVAVKVAEGQHKEAKLDRSDVADGEGCKEHVLEDAKPESIGDAADLALPPEMPILIDSHALKDILGPPLYELEVSERLSQPGVAIGLAWTPLGGKIMFVEASRMDGEGQLTLTGQLGDVMKESAHLAISWLRSNAKKYHLTNAFGSFDLLDNTDIHLHFPAGAVTKDGPSAGVTIVTCLASLFSGRLVRSDVAMTGEITLRGLVLPVGGIKDKVLAAHRAGLKHIIIPQRNEKDLEEIPSNVKQDLSFVTASCLDEVLNAAFDGGFTVKTRPGLTDSKL", - "output": "Peroxisome" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSPFSVPSLIMEEEGRFEAEVAEVEAWWGTDRFRLTKRPYTARDVALLRGTLRQSYASGDMAKKLWRTLRAHQANGTASRTFGALDPVQVAMMAKHLDTVYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQLYHDRKQREARMSMSRAERAHEPYVDYLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDIMGVETVLVARTDAVAATLIQTNVDARDHQFILGATNPRLRNRSLAAVLSDAMSAGKNGRELQAIEDEWLATAQLKTFSDCVRDAIASLNATDADKQRKLQEWSAATSHDKCVPLEQARDIAAGLGVTSLFWDWDLPRTREGFYRFRGSVAAAVVRGRAFAPHADVLWMETSSPNIAECTAFAEGVRAASPGAMLAYNLSPSFNWDASGMTDADMSEFIPRVARLGYVWQFITLAGFHADALVTDTFARDFARRGMLAYVERIQREERSNGVETLQHQKWSGANFYDRVLKTVQGGISSTAAMGKGVTEEQFKGSWTGPGSESSSHVLAKSRM", - "output": "Peroxisome" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDSSSSSSSAAARRRINAIHSHLVTSSRSSPLLRSNPTAGEFCLDNGYSVVLPEKLNTGSWNVYRSAKSPFKLVSRFPDHPDIATLHDNFEHAVHDFRDYKYLGTRVRVDGTVGDYKWMTYGEAGTARTALGSGLVHHGIPMGSSVGIYFINRPEWLIVDHACSSYSYVSVPLYDTLGPDAVKFIVNHATVQAIFCVAETLNSLLSCLSEMPSVRLVVVVGGLIESLPSLPSSSGVKVVSYSVLLNQGRSNPQRFFPPKPDDVATICYTSGTTGTPKGVVLTHANLIANVAGSSFSVKFFSSDVYISYLPLAHIYERANQILTVYFGVAVGFYQGDNMKLLDDLAALRPTVFSSVPRLYNRIYAGIINAVKTSGGLKERLFNAAYNAKKQALLNGKSASPIWDRLVFNKIKDRLGGRVRFMTSGASPLSPEVMEFLKVCFGGRVTEGYGMTETSCVISGMDEGDNLTGHVGSPNPACEVKLVDVPEMNYTSADQPHPRGEICVRGPIIFTGYYKDEIQTKEVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFVGQCFIYGDSFNSSLVAVVSVDPDVLKSWAASEGIKGGDLRELCNNPRVKAAVLSDMDTVGREAQLRGFEFAKAVTLVLEPFTLENGLLTPTFKIKRPQAKEYFAEAITNMYKELGASDPSANRGL", - "output": "Peroxisome" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEDKIQSDDFTSHKNPTLPQVIEELKELWAMVLPITAMNCLVYVRAVVSVLFLGRLGSLELAGGALSIGFTNITGYSVMVGLASGLEPVCSQAYGSKNWDLLTLSLHRMVVILLMASLPISLLWINLGPIMLFMGQNPEITATAAEYCLYALPDLLTNTLLQPLRVYLRSQRVTKPMMWCTLAAVAFHVPLNYWLVMVKHWGVPGVAIASVVTNLIMVVLLVGYVWVSGMLQKRVSGDGDGGSTTMVAVVAQSSSVMELVGGLGPLMRVAVPSCLGICLEWWWYEIVIVMGGYLENPKLAVAATGILIQTTSLMYTVPMALAGCVSARVGNELGAGRPYKARLAANVALACAFVVGALNVAWTVILKERWAGLFTGYEPLKVLVASVMPIVGLCELGNCPQTTGCGILRGTGRPAVGAHVNLGSFYFVGTPVAVGLAFWLKIGFSGLWFGLLSAQAACVVSILYAVLARTDWEGEAVKAMRLTSLEMRKVGQDEESSLLLLDDEKLGDVL", - "output": "Peroxisome" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAIPEEFDVIVCGGGSTGCVIAGRLANVDENLKVLLIENGENNLNNPWVYLPGIYPRNMRLDSKTATFYNSRPSKHLNGRRAIVPQANILGGGSSINFMMYTRASASDYDDWESEGWTTDELLPLMKKFETYQRPCNNRDVHGFDGPIKVSFGNYTYPQCQDFLRACETQGIPYVDDLEDLKTSHGAEQWLKWINRDFGRRSDTAHAFIHSTMRNKENLFLMTNTKVDKVIIEDGRAVAVRTVPSKPIGDSKVSRTFKARKQIVVSCGTVSSPMVLQRSGIGEPSKLRAAGVKPIVELPGVGRNFQDHFCYFVPYRIKQDSESFDAFVSGDKEAQKSAFDQWYATGAGPLATNGIEAGVKIRPTEAELATADKAFQQGWESYFENKPDKPLMHYSVISGFFGDHTRLPPGKYMTMFHFLEYPFSRGWLHISSDDPYAAPDFDPGFMNDDRDMWPMVWAFKKSRETARRMECFAGEPTAFHPHYKVDSPARALEQSAEDTKKVAGPLHLTANLYHGSWSTPIGEADKHDPNHVTSSHINVYSKDIQYTKEDDEAIENYIKEHAETTWHCLGTNSMAPREGNKNAPEGGVLDPRLNVHGVKGLKVADLSVCPDNVGCNTFSTALTIGEKAAVLVAEDLGYSGSELDMEVPQHKLKTYEQTGAARY", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAVESRSRVTSKLVKAHRAMLNSVTQEDLKVDRLPGADYPNPSKKYSSRTEFRDKTDYIMYNPRPRDEPSSENPVSVSPLLCELAAARSRIHFNPTETTIGIVTCGGICPGLNDVIRSITLTGINVYNVKRVIGFRFGYWGLSKKGSQTAIELHRGRVTNIHHYGGTILGSSRGPQDPKEMVDTLERLGVNILFTVGGDGTQRGALVISQEAKRRGVDISVFGVPKTIDNDLSFSHRTFGFQTAVEKAVQAIRAAYAEAVSANYGVGVVKLMGRDSGFIAAQAAVASAQANICLVPENPISEQEVMSLLERRFCHSRSCVIIVAEGFGQDWGRGSGGYDASGNKKLIDIGVILTEKVKAFLKANKSRYPDSTVKYIDPSYMIRACPPSANDALFCATLATLAVHEAMAGATGCIIAMRHNNYILVPIKVATSVRRVLDLRGQLWRQVREITVDLGSDVRLARKLEIRRELEAINRNRDRLHEELAKL", - "output": "Peroxisome" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGVDLDLESISEATSGAIGSLLSTTILYPLDTCKSKFQAEIRVRGQQKYRYLSDVFWEAISSGNVLSLYQGLGTKNLQSFISSFIYFYSYSYFKRLHSQRIGSKSIGTKANLLIAAAAGACTSVLTQPLDTASSRMQTSEFGKSKGLWKTLTDGSWGNAFDGLGISLLLTSNPAIQYTVFDQLKQNLLEKGKAKSNKDSSPVVLSAFMAFVLGAVSKSAATVITYPAIRCKVMIQAADDSKENEAKKPRKRIRKTIPGVVYAIWKKEGILGFFKGLQAQILKTVLSSALLLMIKEKITATTWILILAIRTLFVTKARLKSP", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSQFGTTDIVSGSETPPYSGASYQDAQDDNTHPHSSDAGAEKFSAGSGSESHTESSRSDDEDSQAKTKMVDNITILKYILDSLSGRDKLAKIIKYALDILKLFIEKSKRNLTVLDPSVLTYYTKILKNLTVKVALRHPITVIKVLLLSLLRNFDKKIDFISQQLSTFRYILRFGGTPFRVCSFLGKFNKTRKCNFQIDQIKKIWFNEASLREFLDLYYGIFDELDLLYKLKIWTNKSFYSFVSRQESLAWQYDILLSLKDHWLNLQSLQKRQLELEVQLKVQNNALLLSPILMHQAHKDDGSQSPIRKQLLNDLNVNNDAEVLIHKQLKAIKDEKTLVYLDIARLSFDCMANTSDILNLKTPKGTYAVLSLGSGLTGLVKLWITTKRSLCSSKD", - "output": "Peroxisome" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MMIKLIATPSNALVDEPVSIRATGLPPSQIVTIKATVKDENDNVFQSQAFYKTNEAGEVDLEKTPALGGDYVGVHPMGLFFSLKPKKAFHRLMKKDVMNSPFCICLDLYDSVNWLETVRIPSKASQRVQRWFVGPGVKREQIQEGRVRGALFLPPGKGPFPGIIDLFGVIGGLVEFRASLLASHGFAVLALAYFAYKDLPEKLQEVDLEYFEEAANFLLSHPKIQQPGIGVISTSKGAEIGLAMACYLKQVIATVCINGATTTTAVPLRYQDLVVTPIQQALERMEVHVSGAVCFRHTTQYLQNKNILPVEKAQGKILFIVGENDELLDSKLHAQRAMDRLRRHGRSSGRMLAYPGAGHLIEPPYSPLCFASWQPVLGRPMCFGGDLMAHAAAQEHSWREIQKFFRKHLLQSGSKL", - "output": "Peroxisome" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEITNVTEYDAIAKAKLPKMVYDYYASGAEDQWTLQENRNAFARILFRPRILIDVNKIDMATTVLGFKISMPIMVAPTAFQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKNRKVVEQLVRRAEKAGFKAIALTVDTPRLGRRESDIKNRFTLPPNLTLKNFEGLDLGKMDEANDSGLASYVAGQIDRTLSWKDIQWLQTITNMPILVKGVLTGEDARIAIQAGAAGIIVSNHGARQLDYVPATISALEEVVKATQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFALAAEGEAGVKKVLQMLRDEFELTMALSGCRSLSEITRNHIVTEWDTPRHLPRL", - "output": "Peroxisome" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSSSSSWRRAATVMLAAGWTHSSPAGFRLLLLQRAQNQRFLPGAHVFPGGVLDAADSSPDWVRLFAPRHTPPRFGLGPEPPRQPPFPGLSHGDADPAALPDDVALRICAIREAFEEAGVLLLRPRDAAPASQEPSQALSPPAGLAEWRSRVRSDPRCFLQLCAHLDCTPDIWALHDWGGWLTPYGRTIRRFDTTFFLCCLRDIPRVEPDVAEVVGYQWLSPSEATECFLSKEIWLAPPQFYEMRRLENFASLSALYRFCSDRPSEVPEKWLPIILLTSDGTIHLLPGDELYVKDSDFLEKNMSTDKKTEEIVKEGKVLNRVVIHSPYVYEIYMTLPSENKHVYPRNYIVNKRCTAHL", - "output": "Peroxisome" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTTNTFTDPPVEMAKERGKTQFTVRDVTNFLNGGEEETQIVEKIMSSIERDPVLSVTADYDCNLQQARKQTMERVAALSPYLVTDTEKLSLWRAQLHGMVDMSTRTRLSIHNNLFIGSIRGSGTPEQFKYWVKKGAVAVKQFYGCFAMTELGHGSNLKGLETTATYDQDSDQFIINTPHIGATKWWIGGAAHTSTHCVCFAKLIVHGKDYGTRNFVVPLRNVHDHSLKVGVSIGDIGKKMGRDGVDNGWIQFTNVRIPRQNMLMRYAKVSDTGVVTKPALDQLTYGALIRGRVSMIADSFHVSKRFLTIALRYACVRRQFGTSGDTKETKIIDYPYHQRRLLPLLAYCYAMKMGADEAQKTWIETTDRILALNPNDPAQKNDLEKAVTDTKELFAASAGMKAFTTWGCAKIIDECRQACGGHGYSGYNGFGQGYADWVVQCTWEGDNNVLCLSMGRGLVQSALQILAGKHVGASIQYVGDKSKISQNGQGTPREQLLSPEFLVEAFRTASRNNILRTTDKYQELVKTLNPDQAFEELSQQRFQCARIHTRQHLISSFYARIATAKDDIKPHLLKLANLFALWSIEEDTGIFLRENILTPGDIDLINSLVDELCVAVRDQVIGLTDAFGLSDFFINAPIGSYDGNVYEKYFAKVNQQNPATNPRPPYYESTLKPFLFREEEDDEICDLDE", - "output": "Peroxisome" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNPNNTGTIEINGKEYNTFTEPPVAMAQERAKTSFPVREMTYFLDGGEKNTLKNEQIMEEIERDPLFNNDNYYDLNKEQIRELTMERVAKLSLFVRDQPEDDIKKRFALIGIADMGTYTRLGVHYGLFFGAVRGTGTAEQFGHWISKGAGDLRKFYGCFSMTELGHGSNLAGLETTAIYDEETDEFIINTPHIAATKWWIGGAAHTATHTVVFARLIVKGKDYGVKTFVVQLRNINDHSLKVGISIGDIGKKMGRDGIDNGWIQFTNVRIPRQNLLMKYTKVDREGNVTQPPLAQLTYGSLITGRVSMASDSHQVGKRFITIALRYACIRRQFSTTPGQPETKIIDYPYHQRRLLPLLAYVYALKMTADEVGALFSRTMLKMDDLKPDDKAGLNEVVSDVKELFSVSAGLKAFSTWACADVIDKTRQACGGHGYSGYNGFGQAYADWVVQCTWEGDNNILTLSAGRALIQSAVALRKGEPVGNAVSYLKRYKDLANAKLNGRSLTDPKVLVEAWEVAAGNIINRATDQYEKLIGEGLNADQAFEVLSQQRFQAAKVHTRRHLIAAFFSRIDTEAGEAIKQPLLNLALLFALWSIEEDSGLFLREGFLEPKDIDTVTELVNKYCTTVREEVIGYTDAFNLSDYFINAPIGCYDGDAYRHYFQKVNEQNPARDPRPPYYASTLKPFLFREEEDDDICELDEE", - "output": "Peroxisome" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MISPNLTANVEIDGKQYNTFTEPPKALAGERAKVKFPIKDMTEFLHGGEENVTMIERLMTELERDPVLNVSGDYDMPKEQLRETAVARIAALSGHWKKDTEKEALLRSQLHGIVDMGTRIRLGVHTGLFMGAIRGSGTKEQYDYWVRKGAADVKGFYGCFAMTELGHGSNVAGLETTATYIQDTDEFIINTPNTGATKWWIGGAAHSATHTACFARLLVDGKDYGVKIFVVQLRDVSSHSLMPGIALGDIGKKMGRDAIDNGWIQFTNVRIPRQNMLMKYAKVSSTGKVSQPPLAQLTYGALIGGRVTMIADSFFVSQRFITIALRYACVRRQFGTTPGQPETKIIDYPYHQRRLLPLLAFTYAMKMAADQSQIQYDQTTDLLQTIDPKDKGALGKAIVDLKELFASSAGLKAFTTWTCANIIDQCRQACGGHGYSGYNGFGQAYADWVVQCTWEGDNNVLCLSMGRGLIQSCLGHRKGKPLGSSVGYLANKGLEQATLSGRDLKDPKVLIEAWEKVANGAIQRATDKFVELTKGGLSPDQAFEELSQQRFQCAKIHTRKHLVTAFYERINASAKADVKPYLINLANLFTLWSIEEDSGLFLREGFLQPKDIDQVTELVNHYCKEVRDQVAGYTDAFGLSDWFINAPIGNYDGDVYKHYFAKVNQQNPAQNPRPPYYESTLRPFLFREDEDDDICELDEE", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSEINNENLEPTSSTVAESTESKNKHIRSALRKRRGKLSAQTYEEDQEAILSSPLLTSTPKTVSRSLVRLYPYLIVVDNFLSIITWSNDNVSANLLGIFLFTVCVLYFGFITRYFGHLMIVGIIWVYLLIDKHVQETMASCPSLDDIIHVMDRVSMKSSAVLSPITILSAQDVRRLLFTIAFLSPVYIFLTVFVLSPNYLMLIGGLYVLTYHSKLIRRMRRYLWKFRVVRLLVFFITGLDLGGPDNNRRLFASVNKKIRSFVWNEVGNTSNTKKTVLFKVALFENQRRWLGIGWTSTMLSYERASWTDEFLNTSPSPEVFTLPEEQSGMAWEWHDKDWMLDLTNDGIIQLPASAAKTKVKPGADEGFIYYDNTWNNPSATDTYKKYTRRRRWIRTATVTTTYDDEPTVEKATPNSHALKSEENNRVRKRKVSFSTANEVHIIPSSDSSKLIQISDVSMSPSL", - "output": "Peroxisome" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLRSVWNFLKRHKKKCIFLGTVLGGVYILGKYGQKKIREIQEREAAEYIAQARRQYHFESNQRTCNMTVLSMLPTLREALMQQLNSESLTALLKNRPSNKLEIWEDLKIISFTRSTVAVYSTCMLVVLLRVQLNIIGGYIYLDNAAVGKNGTTILAPPDVQQQYLSSIQHLLGDGLTELITVIKQAVQKVLGSVSLKHSLSLLDLEQKLKEIRNLVEQHKSSSWINKDGSKPLLCHYMMPDEETPLAVQACGLSPRDITTIKLLNETRDMLESPDFSTVLNTCLNRGFSRLLDNMAEFFRPTEQDLQHGNSMNSLSSVSLPLAKIIPIVNGQIHSVCSETPSHFVQDLLTMEQVKDFAANVYEAFSTPQQLEK", - "output": "Peroxisome" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MMEGNGTENSCSRTRGWLQQDNDAKPWLWKFSNCFSRPEQTLPHSPQTKEYMENKKVAVELKDVPSPLHAGSKLFPAVPLPDIRSLQQPKIQLSSVPKVSCCAHCPNEPSTSPMRFGGGGGGSGGTSSLIHPGALLDSQSTRTITCQVGSGFAFQSASSLQNASARNNLAGIASDFPSMCLESNLSSCKHLPCCGKLHFQSCHGNVHKLHQFPSLQGCTSAGYFPCSDFTSGAPGHLEEHISQSELTPHLCTNSLHLNVVPPVCLKGSLYCEDCLNKPARNSIIDAAKVWPNIPPPNTQPAPLAVPLCNGCGTKGTGKETTLLLATSLGKAASKFGSPEVAVAGQVLENLPPIGVFWDIENCSVPSGRSATAVVQRIREKFFKGHREAEFICVCDISKENKEVIQELNNCQVTVAHINATAKNAADDKLRQSLRRFANTHTAPATVVLVSTDVNFALELSDLRHRHGFHIILVHKNQASEALLHHANELIRFEEFISDLPPRLPLKMPQCHTLLYVYNLPANKDGKSVSNRLRRLSDNCGGKVLSITGCSAILRFINQDSAERAQKRMENEDVFGNRIIVSFTPKNRELCETKSSNAIADKVKSPKKLKNPKLCLIKDASEQSSSAKATPGKGSQANSGSATKNTNVKSLQELCRMESKTGHRNSEHQQGHLRLVVPTHGNSSAAVSTPKNSGVAEPVYKTSQKKENLSARSVTSSPVEKKDKEETVFQVSYPSAFSKLVASRQVSPLLASQSWSSRSMSPNLLNRASPLAFNIANSSSEADCPDPFANGADVQVSNIDYRLSRKELQQLLQEAFARHGKVKSVELSPHTDYQLKAVVQMENLQDAIGAVNSLHRYKIGSKKILVSLATGAASKSLSLLSAETMSVLQDAPACCLPLFKFTDIYEKKFGHKLNVSDLYKLTDTVAIREQGNGRLVCLLPSSQARQSPLGSSQSHDGSSTNCSPIIFEELEYHEPVCRQHCSNKDFSEHEFDPDSYKIPFVILSLKTFAPQVHSLLQTHEGTVPLLSFPDCYIAEFGDLEVVQENQGGVPLEHFITCVPGVNIATAQNGIKVVKWIHNKPPPPNTDPWLLRSKSPVGNPQLIQFSREVIDLLKSQPSCVIPISHFIPSYHHHFAKQCRVSDYGYSKLIELLEAVPHVLQILGMGSKRLLTLTHRAQVKRFTQDLLKLLKSQASKQVIVREFSQAYHWCFSKDWDVTEYGVCELIDIVSEIPDTTICLSQQDNEMVICIPKRERTQDEIERTKQFSKDVVDLLRHQPHFRMPFNKFIPSYHHHFGRQCKLAYYGFTKLLELFEAIPDTLQVLECGEEKILTLTEVERFKALAAQFVKLLRSQKDNCLMMTDLLTEYAKTFGYTFRLQDYDVSSISALTQKLCHVVKVADIESGRQIQLINRKSLRSLTAQLLVLLMSWEGTTHLSVEELKRHYESTHNTPLNPCEYGFMTLTELLKSLPYLVEVFTNDKMEECVKLTSLYLFAKNVRSLLHTYHYQQIFLHEFSMAYTKYVGETLQPKTYGHSSVEELLGAIPQVVWIKGHGHKRIVVLKNDMKSRLSSLSLSPANHENQPSEGERILEVPESHTASELKLGADGSGPSHTEQELLRLTDDSPVDLLCAPVPSCLPSPQLRPDPVILQSADLIQFEERPQEPSEIMILNQEEKMEIPIPGKSKTLTSDSSSSCISAAVPVPPCPSSETSESLLSKDPVESPAKKQPKNRVKLAANFSLAPITKL", - "output": "Peroxisome" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MPKIAVLGAGINGIASALAIQERLPNCEVTIIAEKFSPNTTSDVAAGLIEPFLCDDDVDRIINWTSATISRIHEYQADGNPGAEEQSGYWLQSVKSEPKWLKLMKNVHILTDAEMKQVARRPEHKFGIFYTTWYLEPTPYIKWCTDKFLKNGGKFKKQKIENIDDVARSYDVTVNCTGLGSRALIGDKEVYPTRGQILKVSCPRVKHFFIDDKYYALLNDSTITLGGTFEAHQWDLTINSELSQKILKENIHNIPSLRTAQILSSHVDMRPSRGTVRLQAELGRSLVHNYGHGGSGITLHWGCALECAEIVENVLKMKKSKL", - "output": "Peroxisome" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTPSTPADDAWIRSYQRLLPESQSLLASRRSVIPVAISRVNQFDAARLDVEMSAMLKEQLVKVFTLMKPGMLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERGVVAQHLGKVRTGLEGPGLTSPQKIWYCVASVGGQYLFSRLQSFSAFRRWGDSEQRPLARRLWTLVQRIEGIYKAASFLNLLSFLYTGRYRNLIEKALKARLVYRSPHMNRSVSFEYMNRQLVWNEFSEMLLLLLPLLNSSAVKNILSPFAKDKSSSTKEDTVTCPICQVDPAIPFIALPCQHRYCYYCIRTRCASAASFRCLRCNEPVVAIQREGVSSGK", - "output": "Peroxisome" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDYMYGPGRHHLFVPGPVNIPEPVIRAMNRNNEDYRSPAIPALTKTLLEDVKKIFKTTSGTPFLFPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQKRLNFNVDVVESDWGQGANLQVLASKLSQDENHTIKAICIVHNETATGVTNDISAVRTLLDHYKHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEATKTSKSLKVFFDWNDYLKFYKLGTYWPYTPSIQLLYGLRAALDLIFEEGLENIIARHARLGKATRLAVEAWGLKNCTQKEEWISNTVTAVMVPPHIDGSEIVRRAWQRYNLSLGLGLNKVAGKVFRIGHLGNVNELQLLGCLAGVEMILKDVGYPVVMGSGVAAASTYLQHHIPLIPSRI", - "output": "Peroxisome" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEQNRFKKETKTCSASWPRAPQSTLCATDRLELTYDVYTSAERQRRSRTATRLNLVFLHGSGMSKVVWEYYLPRLVAADAEGNYAIDKVLLIDQVNHGDSAVRNRGRLGTNFNWIDGARDVLKIATCELGSIDSHPALNVVIGHSMGGFQALACDVLQPNLFHLLILIEPVVITRKAIGAGRPGLPPDSPQIPENLYNSLRLKTCDHFANESEYVKYMRNGSFFTNAHSQILQNIIDFERTKASGDDEDGGPVRTKMEQAQNLLCYMNMQTFAPFLISNVKFVRKRTIHIVGARSNWCPPQNQLFLQKTLQNYHLDVIPGGSHLVNVEAPDLVIERINHHIHEFVLTSPLQSSHIPQLTLEERAVMFDRAFDSFKNEALVKTTKQKL", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASSAQDGNNPLFSPYKMGKFNLSHRVVLAPMTRCRALNNIPQAALGEYYEQRATAGGFLITEGTMISPTSAGFPHVPGIFTKEQVREWKKIVDVVHAKGAVIFCQLWHVGRASHEVYQPAGAAPISSTEKPISNRWRILMPDGTHGIYPKPRAIGTYEISQVVEDYRRSALNAIEAGFDGIEIHGAHGYLIDQFLKDGINDRTDEYGGSLANRCKFITQVVQAVVSAIGADRVGVRVSPAIDHLDAMDSNPLSLGLAVVERLNKIQLHSGSKLAYLHVTQPRYVAYGQTEAGRLGSEEEEARLMRTLRNAYQGTFICSGGYTRELGIEAVAQGDADLVSYGRLFISNPDLVMRIKLNAPLNKYNRKTFYTQDPVVGYTDYPFLQGNGSNGPLSRL", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEKSGYGRDGIYRSLRPTLVLPKDPNTSLVSFLFRNSSSYPSKLAIADSDTGDSLTFSQLKSAVARLAHGFHRLGIRKNDVVLIFAPNSYQFPLCFLAVTAIGGVFTTANPLYTVNEVSKQIKDSNPKIIISVNQLFDKIKGFDLPVVLLGSKDTVEIPPGSNSKILSFDNVMELSEPVSEYPFVEIKQSDTAALLYSSGTTGTSKGVELTHGNFIAASLMVTMDQDLMGEYHGVFLCFLPMFHVFGLAVITYSQLQRGNALVSMARFELELVLKNIEKFRVTHLWVVPPVFLALSKQSIVKKFDLSSLKYIGSGAAPLGKDLMEECGRNIPNVLLMQGYGMTETCGIVSVEDPRLGKRNSGSAGMLAPGVEAQIVSVETGKSQPPNQQGEIWVRGPNMMKGYLNNPQATKETIDKKSWVHTGDLGYFNEDGNLYVVDRIKELIKYKGFQVAPAELEGLLVSHPDILDAVVIPFPDEEAGEVPIAFVVRSPNSSITEQDIQKFIAKQVAPYKRLRRVSFISLVPKSAAGKILRRELVQQVRSKM", - "output": "Peroxisome" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MICARIRPLISSPLAFTISTTKHSRINLRLLPRRSFSVMSSSTPQSQIIEHIVLFKTKDDADSTKITSMINNLNALAYLDQVLHISTSPLHRISSATAFTHVLHSRYESKEDLASYAAHPDHVRVVKESVLPICDDIMAVDWIADRIPGTVAPLPGSVAKLTLLKLKEDVADEAKSEITGVIKGLSEKFPGIDQITVGENFSPARAKGFSIASIAYFKDLSEMEAVDAQKELVNSQKDKVRDYVDSTIVVEFVVPSSSQSSSL", - "output": "Peroxisome" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEISQRVKARLAVLTAHLAVSDTVGLEQVLPAIAPWCTSAHITAAPHGSLKGNLTIVDERTGKKYQVPVSEHGTVKAVDLKKITTGKDDKGLKLYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEELAESSTFIEVAYLLMYGNLPSQSQLADWEFTVSQHSAVPQGVLDIIQSMPHDAHPMGVLVSAMSALSIFHPDANPALSGQDIYKSKQVRDKQIVRILGKAPTIAAAAYLRTAGRPPVLPSANLSYSENFLYMLDSMGNRSYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVYTACAGAVGALYGPLHGGANEAVLKMLAEIGTAENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIKKLADEVFSIVGRDPLIEVAVALEKAALSDEYFVKRKLYPNVDFYSGLIYRAMGFPPEFFTVLFAVPRMAGYLSHWRESLDDPDTRIMRPQQAYTGVWMRHYEPVRERTLSSDSDKDKFGQVSISNASRRRLAGSSAL", - "output": "Peroxisome" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVSKKNTAEISAKDIWENIWSGVSSLLDFFAVLENLGVVNDKLYVSGLLRKVWLCYSCISVIKCVWKLIKLCKVKFKIDQRLDGEGNGLVKDKLINFKKKYNEHIRHITAALLQDLSYLMVLIYPGTRLFKRLSNIITLCRIIV", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRAAGQLLRACSQTWKSVRMASTGVERRKPLENKVALVTASTDGIGLAIARRLAQDGAHVVVSSRKQENVDRTVATLQGEGLSVTGTVCHVGKAEDRERLVAMAVNLHGGVDILVSNAAVNPFFGNIIDATEEVWDKILHVNVKATVLMTKAVVPEMEKRGGGSVLIVSSVGAYHPFPNLGPYNVSKTALLGLTKNLAVELAPRNIRVNCLAPGLIKTNFSQVLWMDKARKEYMKESLRIRRLGNPEDCAGIVSFLCSEDASYITGETVVVGGGTASRL", - "output": "Peroxisome" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MATNNANYRIKTIKDGCTAEELFRGDGLTYNDFIILPGFIDFGAADVNISGQFTKRIRLHIPIVSSPMDTITENEMAKTMALMGGVGVLHNNCTVERQVEMVKSVKAYRNGFISKPKSVPPNTPISNIIRIKEEKGISGILVTENGDPHGKLLGIVCTKDIDYVKNKDTPVSAVMTRREKMTVERAPIQLEEAMDVLNRSRYGYLPIVNENDEVVNLCSRRDAVRARDYPHSTLDKSGRLICAAATSTRPEDKRRVAALADVGVDVLVLDSSQGNTIYQIAFIKWVKSTYPHLEVVAGNVVTQDQAKNLIDAGADGIRIGMGSGSICITQEVLACGRPQGTAVYKVAQYCASRGVPCTADGGLRQVGDICKALAIGANCAMLGGMLSGTTETPGEYFFKGGVRLKVYRGMGSLEAMSQGKESGKRYLSENEAVQVAQGVSGNVVDKGSAAKLIAYVSKGLQQSAQDIGEISFDAIREKMYAGQVLFSRRSPTAQGEGGVHSLHSYEKKLFAAKM", - "output": "Peroxisome" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASLSGLASALESYRGRDRLIRVLGYCCQLVGGVLVEQCPARSEVGTRLLVVSTQLSHCRTILRLFDDLAMFVYTKQYGLGAQEEDAFVRCVSVLGNLADQLYYPCEHVAWAADARVLHVDSSRWWTLSTTLWALSLLLGVARSLWMLLKLRQRLRSPTAPFTSPLPRGKRRAMEAQMQSEALSLLSNLADLANAVHWLPRGVLWAGRFPPWLVGLMGTISSILSMYQAARAGGQAEATTP", - "output": "Peroxisome" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSDNRALRRAHVLANHILQSNPPSSNPSLSRELCLQYSPPELNESYGFDVKEMRKLLDGHNVVDRDWIYGLMMQSNLFNRKERGGKIFVSPDYNQTMEQQREITMKRIWYLLENGVFKGWLTETGPEAELRKLALLEVCGIYDHSVSIKVGVHFFLWGNAVKFFGTKRHHEKWLKNTEDYVVKGCFAMTELGHGSNVRGIETVTTYDPKTEEFVINTPCESAQKYWIGGAANHATHTIVFSQLHINGTNQGVHAFIAQIRDQDGSICPNIRIADCGHKIGLNGVDNGRIWFDNLRIPRENLLNAVADVSSDGKYVSSIKDPDQRFGAFMAPLTSGRVTIASSAIYSAKVGLSIAIRYSLSRRAFSVTANGPEVLLLDYPSHQRRLLPLLAKTYAMSFAANELKMIYVKRTPETNKAIHVVSSGFKAVLTWHNMHTLQECREAVGGQGVKTENLVGQLKGEFDVQTTFEGDNNVLMQQVSKALFAEYVSCKKRNKPFKGLGLEHMNSPRPVLPTQLTSSTLRCSQFQTNVFCLRERDLLEQFTSEVAQLQGRGESREFSFLLSHQLAEDLGKAFTEKAILQTILDAEAKLPTGSVKDVLGLVRSMYALISLEEDPSLLRYGYLSQDNVGDVRREVSKLCGELRPHALALVTSFGIPDSFLSPIAFNWVEANAWSSV", - "output": "Peroxisome" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLTLESALTGAVASAMANIAVYPLDLSKTIIQSQVSPSSSEDSNEGKVLPNRRYKNVVDCMINIFKEKGILGLYQGMTVTTVATFVQNFVYFFWYTFIRKSYMKHKLLGLQSLKNRDGPITPSTIEELVLGVAAASISQLFTSPMAVVATRQQTVHSAESAKFTNVIKDIYRENNGDITAFWKGLRTGLALTINPSITYASFQRLKEVFFHDHSNDAGSLSAVQNFILGVLSKMISTLVTQPLIVAKAMLQSAGSKFTTFQEALLYLYKNEGLKSLWKGVLPQLTKGVIVQGLLFAFRGELTKSLKRLIFLYSSFFLKHNGQRKLAST", - "output": "Peroxisome" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGPLPRTVELFYDVLSPYSWLGFEILCRYQNIWNINLQLRPSLITGIMKDSGNKPPGLLPRKGLYMANDLKLLRHHLQIPIHFPKDFLSVMLEKGSLSAMRFLTAVNLEHPEMLEKASRELWMRVWSRNEDITEPQSILAAAEKAGMSAEQAQGLLEKIATPKVKNQLKETTEAACRYGAFGLPITVAHVDGQTHMLFGSDRMELLAHLLGEKWMGPIPPAVNARL", - "output": "Peroxisome" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDRPPPDQQRQKQAPLFSPYQMPRFRLNHRVVLAPMTRCRAIGGVPGPALAEYYAQRTTQGGLLISEGTVVSPAGPGFPHVPGIYNQEQTDAWKKVVDAVHAKGGIFFCQLWHVGRASHQVYQPNGAAPISSTDKPISARWRILMPDGSYGKYPKPRRLAASEIPEIVEQYRQAAINAIEAGFDGIEIHGAHGYIIDQFLKDGINDRTDEYGGSLSNRCRFLLEVTRAVVSAIGADRVAVRISPAIDHLDAYDSDPIKLGMAVVERLNALQQQSGRLAYLHVTQPRYTAYGQTESGQHGSAEEESRLMRTLRGTYQGTFMCSGGYTRELGLEAVESGDADLVSYGRLFISNPDLVERFRLNAGLNKYVRKTFYTPDPVVGYTDYPFLGQPKSRM", - "output": "Peroxisome" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSLKALDYESLNENVKNCQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVSLCQAPFLLDDPNVGMIFPADAIARAKHYLSLTSGGLGAYSDSRGLPGVRKEVAEFIERRDGYPSDPELIFLTDGASKGVMQILNCVIRGQKDGILVPVPQYPLYSATISLLGGTLVPYYLEESENWGLDVNNLRQSVAQARSQGITVRAMVIINPGNPTGQCLSEANIREILRFCCDERLVLLGDEVYQQNIYQDERPFISSKKVLMDMGAPISKEVQLISFHTVSKGYWGECGQRGGYFEMTNIPPRTVEEIYKVASIALSPNVSAQIFMGLMVSPPKPGDISYDQFVRESKGILESLRRRARMMTDGFNSCKNVVCNFTEGAMYSFPQIKLPSKAIQAAKQAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEEMPEIMDSFKKFNDEFMSQYADNFGYSRM", - "output": "Peroxisome" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MATKAPEKITKPKDRDFLNHLETYLSKRDGVDKLLKISRYATKIILASSLIPETRSIIPRLKSFESSVGVSRKAFRLGKFVQDINALRSSRWDSNHELVLLIIAYGGEGLYYFVEQFIWLTKSGLIDAKHSKWLQKISAWAELVGYVGSVSIKIRDLRKLNDEESCVASTIEISVSRGLACDGEDEKMKMIKEKKTLKVLSILQDLADGLMTIADIRDGKGVLSAPNVISSAGLFSAIVSTHKNWISC", - "output": "Peroxisome" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSRVCYHINGPFFIIKLIDPKHLNSLTFEDFVYIALLLHKANDIDSVLFTVLQSSGKYFSSGGKFSAVNKLNDGDVTSEVEKVSKLVSAISSPNIFVANAFAIHKKVLVCCLNGPAIGLSASLVALCDIVYSQNDSVFLLFPFSNLGFVAEVGTSVTLTQKLGINSANEHMIFSTPVLFKELIGTIITKNYQLTNTETFNEKVLQDIKQNLEGLYPKSVLGMKELLHSEMKQKLIKAQAMETNGTLPFWASGEPFKRFKQLQEGNRRHKL", - "output": "Peroxisome" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAPLGGAPRLVLLFSGKRKSGKDFVTEALQSRLGADVCAVLRLSGPLKEQYAQEHGLNFQRLLDTSTYKEAFRKDMIRWGEEKRQADPGFFCRKIVEGISQPIWLVSDTRRVSDIQWFREAYGAVTQTVRVVALEQSRQQRGWVFTPGVDDAESECGLDNFGDFDWVIENHGVEQRLEEQLENLIEFIRSRL", - "output": "Peroxisome" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAATLSVEPAGRSCWDEPLSIAVRGLAPEQPVTLRSVLRDEKGMLFRAHARYRADSHGELDLARTPALGGSFSGLEPMGLLWAMEPDRPFWRLIKRDVQIPFVVELEVLDGHEPDGGQRLARAVHERHFMAPGVRRVPVREGRVRATLFLPPGKGQFPGIIDLYGSIGGLCEHRASLLAGHGFAVLALAYFQFEDLPENLSDVRLEYFEEALALMLRHPQVKGPNIGLIGVSKGADLCLSMAAFLKDNITATVLINACVANTLVPLYYKDLFVPELGCDQTKNKSGLMDLRDMWNNPLEEPNHQSLIPLEKAQGPFLFLVGMDDHNWKSDVYARIACERLQAHGKDRPQIIYYPETGHCIEPPYFPPPIATVHFVLGEAVFNGGKPRAQSRAQLDAWQRIQTFFQKYLNGEKPARHSKL", - "output": "Peroxisome" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGSWTKCQSCLAPGLLQNRAAIVTGGGTGIGKAIAKELLHLGCNVVIASRKFDRLRAAAEELKATLPPSNKAEVTPIQCNIRKEEEVNNLMKSTLALYGKIDFLVNNGGGQFWSSPEHISSKGWHAVIETNLTGTFYMCKAAYNSWMKEHGGAIVNIIILLNGQPFVAHSGAARGGVYNLTKSLALGWARSGIRINCVAPGTVYSQTAMDNYGDMGKTLFADAFQKIPAKRLGVPEEVSSLVCFLLSPAASFITGQLVNVDGGQSLYCQNHDIPDHDNWPEGVGDLSTVKKMKESFKQKAKL", - "output": "Peroxisome" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNVDLESLAEATSGAIGSLISTTILYPLDTCKTKYQAEARSSGRTKYRNLTDVLLEAISNRQVLSLYQGLGTKNLQSFISQFVYFYGYSYFKRLYLEKSGYKSIGTKANLVIAAAAGACTAIATQPLDTASSRMQTSEFGKSKGLLKTLTEGNWSDAFDGLSISLLLTSNPAIQYTVFDQLKQRALKNKQDNADKGTSPASLSAFMAFLLGAISKSIATCLTYPAIRCKVIIQAADSAEETSKTKIKSQKTVLSVLYGIWKREGILGYFKGLHAQILKTVLSSALLLMIKEKISASTWVLILALKRYLLLPRGKVKNL", - "output": "Peroxisome" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGEITNVMEYQAIAKQKLPKMIYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSKIDMSATVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPYLTLKNFEGLDLAEMDKSNDSGLASYVAGQIDRTLSWKDVKWLQSITSLPILVKGVITAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVTAAAGRIPVYLDGGVRRGTDVFKALALGAAGVFIGRPVVFALAAEGEAGVRNVLRMMREEFELTMALSGCTSLADITRAHIYTDADRLARPFPRL", - "output": "Peroxisome" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASWAKGRSYLAPGLLQGQVAIVTGGATGIGKAIVKELLELGSNVVIASRKLERLKSAADELQANLPPTKQARVIPIQCNIRNEEEVNNLVKSTLDTFGKINFLVNNGGGQFLSPAEHISSKGWHAVLETNLTGTFYMCKAVYSSWMKEHGGSIVNIIVPTKAGFPLAVHSGAARAGVYNLTKSLALEWACSGIRINCVAPGVIYSQTAVENYGSWGQSFFEGSFQKIPAKRIGVPEEVSSVVCFLLSPAASFITGQSVDVDGGRSLYTHSYEVPDHDNWPKGAGDLSVVKKMKETFKEKAKL", - "output": "Peroxisome" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAALSKSIPHNCYEIGHTWHPSCRVSFLQITWGALEESLRIYAPLYLIAAVLRKRKLEYYLYKLLPEILQSASFLTANGALYITFFCILRKILGKFYSWTPGFGAALPASYVAILIERKSRRGLLTIYMANLATETLFRMGVARGTITTLRNGEVLLFCITAAMYMFFFRCKDGLKGFTFSALRFIVGKEEIPTHSYSPETAYAKVEQKREKHKGTPRAMSIIALVRTLVDSVCKHGPRHRCCKHYEDNCISYCIKGFIRMFSVGYLIQCCLRIPSAFRHLFTEPSRLLSLFYNKENFQLGAFLGSFVSIYKGTSCFLRWIRNLDDELHAIVAGFLAGVSMMFYKSTTISMYLASKLVETMYFKGIEAGKVPYFPQADTIIYSISTAICFHAAVMEVQNLRPSYWKFLLRLTKGRFALMNRKALDVFGTGASREFHNFIPRLDPRYTVVTPELPIDFS", - "output": "Peroxisome" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSTKQHSAKDELLYLNKAVVFGSGAFGTALAMVLSKKCREVCVWHMNEEEVRLVNEKRENVLFLKGVQLASNITFTSDVEKAYNGAEIILFVIPTQFLRGFFEKSGGNLIAYAKEKQVPVLVCTKGIERSTLKFPAEIIGEFLPSPLLSVLAGPSFAIEVATGVFTCVSIASADINVARRLQRIMSTGDRSFVCWATTDTVGCEVASAVKNVLAIGSGVANGLGMGLNARAALIMRGLLEIRDLTAALGGDGSAVFGLAGLGDLQLTCSSELSRNFTVGKKLGKGLPIEEIQRTSKAVAEGVATADPLMRLAKQLKVKMPLCHQIYEIVYKKKNPRDALADLLSCGLQDEGLPPLFKRSASTPSKL", - "output": "Peroxisome" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKSDSSTSAAPLRGLGGPLRSSEPVRAVPARAPAVDLLEEAADLLVVHLDFRAALETCERAWQSLANHAVAEEPAGTSLEVKCSLCVVGIQALAEMDRWQEVLSWVLQYYQVPEKLPPKVLELCILLYSKMQEPGAVLDVVGAWLQDPANQNLPEYGALAEFHVQRVLLPLGCLSEAEELVVGSAAFGEERRLDVLQAIHTARQQQKQEHSGSEEAQKPNLEGSVSHKFLSLPMLVRQLWDSAVSHFFSLPFKKSLLAALILCLLVVRFDPASPSSLHFLYKLAQLFRWIRKAAFSRLYQLRIRD", - "output": "Peroxisome" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDVSKVVSFSRNFAVLVKVEGPDPKGLKMRKHAFHQYHSGNATLSASGILLPRDIFLSGEVAAKVLFEAGQDMALVLTVASVVEPFLTLGHRTSSSISQDPVKLIPGAMIEIMVEGQLKSEKEAPFWVPAQLLSLVDVPVSSAALQSLIEASSGSKDSGWDIGWSLVSAANGSQPSINIEHYSKPLMQLDEPHNANFMAKSATRMAILGVPLSLLGQPSMNFASSSSKGDTLVALGSPFGILSPVNFFNSVSTGSIANSYPSGSLKKSLMIADVRCLPGMEGAPVFAKNGHLIGILIRPLRQKNSGVEIQLVVPWGAITTACSHLLLEEPSVEGKASQWGSEVLSVKSDASIPAQVAIEKAMESVCLITVNDGVWASGIILNEHGLILTNAHLLEPWRYGKGGVYGEGFKPYVLGAEEFSSTGSKFWEQKSQTLPRKAPRNHYSSVGENIREYKHNFLQTGHRDIRVRLCHLDSWTWCPANVVYICKEQLDIALLQLEYVPGKLQPITANFSSPPLGTTAHVVGHGLFGPRCGLSPSICSGVVAKVVHAKRRLNTQSISQEVAEFPAMLETTAAVHPGGSGGAVLNSSGHMIGLVTSNARHGAGTVIPHLNFSIPCAVLAPIFKFAEDMQNTTILQTLDQPSEELSSIWALMPSLSPKTEQSLPNLPKLLKDGNNKQTKGSQFAKFIAETQDMFVKPTKLSRDVIPSKL", - "output": "Peroxisome" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTAAQGNSNETLFSSYKMGRFDLSHRVVLAPMTRCRALNGVPNAALAEYYAQRTTPGGFLISEGTMVSPGSAGFPHVPGIYSDEQVEAWKQVVEAVHAKGGFIFCQLWHVGRASHAVYQPNGGSPISSTNKPISENRWRVLLPDGSHVKYPKPRALEASEIPRVVEDYCLSALNAIRAGFDGIEIHGAHGYLIDQFLKDGINDRTDQYGGSIANRCRFLKQVVEGVVSAIGASKVGVRVSPAIDHLDATDSDPLSLGLAVVGMLNKLQGVNGSKLAYLHVTQPRYHAYGQTESGRQGSDEEEAKLMKSLRMAYNGTFMSSGGFNKELGMQAVQQGDADLVSYGRLFIANPDLVSRFKIDGELNKYNRKTFYTQDPVVGYTDYPFLAPFSRL", - "output": "Peroxisome" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSNPPTYTTSQGCPVSDAFSTQRISGTKISIKTPVGPLLLQDFKFLDSLAHFDRERIPERVVHAKGAGAYGVFEVTEDISDICSAKFLDTVGKKTKIFTRFSTVGGEKGSSDSARDPRGFATKFYTEEGNLDLVYNNTPIFFIRDPTKFPHFIHTQKRNPATNCKDANMFWDYLTNNPESLHQIMYLFSNRGTPTSYRKMNGYSGHSYKWYNAKGEWVSSVHFISNQGVHNMTDEEAGDLSGKDPDFQTMDLYKAIEQGDYPSWECYVQTMTLEEAKKQPFSVYDLTKVWPHKDFPLRHFGKFTLNENAQNYFAEVEQAAFSPSHTVPGMEPSNDPVLQSRLFSYPDTHRHRLGVNYSQIPVNCPMRAVFAPQIRDGSMMVNGNLGGTPNYAGAYNCPVQYQAPIKASSKTPEEQYEGETLSYDWTEVNEYDFYQPGRFWEVLGKTKGEQEALVHNVANHVSGADEFIQDRVFAYFSKANPVIGDLIRKEVLKKSPRGASKNKF", - "output": "Peroxisome" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPKPGVFNFVNKATWDARNALGSLPKETARKNYVDLVSSLSSSSEAPSQGKRGADEKARESKDILVTSEDGITKITFNRPTKKNAISFQMYLDIMHALKNASTDNSVITVFTGTGDYYSSGNDLKNLINDAGEIQDVVATSTKILREFVNCFIDFPKPLVAVVNGPAVGIAVTILALFDAVFASDRATFHTPFSQLSQIPEACSTYMFPKIMGPTKAAEMLLFGKKLTAREAWAQGLVTEVFPESTFETEVWTRLKTYSKLSPNVMRISKELIRKHEKQKLYTVNAEECAAALERMPREEYAKALRNFLFRKAKAKL", - "output": "Peroxisome" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLRLAAAGARAIVDMSYARHFLDFQGSAIPRTMQKLVVTRLSPNFHEAVTLRRDCPVPLPGDGDLLVRNRFVGINASDINYSAGRYDPSLKPPFDIGFEGIGEVVALGLSASARYTVGQAVAYMAPGSFAEYTVVPASIAIPMPSVKPEYLTMLVSGTTAYLSLEELGELSEGKKVLVTAAAGGTGQFAVQLSKIAKCHVIGTCSSDEKAAFLKSIGCDRPINYRTEPVETVLKQEYPEGVDVVYESVGGAMFDLAVDALATKGRLIVIGFISGYQSPTGLSPIKAGVLPTKLLKKSASLRGFFLNHYFSKYQAAMERLLELYARGDLVCEVDLGHLAPDGRFIGLESVFQAVDYMYTGKNTGKLVVELPHPVSSKL", - "output": "Peroxisome" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MALKALDYDTLNENVKKCQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGMLFPADAIARAKHYLSLTSGGLGAYSDSRGLPGVRKEVAEFIQRRDGYPSDPELIFLTDGASKGVMQILNCVIRGNGDGILVPVPQYPLYSATISLLGGTLVPYYLDESENWGLDVANLRQSVAQARSQGITVRAMVIINPGNPTGQCLSEANIREILKFCYNEKLVLLGDEVYQQNIYQDERPFISSKKVLMEMGSPFSKEVQLVSFHTVSKGYWGECGQRGGYFEMTNLPPRVVEEIYKVASIALSPNVSAQIFMGLMVNPPKPGDISYDQFARESKGILESLRRRARLMTDGFNSCKNVVCNFTEGAMYSFPQIRLPTGALQAAKQAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEDEMPEIMDSFKKFNDEFMTQYDNNFGYSKM", - "output": "Peroxisome" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSVKRSLKQEIVTQFHCSAAEGDIAKLTGILSHSPSLLNETSENGWTALMYAARNGHPEIVQFLLEKGCDRSIVNKSRQTALDIAVFWGYKHIANLLATAKGGKKPWFLTNEVEECENYFSKTLLDRKSEKRNNSDWLLAKESHPATVFILFSDLNPLVTLGGNKESFQQPEVRLCQLNYTDIKDYLAQPEKITLIFLGVELEIKDKLLNYAGEVPREEEDGLVAWFALGIDPIAAEEFKQRHENCYFLHPPMPALLQLKEKEAGVVAQARSVLAWHSRYKFCPTCGNATKIEEGGYKRLCLKEDCPSLNGVHNTSYPRVDPVVIMQVIHPDGTKCLLGRQKRFPPGMFTCLAGFIEPGETIEDAVRREVEEESGVKVGHVQYVACQPWPMPSSLMIGCLALAVSTEIKVDKNEIEDARWFTREQVLDVLTKGKQQAFFVPPSRAIAHQLIKHWIRINPNL", - "output": "Peroxisome" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MADSNELGSASRRLSVVTNHLIPIGFSPARADSVELCSASSMDDRFHKVHGEVPTHEVVWKKTDFFGEGDNKEFVDIIYEKALDEGIAKITINRPERRNAFRPQTVKELMRAFNDARDDSSVGVIILTGKGTKAFCSGGDQALRTQDGYADPNDVGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHILHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFMTRFYTASEAEKMGLINTVVPLEDLEKETVKWCREILRNSPTAIRVLKAALNAVDDGHAGLQGLGGDATLLFYGTEEATEGRTAYMHRRPPDFSKFHRRP", - "output": "Peroxisome" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MDQTVSENLIQVKKESGGIAVITINRPKSLNSLTRAMMVDLAKAFKDMDSDESVQVVIFTGSGRSFCSGVDLTAAESVFKGDVKDPETDPVVQMERLRKPIIGAINGFAITAGFELALACDILVASRGAKFMDTHARFGIFPSWGLSQKLSRIIGANKAREVSLTSMPLTADVAGKLGFVNHVVEEGEALKKAREIAEAIIKNEQGMVLRIKSVINDGLKLDLGHALTLEKERAHAYYSGMTKEQFRKMQEFIAGRGSKKPSSKL", - "output": "Peroxisome" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSLDTVDKLVVFLAKRDGIDKLVKTFQYVAKLACWHVEATRPEAADRFKKWEVASGLSRKAFRTGRSLTGFNALRRNPGATPMIRFLAVLANSGEMVYFFFDHFLWLSRIGSIDAKLAKKMSFISAFGESFGYTFFIIIDCIFIKQRLKSLKKLQHSTDEPKEEIGAKISEIRGDIVMRLMGISANVADLLIALAEIHPNPFCNHTITLGISGLVSAWAGWYRNWPS", - "output": "Peroxisome" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGQLALQRLQPLASLPRRPPSLPPPSSATPSLPCATASRRPRFYVARAMSSHIVGYPRIGPKRELKFALESFWDGKTNVDDLQNVAANLRKSIWKHMAHAGIKYIPSNTFSYYDQMLDTTAMLGAVPSRYGWESGEIGFDVYFSMARGNASAHAMEMTKWFDTNYHYIVPELGPDVNFSYASHKAVVEFKEAKALGIDTVPVLIGPMTYLLLSKPAKGVEKSFCLLSLIDKILPVYKEVLADLKSAGARWIQFDEPILVMDLDTSQLQAFSDAYSHMESSLAGLNVLIATYFADVPAEAYKTLMSLKCVTGFGFDLVRGLETLDLIKMNFPRGKLLFAGVVDGRNIWANDLSASLKTLQTLEDIVGKEKVVVSTSCSLLHTAVDLVNEMKLDKELKSWLAFAAQKVVEVNALAKSFSGAKDEALFSSNSMRQASRRSSPRVTNAAVQQDVDAVKKSDHHRSTEVSVRLQAQQKKLNLPALPTTTIGSFPQTTDLRRIRREFKAKKISEVDYVQTIKEEYEKVIKLQEELGIDVLVHGEAERNDMVEFFGEQLSGFAFTSNGWVQSYGSRCVKPPIIYGDITRPKAMTVFWSSMAQKMTQRPMKGMLTGPVTILNWSFVRNDQPRHETCFQIALAIKDEVEDLEKAGVTVIQIDEAALREGLPLRKSEQKFYLDWAVHAFRITNSGVQDSTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFHEGVKYGAGIGPGVYDIHSPRIPSTEEIAERINKMLAVLDSKVLWVNPDCGLKTRNYSEVKSALSNMVAAAKLIRSQLNKS", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASSAFAFPSYIITKGASTDSFKSTSLSSSRSLVTDFHLLFSRPISSGPKYQSAKSAKPESPVAINCLTDAKQVCAVGRRKSMMMGLLMSGLIVSQANLPTAFASTPVFREYIDTFDGYSFKYPQNWIQVRGAGADIFFRDPVVLDENLSVEFSSPSSSNYTSLEDLGSPEEVGKRVLRQYLTEFMSTRLGVKRQANILSTSSRVADDGKLYYQVEVNIKSYANNNELAVMPQDRVARLEWNRRYLAVLGVENDRLYSIRLQTPEKVFLEEEKDLRRVMDSFRVEKI", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MATVTSNASPKSFSFTVSNPFKTLIPNKSPSLCYPTRNKNHHRLGFSIKATVSTPPSIATGNAPSPDYRVEILSESLPFIQKFRGKTIVVKYGGAAMTSPELKSSVVSDLVLLACVGLRPILVHGGGPDINRYLKQLNIPAEFRDGLRVTDATTMEIVSMVLVGKVNKNLVSLINAAGATAVGLSGHDGRLLTARPVPNSAQLGFVGEVARVDPSVLRPLVDYGYIPVIASVAADDSGQAYNINADTVAGELAAALGAEKLILLTDVAGILENKEDPSSLIKEIDIKGVKKMIEDGKVAGGMIPKVKCCIRSLAQGVKTASIIDGRRQHSLLHEIMSDEGAGTMITG", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEWPWSAIAASSSSSSSCFFASPNSCLSITRRTNLSCVNTSVKSLRHSRFDSKHNLVKRRINGDSVVRRSTTSNNSTEETESSSSSSSVDCVGMGSDVECVNNGEDEENRSSGILSGGEGTFLEWTVLISPFFFWGTAMVAMKEVLPITGPFFVAAFRLIPAGLLLVAFAVYKGRPLPEGINAWFSIALFALVDATCFQGFLAQGLQRTSAGLGSVIIDSQPLTVAVLASFLFGESIGIVRAGGLLLGVAGLLLLEVPSVTSDGNNFSLWGSGEWWMLLAAQSMAIGTVMVRWVSKYSDPIMATGWHMVIGGLPLLAISVINHDPVFNGSLQDLSTNDVIALLYTSIFGSAVSYGVYFYSATKGSLTKLSSLTFLTPMFASIFGYLYLNETFSSLQLVGAAVTLVAIYLVNFPEGND", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNMNFSFCSTSSELSYPSENVLRFSVASRLFSPKWKKSFISLPCRSKTTRKVLASSRYVPGKLEDLSVVKKSLPRREPVEKLGFVRTLLIDNYDSYTFNIYQALSTINGVPPVVIRNDEWTWEEAYHYLYEDVAFDNIVISPGPGSPMCPADIGICLRLLLECRDIPILGVCLGHQALGYVHGAHVVHAPEPVHGRLSGIEHDGNILFSDIPSGRNSDFKVVRYHSLIIDKESLPKELVPIAWTIYDDTGSFSEKNSCVPVNNTGSPLGNGSVIPVSEKLENRSHWPSSHVNGKQDRHILMGIMHSSFPHYGLQFHPESIATTYGSQLFKNFKDITVNYWSRCKSTSLRRRNINDTANMQVPDATQLLKELSRTRCTGNGSSYFGNPKSLFSAKTNGVDVFDMVDSSYPKPHTKLLRLKWKKHERLAHKVGGVRNIFMELFGKNRGNDTFWLDTSSSDKARGRFSFMGGKGGSLWKQLTFSLSDQSEVTSKHAGHLLIEDSQSSTEKQFLEEGFLDFLRKELSSISYDEKDFEELPFDFCGGYVGCIGYDIKVECGMPINRHKSNAPDACFFFADNVVAIDHQLDDVYILSLYEEGTAETSFLNDTEEKLISLMGLSTRKLEDQTLPVIDSSQSKTSFVPDKSREQYINDVQSCMKYIKDGESYELCLTTQNRRKIGNADPLGLYLHLRERNPAPYAAFLNFSNANLSLCSSSPERFLKLDRNGMLEAKPIKGTIARGSTPEEDEFLKLQLKLSEKNQAENLMIVDLLRNDLGRVCEPGSVHVPNLMDVESYTTVHTMVSTIRGLKKTDISPVECVRAAFPGGSMTGAPKLRSVEILDSLENCSRGLYSGSIGYFSYNGTFDLNIVIRTVIIHEDEASIGAGGAIVALSSPEDEFEEMILKTRAPANAVMEFCSDQRRQ", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAAITISSSLHASASPRVVRPHVSRNTPVITLYSRFTPSFSFPSLSFTLRDTAPSRRRSFFIASAVKSLTETELLPITEADSIPSASGVYAVYDKSDELQFVGISRNIAASVSAHLKSVPELCGSVKVGIVEEPDKAVLTQAWKLWIEEHIKVTGKVPPGNKSGNNTFVKQTPRKKSDIRLTPGRHVELTVPLEELIDRLVKESKVVAFIKGSRSAPQCGFSQRVVGILESQGVDYETVDVLDDEYNHGLRETLKNYSNWPTFPQIFVKGELVGGCDILTSMYENGELANILN", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSLISKSLARIECSPFFYPRASEITTGKRITSPQIRLYTAAAVGGKTGNGERKQRAKAPAKTPEAVTPVKPLEIASVTATTENELPRPNEIAYESEVANWVNLIGFVDQPVQFEASSDGKFWAGTVISQRSASDSSGFWIPIIFEGDLAKTAARYVSKDDQIHVSGKLFIDSPPPNMTYAQANVQVLVQNLNFIQPMSPSPSPFMVMSSSEKEESGIKKQPARAKQDIVIDEASDSWNHLIENPKEWWDHRENKVNGLVKPRHPDFKSKDSSFSLWLNKAPNWVLPKLEGLEFDVLVPKARVVKQLKGEESWKDLVQNPDKWWDNRIDKRNAKAPDFKHKETGEALWLNESPTWVLPKLPPVKKKQESIVF", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASISSLNQIPCKTLQITSQYSKPTSKISTLPISSTNFLSKTEQHRSISVKEFTNPKPKFTAQATNYDKEDEWGPEVEQIRPGGVAVVEEEPPKEPSEIELLKKQLADSLYGTNRGLSASSETRAEIVELITQLESKNPNPAPTEALTLLNGKWILAYTSFSGLFPLLSRGNLPLVRVEEISQTIDSESFTVQNSVVFAGPLATTSISTNAKFEVRSPKRVQIKFEEGIIGTPQLTDSIVLPENVEFLGQKIDVSPFKGLITSVQDTASSVVKSISSQPPIKFPITNNNAQSWLLTTYLDDELRIPRGDAGSVFVLIKEGSPLLKP", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASMAAVLSKTPFLSQPLTKSSPNSDLPFAAVSFPSKSLRRRVGSIRAGLIAPDGGKLVELIVEEPKRREKKHEAADLPRVELTAIDLQWMHVLSEGWASPLGGFMRESEFLQTLHFNSLRLDDGSVVNMSVPIVLAIDDEQKARIGESTRVALFNSDGNPVAILSDIEIYKHPKEERIARTWGTTAPGLPYVDEAITNAGNWLIGGDLEVLEPVKYNDGLDRFRLSPAELRKELEKRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGFTKADDVPLDWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPVEKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKTQGKMAFFDPSRPQDFLFISGTKMRTLAKNNENPPDGFMCPGGWKVLVDYYESLTPAGNGRLPEVVPV", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MESLLSSSSLVSAAGGFCWKKQNLKLHSLSEIRVLRCDSSKVVAKPKFRNNLVRPDGQGSSLLLYPKHKSRFRVNATAGQPEAFDSNSKQKSFRDSLDAFYRFSRPHTVIGTVLSILSVSFLAVEKVSDISPLLFTGILEAVVAALMMNIYIVGLNQLSDVEIDKVNKPYLPLASGEYSVNTGIAIVASFSIMSFWLGWIVGSWPLFWALFVSFMLGTAYSINLPLLRWKRFALVAAMCILAVRAIIVQIAFYLHIQTHVFGRPILFTRPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIRSFSVTLGQKRVFWTCVTLLQMAYAVAILVGATSPFIWSKVISVVGHVILATTLWARAKSVDLSSKTEITSCYMFIWKLFYAEYLLLPFLK", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MALQAASLVSSAFSVRKDGKLNASASSSFKESSLFGVSLSEQSKADFVSSSLRCKREQSLRNNKAIIRAQAIATSTPSVTKSSLDRKKTLRKGNVVVTGASSGLGLATAKALAETGKWHVIMACRDFLKAERAAQSAGMPKDSYTVMHLDLASLDSVRQFVDNFRRAEMPLDVLVCNAAVYQPTANQPTFTAEGFELSVGINHLGHFLLSRLLIDDLKNSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSAMIDGGDFVGAKAYKDSKVCNMLTMQEFHRRFHEDTGITFASLYPGCIATTGLFREHIPLFRTLFPPFQKYITKGYVSESEAGKRLAQVVADPSLTKSGVYWSWNKTSASFENQLSQEASDVEKARRVWEVSEKLVGLA", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATVANFLAKPISTVVPRPSSAVASTSSFVFFNHKTNPLFRRKNLPKRLFSAVKIKAGAASPGKVGTPPANDEKVQKIHSGEEFDVALKNAKSKLVVAEFATSKSDQSNKIYPFMVELSRTCNDVVFLLVMGDESDKTRELCRREKIEKVPHFSFYKSMEKIHEEEGIEPDQLMGDVLYYGDNHSAVVQLHGRPDVEKLIDENRTGGKLIVLDVGLKHCGPCVKVYPTVLKLSRSMSETVVFARMNGDENDSCMEFLKDMNVIEVPTFLFIRDGEIRGRYVGSGKGELIGEILRYSGVRVTY", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASSSFSVTSPAAAASVYAVTQTSSHFPIQNRSRRVSFRLSAKPKLRFLSKPSRSSYPVVKAQSNKVSTGASSNAAKVDGPSSAEGKEKNSLKESSASSPELATEESISEFLTQVTTLVKLVDSRDIVELQLKQLDCELVIRKKEALPQPQAPASYVMMQQPNQPSYAQQMAPPAAPAAAAPAPSTPASLPPPSPPTPAKSSLPTVKSPMAGTFYRSPAPGEPPFIKVGDKVQKGQVLCIVEAMKLMNEIESDHTGTVVDIVAEDGKPVSLDTPLFVVQP", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAASLQSANPTLSRTLASPNKPSSFATFRSPFLRFNSTSVASNFKPLVSREASSSFVTRSAAEPQERKTFHGLCYVVGDNIDTDQIIPAEFLTLVPSNPEEYEKLGSYALVGLPASYKERFVQPGEMKTKYSIIIGGENFGCGSSREHAPVCLGAAGAKAVVAQSYARIFFRNSVATGEVYPLDSEVRVCDECTTGDVATVELREGDSILINHTTGKEYKLKPIGDAGPVIDAGGIFAYARKAGMIPSAAA", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRKAAVGAAVVCTAAVCAAAAVLVRQRMKSSSKWGRVMAILKELDDNCGTPLGKLRQVADAMTVEMHAGLASEGASKLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVLRVKLGGKEKRVVEQEFDEVSIPPELMVGTSEQLFDYIAEALAKFVATESEGLHPEPNKQRELGFTFSFPVKQTSIASGTLIRWTKGFNIEDTVGEDVVAELTKAMLRKGVDMRVTALVNDTVGTLAGGRYYKEDVIAAVILGTGTNAAYVERASAIHKWHGPLPKSGEMVINMEWGNFRSSYLPLTEYDIALDEESLNPGEQIFEKMISGMYLGEIVRRVLYRMADEASLFGDTVPSKLKTPFILRTPDMSAMHHDTSPDLKVVASKLKDVLGIPNSSLKVRKIIVDVCDVIASRGACISAAGILGIIKKLGRDTLKQGENQKSVIALDGGLFEHYAKFRECMEDSLKELLGDEVAETIVIEHSNDGSGIGAALLAASHSQYLEEDES", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGSMLLASFPGASSITTGGSCLRSKQYAKNYDASSYVTTSWYKKRKIQKEHCAAIFSKHNLKQHYKVNEGGSTSNTSKECEKKYVVNAISEQSFEYEPQTRDPESIWDSVNDALDIFYKFCRPYAMFTIVLGATFKSLVAVEKLSDLSLAFFIGWLQVVVAVICIHIFGVGLNQLCDIEIDKINKPDLPLASGKLSFRNVVIITASSLILGLGFAWIVDSWPLFWTVFISCMVASAYNVDLPLLRWKKYPVLTAINFIADVAVTRSLGFFLHMQTCVFKRPTTFPRPLIFCTAIVSIYAIVIALFKDIPDMEGDEKFGIQSLSLRLGPKRVFWICVSLLEMTYGVTILVGATSPILWSKIITVLGHAVLASVLWYHAKSVDLTSNVVLHSFYMFIWKLHTAEYFLIPLFR", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MATCSSSLLVLPNLRLSSNQRRNFKVRAQISGENKKATSLEPVNNNGSVSLSTTVQNQKGANEVNGKGKSKRKIVSDEIELLWDDGYGSKSVKDYFAAAKEILKADGGPPRWFSPVDCGRPVEDAPTLLFLPGMDGTGMGLVPHHKALGKAFHVSCLHIPVLDRTPFEGLLKVVEDVLRQEQATRPNKPIYLVGDSFGGCLALAVAARNRSLDLVLILVNPATSFDRSPLQPLLPILEMVPEELHFTVPYALSFIMGDPIKMATLGIDNQLPTGVKIEKLRQRLTKTMLPLLSELGGIIPRETLLWKLKLLRSGCAYANSRIHAVQAEVLVLASGKDMMLPSQEEAKRLHGLLKNCSVRCFKDNGHTLLLEDSISLLTVIKGTGKYRRSWRYDLVSDFLPPSKGELAYALDEVLGFLRNAVGSVFFSTMEDGKIVKGLAGVPDKGPVLLVGYHMLMGLELGPMSEAFIKEKNILFRGMAHPVLYSDNDPAKAFDYGDWIKVFGAYPVTATNLFKLLDSKSHVLLFPGGAREALHNRGEQYKLIWPEQQEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDLMKIPILNDYITEVTRDTKQFKLREESEGEVANQPLYLPGLIPKVPGRFYYLFGKPIETKGRPELVKDKEEANQVYLEVKAEVENSIAYLLKKREEDPYRSVLDRLNYSLTHTTATHVPSFEP", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSCPLAFTFSLPSIFPFPSQLLPFSRHKHPYLLRATPTSATEDVASAVSGAPSIFISQSRSPEWWIDLLRSKVRSNLLREAVLTYVDMIVLGIKPDNYAFPALLKAVADLQDMELGKQIHAHVYKFGYGVDSVTVANTLVNLYRKCGDFGAVYKVFDRISERNQVSWNSLISSLCSFEKWEMALEAFRCMLDENVEPSSFTLVSVVTACSNLPMPEGLMMGKQVHAYGLRKGELNSFIINTLVAMYGKLGKLASSKVLLGSFGGRDLVTWNTVLSSLCQNEQLLEALEYLREMVLEGVEPDEFTISSVLPACSHLEMLRTGKELHAYALKNGSLDENSFVGSALVDMYCNCKQVLSGRRVFDGMFDRKIGLWNAMIAGYSQNEHDKEALLLFIGMEESAGLLANSTTMAGVVPACVRSGAFSRKEAIHGFVVKRGLDRDRFVQNTLMDMYSRLGKIDIAMRIFGKMEDRDLVTWNTMITGYVFSEHHEDALLLLHKMQNLERKVSKGASRVSLKPNSITLMTILPSCAALSALAKGKEIHAYAIKNNLATDVAVGSALVDMYAKCGCLQMSRKVFDQIPQKNVITWNVIIMAYGMHGNGQEAIDLLRMMMVQGVKPNEVTFISVFAACSHSGMVDEGLRIFYVMKPDYGVEPSSDHYACVVDLLGRAGRIKEAYQLMNMMPRDFNKAGAWSSLLGASRIHNNLEIGEIAAQNLIQLEPNVASHYVLLANIYSSAGLWDKATEVRRNMKEQGVRKEPGCSWIEHGDEVHKFVAGDSSHPQSEKLSGYLETLWERMRKEGYVPDTSCVLHNVEEDEKEILLCGHSEKLAIAFGILNTSPGTIIRVAKNLRVCNDCHLATKFISKIVDREIILRDVRRFHRFKNGTCSCGDYW", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAALLGIVSPVTFTGKHPVNSRSRRRTVVKCSNERRILFNRIAPVYDNLNDLLSLGQHRIWKNMAVSWSGAKKGDYVLDLCCGSGDLAFLLSEKVGSTGKVMGLDFSSEQLAVAATRQSLKARSCYKCIEWIEGDAIDLPFDDCEFDAVTMGYGLRNVVDRLRAMKEMYRVLKPGSRVSILDFNKSNQSVTTFMQGWMIDNVVVPVATVYDLAKEYEYLKYSINGYLTGEELETLALEAGFSSACHYEISGGFMGNLVAMR", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALIHGSVPGTSAVRLVFSTSASPSRFCLNVPVVKQGWKNSCRRRVLRAMVQETVQGSPLVYAREMERLSAKESLLLALKDAGGFEALVTGKTTNMQRIDVNERITSLERLNPTPRPTTSPCFEGRWNFEWFGSGSPGLLAARVIFERFPSTLANLSRMEILIKDANAKATANIKLLNSIESKIILSSKLTVEGPLRLKEEYVEGMLETPTVIEEAVPEQLKSALGQAATTLQQLPALIKDTLASGLRIPLSGSFERFFMISYLDEEILIVRDTEGVPEVLTRIETPSSTVVETIEYDS", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKLTSLSKNANSTATAVTVSSIQKLPFLSLSETLPCPKSSRKPTFLPLRCRRRPKLDLLWGKFRVRASDAGVGSGSYSGGEEDGSQSSSLDQSPATSSESLKPRGPFPYSLSIALVLLSCGLVFSLITFVKGGPSSVLAAVAKSGFTAAFSLIFVSEIGDKTFFIAALLAMQYEKTLVLLGSMGALSLMTILSVVIGKIFQSVPAQFQTTLPIGEYAAIALLMFFGLKSIKDAWDLPPVEAKNGEETGIELGEYSEAEELVKEKASKKLTNPLEILWKSFSLVFFAEWGDRSMLATVALGAAQSPLGVASGAIAGHLVATVLAIMGGAFLANYISEKLVGYVGGALFLVFAAATFFGVF", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLTAPSLSRFKSPFISSPLKLPTLSSSFFTQKFHQTCRRRNSYPCIKAVDLDQNTVIAITVGVLSVAIGVGIPVFYETQIDNAAKRENTQPCFPCTGTGAQKCRFCMGTGSVTVELGGGETEVSRCINCDGAGGLTCTTCQGSGIQPRYLDRREFKDDD", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "AQIVKLGGDDGSLAFVPSKISVAAGEAIEFVNNAGFPHNIVFDEDAVPAGVDADAISYDDYLNSKGETVVRKLSTPGVYGVYCEPHAGAGMKMTITVQ", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAINSSHHFCPMTTTTTTSAKFVDSLGSSFCKFHGTSSSISLRSYRFGFSFMKNVKRLSCEGSSSSSSSRNENWNRTQKQNQFRPSKVVLNRRKDERFSDLGVISGENSSRSGDVGGGSGSSSTMEKIVEKLKKYGFVDEDQFQDKEVEQERRIEKSSVEERFYVEERRGGFSEESPFGVYGGNDEVKFPWEKVSSMEKKELVNGEWTAKKESRYSLAEMTLSEFELNRLRNVMFRTKSKMRVTGAGVTQAVVDAIQEKWKGSEIVRLKIEGSSALNMRRMHEILERKTGGLVIWRSGTSIALYNYKGGSNRDGSGNMNKQVYRRAERLPSSLPTSTVDQSVQLVNLPQLEKEPTVVGNKDRTSPQEVEYEDEINELLEGLGPRYTDWQGGYPLPVDADLLPGIVPGYEPPFRALPYGVRSTLGTKEATSLRRIATVLPPHFALGRSRQLQGLATAMVKLWQKSLIAKVALKRGVQLTTSERMAEDIKRLTGGMLLSRNKDFLVFYRGKSFLSLEVGEALMEKEMLVRTLQDEEEQARLRASSALVVPSIKANQQLARTLQDKEEQARPSALVLPSTKANQNLVSAGTLGETLDATGKWGKNLDNDDHVEEMKQEVEKVRSAKLVRKLERKLAFAEKKLLKAERALAKVEESLKPAEQRTDLEGITEEERFMFQKLGLKMKAFLLLGRRGVFDGTVENMHLHWKYRELIKILVKAKTLEGAQKVAMALEAESGGILVSVDKISKGYAVIVYRGKDYKRPTTLRPKNLLTKRKALARSLELQKREALIKHIEAIQTRSEQLRAEIEQVELVKDKGDETLYDKLDMAYSSDEETEETDGEEDDVYLDTYEDEGEDDEEGGIQANGSLSETDVEFGSDESDTDFGDNSASSTTPETTFVELQNEELDVQP", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAYSACFLHQSALASSAARSSSSSSSQRHVSLSKPVQIICKAQQSHEDDNSAVSRRLALTLLVGAAAVGSKVSPADAAYGEAANVFGKPKTNTDFLPYNGDGFKVQVPAKWNPSKEIEYPGQVLRFEDNFDATSNLNVMVTPTDKKSITDYGSPEEFLSQVNYLLGKQAYFGETASEGGFDNNAVATANILESSSQEVGGKPYYYLSVLTRTADGDEGGKHQLITATVNGGKLYICKAQAGDKRWFKGARKFVESAATSFSVA", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MADPSSQNPNLATPPPPSSPSPTHQIQSGTSELSPPSRPPCSTLSFLKTANRPKLRVTSEFDSDSLLFLNKVSCKLFDNLAKLKLSFQNNSQREISQPQVSFTSKHVSVLYDVEEKNTFIKSTLDVHPRLQLRALHNVKAQQGEVAMEANLTEPGYSLELSSPVPIGYPRATLKFPLGEISLQEKDEEEEEKQKRTLSVNGILKRQVMNGVCTALYTDEELRLRYAYKDDALSFIPSISLPSNAASFAFKRRFSPSDKLSYWYNFDSNMWSAVYKRTYGKDYKLKAGYDSDVRLGWASLWVGDEAGKVKTTPMKMKVQFMLQVPQDDIKSSVLMFRVKKRWDI", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEARVSQSLQLSSWINSDKVVRKPSGLLRFSEKWNEKPRHRVVVSCHLQPRKAAHSDRRVQLKVSCSPQNVQASVLESGCFSASIDEIETLKNKAEEVEEYLDGRCVYLVGMMGCGKTTVGRILAETLGYSFFDCDRLIEQAVGGITVAEIFELRGESFFRDNETEVLHKLSLMHRLVVSTGGGAVVRPINWRHMHKGISVWLDVPLEALAKRITTEGTKSRPLLHEESGDVYDTTLKRLTTLMETRGENYANASARVSLENIALKREKDVCHITPAEITLEVLIQIENFLKTQKSVVVL", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSVIGSKSCIFSVARYTRENEKSSCFTSINKKSSLDLRFPRNLAGVSCKFSGENPGTNGVSLSSKNKMEDYNTAMKRLMRSPYEYHHDLGMNYTLIRDELIVGSQPQKPEDIDHLKQEQNVAYILNLQQDKDIEYWGIDLDSIVRRCKELGIRHMRRPAKDFDPLSLRSQLPKAVSSLEWAVSEGKGRVYVHCSAGLGRAPGVSIAYMYWFCDMNLNTAYDTLVSKRPCGPNKGAIRGATYDLAKNDPWKEPFESLPENAFEDIADWERKLIQERVRALRGT", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSNMDPNSVLPKRSFLQHELFSQLHIPGSLAFEAFSCISKFTGALLCWFSHGNLQKEVSKHQWGLTCKSRDSLKHVFEHRNVSVFPFHYVSKDISPGFFGNISKSTIQHFVNEAERLHSCSLLSLAAAMIPSLNVMSANGLALPLGSNDVKLRENIEHRTCPENTEHRTCQVGCEEYSGLSFQKLDWTRQSVEPRTGIEFPMLLKENASRSNSEVLVATGSRTMKIIRIKSLKVYAFGFYVHPSSVCQKLGRKYASVPASKLDKCDDLYKDLLREDIVMSVRLVVNYNGLKINTVRDVFEKSLRARLVKANPKTDFNCLNDFGSFFRQDIPIPAGTIIDFRRTEDGQLITEIGGNLIGAVRSKDLCRAFFGMYIGDVPVSEQTKEEIGRKVVGIIKRC", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVVLISSTVTICNVKPKLEDGNFRVSRLIHRPEVPFFSGLSNEKKKKCAVSVMCLAVKKEQVVQSVESVNGTIFPKKSKNLIMSEGRDEDEDYGKIICPGCGIFMQDNDPDLPGYYQKRKVIANNLEGDEHVENDELAGFEMVDDDADEEEEGEDDEMDDEIKNAIEGSNSESESGFEWESDEWEEKKEVNDVELDGFAPAGVGYGNVTEEKEKKKRVSKTERKKIAREEAKKDNYDDVTVCARCHSLRNYGQVKNQAAENLLPDFDFDRLISTRLIKPMSNSSTTVVVMVVDCVDFDGSFPKRAAKSLFQVLQKAENDPKGSKNLPKLVLVATKVDLLPTQISPARLDRWVRHRAKAGGAPKLSGVYMVSARKDIGVKNLLAYIKELAGPRGNVWVIGAQNAGKSTLINALSKKDGAKVTRLTEAPVPGTTLGILKIGGILSAKAKMYDTPGLLHPYLMSLRLNSEERKMVEIRKEVQPRSYRVKAGQSVHIGGLVRLDLVSASVETIYITIWASHSVSLHLGKTENAEEIFKGHSGLRLQPPIGENRASELGTWEEKEIQVSGNSWDVKSIDISVAGLGWLSLGLKGAATLALWTYQGIDVTLREPLVIDRAPYLERPGFWLPKAITEVLGTHSSKLVDARRRKKQQDSTDFLSDSVA", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAATQLTASPVTMSARSLASLDGLRASSVKFSSLKPGTLRQSQFRRLVVKAASVVAPKYTSIKPLGDRVLVKIKEAEEKTLGGILLPSTAQSKPQGGEVVAVGEGRTIGKNKIDITVPTGAQIIYSKYAGTEVEFNDVKHLILKEDDIVGILETEDIKDLKPLNDRVFIKVAEAEEKTAGGLLLTETTKEKPSIGTVIAVGPGSLDEEGKITPLPVSTGSTVLYSKYAGNDFKGKDGSNYIALRASDVMAILS", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNLAIPNPNSHHLSFLIQNSSFIGNRRFADGNRLRFLSGGNRKPCSFSGKIKAKTKDLVLGNPSVSVEKGKYSYDVESLINKLSSLPPRGSIARCLDIFKNKLSLNDFALVFKEFAGRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLDKCLEVFDEMPSQGVSRSVFSYTALINAYGRNGRYETSLELLDRMKNEKISPSILTYNTVINACARGGLDWEGLLGLFAEMRHEGIQPDIVTYNTLLSACAIRGLGDEAEMVFRTMNDGGIVPDLTTYSHLVETFGKLRRLEKVCDLLGEMASGGSLPDITSYNVLLEAYAKSGSIKEAMGVFHQMQAAGCTPNANTYSVLLNLFGQSGRYDDVRQLFLEMKSSNTDPDAATYNILIEVFGEGGYFKEVVTLFHDMVEENIEPDMETYEGIIFACGKGGLHEDARKILQYMTANDIVPSSKAYTGVIEAFGQAALYEEALVAFNTMHEVGSNPSIETFHSLLYSFARGGLVKESEAILSRLVDSGIPRNRDTFNAQIEAYKQGGKFEEAVKTYVDMEKSRCDPDERTLEAVLSVYSFARLVDECREQFEEMKASDILPSIMCYCMMLAVYGKTERWDDVNELLEEMLSNRVSNIHQVIGQMIKGDYDDDSNWQIVEYVLDKLNSEGCGLGIRFYNALLDALWWLGQKERAARVLNEATKRGLFPELFRKNKLVWSVDVHRMSEGGMYTALSVWLNDINDMLLKGDLPQLAVVVSVRGQLEKSSAARESPIAKAAFSFLQDHVSSSFSFTGWNGGRIMCQRSQLKQLLSTKEPTSEESENKNLVALANSPIFAAGTRASTSSDTNHSGNPTQRRTRTKKELAGSTA", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASIDNVFSLGTRFSIPENPKRSILKHATTSSFSARTQTRWRAPILRRSFTVLCELKTGSSSSGETNNSPAADDFVTRVLKENPSQVEPRYRVGDKLYNLKEREDLSKGTNAATGAFEFIKRKFDSKKKTETDKSEESVYLSDILREYKGKLYVPEQVFGPELSEEEEFEKNVKDLPKMSLEDFRKAMENDKVKLLTSKEVSGVSYTSGYRGFIVDLKEIPGVKSLQRTKWSMKLEVGEAQALLKEYTGPQYEIERHMTSWVGKVADFPNPVASSISSRVMVELGMVTAVIAAAAVVVGGFLASAVFAVTSFAFVTTVYVVWPIAKPFLKLFVGVFLGVLEKSWDYIVDVLADGGIFSRISDFYTFGGVASSLEMLKPILLVVMTMVLLVRFTLSRRPKNFRKWDLWQGIAFSQSKAEARVDGSTGVKFADVAGIDEAVDELQELVKYLKNPDLFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVIFIDEIDALATRRQGIFKENSDQLYNAATQERETTLNQLLIELDGFDTGKGVIFLGATNRRDLLDPALLRPGRFDRKIRVRPPNAKGRLDILKIHASKVKMSDSVDLSSYASNLPGWSGAKLAQLVQEAALVAVRKTHNSILQSDMDDAVDRLTVGPTRIGLELGHQGQCRRATTEVGVAITSHLLLRYENAKIERCDRVSIIPRGQTLSQVVFHRLDDESYMFGRLPQLLHRLQVLLGGRAAEEVIYGSDTSKASVDYLSDASWLARKILTIWNLENPMVIHGEPPPWRKRPQFVGPRLDFEGSLYDDYDLVEPPVNFNMDDEVAHRSEELISQMYNKTVSLLRQNQTALLKTVKVLLNQKEISGEAIDFILDHYPPQTPLNSLLQEQNPGSLPFVPEHLRRESGDFVLVNHSTDVNAQV", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNCLQNLPRCSVSPLLGFGCIQRDHSSSSSSLKMLISPPIKANDPKSRLVLHAVSESKSSSEMSGVAKDEEKSDEYSQDMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRKIGVKTIFCLQQDPDLEYFGVDISSIQAYAKKYSDIQHIRCEIRDFDAFDLRMRLPAVVGTLYKAVKRNGGVTYVHCTAGMGRAPAVALTYMFWVQGYKLMEAHKLLMSKRSCFPKLDAIRNATIDILTGLKRKTVTLTLKDKGFSRVEISGLDIGWGQRIPLTLDKGTGFWILKRELPEGQFEYKYIIDGEWTHNEAEPFIGPNKDGHTNNYAKVVDDPTSVDGTTRERLSSEDPELLEEERSKLIQFLETCSEAEV", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MATSQQFLNPTLFKSLASSNKNSCTLCPSPFLQLKSASTIFNYKPLTSSSATIITRVAASSSDSGESITRETFHGLCFVLKDNIDTDQIIPAEYGTLIPSIPEDREKLGSFALNGLPKFYNERFVVPGEMKSKYSVIIGGDNFGCGSSREHAPVCLGAAGAKAVVAESYARIFFRNCVATGEIFPLESEVRICDECKTGDVVTIEHKEDGSSLLINHTTRKEYKLKPLGDAGPVIDAGGIFAYARKAGMIPSA", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNSSMTTSPTARAFLHLHSSPFTLRSRKSPSGVCLVSWPPSRSRRTRRLVCMAEPYLIRKMESVEKTWKELSVKLADPDVVSNQSEYQKLAQSMSELDEVVTVFRRFKDCEKQLLESKVLAKEAGDDEDMAEMIGSEINSLTKEIEELEKQLKVLLLPSDPLDARNILLEVRAGTGGDEAAIWTGDLVRMYQRYSERSSWKFSMVSCSEAEHGGYKTCVMEIKGNRVYSKLKYESGVHRVQRVPQTETQGRVHTSTATVAIMPEADEVEVVIDPKDIELTSARSGGAGGQNVNKVETAIDLFHKPSGIRIFCTEERTQIRNKARAFQLLRAKLYEIKVREQQEKIRNERKSQVGTGARSEKIRTYNYKDSRVTDHRLKMNFALTTFLDGALEDAVQACAALEQKELMEELSESVAASSATSG", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MMASLATSISGSFRILVKSSSTRNGFPVISDQNPSFVLFANKRRHISRTAIFHRSAISGSSQGEKISPLASGVSSGLYSAQTFDLTPQNVDLVLEDVRPFLISDGGNVDVVSVEDGVVSLKLQGACTSCPSSSTTMTMGIERVLKEKFGDALKDIRQVFDEEVKQITVEAVNAHLDILRPAIKNYGGSVEVLSVEGEDCVVKYVGPESIGMGIQAAIKEKFKDISNVTFTS", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASASATATLLKPNLPPHKPTIIASSVSPPLPPPRRNHLLRRDFLSLAATSTLLTQSIQFLAPAPVSAAEDEEYIKDTSAVISKVRSTLSMQKTDPNVADAVAELREASNSWVAKYRKEKALLGKASFRDIYSALNAVSGHYVSFGPTAPIPAKRKARILEEMETAEKALTRGR", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAFASPSLRLLPQSPLGRITSKLHRFSTAKLSLFSFHHDSSSSLAVRTPVSSFVVGAISGKSSTGTKSKSKTKRKPPPPPPVTTVAEEQHIAESETVNIAEDVTQLIGSTPMVYLNRVTDGCLADIAAKLESMEPCRSVKDRIGLSMINEAENSGAITPRKTVLVEPTTGNTGLGIAFVAAAKGYKLIVTMPASINIERRMLLRALGAEIVLTNPEKGLKGAVDKAKEIVLKTKNAYMFQQFDNTANTKIHFETTGPEIWEDTMGNVDIFVAGIGTGGTVTGTGGFLKMMNKDIKVVGVEPSERSVISGDNPGYLPGILDVKLLDEVFKVSNGEAIEMARRLALEEGLLVGISSGAAAVAAVSLAKRAENAGKLITVLFPSHGERYITTALFSSINREVQEMRY", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSAAQGMAYKLRTDAAPTGAGRRARRSHSSVAAPYRAARLVQGGVSIEGGLVGGCQLTEERVAARPPRAAARDAEPVRPLSTLPESSIGLYDPSRERDSCGVGFVAELSGDYKRATVNDALEMLERMAHRGACGCEKNTGDGAGILVALPHNFFREVTKDAGFELPQPGEYAVGMVFLPIDEKRRERSKAEFQKVAESLGHVILGWRRVPTDNSDLGESALQTEPVIEQVFLTKSSSSEADFEQQLYILRRLSILSIRAALNLRRGGKRDFYMCSLSSRTIVYKGQLKPCQLKGYYYADLGHENFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLKGNKNWMKAREGLLECEKLGLTKDQFSKILPIVDATSSDSGAFDGVLELLIRGGRSLPEAVMMMIPEAWQNDVNMEPEKKALYEFLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVVMGSEVGVVDVPSKDVLRKGRLNPGMMLLVDFENHTVVDDEALKAQYSKAHPYGEWLKRQKIYLKDIVESVPETERVAPGISGSLTQKNEKKEHAGVNGIVTPLKAFGYTVEALEMLLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLLETTEKQCNRLALEGPLVSIDEMEAIKKMNYRGWRSKVLDITYPKKSGRKGLEETLDRICTEARGAIKKGYTVLVLSDRGFSSDRVAVSSLLAVGAVHQHLVANLERTRVGLLVESAEPREVHHFCTLVGFGADAVCPYLAIEAIWCLQNDGKIPPNGDGKPYSKEELVKKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIRKCFDGTPSRIEGATFEMLARDALRLHELAFPSRAPPPGSADAKALPNPGDYHWRKNGEVHLNDPLAMAKLQEAARVNSRAAYKEYSRRIQELNKTCNLRGMLKFKDTADMISVDEVEPASEIVKRFVTGAMSYGSISLEAHTALAMAMNKLGGKSNTGEGGEQPSRMEPLANGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPRARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAILQTDGQLKTGKDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMSQLGFRTITEMVGRSDMLEVDPEVVKSNEKLENIDLSLILKPAAEIRPGAAQYCVEKQDHGLDMALDNKLIALSKAALEKEVRVFIETPIQNTNRAVGTMLSHEVTKRYHMKGLPAGTIHVKLTGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRDSTFIPEDNIVIGNVALYGATIGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDIDGKFSVRCNHELVDLYHVEEEEDITTLKMMIEQHRLNTGSVVARDILSNFDTLLPKFVKVFPRDYKRVLDNMKAEKAAAKLAKEPKISNGVSVTTKKVQPEQSTNRPTRVSNAKKYRGFISYERESISYRDPNERVKDWKEVAIESVPGPLLNTQSARCMDCGTPFCHQESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLQRTGKKVAIIGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKTDKIEIVQRRVNLMAEEGITFVVNANVGSDPLYSIERLRSENDAVILACGATKPRDLGIPGRELSGVHFAMEFLHANTKSLLDSNLEDGRYISAKGKKVVVIGGGDTGTDCIGTSIRHGCTSIVNLELLTKPPSKRAADNPWPQWPRIFRVDYGHQEASSKFGNDPRTYEVLTKRFIGDENGNVKALEVVRVKWEKVDGRFQFKEIEGSNETIEADLVLLAMGFLGPEATIAEKLGLEKDNRSNFKAQFGNFATSVDGIFAAGDCRRGQSLVVWAITEGRQAAAAVDKYLSRNEQDAAEDITPSGAGFVQPVAA", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVAVRFYAVEMSLPCLCPCPSSPISLSLCSPRFNLLNTTSRRLGLSRNCRTLRISCSSSSTVTDQTQQSSFNDAELKLIDALIGIQGRGKSASPKQLNDVESAVKVLEGLEGIQNPTDSDLIEGRWRLMFTTRPGTASPIQRTFTGVDVFTVFQDVYLKATNDPRVSNIVKFSDFIGELKVEAVASIKDGKRVLFRFDRAAFDLKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSPSGNLRISRGNKGTTFVLQKETVPRQKLLATISQDKGVAEAIDEFLASNSNSAEDNYELLEGSWQMIWSSQMYTDSWIENAANGLMGRQIIEKDGRIKFEVNIIPAFRFSMKGKFIKSESSTYDLKMDDAAIIGGAFGYPVDITNNIELKILYTDEKMRISRGFDNIIFVHIREI", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MATVTTHASASIFRPCTSKPRFLTGSSGRLNRDLSFTSIGSSAKTSSFKVEAKKGEWLPGLASPDYLTGSLAGDNGFDPLGLAEDPENLKWFVQAELVNGRWAMLGVAGMLLPEVFTKIGIINVPEWYDAGKEQYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKQYSLPKGEVGYPGGIFNPLNFAPTQEAKEKELANGRLAMLAFLGFVVQHNVTGKGPFENLLQHLSDPWHNTIVQTFN", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSQSIQFSTPSHTPHLLHLPHSQFNRPLSSISFRRFPLTTIKYTSIRASSSSSPSPDLDSSSSSSSSQVLLSPNGTGAVKSDERSVVATAVTTDTSGIEVDTVTEAELKENGFRSTRRTKLICTIGPATCGFEQLEALAVGGMNVARLNMCHGTRDWHRGVIRSVRRLNEEKGFAVAIMMDTEGSEIHMGDLGGEASAKAEDGEVWTFTVRAFDSSRPERTISVSYDGFAEDVRVGDELLVDGGMVRFEVIEKIGPDVKCLCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVINHLKSYLAARSRGGEIGVIAKIESIDSLTNLEEIILASDGAMVARGDLGAQIPLEQVPAAQQRIVQVCRALNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRSDALMLSGESAMGQFPDKALTVLRTVSLRIERWWREEKRHESVPLQAIGSSFSDKISEEICNSAAKMANNLGVDAVFVYTTSGHMASLVSRCRPDCPIFAFTTTTSVRRRLNLQWGLIPFRLSFSDDMESNLNKTFSLLKSRGMIKSGDLVIAVSDMLQSIQVMNVP", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAGVNLQLRHAYSIAQFVPTVSSPPPLPTQRVRLGTSPSRVLLCNLRANSAAAPILRTTRRSVIVSASSVSSAVDSDSLVEDRDDVGRIPLLEVRDLRAVIAESRQEILKGVNLVVYEGEVHAVMGKNGSGKSTFSKVLVGHPDYEVTGGSIVFKGQNLLDMEPEDRSLAGLFMSFQSPVEIPGVSNMDFLNMAFNARKRKLGQPELDPIQFYSHLVSKLEVVNMKTDFLNRNVNEGFSGGERKRNEILQLAVLGAELAILDEIDSGLDVDALQDVAKAVNGLLTPKNSVLMITHYQRLLDYIKPTLIHIMENGRIIKTGDNSLAKLLEKEGYKAISG", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MALNLSHQLGVLAGTPIKSGEMTDSSLLSISPPSARMMTPKAMNRNYKAHGTDPSPPMSPILGATRADLSVACKAFAVENGIGTIEEQRTYREGGIGGKKEGGGGVPVFVMMPLDSVTMGNTVNRRKAMKASLQALKSAGVEGIMIDVWWGLVEKESPGTYNWGGYNELLELAKKLGLKVQAVMSFHQCGGNVGDSVTIPLPQWVVEEVDKDPDLAYTDQWGRRNHEYISLGADTLPVLKGRTPVQCYADFMRAFRDNFKHLLGETIVEIQVGMGPAGELRYPSYPEQEGTWKFPGIGAFQCYDKYSLSSLKAAAETYGKPEWGSTGPTDAGHYNNWPEDTQFFKKEGGGWNSEYGDFFLSWYSQMLLDHGERILSSAKSIFENMGVKISVKIAGIHWHYGTRSHAPELTAGYYNTRFRDGYLPIAQMLARHNAIFNFTCIEMRDHEQPQDALCAPEKLVNQVALATLAAEVPLAGENALPRYDDYAHEQILKASALNLDQNNEGEPREMCAFTYLRMNPELFQADNWGKFVAFVKKMGEGRDSHRCREEVEREAEHFVHVTQPLVQEAAVALTH", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASFTCSSPSSILPIIDTRSGNLRCTFQSQVSCGIQRDDNGRRVWRRRTLTKKDDMLRYKMQRVPFVEEQVRKIREVGKVMTMDIEQLLLREDNRFEFVNSVAAEATEYVDKNRDEYGGSKKAIFHVLSNRVNDLGFDRPEAYVEADPYKPGPGYLLEYYT", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDSIVSSSTILMRSYLTPPVRSCSPATSVSVKPLSSVQVTSVAANRHLLSLSSGARRTRKSSSSVIRCGGIKEIGESEFSSTVLESAQPVLVEFVATWCGPCKLIYPAMEALSQEYGDKLTIVKIDHDANPKLIAEFKVYGLPHFILFKDGKEVPGSRREGAITKAKLKEYIDGLLNSISVA", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MMQTCCIHQSFCFPHRVFPRFDASIGIKPPKLCQVGFIGKTQSYGISSPIRQRRLYVNLNANDGHPSMSMLEEETSTENNAPSQEAELPFSKWSPSKYIWRGLSVPIIAGQVVLRILKGKIHWRNTLQQLERTGPKSLGVCLLTSTFVGMAFTIQFVREFTRLGLNRSIGGVLALAFSRELSPVITSIVVAGRMGSAFAAELGTMQVSEQTDTLRVLGADPIDYLITPRVIASCLALPFLTLMCFTVGMASSALLSDAVYGISINIIMDSAHRALRPWDIVSAMIKSQVFGAIISVISCSWGVTTTGGAKGVGESTTSAVVMSLVGIFIADFVLSSFFFQGAGDSLKNCV", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASSLPLLPKPISPFFKTPPFSTSKPLVFLNFQTRLTSRSSDVSVNLKKKNNPWLDPFDSGEDPDNEYGSLFADGKQDEDPRPPDNPDNPYGFLKFPKGYTVELASLPLKIRGDVRRCCCVISGGVYENLLFFPTIQLIKDRYPGVQVDILTTERGKQTYELNKNVRWANVYDPDDHWPEPAEYTDMIGLLKGRYYDMVLSTKLAGLGHAAFLFMTTARDRVSYIYPNVNSAGAGLMLSETFTAENTNLSELGYSMYTQMEDWLGRPFRSVPRTPLLPLRVSISRKVKEVVAAKYRNAGAVTGKFIVIHGIESDSKASMQSKGDADSLLSLEKWAKIIKGVRGFKPVFVIPHEKERENVEDFVGDDTSIVFITTPGQLAALINDSAGVIATNTAAIQLANARDKPCIGLFSSEEKGKLFVPYAEEKSNCVIIASKTGKLADIDIGTVKNAMQVFEGSLALV", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAATTSFSSSLSLITKPNNSSYTNQPLPLFPKPFLKPPHLSLLPSPLSSPPPSLIHGVSSYFSSPSPSEDNSHTPFDYHNDEDDEKPREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVTVSPEKVLQTITGVGLVSEVFNESKLDQLPGEFAIGHVRYSTAGASMLKNVQPFVAGYRFGSIGVAHNGNLVNYKTLRAMLEENGSIFNTSSDTEVVLHLIAISKARPFFMRIIDACEKLQGAYSMVFVTEDKLVAVRDPYGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVYPGEVLVVDKDGVKSQCLMPKFEPKQCIFEHIYFSLPNSIVFGRSVYESRHVFGEILATESPVECDVVIAVPDSGVVAALGYAAKSGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRGVLEGKRVVVVDDSIVRGTTSSKIVRLLREAGAKEVHMRIASPPIVASCYYGVDTPSSEELISNRLSVEEINEFIGSDSLAFLSFDTLKKHLGKDSKSFCYACFTGDYPVKPTEVKVKRGGGDFIDDGLVGSFENIEAGWVR", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAFSSSIFSVTQFSSSLASRPSSAISRQTRFPAQIASPDLSPALFDDRRKFVGGVMSLLTKSIKNRVYASINSIDSAATPSYPKSEDDDDVVPMPMVMIDQDADPEATIVQLSFGNRLGALIDTMRALKDLGLDVIKGTVSTEGSIKQTKFSITKRDTGRKVEDPDLLEQIRLTIINNLLKYHPECSEQLAMGETFGIKAPEKKIDVDIATHIHVKEDGPKRSLLVIETADRPGLVVEMIKVMADVNIDVESAEIDTEGLVAKDKFHVSYQGQALNRSLSQVLVNCLRYFLRRPETDIDSY", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MATATTTATAAFSGVVSVGTETRRIYSFSHLQPSAAFPAKPSSFKSLKLKQSARLTRRLDHRPFVVRCEASSSNGRLTQQEFTEMAWQSIVSSPDVAKENKQQIVETEHLMKALLEQKNGLARRIFSKIGVDNTKVLEATEKFIQRQPKVYGDAAGSMLGRDLEALFQRARQFKKDLKDSYVSVEHLVLAFADDKRFGKQLFKDFQISERSLKSAIESIRGKQSVIDQDPEGKYEALEKYGKDLTAMAREGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLKEVTDSEGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDELDRSVIKLEMERLSLTNDTDKASRERLNRIETELVLLKEKQAELTEQWEHERSVMSRLQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLNEAEKELNEYLSSGKSMFREEVLGSDIAEIVSKWTGIPVSKLQQSERDKLLHLEEELHKRVVGQNPAVTAVAEAIQRSRAGLSDPGRPIASFMFMGPTGVGKTELAKALASYMFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYSVILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQFILNNTDDDANELSYETIKERVMNAARSIFRPEFMNRVDEYIVFKPLDREQINRIVRLQLARVQKRIADRKMKINITDAAVDLLGSLGYDPNYGARPVKRVIQQNIENELAKGILRGDFKEEDGILIDTEVTAFSNGQLPQQKLTFKKIESETADAEQEEAAFSK", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAKIIGGCCSWRRFYRKRTSSRFLIFSVRASSSMDDMDTVYKQLGLFSLKKKIKDVVLKAEMFAPDALELEEEQWIKQEETMRYFDLWDDPAKSDEILLKLADRAKAVDSLKDLKYKAEEAKLIIQLGEMDAIDYSLFEQAYDSSLDVSRSLHHYEMSKLLRDQYDAEGACMIIKSGSPGAKSQIWTEQVVSMYIKWAERLGQNARVAEKCSLLSNKSGVSSATIEFEFEFAYGYLLGERGVHRLIISSTSNEECSATVDIIPLFLRASPDFEVKEGDLIVSYPAKEDHKIAENMVCIHHIPSGVTLQSSGERNRFANRIKALNRLKAKLLVIAKEQKVSDVNKIDSKNILEPREETRSYVSKGHKMVVDRKTGLEILDLKSVLDGNIGPLLGAHISMRRSIDAI", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAKITSLIGSGIVAATNQVGPHVKHIPAVGNLQKQIVSDQIQVRWSSTETSLKNDISATDVRGYKGHDMLAPFTAGWHSTDLEPLVIQKSEGSYVYDVNGKKYLDALAGLWCTSLGGNEPRLVAAATKQLNELAFYHSFWNRSTKPSLDLAKELLDLFTANKMAKAFFTNSGSEANDTQVKLVWYYNNALGRPDKKKFIARTKSYHGSTLISASLSGLPALHQQFDLPAPFVLHTDCPHFWRFHQPGETEEEFSTRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPATYFEKVQAILKKYDILFIADEVICGFGRLGTMFGCEKYNIKPDLVSVAKALSSGYMPIGAVLVSPEVSDVIYSQSNKLGTFSHGFTYSGHPVSCAVALETLKIYKERNIIEQVNRISPKFQEGLKAFSDSPIIGEIRGTGLLHGTEFTDNKSPNDPFPPEWGIGAYFGARCEKHGVLVRVAGDNIMMSPPYILSLEEIDELIIKYGKALKDTENRVEELKSQKKIKSS", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MALPLSGTRHLTRALLSNVTLMAPPRIPSSVHYGGSRLGCSTRFFSIRCGANRSGSTYSPLNSGSNFSDRPPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVVGSEEEAKKRIYNVSCERYLGFGCEIDEETSTKLEGLPGVLFVLPDSYVDPENKDYGAELFVNGEIVQRSPERQRRVEPQPQRAQDRPRYNDRTRYSRRRENTR", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEIRSLIVSMNPNLSSFELSRPVSPLTRSLVPFRSTKLVPRSISRVSASISTPNSETDKISVKPVYVPTSPNRELRTPHSGYHFDGTPRKFFEGWYFRVSIPEKRESFCFMYSVENPAFRQSLSPLEVALYGPRFTGVGAQILGANDKYLCQYEQDSHNFWGDRHELVLGNTFSAVPGAKAPNKEVPPEEFNRRVSEGFQATPFWHQGHICDDGRTDYAETVKSARWEYSTRPVYGWGDVGAKQKSTAGWPAAFPVFEPHWQICMAGGLSTGWIEWGGERFEFRDAPSYSEKNWGGGFPRKWFWVQCNVFEGATGEVALTAGGGLRQLPGLTETYENAALVCVHYDGKMYEFVPWNGVVRWEMSPWGYWYITAENENHVVELEARTNEAGTPLRAPTTEVGLATACRDSCYGELKLQIWERLYDGSKGKVILETKSSMAAVEIGGGPWFGTWKGDTSNTPELLKQALQVPLDLESALGLVPFFKPPGL", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDAISSLGTNCVLSGVSPFSQENQSKSKLSPFMSLDLKEHPMASADFTNQTLTAFSSSSASPFQAKTSSIGMSRGMRWWEKSTNHNMLEIQSANHLVDSLLNAGDRLVVLDFYSPGCGGCKSLHPKICQLAETNPNVMFLKVNQEELRTMCHGLNVHVLPFFKFYRGAEGKVCSFSCTIATINKFKKALDKHGSERCSLGDAKGLDEKELAALASVGELKMNSLTMHQASNIGYKTEEQYQTMVL", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAATSLVLTCASPLFSSPRVISATKKLTTELSISTAKFRRRCSGNNDEVLLEGMPPEYYDDEWQARQREKTKELRRMQREEEEEEERKIEEYREIGTRLKEFPEQDLRKARKLVSSFIRAAEEVEERIEEAAEKGELDELVLMIIWNRLDLARRDDEKDAIRSLDLLYRRVETEILKRQASPAMKLLNDLLNMHDGFEDDAWLKDCRKRMAETFPREDPFSILMPPGFDIDMHQGQLRPPIETETDNTLLRVDFVREVDALLQEVRIEEDATTGSKGEGLDPEAIALKFKQQEKQRTIRQIEAILDLALNLKW", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEGTCFLRGQPLTTIPSLPSRKGFLLQRWKTNRIVRFSGFKNHSVSGKSRSFDLSLRASGPIRASSVVTEASPTNLNSKEEDLVFVAGATGKVGSRTVRELLKLGFRVRAGVRSAQRAGSLVQSVKEMKLQNTDEGTQPVEKLEIVECDLEKKDSIQPALGNASVIICCIGASEKEISDITGPYRIDYLATKNLVDAATSAKVNNFILVTSLGTNKFGFPAAILNLFWGVLCWKRKAEEALIESGLNYAIVRPGGMERPTDAYKETHNLTLALDDTLFGGQVSNLQVAELLACMAKNPQLSFSKIVEVVAETTAPLTPIEKLLEKIPSKRPYVPPPKASVATKEVKPVPTKPVTQEPTAPKEDEAPPKEKNVKPRPLSPYASYEDLKPPTSPIPNSTTSVSPAKSKEVDATQVPVEANVVPVPDSTSNVPVVEVKQVEEKKERPLSPYARYENLKPPSSPSPTASSTRKSDSLSPGPTDSDTDKSSTVAKTVTETAVATSVTETSVATSVPETAVATSVTETAAPATSKMRPLSPYAIYADLKPPTSPTPASTGPKEAASVEDNSELPGGNNDVLKTVDGNLNTIPPSTPEAVPVVSSAIDTSLASGDNTAQPKPRPLSPYTMYADMKPPTSPLPSPVTNH", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGTLSLSSSLKPSLVSSRLNSSSSASSSSFPKPNNLYLKPTKLISPPLRTTSPSPLRFANASIEMSQTQESAIRGAESDVMGLLLRERIVFLGSSIDDFVADAIMSQLLLLDAKDPKKDIKLFINSPGGSLSATMAIYDVVQLVRADVSTIALGIAASTASIILGAGTKGKRFAMPNTRIMIHQPLGGASGQAIDVEIQAKEVMHNKNNVTSIIAGCTSRSFEQVLKDIDRDRYMSPIEAVEYGLIDGVIDGDSIIPLEPVPDRVKPRVNYEEISKDPMKFLTPEIPDDEIY", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEVTSTTFISTTRSSKYLTLTSYSPVILPASTLRRDFLGCCHSLRPSPHLRTRAGKRNSRRSSIRSPRLVVRASIDSGLILIVVAVTAFSAIAFAYCQSTFRKRKSSDEVATVHGGKNSAENRREIHGDIHEGNPVEINVGFRKVEEESVNLLEEEKAHQIHEVAVMDYDSVSAEDSQFAVASVTTVATAHTLIDESFSSSIVNGSVALESATFGVKTPEKQVGNSEDQKGLEHDFSQAVVGIHSIASPQVVDDTRALEYEYNGLLQKPLEYSIFAESKREEIHTFYGSNHSSAKSSRLPSLKAVSPAVTSATNSLFLDHKNNGVIDTQFPGQSSGQATGDVQEENLVAHSNGGVSHIRKDVKGDWKFPSDGKHVGHQIDESMPQFPARNFELHNSNGRSPETSDAYNRLLRDGRIKDCISLLEDLDQRDLLDMDKIYHASFFKACKKQRAVKEAFRFTKLILNPTMSTFNMLMSVCASSQDIEGARGVLRLVQESGMTADCKLYTTLISSCAKSGKVDAMFEVFHQMSNSGVEANLHTFGALIDGCARAGQVAKAFGAYGILRSKNVKPDRVVFNALISACGQSGAVDRAFDVLAEMKAETHPIDPDHISIGALMKACCNAGQVERAKEVYQMIHKYGIRGTPEVYTIAVNSCSKSGDWDFACSIYKDMKEKDVTPDEVFFSALIDVAGHAKMLDEAFGILQDAKSQGIRLGTISYSSLMGACCNAKDWKKALELYEKIKSIKLRPTISTMNALITALCEGNQLPKAMEYLDEIKTLGLKPNTITYSMLMLASERKDDFEVSFKLLSQAKGDGVSPNLIMCRCITSLCKRRFEKACAGGEPVVSFKSGRPQIENKWTSMALMVYRETISGGTVPTTEVVSQVLGCLQLPHDAALRDRLISTLGINISSQKQHNIFPLVDGFGEYDPRAFSLLEEATSLGVLPSVSFNKIPLFFDTTELPKNVAEVYLLTIFKGLKHRLAAGAKIPHINLIISIQEKEIRTPEGEKTIDLTGRVGQEIGALLRRLDIPYHRKDSRLRINGVSLKNWFQPKLDSPFSGGKPGDLRSSQVPLGNQISRQQRSIRLGNLSLE", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASLPVQFTRNQISSPFFSVNLRREPRSLVTVHCSAGENRENGEGVKKSLFPLKELGSIACAALCACTLTIASPVIAANQRLPPLSTEPDRCEKAFVGNTIGQANGVYDKPLDLRFCDYTNDQTNLKGKTLSAALMVGAKFDGADMTEVVMSKAYAVEASFKGVNFTNAVIDRVNFGKSNLKGAVFRNTVLSGSTFEEANLEDVVFEDTIIGYIDLQKICRNESINEEGRLVLGCR", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASYTVSFIPLTLSNPRIFVSRQNGSPSSSSRIPLTSSLLGKKLLATQPSHRCFVPKLRCLTSASTVLNVPIAQPENGSSDKIPKWSARAIKSLAMGELEARKLKYPSTGTEAILMGILVEGTSTVAKFLRGNGVTLFKVRDETLSLLGKSDMYFFSPEHPPLTEPAQKAIAWAIDEKNKSDVDGELTTAYLLLGVWSQKDSAGRQILEKLGFNEDKAKEVEKSMNEDVDLSFKKQGQ", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MFLQVTGTATPAMPAVVFLNSWRRPLSIPLRSVKTFKPLAFFDLKGGKGMSEFHEVELKVRDYELDQFGVVNNAVYANYCQHGRHEFLESIGINCDEVARSGEALAISELTMKFLSPLRSGDKFVVKARISGTSAARIYFDHFIFKLPNQEPILEAKGIAVWLDNKYRPVRIPSSIRSKFVHFLRQDDAV", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAASSACLLGNGLSVYTTKQRFQKLGLDRTSKVTVVKASLDEKKHEGRRGFFKLLLGNAAAGVGLLASGNANADEQGQGVSSSRMSYSRFLEYLDKGRVEKVDLYENGTIAIVEAVSPELGNRIQRVRVQLPGLSQELLQKLRAKNIDFAAHNAQEDQGSPILNLIGNLAFPVILIGGLFLLSRRSSGGMGGPGGPGFPLQIGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGVIVVAATNRADILDSALLRPGRFDRQVSVDVPDVKGRTDILKVHSGNKKFESGVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDAVQKVTLIPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEVIFGESEVTTGAVSDLQQITGLAKQMVTTFGMSEIGPWSLMDSSEQSDVIMRMMARNSMSEKLANDIDTAVKTLSDKAYEIALSQIRNNREAMDKIVEILLEKETMSGDEFRAILSEFTEIPPENRVASSTSTSTPTPASV", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSAASSSSVLHLRTNQQLLSLRSLKNSTSVASQLAVTSGVSRRRSCTARCSVKKPVIPESPFLGTRVRRSGSETLQFWRSDGPGRSAKLRTVVKSSFSAVPEKPLGLYDPSYDKDSCGVGFVAELSGETTRKTVTDSLEMLIRMTHRGACGCESNTGDGAGILVGLPHDFYAEAATELGFVLPSAGNYAVGMFFLPTVESRREESKNVFTKVAESLGHSVLGWRLVPTDNSGLGNSALQTEPIIAQVFLTPTTKSKADFEQQMYILRRVSMVAIRAALNLQHGAMKDFYICSLSSRTIVYKGQLKPDQLKDYYYADLGSERFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMRAREGLLKCNELGLSKKELKKLLPIVDVSSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDKNIDPSRKEFYEYLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYITHSGRVIMASEVGVVDVPPEDVMRKGRLNPGMMLLVDFEKHIVVDDDALKQQYSLARPYGEWLKRQKIELKDIIESVPEAERIAPSISGVVPASNDDDSMESMGIHGLLSPLKAFGYTVEALEMLLLPMAKDGSEALGSMGNDTPLAVMSNREKLCFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLKIEEMEAIKKMNYRGWRTKVLDITYAKERGTKGLEETLDRICDEANEAIKEGYTLLVLSDRAFSATRVAVSSLMAVGAVHHHLVKTLARTQVGLVVESAEPREVHHFCTLVGFGADAICPYLAVEAVYRLQVDGKIPPKSNGEFHSKEELVKKYYKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIQKCFAGTPSRVEGATFEMLARDGLQLHELAFPTRGYAPGSAEASALTNPGNYHWRKNGEIHLNDPLAIAKLQEAARTNSVAAYKEYSKRINELNKQSNLRGLMKFKDADVKIPLDEVEPASEIVKRFCTGAMSYGSISLEAHTTLAMAMNKLGGKSNTGEGGELPSRMEPLADGSRNPKRSSIKQIASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAITRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPGARISVKLVSEAGVGVIASGVVKGHADHVLIAGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAVAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEVREIMSGLGFRTVTEMIGRADMLELDREVVKNNDKLENIDLSLLLRPAAEIRPGAAQYCVQKQDHGLDMALDQELIALSKSALEKSLPVYIETPICNVNRAVGTMLSHEVTKRYHLTGLPKDTIHIKFTGSAGQSLGAFLCPGIMLELEGDSNDYVGKGLSGGKVVVYPPKGSSFDPKENIVIGNVALYGATSGEAYFNGMAAERFSVRNSGAKAVVEGLGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDGKFNTRCNLELVDLDKVEDEEDKMTLKMMIQQHQRHTNSQLAQEVLADFENLLPKFIKVFPRDYKRVLSAMKHEEVSKQAIERASEEADETEEKELEEKDAFAELKNMAAASSKEEMSGNGVAAEARPSKVDNAVKNGGFIAYEREGVKYRDPNVRLNDWNEVMEESKPGPLLTTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWQEALNRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPLKRTGKKVAIIGSGPAGLAAADQLNKMGHLVTVYERSDRIGGLMMYGVPNMKTDKIDVVQRRVDLMTKEGINFVVNANIGKDPSYSLDGLKEENDAIVLAVGSTKPRDLPVPGRDLSGVHFAMEFLHANTKSLLDSNHEDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCTNIVNLELLPQPPSTRAPGNPWPQWPRVFRIDYGHQEATTKFGKDPRTYEVLTKRFIGDDNGNVKGLELVRVSWEKDETGRFQFKEIEGSEEIIEADLVFLAMGFLGPEPTLAEKLGLECDNRSNFKAEYGRFSTTVEGVFAAGDCRRGQSLVVWAISEGRQAADQVDKFLTKTDDDEDAKLQQDLNQMKHNTITN", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "AEFERTFIAIKPDGVQRGLISEIVARFERKGFSLVAIKVVIPSRPFAQKHYADLKDKPFYVGLVAYWSSGPVVAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVNGRNIIHGSDGPETAKDEIKLWFKPEELVNYTHNAEKWIYGDN", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSVASFFSSLPARPFGYKDGRGRTGMVPTTDIGRRMVKPPVLACRPIESNTYHGSVTSVFLTKSSRSPSPSLSPTPTALDDEIVLDLKPFLIIYKSGRIERFLGTTVIPACPEVATKDVVIDPATGVSVRLYLPNVVDLPSKKLPVLVYFHGGGFVIENTGSPNYHNYLTLLAAKAGVLIVSINYRLAPEYPLPASYDDCMAGFNWVVSHSAGPALEPWLAQHGDFSQILLSGDSAGGNVTHYVAMRADAGVIEGVAIVHPYFLGSEPVGNEINDPANIEFHDKLWRLAAPDTEGLDDPLINPVAPGAPSLAGLKCKRAVVFVAGNDFLVERGRMYYEALVKSGWRGEAELVQHEGVGHVFHLSDYSGDISVAMMTKLIAFLKGE", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAAATVLGRLSLIPNLSSKPKLKSNRRTTSTSVSVRAQASFSDPFVLQLAESLEDSLSASPSSSLPLQRIRDSSAETLLSTPWPSRKDEPFRFTDTSLIRSSQIEPISTQQRNSEILDNLTETQFTNAVIIDGFVSNLTIGPSDLPDGVYFGKYSGLPDELTNRISEFIGNFDSGDLFWSINGMGAPDLMVIYVPEGCKVENPIYLRYFSGETGDRESKRLPVSNPRVFVLVEEGGEIGIVEEFVGKDEEGFYWTNPVLEVVVQKNAKLKHSYLQKESMASAHIKWTFVRQEAESEYELVEVSTGGKLGRHNVHVQQLGPDTLTELTTFHMCVNEQTLDLHSKIILDHPRGASRQLHKCIVAHSSGQAVFDGNVRVNRFAQQTNAGQLTRSLLLKPRATVNIKPNLQIIADDVKCSHGAAISDLEEDQLFYFQARGIDLETARRALISSFGSEVIEKFPNREIRDQARNHVKGLL", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAVLSTIYSITRASTPTMASLTNDSPSPLPSSSPSKLPSPTSPSKKPLKLRQVSKQMGSQNQQRRGNKPSIAQIERAFGSGSYRDSEGEMDMNTVFDELLLGHANKFESKIEKKLREIGEIFVARTEPKLRSSGKPVLMFTIQWILPIWIMSLLVACGVIKLPFSIPFLDDLIM", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAATAATTFSLSSSSSTSAAASKALKQSPKPSALNLGFLGSSSTIKACRSLKAARVLPSGANGGGSALSAQMVSAPSINTPSATTFDFDSSVFKKEKVTLSGHDEYIVRGGRNLFPLLPDAFKGIKQIGVIGWGSQAPAQAQNLKDSLTEAKSDVVVKIGLRKGSNSFAEARAAGFSEENGTLGDMWETISGSDLVLLLISDSAQADNYEKVFSHMKPNSILGLSHGFLLGHLQSLGQDFPKNISVIAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALGWSIALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVECLFRRYTESGMSEDLAYKNTVECITGVISKTISTKGMLALYNSLSEEGKKDFQAAYSASYYPSMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGKIDQTRMWKVGEKVRSVRPAGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILSQQALVAVDNGAPINQDLISNFLSDPVHEAIGVCAQLRPSVDISVTADADFVRPELRQA", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAASPKIGIGIASVSSPHRVSAASSALSPPPHLFFLTTTTTTRHGGSYLLRQPTRTRSSDSLRLRVSATANSPSSSSSGGEIIENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQMGGVPGGQLMTTTEVENFPGFPDGITGPDLMEKMRKQAERWGAELYPEDVESLSVTTAPFTVQTSERKVKCHSIIYATGATARRLRLPREEEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEALYLTKYARHVHLLVRRDQLRASKAMQDRVINNPNITVHYNTETVDVLSNTKGQMSGILLRRLDTGEETELEAKGLFYGIGHSPNSQLLEGQVELDSSGYVLVREGTSNTSVEGVFAAGDVQDHEWRQAVTAAGSGCIAALSAERYLTSNNLLVEFHQPQTEEAKKEFTQRDVQEKFDITLTKHKGQYALRKLYHESPRVILVLYTSPTCGPCRTLKPILNKVVDEYNHDVHFVEIDIEEDQEIAEAAGIMGTPCVQFFKNKEMLRTISGVKMKKEYREFIEANK", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNILRPPTSSSSSSFPPYPKPVSLTPPVSFTLIHNPINLCSINPPFTNAGRPIFQRSASGTANSSAEDLSSFLGSPSEAYSTHNDQELLFLLRNRKTDEAWAKYVQSTHLPGPTCLSRLVSQLSYQSKPESLTRAQSILTRLRNERQLHRLDANSLGLLAMAAAKSGQTLYAVSVIKSMIRSGYLPHVKAWTAAVASLSASGDDGPEESIKLFIAITRRVKRFGDQSLVGQSRPDTAAFNAVLNACANLGDTDKYWKLFEEMSEWDCEPDVLTYNVMIKLCARVGRKELIVFVLERIIDKGIKVCMTTMHSLVAAYVGFGDLRTAERIVQAMREKRRDLCKVLRECNAEDLKEKEEEEAEDDEDAFEDDEDSGYSARDEVSEEGVVDVFKKLLPNSVDPSGEPPLLPKVFAPDSRIYTTLMKGYMKNGRVADTARMLEAMRRQDDRNSHPDEVTYTTVVSAFVNAGLMDRARQVLAEMARMGVPANRITYNVLLKGYCKQLQIDRAEDLLREMTEDAGIEPDVVSYNIIIDGCILIDDSAGALAFFNEMRTRGIAPTKISYTTLMKAFAMSGQPKLANRVFDEMMNDPRVKVDLIAWNMLVEGYCRLGLIEDAQRVVSRMKENGFYPNVATYGSLANGVSQARKPGDALLLWKEIKERCAVKKKEAPSDSSSDPAPPMLKPDEGLLDTLADICVRAAFFKKALEIIACMEENGIPPNKTKYKKIYVEMHSRMFTSKHASQARIDRRVERKRAAEAFKFWLGLPNSYYGSEWKLGPRED", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNGHCLFAASPPRLFPLRSISSSVSPSGSYRIKFSDNVAVECRNLCFSVSTRQGISVPILRDCSFRIPSGQLWMILGPNGCGKSTLLKILAGVVNPSSGTVFVEKPKNFVFQNPDHQVVMPTVEADVAFGLGKYHDMNQEEVKSRVIKALEAVGMRDYMQRPIQTLSGGQKQRIAIAGALAEACKVLLLDELTTFLDESDQMGVIKAVKDLINAKKGDVTALWVTHRLEELKYADGAVYMENGRVVRHGDAATISDFIKAKQSSYIDQIGS", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAVVLRGGITGGFLHHRRDASSVITRRISSVKAAGGGINPTVAVERATWLPGLNPPPYLDGNLAGDYGFDPLGLGEDPESLKWYVQAELVHSRFAMLGVAGILFTDLLRTTGIRNLPVWYEAGAVKFDFASTKTLIVVQFLLMGFAETKRYMDFVSPGSQAKEGSFFFGLEAALEGLEPGYPGGPLLNPLGLAKDVQNAHDWKLKEIKNGRLAMMAMLGFFVQASVTHTGPIDNLVEHLSNPWHKTIIQTLFTSTS", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEASISFLGSTKPNISLFNPSSNVLPRRDFPLPALKLKKVSVLPRILHQKRLIRAQCSDGFKPEEDDGFVLEDVPHLTKFLPDLPSYPNPLKESQAYAIVKRTFVSSEDVVAQNIVVQKGSKRGVHFRRAGPRERVYFRSDEVKACIVTCGGLCPGINTVIREIVCGLNNMYGVNNILGIQGGYRGFYSKNTMNLTPKVVNDIHKRGGTFLQTSRGGHDTAKIVDNIQDRGINQVYIIGGGGTQKGAEKIYEEVERRGLQVAVSGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEVESVENGVGIVKLMGRYSGFIAMIATLANRDVDCCLIPESPFFLEGKGGLFEFIEERLKENRHMVIVIAEGAGQDYVAQSMRASETKDASGNRLLLDVGLWLTQQIKDHFTNVRKMMINMKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYSGFTVGPVNSRHAYIPISQVTEVTNTVKLTDRMWARLLASTNQPSFLTGEGALQNVIDMETQEKIDNMKISSI", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAVATAPSLNRHFPRRISNLYSRVKQRRPWLPPGDATLFNSRRNWDSHLFVYASSSSSPSSSPPSPNSPTDDLTAELCVNTGLDLFKRGRVKDALVQFETALSLAPNPIESQAAYYNKACCHAYRGEGKKAVDCLRIALRDYNLKFATILNDPDLASFRALPEFKELQEEARLGGEDIGDNFRRDLKLISEVRAPFRGVRKFFYFAFAAAAGISMFFTVPRLVQAIRGGDGAPNLLETTGNAAINIGGIVVMVSLFLWENKKEEEQMVQITRDETLSRLPLRLSTNRVVELVQLRDTVRPVILAGKKETVTLAMQKADRFRTELLRRGVLLVPVVWGERKTPEIEKKGFGASSKAATSLPSIGEDFDTRAQSVVAQSKLKGEIRFKAETVSPGEWERWIRDQQISEGVNPGDDVYIILRLDGRVRRSGRGMPDWAEISKELPPMDDVLSKLER", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTIITIISGMYIYSLLSQDAHHSQYGQNTNLVLKKPIPKPQTAAFNQESTMASTTLLPSTSTQFLDRTFSTSSSSSRPKLQSLSFSSTLRNKKLVVPCYVSSSVNKKSSVSSSLQSPTFKPPSWKKLCNDVTNLIPKTTNQNPKLNPVQRTAAMVLDAVENAMISHERRRHPHPKTADPAVQIAGNFFPVPEKPVVHNLPVTGTVPECIQGVYVRNGANPLHKPVSGHHLFDGDGMVHAVRFDNGSVSYACRFTETNRLVQERECGRPVFPKAIGELHGHLGIAKLMLFNTRGLFGLVDPTGGLGVANAGLVYFNGHLLAMSEDDLPYHVKVTQTGDLETSGRYDFDGQLKSTMIAHPKIDPETRELFALSYDVVSKPYLKYFRFTSDGEKSPDVEIPLDQPTMIHDFAITENFVVIPDQQVVFRLPEMIRGGSPVVYDEKKKSRFGILNKNAKDASSIQWIEVPDCFCFHLWNSWEEPETDEVVVIGSCMTPPDSIFNEHDETLQSVLSEIRLNLKTGESTRRPVISEQVNLEAGMVNRNLLGRKTRYAYLALTEPWPKVSGFAKVDLSTGEIRKYIYGEGKYGGEPLFLPSGDGEEDGGYIMVFVHDEEKVKSELQLINAVNMKLEATVTLPSRVPYGFHGTFISKEDLSKQALC", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLCGGSRVLQHLSDHNHHNSIGLGLGFCGAKIVQLSSFFLRPSQAMAKSHHFSRKLRQRMISSFGSHCRTSGEVPILHNCFSQREDDPELPVEGLSPVSGGIVALGKFDALHIGHRELTIQASRIGAPYLLSFVGMAEVLGWEPRAPIVAKCDRQRVLTSWASYCGDRAPEEYEIEFASVRHLTPRQFVEKLSKELRVCGVVAGENYRFGYKASGDASELVRLCEECGITACIINSVMDMKQGSAKRDSGDSKDRGQVSSTRVRQALAAGDMRYVSELLGRAHRLILRVRTQDMPSERMISVPRSSILNLPPGIGIYKACLLLVGDESSVPCTVVVDTSNIHVETEEVRLCNLDWSQEFRLVSVEFG", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATTSSNPLLLSSNFLGSQIIISAPTPKTTTKSLPFSVISRKRYQISQSEKLMKSLPSQAALAALLFSSSSPQALAVNEPVQPPAPTITAEAQSPNLSTFGQNVLMTAPNPQAQSSDLPDGTQWRYSEFLNAVKKGKVERVKFSKDGSVLQLTAVDNRRATVIVPNDPDLIDILAMNGVDISVSEGEGGNGLFDFIGNLLFPLLAFGGLFYLFRGGQGGAGGPGGLGGPMDFGRSKSKFQEVPETGVTFGDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGMGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVQILKVHSRGKAIGKDVDYEKVARRTPGFTGADLQNLMNEAAILAARRELKEISKDEISDALERIIAGPEKKNAVVSEEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGDENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAVGGAGGNPFLGQSMSSQKDYSMATADVVDAEVRELVEKAYVRAKEIITTQIDILHKLAQLLIEKETVDGEEFMSLFIDGQAELYVS", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MATASFNMQSVFAGGLTTRKINTNKLFSAGSFPNLKRNYPVGVRCMAEGGPTNEDSSPAPSTSAAQPLPKSPSPPPPMKPKVSTKFSDLLAFSGPAPERINGRLAMVGFVAALAVELSKGENVLAQISDGGVSWFLGTTAILTLASLVPLFKGISVESKSKGIMTSDAELWNGRFAMLGLVALAFTEFVKGGTLV", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MATHAALAVSRIPVTQRLQSKSAIHSFPAQCSSKRLEVAEFSGLRMSSIGGEASFFDAVAAQIIPKAVTTSTPVRGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLEVVVLNDSGGVKNASHLLKYDSMLGTFKAEVKIVDNETISVDGKLIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGASKVIITAPAKGADIPTYVMGVNEQDYGHDVANIISNASCTTNCLAPFAKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVINVEKKGLTAEDVNEAFRKAANGPMKGILDVCDAPLVSVDFRCSDVSTTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGAEAVGSGDPLEDFCKTNPADEECKVYD", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVSFASTLPSLVSFIPSPSSITNASRNPPQPGMICCKFRSELNNEDRFHRRDILQSVGAAVGMDLIARSSAFIEVANAADLIQRRQRSDFQSKIKLTLYDAIKANPDIIPSLLTLALNDAITYDKATKTGGPNGSIRFSSEISRPENKGLDAALNLLEESKKVIDLDSKGGPISYADLIQFAAQSAVKSTFIASAISKCGGNVEKGTLLYSAYGSNGQWGQFDRIFGRSDAQEPDPEGRVPQWDKASVQEMKDKFKAVGLGPRQLAVMSSFLGPDQAATEALLASDPEVLPWIQKYQRSRETVSRTDYEVDLITTVTKLSSLGQVINYEAYTYPPRKIDVTKLKL", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MISGSATASHGRVLLPSQRERRPVSTGSNILRFRETVPRQFSLMMVTKATAKYMGTKMREEKLSEMIEEKVKEATEVCEAEEMSEECRVAWDEVEEVSQARADLRIKLKLLNQDPLESFCQENPETDECRIYED", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIRVTGTAAPAMSVVFPTSWRQPVMLPLRSAKTFKPHTFLDLKGGKEMSEFHEVELKVRDYELDQFGVVNNAVYANYCQHGMHEFLESIGINCDEVARSGEALAISELTMNFLAPLRSGDKFVVKVNISRTSAARIYFDHSILKLPNQEVILEAKATVVWLDNKHRPVRIPSSIRSKFVHFLRQNDTV", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKMASSSSALSFPLSNIPTCSKKSQQFQKPASLSKSSHTHKPSLKTQILHHKFTKRNLLSLTTALGFTSALGTVLAHPAKAEPEAPIEATSNRMSYSRFLQHLKENEVKKVDLIENGTVAIVEISNPVVGKIQRVRVNLPGLPVDLVREMKEKNVDFAAHPMNVNWGAFLLNFLGNLGFPLILLVSLLLTSSSRRNPAGPNLPFGLGRSKAKFQMEPNTGITFEDVAGVDEAKQDFEEIVEFLKTPEKFSALGAKIPKGVLLTGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRARDLFNKAKANSPCIVFIDEIDAVGRMRGTGIGGGNDEREQTLNQILTEMDGFAGNTGVIVIAATNRPEILDSALLRPGRFDRQVSVGLPDIRGREEILKVHSRSKKLDKDVSLSVIAMRTPGFSGADLANLMNEAAILAGRRGKDKITLTEIDDSIDRIVAGMEGTKMIDGKSKAIVAYHEVGHAICATLTEGHDPVQKVTLVPRGQARGLTWFLPGEDPTLVSKQQLFARIVGGLGGRAAEDVIFGEPEITTGAAGDLQQVTEIARQMVTMFGMSEIGPWALTDPAVKQNDVVLRMLARNSMSEKLAEDIDSCVKKIIGDAYEVAKKHVRNNREAIDKLVDVLLEKETLTGDEFRAILSEYTDQPLNTDGDVRIRINDLISV", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAGLSLEFTVNTWNLRSLSQVPCPLRHGFRFPRRLTRRRTILMCSDSSSQSWNVPVLSSYEVGERLKLARGGQQFLAMYSSVVDGITTDPAAMVLPLDDHMVHRGHGVFDTALIINGYLYELDQHLDRILRSASMAKIPLPFDRETIKRILIQTVSVSGCRDGSLRYWLSAGPGDFLLSPSQCLKPTLYAIVIKTNFAINPIGVKVVTSSIPIKPPEFATVKSVNYLPNVLSQMEAEAKGAYAGIWVCKDGFIAEGPNMNVAFVVNGGKELVMPRFDNVLSGCTAKRTLTLAEQLVSKGILKTVKVMDVTVEDGKKADEMMLIGSGIPIRPVIQWDEEFIGEGKEGPIAKALLDLLLEDMRSGPPSVRVLVPY", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAVSFNTTLHQPSLSPSCSIKLYSGLKPQSASFLASGYQNLNKEFYGRVYKSLQSGTGKASRSRVKMMPIGTPRVPYRNREEGTWQWVDIWNALYRERVIFIGQNIDEEFSNQILATMLYLDTLDDSRRIYMYLNGPGGDLTPSLAIYDTMKSLKSPVGTHCVGLAYNLAGFLLAAGEKGHRFAMPLSRIALQSPAGAARGQADDIQNEAKELSRIRDYLFNELAKNTGQPAERVFKDLSRVKRFNAEEAIEYGLIDKIVRPPRIKEDAPRQDESAGLG", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MATALVSPLTSQLNHEAVCSKFVLPKSPFMSGSKLFSSNMPCSTVPRRTRRSHCFASAKDMSFDHIPKQFRGDNLKDGVMQNFKNVPQYFYGLNSAQMDMFMTEDSPVRRQAEKVTEESISSRNNYLNNGGIWSMSGMNAADARRYSMSVQMYRGGGGGGGSERPRTAPPDLPSLLLDARICYLGMPIVPAVTELLVAQFMWLDYDNPTKPIYLYINSPGTQNEKMETVGSETEAYAIADTISYCKSDVYTINCGMAFGQAAMLLSLGKKGYRAVQPHSSTKLYLPKVNRSSGAAIDMWIKAKELDANTEYYIELLAKGTGKSKEQINEDIKRPKYLQAQAAIDYGIADKIADSQDSSFEKRDYDGTLAQRAMRPGGGSPAAPAGLR", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MITVSEVSSYSSSSSNFASLSRLNHKSSSRLRSSSLYKGSFFSVSTKTRRNTCKAKSWNLGLVINSRSSEASVFDPLGINPDETSGLSSIWESFVSLLSPSFESSSGNRRDKPSSGRGVAAAIEDSSIDFGDFFKGPLPGKFLKLLGFLALSRLGIYIPLGGVNREAFVGNLDQNSILSTLDTFSGGGIGRLGICSLGIVPFINAQIVFQLLAQVYPKLQDLQKKEGEAGRKKILQYTRYASVGFAIVQAIGQVFYLRPYVNDFSTEWVVSSVTLLTLGSVLTTYIGERISDLKLGNGTSLLIFTSIISYLPASFGRTTAEALQEGNYTGLGTIVVSFLLLVLGIVYVQEAERKIPLNYASRYTSKAGGLQKSAYLPFKVNSAGVMPIIFSTSSLALPATLARFTGISALKNVAFALTPGGSFYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTALFIKTVLGRISVLGSAFLAVLAAGPAVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYELDKYDP", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MANLVLSECGIRPLPRIYTTPRSNFLSNNNKFRPSLSSSSYKTSSSPLSFGLNSRDGFTRNWALNVSTPLTTPIFEESPLEEDNKQRFDPGAPPPFNLADIRAAIPKHCWVKNPWKSLSYVVRDVAIVFALAAGAAYLNNWIVWPLYWLAQGTMFWALFVLGHDCGHGSFSNDPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPMSEKIYNTLDKPTRFFRFTLPLVMLAYPFYLWARSPGKKGSHYHPDSDLFLPKERKDVLTSTACWTAMAALLVCLNFTIGPIQMLKLYGIPYWINVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGLINNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYREPDKSGPLPLHLLEILAKSIKEDHYVSDEGEVVYYKADPNLYGEVKVRAD", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKTTHFSSSSSSDRRIGALLRHLNSGSDSDNLSSLYASPTSGGTGGSVFSHLVQAPEDPILGVTVAYNKDPSPVKLNLGVGAYRTEEGKPLVLNVVRKAEQQLINDRTRIKEYLPIVGLVEFNKLSAKLILGADSPAIRENRITTVECLSGTGSLRVGGEFLAKHYHQKTIYITQPTWGNHPKIFTLAGLTVKTYRYYDPATRGLNFQGLLEDLGAAAPGSIVLLHACAHNPTGVDPTIQQWEQIRKLMRSKGLMPFFDSAYQGFASGSLDTDAKPIRMFVADGGECLVAQSYAKNMGLYGERVGALSIVCKSADVAGRVESQLKLVIRPMYSSPPIHGASIVAVILRDKNLFNEWTLELKAMADRIISMRKQLFEALRTRGTPGDWSHIIKQIGMFTFTGLNPAQVSFMTKEYHIYMTSDGRISMAGLSSKTVPHLADAIHAVVTKAV", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAFFSMISILLGFVISSFIFIFFFKKLLSFSRKNMSEVSTLPSVPVVPGFPVIGNLLQLKEKKPHKTFTRWSEIYGPIYSIKMGSSSLIVLNSTETAKEAMVTRFSSISTRKLSNALTVLTCDKSMVATSDYDDFHKLVKRCLLNGLLGANAQKRKRHYRDALIENVSSKLHAHARDHPQEPVNFRAIFEHELFGVALKQAFGKDVESIYVKELGVTLSKDEIFKVLVHDMMEGAIDVDWRDFFPYLKWIPNKSFEARIQQKHKRRLAVMNALIQDRLKQNGSESDDDCYLNFLMSEAKTLTKEQIAILVWETIIETADTTLVTTEWAIYELAKHPSVQDRLCKEIQNVCGGEKFKEEQLSQVPYLNGVFHETLRKYSPAPLVPIRYAHEDTQIGGYHVPAGSEIAINIYGCNMDKKRWERPEDWWPERFLDDGKYETSDLHKTMAFGAGKRVCAGALQASLMAGIAIGRLVQEFEWKLRDGEEENVDTYGLTSQKLYPLMAIINPRRS", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASLTSIATPYPSSSQALRLKSSGNTLFSAGVRSAAMVSGHKTLKIQCTSTKPAKPAAEVDWRQKRELLLEKRVRSVDVKEAQRLQKENNFVILDVRPEAEYKAGHPPGAINVEMYRLIREWTAWDIARRLGFAFFGIFSGTEENPEFIQSVEAKLDKEAKIIVACSSAGTMKPTQNLPEGQQSRSLIAAYLLVLNGYKNVFHLEGGIYTWGKEGLPVETIEED", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLSLSCSSSSSSLLPPSLHYHGSSSVQSIVVPRRSLISFRRKVSCCCIAPPQNLDNDATKFDSLTKSGGGMCKERGLENDSDVLIECRDVYKSFGEKHILKGVSFKIRHGEAVGVIGPSGTGKSTILKIMAGLLAPDKGEVYIRGKKRAGLISDEEISGLRIGLVFQSAALFDSLSVRENVGFLLYERSKMSENQISELVTQTLAAVGLKGVENRLPSELSGGMKKRVALARSLIFDTTKEVIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMTDEDAVGKPGKIASYLVVTHQHSTIQRAVDRLLFLYEGKIVWQGMTHEFTTSTNPIVQQFATGSLDGPIRY", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MTSFSLTFTSPLLPSSSTKPKRSVLVAAAQTTAPAESTASVDADRLEPRVELKDGFFILKEKFRKGINPQEKVKIEREPMKLFMENGIEELAKKSMEELDSEKSSKDDIDVRLKWLGLFHRRKHQYGKFMMRLKLPNGVTTSAQTRYLASVIRKYGEDGCADVTTRQNWQIRGVVLPDVPEILKGLASVGLTSLQSGMDNVRNPVGNPIAGIDPEEIVDTRPYTNLLSQFITANSQGNPDFTNLPRKWNVCVVGTHDLYEHPHINDLAYMPANKDGRFGFNLLVGGFFSPKRCEEAIPLDAWVPADDVLPLCKAVLEAYRDLGTRGNRQKTRMMWLIDELGVEGFRTEVEKRMPNGKLERGSSEDLVNKQWERRDYFGVNPQKQEGLSFVGLHVPVGRLQADDMDELARLADTYGSGELRLTVEQNIIIPNVETSKTEALLQEPFLKNRFSPEPSILMKGLVACTGSQFCGQAIIETKLRALKVTEEVERLVSVPRPIRMHWTGCPNTCGQVQVADIGFMGCLTRGEEGKPVEGADVYVGGRIGSDSHIGEIYKKGVRVTELVPLVAEILIKEFGAVPREREENED", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MASLITTKAMMSHHHVLSSTRITTLYSDNSIGDQQIKTKPQVPHRLFARRIFGVTRAVINSAAPSPLPEKEKVEGERRCHVAWTSVQQENWEGELTVQGKIPTWLNGTYLRNGPGLWNIGDHDFRHLFDGYSTLVKLQFDGGRIFAAHRLLESDAYKAAKKHNRLCYREFSETPKSVIINKNPFSGIGEIVRLFSGESLTDNANTGVIKLGDGRVMCLTETQKGSILVDHETLETIGKFEYDDVLSDHMIQSAHPIVTETEMWTLIPDLVKPGYRVVRMEAGSNKREVVGRVRCRSGSWGPGWVHSFAVTENYVVIPEMPLRYSVKNLLRAEPTPLYKFEWCPQDGAFIHVMSKLTGEVVASVEVPAYVTFHFINAYEEDKNGDGKATVIIADCCEHNADTRILDMLRLDTLRSSHGHDVLPDARIGRFRIPLDGSKYGKLETAVEAEKHGRAMDMCSINPLYLGQKYRYVYACGAQRPCNFPNALSKVDIVEKKVKNWHEHGMIPSEPFFVPRPGATHEDDGVVISIVSEENGGSFAILLDGSSFEEIARAKFPYGLPYGLHGCWIPKD", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAATRVLTAATAVTQTTSCFLAKQAFTLPAKKSCGGFGGLCFSRRALVLKSKRPFSCSAIYNPQVKVQEEGPAESLDYRVFFLDGSGKKVSPWHDIPLTLGDGVFNFIVEIPKESKAKMEVATDEDFTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSHANSEVEGCFGDNDPVDVVEIGETQRKIGDILKIKPLAALAMIDEGELDWKIVAISLDDPKAHLVNDVEDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGDKPANKDYALKIIQETNESWAKLVKRSVDAGDLSLY", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MWSQSFLGSAPKLCLFSSSLPPFSHHKIHKFFCFAQNPSSTVSINLSKRHLNLSILTLFFNGFLLDNKAKSMEELQRYTDSNNGFTLLIPSSYTKVEKAGANALFEELNNGSNNIGVVVSPVRIKSLDQFGSPQFVADKLINAEKRKESTKEAEVVSVGERAGLGQQVYEFEYKIDSTRGGIKRVFSAAFVSSNKLYLLNVVHSDKPENPLDSSTRMSLEQVLHSFDALPLT", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASTASYSPSPTSQWRTQKLPKRFNFYVIHNQEFGKLSQSSSLPTSSFPKTLKLPVIQMPINNNIQSQTTVCVSTDENLEELVNLQKIANGVLTKESNKRVFIQDPPWVSSLFMNSLFVRAKQVQGVRREFREIERRRRYAMLRRRQIKAETEAWEQMVEEYRELEREMCEKKLAPNLPYVKKLLLGWFEPLRQAIEKEQNAETTVKHRAAFAPHIDSLPADKMAVIVMHKLMGLLMMGGKEERCVQVVQAAVQIGMAVENEVRIHNFLEKTKKLQKHMTGAQSQEDMSRETMILRKRVKSLIKRNRVVEVRKLMKSEEPESWGRDTQAKLGCRLLELLTETAYVQPPVDQSADTPPDIRPAFRHVFRIATRDPGKSIVKKYGVIECDPLVVAGVDRTVKQMMIPYVPMLVPPKKWRGYDKGGYLFLPSYLMRTHGSRRQQDAVRSVPTKQMQQVYEALDTLGSTKWRVNKRILSVVESIWAGGGNIAGLVDRKDVPIPELHSDDIMEVKKWKWRVRKSKKINQELHSQRCDTELKLSVARKLKDEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGILEFAEGRPLGKSGLRWLKIHLASLYAGGIEKLCYDARLAFVENHIDDILDSANNPLNGNRWWLNAEDPFQCLAACINLSEALKSSSPHTVFSHLPIHQDGSCNGLQHYAALGRDSMEAAAVNLVAGDKPADVYTEIALRVDHIIRGDSIKDPATDPNALLAKLLIDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLEEKGLIDDDRLLFTASCYAAKVTLAALGELFQAARGTMTWLGDCAKVIASENQPVRWTTPLGLPVVQPYFKTQRHVIRTSLQVLALQREGDTVEVRKQRTAFPPNFVHSLDGSHMMMTAVACRDAGLQFAGVHDSFWTHACDVDQMNRILREKFVELYSMPILEDLLESFQNSYPALTFPPLPKRGDFDLVEVLESPYFFN", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MESIHCNSLLNPNFSLNQRRRRINHAVLNRRDALLRSLNAVELRRSRTFSAVRTSNFSVTAAATDVGGRNSTDASVMTTAMSGVERGVRVGKSSSALEQLDIERGVCVPFRKYSPETVRSKVLESRGAVVSLVSRGVEIVWTLGLYWSTLTYDFLVGRDEEVVPFRARQLRNLLCNLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNEVAFNIIEEELGQPLENIFSKISSQTIAAASLGQVYRATLRATGEDVAIKVQRPQIEPIIYRDLFLFRTLASFLNGFSLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPGVYKNLCGPRVLVMEWIDGIRCTDPQAIKDAGIDLNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMQDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYGEMANDFTRLGFLAKDTDVSPIVPALEAIWQNSAGKGLADFNFRSVTGQFNKLVYDFPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLLSLAKENVAKMSSNPNLRVKRVESKLDLTDTIKDGARLFLLDEGIRRKLILALTEDSKLHVEELVDVYRLVEDEVDIPTLAMQVVQDLPNVFRDFVLSWSNSVLSDR", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASLGVSEMLGTPLNFRAVSRSSAPLASSPSTFKTVALFSKKKPAPAKSKAVSETSDELAKWYGPDRRIFLPDGLLDRSEIPEYLNGEVAGDYGYDPFGLGKKPENFAKYQAFELIHARWAMLGAAGFIIPEALNKYGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLVLAVVAEVVLLGGAEYYRITNGLDFEDKLHPGGPFDPLGLAKDPEQGALLKVKEIKNGRLAMFAMLGFFIQAYVTGEGPVENLAKHLSDPFGNNLLTVIAGTAERAPTL", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSLPIPPKFLPPLKSPPIHHHQTPPPLAPPRAAISISIPDTGLGRTGTILDESTSSAFRDYQSLFVSQRSETIEPVVIKPIEGSIPVNFPSGTYYLAGPGLFTDDHGSTVHPLDGHGYLRAFHIDGNKRKATFTAKYVKTEAKKEEHDPVTDTWRFTHRGPFSVLKGGKRFGNTKVMKNVANTSVLKWAGRLLCLWEGGEPYEIESGSLDTVGRFNVENNGCESCDDDDSSDRDLSGHDIWDTAADLLKPILQGVFKMPPKRFLSHYKVDGRRKRLLTVTCNAEDMLLPRSNFTFCEYDSEFKLIQTKEFKIDDHMMIHDWAFTDTHYILFANRVKLNPIGSIAAMCGMSPMVSALSLNPSNESSPIYILPRFSDKYSRGGRDWRVPVEVSSQLWLIHSGNAYETREDNGDLKIQIQASACSYRWFDFQKMFGYDWQSNKLDPSVMNLNRGDDKLLPHLVKVSMTLDSTGNCNSCDVEPLNGWNKPSDFPVINSSWSGKKNKYMYSAASSGTRSELPHFPFDMVVKFDLDSNLVRTWSTGARRFVGEPMFVPKNSVEEGEEEDDGYIVVVEYAVSVERCYLVILDAKKIGESDAVVSRLEVPRNLTFPMGFHGLWASD", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAIFSTAQPLSLPRHPNFSNPNQPTTNNERSRHISLIERCVSLRQLKQTHGHMIRTGTFSDPYSASKLFAMAALSSFASLEYARKVFDEIPKPNSFAWNTLIRAYASGPDPVLSIWAFLDMVSESQCYPNKYTFPFLIKAAAEVSSLSLGQSLHGMAVKSAVGSDVFVANSLIHCYFSCGDLDSACKVFTTIKEKDVVSWNSMINGFVQKGSPDKALELFKKMESEDVKASHVTMVGVLSACAKIRNLEFGRQVCSYIEENRVNVNLTLANAMLDMYTKCGSIEDAKRLFDAMEEKDNVTWTTMLDGYAISEDYEAAREVLNSMPQKDIVAWNALISAYEQNGKPNEALIVFHELQLQKNMKLNQITLVSTLSACAQVGALELGRWIHSYIKKHGIRMNFHVTSALIHMYSKCGDLEKSREVFNSVEKRDVFVWSAMIGGLAMHGCGNEAVDMFYKMQEANVKPNGVTFTNVFCACSHTGLVDEAESLFHQMESNYGIVPEEKHYACIVDVLGRSGYLEKAVKFIEAMPIPPSTSVWGALLGACKIHANLNLAEMACTRLLELEPRNDGAHVLLSNIYAKLGKWENVSELRKHMRVTGLKKEPGCSSIEIDGMIHEFLSGDNAHPMSEKVYGKLHEVMEKLKSNGYEPEISQVLQIIEEEEMKEQSLNLHSEKLAICYGLISTEAPKVIRVIKNLRVCGDCHSVAKLISQLYDREIIVRDRYRFHHFRNGQCSCNDFW", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVSLSNQTRFSFHPNNLVVSEKRRLGISGVNFPRKIKLKITCFAAERPRQEKQKKKSQSQSTSDAEAGVDPVGFLTRLGIADRIFAQFLRERHKALKDLKDEIFKRHFDFRDFASGFELLGMHRHMEHRVDFMDWGPGSRYGAIIGDFNGWSPTENAAREGLFGHDDYGYWFIILEDKLREGEEPDELYFQQYNYVDDYDKGDSGVSAEEIFQKANDEYWEPGEDRFIKNRFEVPAKLYEQMFGPNSPQTLEELGDIPDAETRYKQWKEEHKDDPPSNLPPCDIIDKGQGKPYDIFNVVTSPEWTKKFYEKEPPIPYWLETRKGRKAWLQKYIPAVPHGSKYRLYFNTPDGPLERVPAWATYVQPEDEGKQAYAIHWEPSPEAAYKWKYSKPKVPESLRIYECHVGISGSEPKVSTFEEFTKKVLPHVKRAGYNAIQLIGVPEHKDYFTVGYRVTNFFAASSRYGTPDDFKRLVDEAHGLGLLVFLDIVHSYAAADQMVGLSLFDGSNDCYFHYGKRGHHKHWGTRMFKYGDLDVLHFLISNLNWWITEYQVDGYQFHSLASMIYTHNGFASFNNDLDDYCNQYVDRDALMYLILANEILHVQHPNIITIAEDATYYPGLCEPVSQGGLGFDYYVNLSASEMWVSLLDNVPDNEWSMSKIVSTLVANKEYADKMLSYAENHNQSISGGRSFAEILFGGVDNGSPGGKELLDRGISLHKMIRLITFTSGGRAYLNFMGNEFGHPERVEFPTQSNNFSFSLANRRWDLLESGVHHHLFSFDKELMDLDKSKGILSRGLPSIHHVNDANMVISFSRGPFLFIFNFHPSNSYEKYDVGVEEAGEYTMILNSDEVKYGGQGIVTEDHYLQRSISKRIDGQRNCLEVFLPSRTAQVYKLTRILRI", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPSPASNTWINTTLPSSCSSPFKDLASTSSSPTTLLPFKKRSSSNTNTITCSLQTLHYPKQYQPTSTSTTTTPTPIKPTTTTTTTTPHRETKPLSDTKQPFPQKWNFLQKAAATGLDMVETALVSHESKHPLPKTADPKVQIAGNFAPVPEHAADQALPVVGKIPKCIDGVYVRNGANPLYEPVAGHHFFDGDGMVHAVKFTNGAASYACRFTETQRLAQEKSLGRPVFPKAIGELHGHSGIARLLLFYARSLFQLVDGSHGMGVANAGLVYFNNHLLAMSEDDLPYHVRITSNGDLTTVGRYDFNGQLNSTMIAHPKLDPVNGDLHALSYDVVQKPYLKYFRFSADGVKSPDVEIPLKEPTMMHDFAITENFVVVPDQQVVFKLTEMITGGSPVVYDKNKTSRFGILDKNAKDANAMRWIDAPECFCFHLWNAWEEPETDEIVVIGSCMTPADSIFNECDESLKSVLSEIRLNLRTGKSTRRPIISDAEQVNLEAGMVNRNKLGRKTQFAYLALAEPWPKVSGFAKVDLFSGEVQKYMYGEEKFGGEPLFLPNGEEEGDGYILAFVHDEKEWKSELQIVNAQNLKLEASIKLPSRVPYGFHGTFIHSKDLRKQA", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASLLIFPHLHHFDSSLDRREVLVVRHSQASRRFLTPKASINGSGITNGAAAETTSKPSRKGRKKKQTSTVIEKDNTETDPELNPELADYDDGIEFPYDDPPLVCCFGAVQKEFVPVVRVHDNPMHPDMYSQWKMLQWDPPEFGRAPGGPPSNVAISHVRLGGRAAFMGKVGEDDFGDELVLMMNQERVQTRAVKFDENSKTACTRVKIKFKDGKMMAETVKEPPEDSLFASELNLAVLKEARIFHFNSEVLTSPTMQSTLFTAIQWSKKFGGLIFFDLNLPLPLWRSRNETRKLIKKAWNEANIIEVSQQELEFLLDEDYYERRRNYTPQYFAEDFDQTKNRRDYYHYTPEEIKSLWHDKLKLLVVTDGTLRLHYYTPTFDGVVIGTEDVLITPFTCDRTGSGDAVVAGIMRKLTTCPEMFEDQDVMERQLRFAVAAGIIAQWTIGAVRGFPTESATQNLKEQVYVPSMW", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTNQQEQHIAIFTTASIPWLTGTAVNPLFRAAYLANDGERRVTLVIPWLTLKHQKLVYPNSITFSSPSEQEAYVRQWLEERVSFRLAFEIRFYPGKFAIDKRSILPVGDISDAIPDEEADIAVLEEPEHLTWFHHGQKWKTKFNYVIGIVHTNYLEYVKREKQGRVKAFFLKYLNSWVVGIYCHKVIRLSAATQEYPKSIVCNVHGVNPKFLEIGLRKLEQQKLQEQPFTKGAYYIGKMVWSKGYKELLKLLEKHQKELAELEVDLYGDGEDSEEIKEAARKLDLTVNVYPGRDHADSLFHNYKVFLNPSTTDVVCTTTAEALAMGKIVVCANHISNKFFKQFPNCRTYDDGQGFVRATLKALGEQPSQLTEQQRHELSWEAATQRFIKVSDLNRLSRADSNLSKRSVFASSSISVGKNLEDMSAYIHFLASGFEASRTAFGAIPGSLQPDEELCRDLGLSLNTPSPNTRKQD", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MATASFNMQSVFAAPSGVLTTRNIRNTNQLFFKRIAPVGVRCMAQGDPIKEDPSVPSTSTSATPPQMPQSPPPPVSKPKVSTKFGDLLAFSGPAPERINGRLAMVGFVAAIAMELSKGENVFAQISDGGVGWFLGTTALLTLASMVPLFKGIRAEAKSKGFMTSDAELWNGRFAMLGLVALAFTEYVTGGTLV", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSTPGSSRSIPFKSKKRLVMDSPSSKSQTGNPNPSSVALPTPEKPLENMLSRSRNRSVALSVKEIRQAAGSRRRSEDPVASSAKSRLFFDSSSSSPSKRKSSNKNAEKEKLPEKYENLGKFFEALDNSMLLSKLRGSKPTFSNISKQIEHLTERRFCYSHLAQIKHILPEAIEIKRVLIHDETTCCMKPDLHVTLNADAVEYNDKSKSESKKIALRKVFRARLADFVKAHPQGDEVPEEPLPEPFNRRKPVENSNVEVKRVSSLMEEMASIPASKLFSSPITSTPVKTTSSLAKPTSSQINIAPTPTKPTSTPAKQTLSEINILPTPVKPVSTLAKFPSTPAIIDSTPVITATPPEFASTPARLMSTSLAARPLKRSNGHTNPDDISADPPTKLVRRSLSLNFDSYPEDERTMDFTDDIPIDQVPEEDVSSDDEILSILPDKLRHAIKEQERKAIEDQNPAISLAKRRRKMIACLPKLFNVIHYLIQSIRRWVITKEELVHKIIAGHSDITDRKEVEEQLILLQEIVPEWMSEKKSSSGDVLVCINKLASPLTIRSRLEEENKQEMAPLLS", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFSSSIRLIVSGFHRTQPLKSPVNSPSVFISVPKFFNSESKSTGTGSRSVAMSSVEKTGSDSGAIENRASRMREKLQKELEPVELVIEDVSYQHAGHAGMKGRTDDETHFNVKIVSKGFEGMNLVKRHRLVYHLLREELDTGLHALSIVSKTPSESPSKD", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MFTSLHTPILHPRYCHHPTPSCTQFSPLALPPFHRTLSFLAPPPLLPAAPALSAASAAKPDKSGEQKWVHEGLIMESLPNGMFRVRLDNEDLILGYISGKIRKNYVRILPGDRVKVEVTRYDSSKGRIVYRLRSSTPS", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MIAAGAKSLLGLSMASPKGIFDSNSMSNSRSVVVVRACVSMDGSQTLSHNKNGSIPEVKSINGHTGQKQGPLSTVGNSTNIKWHECSVEKVDRQRLLDQKGCVIWVTGLSGSGKSTLACALNQMLYQKGKLCYILDGDNVRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGIICIASLISPYRTDRDACRSLLPEGDFVEVFMDVPLSVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEISLGREGGTSPIEMAEKVVGYLDNKGYLQA", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRNVIRRVTTMTFTFLLQSPPLPISPSPPQFSLSSSPLSKTQRFITPSQGSRLRTLCTKVIIPNMQDSGSPPLSYLTQREAAEIDETLMGPLGFSIDQLMELAGLSVAASIAEVYKPEEYSRVLAICGPGNNGGDGLVAARHLHHFGYKPFICYPKRTAKPLYTGLVTQLDSLSVPFVSVEDLPDDLSKDFDVIVDAMFGFSFHGAPRPPFDDLIRRLVSLQNYEQTLQKHPVIVSVDIPSGWHVEEGDHEDGGIKPDMLVSLTAPKLCAKRFRGPHHFLGGRFVPPSVAEKYKLELPSYPGTSMCVRIGKPPKVDISAMRVNYVSPELLEEQVETDPTVQFRKWFDEAVAAGLRETNAMALSTANKDKKPSSRMVLLKGFDENGFVWFTNYESKKGSDLSENPSAALLFYWEILNRQVRIEGPVERIPESESENYFHSRPRGSQIGAIVSKQSSVVPGRHVLYDEYEELTKQYSDGSVIPKPKNWGGFRLKPNLFEFWQGQPSRLHDRLQYSLQDVNGNPAWKIHRLAP", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MMMRTVALPLSHDLNVHKIHEASGFHNSAAGKNRVYLTRTGLSSCATRQDVWSLQLLESLSGSIVPVSSRCNAFVCRSALSPGNGNEGPILKSTAVIFTRVYDALGGNPHLVKLIPAVGILAFATWGLRPLLRLARTTLFEKGNDANSQKSSTQYIVVSYLQPLLLWSGAILLCRTLDPIVLPSSAGQAIKQRLLIFARSISTVLAFSCCLSSLLQQVQKFFMETNNPADTRNMGFSFAGKAVYTAAWVAAASLFMELLGFSTQKWLTAGGLGTVLLTLAGREILTNFLSSIMIHATRPFVLNEWIQTKIGGYEVSGTVEQVGWWSPTIIRGDDREAVHIPNHQFSVNIVRNLTQKTHWRIKTHLAISHLDVSKINNIVADMRKVLSKNPQIEQQKIHRRVFLEDIDPENQALRILISCFVKTSRFEEYLCVKEAVLLDLLTVIRHHGARLATPIRTVQRMRNEAEVDTAGFSDIVFNQAAMNRRYMLIEPSYKINSDDNSKSPSPSPGQKSPSPGQKSEERDLQEEPSETKAETENNGSVPVSNAKKENQKAALGSNSNTGTKGSSTSTSDQPVAQKSEEKKKESVGDPHKAEKDEVSDDEATIEQTLKSKAKQGSEKNNGESKARDGGGSGTSSLLEENLVLGVALDGSKRTLPIDEEHKASGALMDSEELGIGSE", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEALTTSRVVPVQVPCRKLSSLFANFSCLELRRYPCRGLVSIMNHPKLLRPVTASVQPHELSTLGHEGNIVPSKEILDLWRSVEAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEEALAARLSLFKPSLSKVEEYLDKRPPRLSPGIEELVKKLRANNIDVYLISGGFRQMINPVASILGIPRENIFANNLLFGNSGEFLGFDENEPTSRSGGKAKAVQQIRKGRLYKTMAMIGDGATDLEARKPGGADLFICYAGVQLREAVAANADWLIFKFESLINSLD", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGSLVREWVGFQQFPAATQEKLIEFFGKLKQKDMNSMTVLVLGKGGVGKSSTVNSLIGEQVVRVSPFQAEGLRPVMVSRTMGGFTINIIDTPGLVEAGYVNHQALELIKGFLVNRTIDVLLYVDRLDVYRVDELDKQVVIAITQTFGKEIWCKTLLVLTHAQFSPPDELSYETFSSKRSDSLLKTIRAGSKMRKQEFEDSAIAVVYAENSGRCSKNDKDEKALPNGEAWIPNLVKAITDVATNQRKAIHVDKKMVDGSYSDDKGKKLIPLIIGAQYLIVKMIQGAIRNDIKTSGKPL", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAEQPLNGAFYGPSVPPPAPKGYYRRGHGRGCGCCLLSLFVKVIISLIVILGVAALIFWLIVRPRAIKFHVTDASLTRFDHTSPDNILRYNLALTVPVRNPNKRIGLYYDRIEAHAYYEGKRFSTITLTPFYQGHKNTTVLTPTFQGQNLVIFNAGQSRTLNAERISGVYNIEIKFRLRVRFKLGDLKFRRIKPKVDCDDLRLPLSTSNGTTTTSTVFPIKCDFDF", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASMMFTDCSSTTTSRLIHLNRSSGTFLLRQCVGQLRLQTTASGRGCCIRSSSSPISSISADTKSEGGAIVIDGKAVAKKIRDEITIEVSRMKESIGVIPGLAVILVGDRKDSATYVRNKKKACDSVGIKSFEVRLAEDSSEEEVLKSVSGFNDDPSVHGILVQLPLPSHMDEQNILNAVSIEKDVDGFHPLNIGRLAMRGREPLFVPCTPKGCIELLHRYNIEIKGKRAVVIGRSNIVGMPAALLLQREDATVSIIHSRTKNPEEITREADIIISAVGQPNMVRGSWIKPGAVLIDVGINPVEDPSAARGYRLVGDICYEEASKVASAITPVPGGVGPMTIAMLLSNTLTSAKRIHNFQ", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MALTLSFSLPLPSLHQKIPSKYSTFRPIIVSLSDKSTIEITQPIKLSTRTIPGDYGLPGIGPWKDRLDYFYNQGKNDFFESRIAKYKSTIFRTNMPPGPFITSNPKVIVLLDGKSFPVLFDASKVEKKDLFTGTFVPSTELTGGYRILSYLDPSEPNHEKLKKLMFFLLSSRRDHVIPEFHETYTELFETLDKEMEEKGTVGFNSGSDQAAFNFLARSLFGVNPVETKLGTDGPALIGKWILLQLHPVITLGLPKFLDDVLLHTFRLPPILVKKDYQRLYDFFYTNSANLFIEAEKLGISKDEACHNLLFATCFNSFGGMKIFFPNMLKSIAKAGVEIHTRLANEIRSEVKSAGGKITMSAMEKMPLMKSVVYEALRVDPPVASQYGRAKQDLKIESHDAVFEVKKGEILFGYQPFATKDPKIFDRPGEFVADRFVGEEGEKLLKHVLWSNGPETESPTVGNKQCAGKDFVVMVSRLFVTEFFLRYGTLNVDVGTSALGSSITITSLKKA", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVSFRFPFSFSQPPRATTSFSGFSISAVAVSVTVGAAAAGAAIAASRNPSHPILEWAFSSHRSSLSPWGSITLADESVVEPKTGFSFPASIGDSRRLLGVGLRKKSLLGLKNIDVYAFGVYADCDDVKKLVGDKYANLPASEIRGNKSFMDDLMEADIKMTIRLQIVYGKLNIRSVRNAFQESVGNRLKKFGGSDNDELLQSFTSLFKDEYKIPRNSTIDLTKDPGHVLSVAIEGNHVGSVKSHLLCRSILDLYIGEEPFDKNAREDFLDNAASLAFDN", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDSVSSSSFLSSTFSLHHSLLRRRSSSPTLLRINSAVVEERSPITNPSDNNDRRNKPKTLHNRTNHTLVSSPPKLRPEMTLATALFTTVEDVINTFIDPPSRPSVDPKHVLSDNFAPVLDELPPTDCEIIHGTLPLSLNGAYIRNGPNPQFLPRGPYHLFDGDGMLHAIKIHNGKATLCSRYVKTYKYNVEKQTGAPVMPNVFSGFNGVTASVARGALTAARVLTGQYNPVNGIGLANTSLAFFSNRLFALGESDLPYAVRLTESGDIETIGRYDFDGKLAMSMTAHPKTDPITGETFAFRYGPVPPFLTYFRFDSAGKKQRDVPIFSMTSPSFLHDFAITKRHAIFAEIQLGMRMNMLDLVLEGGSPVGTDNGKTPRLGVIPKYAGDESEMKWFEVPGFNIIHAINAWDEDDGNSVVLIAPNIMSIEHTLERMDLVHALVEKVKIDLVTGIVRRHPISARNLDFAVINPAFLGRCSRYVYAAIGDPMPKISGVVKLDVSKGDRDDCTVARRMYGSGCYGGEPFFVARDPGNPEAEEDDGYVVTYVHDEVTGESKFLVMDAKSPELEIVAAVRLPRRVPYGFHGLFVKESDLNKL", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSVSAIFGARVVTIPSVLRTSSVDGRTVKLQPSTGGSCGGGVITIECSSRPQKKGTAHHMKTRPKKTARWDIKRGPAVYPPLPPLPAEWTIVSSAVDEADSSSSTTSSSAEIAQSA", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSLCSSFNVFASYSPKPKTIFKDSKFISQFQVKSSPLASTFHTNESSTSLKYKRARLKPISSLDSGISEIATSPSFRNKSPKDINVLVVGSTGYIGRFVVKEMIKRGFNVIAVAREKSGIRGKNDKEETLKQLQGANVCFSDVTELDVLEKSIENLGFGVDVVVSCLASRNGGIKDSWKIDYEATKNSLVAGKKFGAKHFVLLSAICVQKPLLEFQRAKLKFEAELMDLAEQQDSSFTYSIVRPTAFFKSLGGQVEIVKDGKPYVMFGDGKLCACKPISEQDLAAFIADCVLEENKINQVLPIGGPGKALTPLEQGEILFKILGREPKFLKVPIEIMDFVIGVLDSIAKIFPSVGEAAEFGKIGRYYAAESMLILDPETGEYSEEKTPSYGKDTLEDFFAKVIREGMAGQELGEQFF", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVGASSSYASPLCTWFVAACMSVSHGGGDSRQAVALQSGGRSRRRRQLSKCSVASGSASIQALVTSCLDFGPCTHYNNNNALSSLFGSNSVSLNRNQRRLNRAASSGGAMAVMEMEKEAAVNKKPPTEQRRVVVTGMGVETSLGHDPHTFYENLLQGNSGISQIENFDCSEFPTRIAGEIKSFSTEGWVAPKLSKRMDKFMLYLLTAGKKALADGGVTDEVMAEFDKTKCGVLIGSAMGGMKVFYDAIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNSANHIIKGEADVMLCGGSDAVIIPIGLGGFVACRALSQRNNDPTKASRPWDTNRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTCDAYHMTEPHPDGAGVILCIERALASAGISKEQINYINAHATSTHAGDIKEYQALAHCFGQNPELKVNSTKSMIGHLLGAAGAVEAVATVQAIRTGWVHPNINLENPDSGVDTKLLVGPKKERLDIKAALSNSFGFGGHNSSIIFAPYK", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEATRNLVSSSPSFQTKTHLKSSYSSPSSVVMLHDQTTTPVVNSRHLNSLSRHFPASVLSQEPREESRPLSHALRDDRTSQLTLERRQFDELVSSREDEKFEQQLLHSTGLWNLLISPLTSETKLPAVVSPLADAELCDVVALAQKALSASKQAALLVDDTEANPSDNIKDSLSTSSSMSLPEKGNIVRSKRQLERRAKNRRAPKSNDVDDEGYVPQKTSAKKKYKQGADNDDALQLFLWGPETKQLLTAKEEAELISHIQHLLKLEKVKTKLESQNGCEPTIGEWAEAMGISSPVLKSDIHRGRSSREKLITANLRLVVHIAKQYQNRGLNFQDLLQEGSMGLMKSVEKFKPQSGCRFATYAYWWIRQSIRKSIFQNSRTIRLPENVYMLLGKVSEARKTCVQEGNYRPSKEELAGHVGVSTEKLDKLLYNTRTPLSMQQPIWSDQDTTFQEITPDSGIETPTMSVGKQLMRNHVRNLLNVLSPKERRIIKLRFGIDGGKQRSLSEIGEIYGLSKERVRQLESRALYRLKQNMNSHGLHAYADLLV", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKAALKGKYDLDHNSSGAATVAFNAGDVKLRASITDATFKNSPSLTGLVLAVEKPGSFSVDYNVPKKDFRFQFMNTVRVAEKPLNLAYIHSKGDNRTILDGTLVWDPSNKVSANYAVESGNCKLKYSYNHKGLTTIEPTYDVAKNSWDFAVSGKVYGDDSLKASYQTSSKVLGLEWTRNSKQTGCFKVVASVNLAEEKKIPKLSVESTLNFEM", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "AQIVKLGGDDGALAFVPSKISVAAGEAIEFVNNAGFPHNIVFDEDAVPAGVDADAISYDDYLNSKGETVVRKLSTPGVYGVYCEPHAGAGMKMTITVQ", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASISTPFPISLHPKTVRSKPLKFRVLTRPIKASGSETPDLTVATRTGSKDLPIRNIPGNYGLPIVGPIKDRWDYFYDQGAEEFFKSRIRKYNSTVYRVNMPPGAFIAENPQVVALLDGKSFPVLFDVDKVEKKDLFTGTYMPSTELTGGYRILSYLDPSEPKHEKLKNLLFFLLKSSRNRIFPEFQATYSELFDSLEKELSLKGKADFGGSSDGTAFNFLARAFYGTNPADTKLKADAPGLITKWVLFNLHPLLSIGLPRVIEEPLIHTFSLPPALVKSDYQRLYEFFLESAGEILVEADKLGISREEATHNLLFATCFNTWGGMKILFPNMVKRIGRAGHQVHNRLAEEIRSVIKSNGGELTMGAIEKMELTKSVVYECLRFEPPVTAQYGRAKKDLVIESHDAAFKVKAGEMLYGYQPLATRDPKIFDRADEFVPERFVGEEGEKLLRHVLWSNGPETETPTVGNKQCAGKDFVVLVARLFVIEIFRRYDSFDIEVGTSPLGSSVNFSSLRKASF", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSLASVIHHGILPPAKSDRIFLTIPVFPPDFRARGWTKSPFSLLINPSLASAANRRLSHLPPIACSRGIDQEDEEKESRELLPHKNDENATTSRSSSSVDSGGLKDYQQEETYKTSFKTVALCVGTAVAFGIGIGLKEGVGKASEFFAGYILEQSLSVDNLFVFVLVFKYFKVPLMYQNKVLTYGIAGAIVFRFTLILLGTATLQKFEAVNLLLAAVLLYSSFKLFASEEDDTDLSDNFIVKTCQRFIPVTSSYDGNRFFTKHDGILKATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVLTSNLFAILGLRSLYTLISEGMDELEYLQPSIAVVLGFIGVKMILDFFGFHISTEASLGVVALSLSTGVLLSLTNKSSDS", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASSTGLTVAGALLAGDFRLPAVSSLIPRKTSSSLSCLSNRDLSSPYNCCWRLSRGKILTSLSNSRKFAVGKEAEDGFLSNVSEDTDEMFDDLFNKYGKVVFRSTDVKSPTAEVDDDAESLAFAVELAKVASDVKAGDIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGSRMRDLAEKKYGKVANGDVKPNSWTLLDFGDVVIHLFLPPQRTFYNLEDFYGNAMQIELPFEDQSQPRN", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAMAMDRIVFSPSSYVYRPCQARGSRSSRVSMASTIRSATTEVTNGRKLYIPPREVHVQVKHSMPPQKLEIFKSLEGWADETLLTYLKPVEKSWQPTDFLPEPESEGFYDQVKELRERCKELPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASPTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPKTENNPYLGFIYTSFQERATFISHGNTARLAKDRGDLKLAQICGTIAADERRHETAYTKIVEKLFEIDPDGTILGLADMMKKKISMPAHLMYDGQDDNLFEHFSTVAQRLGVYTAKDYADILEFLVERWNVETLTDLSSEGHRAQDFVCGLPARIRKIEERAQGRAKEAAKNIPFSWIFGRNIRA", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAYTCTSRPPISIRSEMRIASSPTGSFSTRQMFSVLPESSGLRTRVSLSSLSKNSRVSRLRRGVICEAQDTATGIPVVNDSTWDSLVLKADEPVFVDFWAPWCGPCKMIDPIVNELAQKYAGQFKFYKLNTDESPATPGQYGVRSIPTIMIFVNGEKKDTIIGAVSKDTLATSINKFL", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSAASLCQSTLQSQINGFCGGLNIRKLQPSTSSPNSLTFTRRKVQTLVKATSRVDKFSKSDIIVSPSILSANFAKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCELASTIHLHRTVNQIKSLGAKAGVVLNPGTPLSTIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRKMCVEKGVNPWIEVDGGVTPANAYKVIEAGANALVAGSAVFGAKDYAEAIKGIKASKRPEPVAV", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAATSSISSSLSLNAKPNKLSNNNNNNKPHRFLRNPFLNPSSSSFSPLPASISSSSSSPSFPLRVSNPLTLLAADNDDYDEKPREECGVVGIYGDSEASRLCYLALHALQHRGQEGAGIVTVSKDKVLQTITGVGLVSEVFSESKLDQLPGDIAIGHVRYSTAGSSMLKNVQPFVAGYRFGSVGVAHNGNLVNYTKLRADLEENGSIFNTSSDTEVVLHLIAISKARPFFMRIVDACEKLQGAYSMVFVTEDKLVAVRDPHGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVYPGEVLVVDKDGVKCQCLMPHPEPKQCIFEHIYFSLPNSIVFGRSVYESRHVFGEILATESPVDCDVVIAVPDSGVVAALGYAAKAGVAFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRGVLEGKRVVVVDDSIVRGTTSSKIVRLLREAGAKEVHMRIASPPIIASCYYGVDTPSSNELISNRMSVDEIRDYIGCDSLAFLSFETLKKHLGEDSRSFCYACFTGDYPVKPTEDKVKRGGDFIDDGLVGGIHNIEGGWVR", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSGAIASSPAATLFLAGSSSSSPRRRRSRVSGVWWHLYGGTGLRLHWERRGLVRDGAVVCSASAAGGEDGVAKAKTKSAGSSKAVAVQGSTAKADHVEDSVSSPKYVKPAVAKQNGEVVSRATKSDAPVSKPKVDPSVPASKAEADGNAQAVESKAALDKKEDVGVAEPLEAKADAGGDAGAVSSADDSENKESGPLAGPNVMNVIVVASECSPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEAKDLGVRKRYRVAGQDSEVSYFHAFIDGVDFVFLEAPPFRHRHNDIYGGERFDVLKRMILFCKAAVEVPWFAPCGGSIYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYTRSVLVIHNIAHQGRGPVDDFATMDLPEHYIDHFRLYDPVGGEHSNVFAAGLKMADRAVTVSHGYLWEIKTMDGGWGLHEIINHNDWKLQGIVNGIDMAEWNPEVDEHLQSDGYANYTFETLDTGKKQCKEALQRQLGLQVRDDVPLIGFIGRLDHQKGVDIIGDAMPWIAGQDVQVVMLGTGRPDLEEMLRRFESEHNDKVRGWVGFSVQLAHRITAGADVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFADTGLGWTFDRAEANRMIDALGHCLNTYRNYKESWRGLQARGMAQDLSWDHAAELYEDVLVKAKYQW", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAAISPWLSSPQSFSNPRVTITDSRRCSSISAAISVLDSSNEEQHRISSRDHVGMKRRDVMLQIASSVFFLPLAISPAFAETNASEAFRVYTDETNKFEISIPQDWQVGQAEPNGFKSITAFYPQETSTSNVSIAITGLGPDFTRMESFGKVEAFAETLVSGLDRSWQKPVGVTAKLIDSRASKGFYYIEYTLQNPGEARKHLYSAIGMATNGWYNRLYTVTGQFTDEESAEQSSKIQKTVKSFRFI", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSCVVTTSCFYTISDSSIRLKSPKLLNLSNQQRRRSLRSRGGLKVEAYYGLKTPPYPLDALEPYMSRRTLEVHWGKHHRGYVDNLNKQLGKDDRLYGYTMEELIKATYNNGNPLPEFNNAAQVYNHDFFWESMQPGGGDTPQKGVLEQIDKDFGSFTNFREKFTNAALTQFGSGWVWLVLKREERRLEVVKTSNAINPLVWDDIPIICVDVWEHSYYLDYKNDRAKYINTFLNHLVSWNAAMSRMARAEAFVNLGEPNIPIA", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAVTRLAVAAALSAAPPSSRRRRAFFHHSCRPLPSSAAAAAKALRASAAPAVDEEAPASPPPSDLGKGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGVTGPDLMDKMRKQAERWGAELHQEDVEFVNVKSRPFVIRSSDREVKCHSVIIATGAAAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASKAMQDRVLNNPNITVHFNTEAVDVVSNPKGQMSGIQLKRTDTGEESVLEVKGLFYGIGHTPNSQLLQGQIDLDDAGYILVEEGTAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCVAALSVERYLVANDLLVEFHQPVREEKEKEITDRDVEMGFDISHTKHRGQYALRKVYHESPRLVCVLYTSPTCGPCRTLKPILSKVIDEYNEHVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRTVSGVKMKKEYREFIESNK", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAVTVLPSVSGLSAVASSSNLRRLTSASNHRLTAIKSVTSTSSPPTPSSGVQRRRKNNDENRATVAKVVENPYSKVEAARPDLQKRLSDFLEEAREFVGDGGGPPRWFSPLECGAQATNSPLLLYLPGIDGTGLGLIRHHKKLGEIFDIWCLHIPVSDRTPVKDLVKLIEETVKSENFRLPNRPIYLVGESIGACLALDVAARNPNIDLSLILVNPATHVNNFMVQPLSGMLNVLPDGLPTLLEDIFDFGFKQGDPLTGMLDALSNEFSVQRMGGVGGGMLRDVLAVSANLPTLSRMFPKDTLLWKLEMLKYAIASVNSHIYSVRAETLILLSGRDHWLLKEEDIDRYSRTLPKCIVRKLDDNGQFPLLEDGVDLATIIKCTCFYRRGKSHDHITDYIMPTTFELKQQVDDHRLLMDGTSPVMLSTLEDGTVVRSLEGLPSEGPVLYVGYHMILGFELAPMVIQLMTERNIHLRGLAHPMLFKNLQDSLVDTKMFDKYKIMGGVPVSHFNIYKLLREKAHVLLYPGGVREALHRKGEEYKLFWPERSEFVRVASKFGAKIVPFGVVGEDDICEIVLDSNDQRNIPILKDLMEKATKDAGNIREGDESELGNQECYFPGLVPKIPGRFYYYFGKPIETAGKEKELKDKEKAQELYLQVKSEVEQCIDYLKVKRESDPYRHLLPRMLYQASHGWSSEIPTFDL", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVTILSTPLSPRLTFLCETKLSLSRSNRSVCCSLSEEPKDQCLSRRSLVYVLVASPCLLLPALSSSAKTKSKSPYDERRLLEQNKRIQRENNAPDEFPNFVREGFEVKVLASDNYIKADSGLIYRDFNVGQGDFPKDGQQVTFHYIGYNESGRRIDSTYIQGSPARIRMGTNALVPGFEMGIRDMKPGGRRRIIIPPELGPPVGPSTFFSSKQFEVFDVELLSIQNCERRTIIGFYSDVTCS", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MATSASALLSPTTFSTAISHKNPNSISFHGLRPLRLGGSSSALPKLSTTGRKSSSAVVRAELSPSIVISLSTGLSLFLGRFVFFNFQRENVAKQGLPEQNGKTHFEAGDDRAKEYVSLLKSNDPIGFNIVDVLAWGSIGHIVAYYILATSSNGYDPSFFG", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MQGLAPPTSVSIHRHLPARSRARASNSVRFSPRAVSSVPPAECLQAPFHKPVADLPAPSRKPAAIAVPGHAAAPRKAEGGKKQLNLFQRAAAAALDAFEEGFVANVLERPHGLPSTADPAVQIAGNFAPVGERPPVHELPVSGRIPPFIDGVYARNGANPCFDPVAGHHLFDGDGMVHALRIRNGAAESYACRFTETARLRQERAIGRPVFPKAIGELHGHSGIARLALFYARAACGLVDPSAGTGVANAGLVYFNGRLLAMSEDDLPYHVRVADDGDLETVGRYDFDGQLGCAMIAHPKLDPATGELHALSYDVIKRPYLKYFYFRPDGTKSDDVEIPLEQPTMIHDFAITENLVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKHAADASEMAWVDVPDCFCFHLWNAWEDEATGEVVVIGSCMTPADSIFNESDERLESVLTEIRLDARTGRSTRRAVLPPSQQVNLEVGMVNRNLLGRETRYAYLAVAEPWPKVSGFAKVDLSTGELTKFEYGEGRFGGEPCFVPMDPAAAHPRGEDDGYVLTFVHDERAGTSELLVVNAADMRLEATVQLPSRVPFGFHGTFITGQELEAQAA", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAFNIITPGRVYSATSLTFVSTIKAAFVKPPLASPSRRNLLRFSSSPLSFPSLRRGFHGGRIVAMGSSAPESVNKPEEEWRAILSPEQFRILRQKGTEYPGTGEYNKVFDDGIYCCAGCGTPLYKSTTKFDSGCGWPAFFDGLPGAITRTPDPDGRRIEITCAACGGHLGHVFKGEGFPTPTDERHCVNSISLKFTPENPTL", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTSNLFQPPSMAASRGAISRRTGNVKVLVSFTSSNGKTLSFSDNSFRLRPMFIGKVTEQSSCSSPNEQQQDEEQEQEQEEITVSHIKEELYEALKGINRGIFGVKSDKKTEIEGLVKLLECRNPTPEPTGELDKIGGCWKLIYSTITVLGSKRTKLGLRDFVSLGDLLQQIDIAQGKTVHVLKFDVRGLNLLDGEFRIVASFKISSKSSVEITYESSTIKPDQLMNIFRKNMDLLLGIFNPEGLFEISYLDEDLQVGRDGKGNVFVLERIEKP", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "METSLRYGGDSKALKIHAKEKLRIDTNTFFQVRGGLDTKTGQPSSGSALIRHFYPNFSATLGVGVRYDKQDSVGVRYAKNDKLRYTVLAKKTFPVTNDGLVNFKIKGGCDVDQDFKEWKSRGGAEFSWNVFNFQKDQDVRLRIGYEAFEQVPYLQIRENNWTFNADYKGRWNVRYDL", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAYLAPISSSLSIFKNPQLSRFQFSSSSPNPLFLRPRIQILSMTMNKSPSLVVVAATTAAEKQKKRYPGESKGFVEEMRFVAMRLHTKDQAKEGEKETKSIEERPVAKWEPTVEGYLRFLVDSKLVYDTLELIIQDSNFPTYAEFKNTGLERAEKLSTDLEWFKEQGYEIPEPTAPGKTYSQYLKELAEKDPQAFICHFYNIYFAHSAGGRMIGRKVAERILDNKELEFYKWDGELSQLLQNVREKLNKVAEEWTREEKNHCLEETEKSFKYSGEILRLILS", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNNSTRLISLFSPHPPPLFLLRGLYISRIANLRRFHRRAFPPSSVASTNLCSFRPLVSLPPLIPTFPIGRFYNHQVRVSAADFVPSYHNQQLPEWTELLQSLSKAGYFSDSGSISGLESEFFPGFPDELLRPALACLALARERPELLEMLSRRDVEVLVENGKPFLFKTGPDSLKRMSLYLRSGLQGIGKLMDMEKASTVDLMRLILSYVVDVASSEESKQHNKEIMESSVRSLLSQIAKMSLRPPESNVHDTMQNQYSDRDGQGVRSFQNNVEMKRGDWICSRCSGMNFARNVKCFQCDEARPKRQLTGSEWECPQCDFYNYGRNVACLRCDCKRPRDSSLNSANSDYSSDPELERRLVENEKKAQRWLSKVAQGGSDANSVDTDEDFPEIMPLRKGVNRYVVSTRKPPLERRLANTENRVATDGNSKRSDDNALGSKTTRSLNEILGSSSSLTSRSDDKNVSSRRFESSQGINTDFVPFVPLPSDMFAKKPKEETQIGLIDNIQVDGFSGGNQNVYQEDKSDANHSGKETDRLEKEDHKSEEPARWFKRVTELHNVSDLESAIPQEISPEKMPMRKGENRFVVSRKKDRSLTSPAYKRPEDSDFVPFVPFPPDYFAKEKQPKESIDTLPAPATENVSQVVQQEPREPSINKSDTVAVKIRNGKSLEGSLVKESDLLDMSEEAKAERWFKRVAEIKNISELSEIPDEDFPSIMPMRKGVNRFVVSKRKTPLERRLTSQRHQRNPHITNSDPTGKGDK", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDAVLYSVPLSFTPLRASSSPSSPYLLLPRFLSVQPCHKFTFSRSFPSKSRIPSASSAAGSTLMTNSSSPRSGVYTVGEFMTKKEDLHVVKPTTTVDEALELLVENRITGFPVIDEDWKLVGLVSDYDLLALDSISGSGRTENSMFPEVDSTWKTFNAVQKLLSKTNGKLVGDLMTPAPLVVEEKTNLEDAAKILLETKYRRLPVVDSDGKLVGIITRGNVVRAALQIKRSGDRNA", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSLLAAAIPSTSSHFSAPFLPSFRMPRKSLTAPLHRIRRPRPFTVVSSVPDPAAGPVEYTPWLIAGLGNPGNKYYGTRHNVGFEMVDRIAAEEGITMNTIQSKSLLGIGSIGEVPVLVVKPQSYMNYSGEAIGPLAAYYQVPLRHILLIYDDTSLPNGVLRLQKKGGHGRHNGLQNVIEHLDGRREFPRLSIGIGSPPGKMDPRAFLLQKFSSEERVQIDTALEQGVDAVRTLVLKGFSGSTERFNLVQKYKFHRV", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAISSSSSSICFNPTRFHTARHISSPSRLFPVTSFSPRSLRFSDRRSLLSSSASRLRLSPLCVRDSRAAEVTQRSWEDSVLKSETPVLVEFYTSWCGPCRMVHRIIDEIAGDYAGKLNCYLLNADNDLPVAEEYEIKAVPVVLLFKNGEKRESIMGTMPKEFYISAIERVLNS", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASVISSSPFLCKSSSKSDLGISSFPKSSQISIHRCQKKSISRKIVSVMAPQKDRSPGTTGSVKTGMTMTEKILARASEKSLVVPGDNIWVNVDVLMTHDVCGPGAFGIFKREFGEKAKVWDPEKIVVIPDHYIFTADKRANRNVDIMREHCREQNIKYFYDITDLGNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKILLKVPPTMRFILDGEMPSYLQAKDLILQIIGEISVAGATYKTMEFSGTTIESLSMEERMTLCNMVVEAGGKNGVIPPDATTLNYVENRTSVPFEPVYSDGNASFVADYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKLFHAAGRKVKVPTFLVPATQKVWMDVYALPVPGAGGKTCAQIFEEAGCDTPASPSCGACLGGPADTYARLNEPQVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGRVADPREFLQ", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MELSISQSPRVRFSSLAPRFLAASHHHRPSVHLAGKFISLPRDVRFTSLSTSRMRSKFVSTNYRKISIRACSQVGAAESDDPVLDRIARFQNACWRFLRPHTIRGTALGSTALVTRALIENTHLIKWSLVLKALSGLLALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWLLVIFFAIAGLLVVGFNFGPFITSLYSLGLFLGTIYSVPPLRMKRFPVAAFLIIATVRGFLLNFGVYHATRAALGLPFQWSAPVAFITSFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLVNYVSAISLAFYMPQVFRGSLMIPAHVILASGLIFQTWVLEKANYTKEAISGYYRFIWNLFYAEYLLFPFL", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATTLISKLTLSSAFLGQQFSSRGNSMRSAPAGLFLRGPRCAATDTPYGGNIPQFPRVNVWDPYKRLGISRDASEEEVWSSRNFLLNQYYNHERSAESIEAAFEKILMASFINRKKTKINLKTRLKKKVEESPPWVQNLLSFVELPPPVIILRRLFLFGFMACWSVMNSTEAGPAFQVAISFGACVYFLNDKTKSLGRAALIGFGALVAGWFCGSLLVPMIPPNLLHPTWSLELLTSLFIYVSLFLGCTFLK", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASLLDSVTVTRVFSLPIAASVSSSSAAPSVSRRRISPARFLEFRGLKSSRSLVTQSASLGANRRTRIARGGRIACEAQDTTAAAVEVPNLSDSEWQTKVLESDVPVLVEFWAPWCGPCRMIHPIVDQLAKDFAGKFKFYKINTDESPNTANRYGIRSVPTVIIFKGGEKKDSIIGAVPRETLEKTIERFLVE", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASSVFLSSFSSSSSLQLCSSFHGEYLAPSRCFLGAPVTSSSLSLSGKKNSYSPRQFHVSAKKVSGLEEAIRIRKMRELETKSKVRRNPPLRRGRVSPRLLVPDHIPRPPYVESGVLPDISSEFQIPGPEGIAKMRAACELAARVLNYAGTLVKPSVTTNEIDKAVHDMIIEAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQSGDIINIDVTVYLDGYHGDTSRTFFCGEVDEGFKRLVKVTEECLERGIAVCKDGASFKKIGKRISEHAEKFGYNVVERFVGHGVGPVFHSEPLIYHYRNDEPGLMVEGQTFTIEPILTIGTTECVTWPDNWTTLTADGGVAAQFEHTILITRTGSEILTKC", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MHCHNDDVRFSSSSIRIHSPSPKEQHSLLTNLQSCSKTFVSHLSNTRNSLNQMLQSLKNRHTPPPRSVRRPNLPTQMLNSVTQLMIGKSSPISLSLIQSTQFNWSESRDENVETIRGLSSPLLCCASLSLTRPNESTQSVEGKDTVQQQKGHSVSRNAEERVLISEVLVRTKDGEELERKDLEMEALAALKACRANSALTIREVQEDVHRIIESGYFCSCTPVAVDTRDGIRLMFQVEPNQEFRGLVCENANVLPSKFIHEAFRDGFGKVINIKRLEEAITSINGWYMERGLFGIVSDIDTLSGGIVRLQVAEAEVNNISIRFLDRKTGEPTKGKTSPETILRQLTTKKGQVYSMLQGKRDVDTVLAMGIMEDVSIIPQPAGDSGKVDLIMNCVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNLFGRNQKLNVSLERGQIDSIFRINYTDPWIEGDDKRTSRSIMVQNSRTPGNLVHGNQPDNSSLTIGRVTAGVEYSRPFRPKWNGTAGLIFQHAGARDEQGNPIIKDFYSSPLTASGKPHDETMLAKLESIYTGSGDQGSTMFAFNMEQGLPVLPEWLCFNRVTGRARKGIHIGPARFLFSLSGGHVVGKFSPHEAFVIGGTNSVRGYEEGAVGSGRSYVVGSGELSFPVRGPVEGVIFTDYGTDMGSGSTVPGDPAGARLKPGSGYGYGLGVRVDSPLGPLRLEYAFNDQHAGRFHFGVGLRN", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEVLASSSLSPISFTKPNKINPNFSIQVKLWVKQPPKISKASKFSYARSRSNISRSNAANPGVVFVCNRFLCVIERNDQRKLSGKVMMKSSVNFRQNLSVALVRIVSVLLVSSISVVTTDSPPSWGLTEENLLFLEAWRTIDRAYIDKTFNGQSWFRYRETALRNEPMNTREETYMAIKKMVATLDDPFTRFLEPGKFKSLRSGTQGAVTGVGLSIGYPTASDGPPAGLVVISAAPGGPANRAGILPGDVIQGIDNTTTETLTIYDAAQMLQGPEGSAVELAIRSGPETRLLTLTRERVSVNPVKSRLCELPGSGSNSPKIGYIKLTTFNQNASSAVREAIETLRGNNVNAFVLDLRDNSGGSFPEGIEIAKFWLDKGVIVYICDSRGVRDIYDTDGSNAIATSEPLAVLVNKGTASASEILAGALKDNKRALVYGEPTYGKGKIQSVFELSDGSGLAVTVARYETPAHTDIDKVGVTPDHPLPKSFPKDEEAFCGCLKDPTAACYLNQGLLFSR", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAVSSSSFLSTASLTNSKSNISFASSVSPSLRSVVFRSTTPATSHRRSMTVRSKIREIFMPALSSTMTEGKIVSWIKTEGEKLAKGESVVVVESDKADMDVETFYDGYLAAIVVGEGETAPVGAAIGLLAETEAEIEEAKSKAASKSSSSVAEAVVPSPPPVTSSPAPAIAQPAPVTAVSDGPRKTVATPYAKKLAKQHKVDIESVAGTGPFGRITASDVETAAGIAPSKSSIAPPPPPPPPVTAKATTTNLPPLLPDSSIVPFTAMQSAVSKNMIESLSVPTFRVGYPVNTDALDALYEKVKPKGVTMTALLAKAAGMALAQHPVVNASCKDGKSFSYNSSINIAVAVAINGGLITPVLQDADKLDLYLLSQKWKELVGKARSKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADKDGFFSVKNTMLVNVTADHRIVYGADLAAFLQTFAKIIENPDSLTL", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAVATHCFTSPCHDRIRFFSSDDGIGRLGITRKRINGTFLLKILPPIQSADLRTTGGRSSRPLSAFRSGFSKGIFDIVPLPSKNELKELTAPLLLKLVGVLACAFLIVPSADAVDALKTCACLLKGCRIELAKCIANPACAANVACLQTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPRKSDLGEFPAPDPSVLVQNFNISDFNGKWYITSGLNPTFDAFDCQLHEFHTEGDNKLVGNISWRIKTLDSGFFTRSAVQKFVQDPNQPGVLYNHDNEYLHYQDDWYILSSKIENKPEDYIFVYYRGRNDAWDGYGGAVVYTRSSVLPNSIIPELEKAAKSIGRDFSTFIRTDNTCGPEPALVERIEKTVEEGERIIVKEVEEIEEEVEKEVEKVGRTEMTLFQRLAEGFNELKQDEENFVRELSKEEMEFLDEIKMEASEVEKLFGKALPIRKVR", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MIPYAAGVIVPLALTFLVQKSKKEKKRGVVVDVGGEPGYAIRNHRFTEPVSSHWEHISTLPELFEISCNAHSDRVFLGTRKLISREIETSEDGKTFEKLHLGDYEWLTFGKTLEAVCDFASGLVQIGHKTEERVAIFADTREEWFISLQGCFRRNVTVVTIYSSLGEEALCHSLNETEVTTVICGSKELKKLMDISQQLETVKRVICMDDEFPSDVNSNWMATSFTDVQKLGRENPVDPNFPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATVSAVMTIVPDLGKRDIYMAYLPLAHILELAAESVMATIGSAIGYGSPLTLTDTSNKIKKGTKGDVTALKPTIMTAVPAILDRVRDGVRKKVDAKGGLSKKLFDFAYARRLSAINGSWFGAWGLEKLLWDVLVFRKIRAVLGGQIRYLLSGGAPLSGDTQRFINICVGAPIGQGYGLTETCAGGTFSEFEDTSVGRVGAPLPCSFVKLVDWAEGGYLTSDKPMPRGEIVIGGSNITLGYFKNEEKTKEVYKVDEKGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSISPYVENIMVHADSFYSYCVALVVASQHTVEGWASKQGIDFANFEELCTKEQAVKEVYASLVKAAKQSRLEKFEIPAKIKLLASPWTPESGLVTAALKLKRDVIRREFSEDLTKLYA", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAQQVLGCTSRPIRVSLHRCSVITTSDTIRRKNLRFVRNPRLSFSLQSSTRNYRLPSINCSTVNGAVAETAEYYEGEGDNVSLAEKIRQCIDFLRTILPGGSWWSFSDEVDGRFIAKPVTVWRALSRMWELVAEDRWVIFAAFSTLIVAALSEITIPHFLTASIFSAQSGDIAVFHRNVKLLVTLCVTSGICSGIRGCFFGIANMILVKRMRETLYSTLLFQDISFFDSQTVGDLTSRLGSDCQQVSRVIGNDLNMIFRNVLQGTGALIYLLILSWPLGLCTLVICCILAAVMFVYGMYQKKTAKLIQEITASANEVAQETYSLMRTVRVYGTEKQEFKRYNHWLQRLADISLRQSAAYGIWNWSFNTLYHATQIIAVLVGGLSILAGQITAEQLTKFLLYSEWLIYATWWVGDNLSSLMQSVGASEKVFQMMDLKPSDQFISKGTRLQRLTGHIEFVDVSFSYPSRDEVAVVQNVNISVHPGEVVAIVGLSGSGKSTLVNLLLQLYEPTSGQILLDGVPLKELDVKWLRQRIGYVGQEPKLFRTDISSNIKYGCDRNISQEDIISAAKQAYAHDFITALPNGYNTIVDDDLLSGGQKQRIAIARAILRDPRILILDEATSALDAESEHNVKGVLRSIGNDSATKRSVIVIAHRLSTIQAADRIVAMDSGRVVEMGSHKELLSKDGLYARLTKRQNDAVL", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAEESRVPSSVSVTVAHDLLLAGHRYLDVRTPEEFSQGHACGAINVPYMNRGASGMSKNPDFLEQVSSHFGQSDNIIVGCQSGGRSIKATTDLLHAGFTGVKDIVGGYSAWAKNGLPTKA", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATELLCLHRPISLTHKLFRNPLPKVIQATPLTLKLRCSVSTENVSFTETETEARRSANYEPNSWDYDYLLSSDTDESIEVYKDKAKKLEAEVRREINNEKAEFLTLLELIDNVQRLGLGYRFESDIRGALDRFVSSGGFDAVTKTSLHGTALSFRLLRQHGFEVSQEAFSGFKDQNGNFLENLKEDIKAILSLYEASFLALEGENILDEAKVFAISHLKELSEEKIGKELAEQVNHALELPLHRRTQRLEAVWSIEAYRKKEDANQVLLELAILDYNMIQSVYQRDLRETSRWWRRVGLATKLHFARDRLIESFYWAVGVAFEPQYSDCRNSVAKMFSFVTIIDDIYDVYGTLDELELFTDAVERWDVNAINDLPDYMKLCFLALYNTINEIAYDNLKDKGENILPYLTKAWADLCNAFLQEAKWLYNKSTPTFDDYFGNAWKSSSGPLQLVFAYFAVVQNIKKEEIENLQKYHDTISRPSHIFRLCNDLASASAEIARGETANSVSCYMRTKGISEELATESVMNLIDETWKKMNKEKLGGSLFAKPFVETAINLARQSHCTYHNGDAHTSPDELTRKRVLSVITEPILPFER", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASTFTATSSLGSLLAPNAIKLSSATSISSSSFGRRHNVCVRRSRPAIVCAAKELHFNKDGTTIRKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPRIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGFIAEGVKVVAAGANPVLITRGIEKTAKALVNELKLMSKEVEDSELADVAAVSAGNNHEVGSMIAEAMSKVGRKGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEYDNCKLLLVDKKVTNARDLVGVLEDAIRGGYPILIIAEDIEQEALATLVVNKLRGTLKIAALKAPGFGERKSQYLDDIAILTGATVIREEVGLSLDKAGKEVLGNASKVVLTKEMTTIVGDGTTQEAVNKRVVQIRNLIEQAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDTLENDEEKVGAEIVKRALSYPLKLIAKNAGVNGSVVSEKVLANDNVKFGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIPEPEPVPAGNPMDNSGYGY", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAFTLTIPRFSAISRKPITCSSSRTQCPAPFTHGRSISLRRRLTLLPLKASTDQSGQVGGEEVDSKILPYCSINKNEKRTIGEMEQEFLQAMQSFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQRFLEASMAYVSGNPILSDEEYDKLKMKLKMDGSEIVCEGPRCSLRSKKVYSDLAIDYFKMFLLNVPATVVALGLFFFLDDITGFEITYLLELPEPFSFIFTWFAAVPAIVYLALSLTKLILKDFLILKGPCPNCGTENVSFFGTILSIPNDSNTNNVKCSGCGTEMVYDSGSRLITLPEGGKA", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MALAIRSSLRAAAMGRKAFRQAVPVRVAPAQRVRSVTTASAEITAYSVEEKGPKDSLEYRMFFKQGAKEVSCWHEIPLYAGDGHLHYICEIPKETSAKMEVATDEPRTPIKQDVKKGKLRFYPYNINWNYGMLPQTWEDPGHTDATLGAAGDNDPVDVVEIGAAAAKRGGVYKVKPVGVLAMIDDGELDWKVIAISADDPKAALCNDVEDVEKHFPGEIQKVLEWFRDYKIPDGKPANKFGYDNKCMNKEFTLNVIKETHEAYVKLKSGARANSEELSLI", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASCLQASMNSLLPRSSSFSPHPPLSSNSSGRRNLKTFRYAFRAKASAKIPMPPINPKDPFLSTLASIAANSPEKLLNRPVNADVPPYLDIFDSPQLMSSPAQVERSVAYNEHRPRTPPPDLPSMLLDGRIVYIGMPLVPAVTELVVAELMYLQWLDPKEPIYIYINSTGTTRDDGETVGMESEGFAIYDSLMQLKNEVHTVCVGAAIGQACLLLSAGTKGKRFMMPHAKAMIQQPRVPSSGLMPASDVLIRAKEVITNRDILVELLSKHTGNSVETVANVMRRPYYMDAPKAKEFGVIDRILWRGQEKIIADVVPSEEFDKNAGIKSVV", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAAPPLAAGLRPAMAAAQAPVVAAAWGVGARRGAALSSSARCRALRLSRGGGGGRDGWVPPPVVGRMPPRTLSVRCAASNGRITQQEFTEMAWQSIVSSPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTRLLDATEKFIQRQPKVLGEDPGSMLGRDLEALIQRARDFKKEYGDSFVSVEHLVLGFAEDKRFGRQLFKDFQITVQSLKTAIESIRGKQNVIDQDPEGKYEALDKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRRLIALDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDSALVAAALLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVIKLEMERLSLTNDTDKASRDRLSRIEAELSLLKEKQKDLTEQWEREKSVMTKIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNALQRQLQTTEKELDEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEEELHKRVVGQDPAVKAVSEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAAFMFNTEEAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSIILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRKVSFTNSIIIMTSNVGSQFILNMDEEGGSTDSAYENIKKRVMDAARSVFRPEFMNRIDEYIVFKPLEREQINSIVKLQLARVQKRIADRKIKLEVSPGAVEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSILVDTQVTVPSNGQLPQQKLVFHKMSEESAPAAAEDEKFLPAV", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASLYLNSLLPLPPSHPQKLLEPSSSSLLSTSNGNELALKPIVINGDPPTFVSAPARRIVAVGDLHGDLGKARDALQLAGVLSSDGRDQWVGQDTVLVQVGDILDRGDDEIAILSLLRSLDDQAKANGGAVFQVNGNHETMNVEGDFRYVDARAFDECTDFLDYLEDYAQDWDKAFRNWIFESRQWKEDRRSSQTYWDQWNVVKRQKGVIARSVLLRPGGRLACELSRHGVILRVNNWLFCHGGLLPHHVAYGIERINREVSTWMRSPTNYEDSPQMPFIATRGYDSVVWSRLYSRETSELEDYQIEQVNKILHDTLEAVGAKAMVVGHTPQLSGVNCEYGCGIWRVDVGMSSGVLDSRPEVLEIRGDKARVIRSNRDRLHELQVADYI", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASIASSVAVRLGLTQVLPNKNFSSPRSTRLVVRAAEEAAAAPAAASPEGEAPKAAAKPPPIGPKRGSKVRIMRKESYWYKGVGSVVAVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEIQEVA", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASMGGLHGASPAVLEGSLKINGSSRLNGSGRVAVAQRSRLVVRAQQSEETSRRSVIGLVAAGLAGGSFVQAVLADAISIKVGPPPAPSGGLPAGTDNSDQARDFALALKDRFYLQPLPPTEAAARAKESAKDIINVKPLIDRKAWPYVQNDLRSKASYLRYDLNTIISSKPKDEKKSLKDLTTKLFDTIDNLDYAAKKKSPSQAEKYYAETVSALNEVLAKLG", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAGFSLYCFKNPRILFTLPSESPLFVLGSDKCSPATRRPSRKTRGFVVTYAHSNPKIINPKKKSRYGQTLSPYDSDEDDDDDDDDDDDDWLLNDDFAEVTEYEKKKPKSHKQTIAKKSVKKGIVKPEESETDEDDLDLGISPNATSEKKKESWRLDGRGKMSSRKYVEKLYPRLAEEIDIDPKCVPLLDYLSTFGLKESHFVQMYERHMPSLQINVFSAQERLDYLLSVGVKHRDIKRMLLRQPQILQYTVENNLKAHISFLMGLGIPNSKIGQIVAATPSLFSYSVENSLRPTIRYLIEEVGIKETDVGKVVQLSPQILVQRLDITWNTRYMFLSKELGAPRDSVVKMVKKHPQLLHYSIDDGFLPRINFLRSIGMCNSDILKVLTSLTQVLSLSLEDNLKPKYMYLVNELNNEVHILTKYPMYLSLSLDQRIRPRHRFLVELKKVRKGPFPLSSLVPNDESFCQQWAGTSVDTYLAFRQRLLLKEFANKYDKRG", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSVVLLSSTSATITKSQSKKIPFLSPTTKFPLKVSISPSRSKLFHNPLRVAAPPSVPTSDSTEEKRIEEEYGGDKEEEGSEFKWRDHWYPVSLVEDLDPNVPTPFQLLGRDLVLWFDRNDQKWAAFDDLCPHRLAPLSEGRLDENGHLQCSYHGWSFGGCGSCTRIPQAATSGPEARAVKSPRACAIKFPTMVSQGLLFVWPDENGWDRANSIEPPRLPDDFDKPEFSTVTIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLPFKVESSGPWGFQGANDDSPRITAKFVAPCYSMNKIELDAKLPIVGNQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFSVPGPAWWQVVPRWYEHWTSNLVYDGDMIVLQGQEKVFLAKSMESPDYDVNKQYTKLTFTPTQADRFVLAFRNWLRRHGKSQPEWFGSTPSNQPLPSTVLTKRQMLDRFDQHTQVCSSCKGAYNSFQILKKFLVGATVFWAATAGVPSDVQIRLVLAGLSLISAASAYALHEQEKNFVFRDYVHSEIE", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEEELLSAVPCSSLTVESVLRVATAGGLYGLCAGPRDARKIGLSGVSQASFVAKSIGRFGFQCGLVSGVFTMTHCGLQRYRGKNDWVNALVGGAVAGAAVAISTRNWTQVVGMAGLVSAFSVLANCTRTENPNNTN", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MASISATLPSPLLLTQRKSNLTSIQKLPFSLTRGTNDLSPLSLTRNPSSISLMVKASGESSDSSTDLDVVSTIQNVWDKSEDRLGLIGLGFAGIVALWASLNLITAIDKLPVISSGFELVGILFSTWFTYRYLLFKPDRQELSKIVKKSVADILGQ", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MALPSSSLSSQIHTGATTQCIPHFHGSLNAGTSAGKRRSLYLRWGKGPSKIVACAGQDPFSVPTLVKREFPPGFWKDHVIESLMPSYKVAPSDEKRIETLITEIKNMFRSMGYGETNPSAYDTAWVARIPAVDGSEKPQFPETLEWILQNQLKDGSWGEEFYFLAYDRILATLACIITLTIWQTGDTQVQKGIEFFKTQAGKIEEEADSHRPSGFEIVFPAMLKEAKALGLALPYELPFIQQIIEKREAKLQRLPPDLLYALPTTLLYSLEGLQEIVDWEKIMKLQSKDGSFLSSPASTAAVFMRTGNKKCLEFLNFVLKKFGNHVPCHYPLDLFERLWAVDTVERLGIDHHFKEEIKDALDYVYSHWDERGIGWARENPVPDIDDTAMGLRILRLHGYNVSSDVLKTFRDENGEFFCFLGQTQRGVTDMLNVNRCSHVAFPGETIMEEAKLCTERYLRNALEDGGASDKWALKKNIRGEVEYALKYPWHRSMPRLEARSYIENYGPNDVWLGKTMYMMPNISNEKYLELAKLDFNRVQFFHRQELQDIRRWWNSSGFSQLGFTRERVAEIYFSPASFLFEPEFATCRAVYTKTSNFTVILDDLYDAHGTLDNLKLFSESVKRWDLSLVDQMPQDMKICFKGFYNTFNEIAEEGRKRQGRDVLSYIQKVWEVQLEAYTKEAEWSAVRYVPSYDEYIGNASVSIALGTVVLISALFTGEILTDDILSKIGRDSRFLYLMGLTGRLVNDTKTYQAERGQGEVASAVQCYMKDHPEISEEEALKHVYTIMDNALDELNREFVNNRDVPDTCRRLVFETARIMQLFYMDGDGLTLSHNMEIKEHVKNCLFQPVA", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAYFFDFPTDTWVEDASPGGPPKRAFGHGLAAGSSHFASPVSRRRLPTITALLLFSLLSASQSGALSVSQCSRRVSLGPLLSRVSSVSCTPSAAASALASSLYPTDSLSSVEGSVAPRPPPSSLAFVLRRVPPAAYSSSLSPSVLRFKHSLPRPLQGSLVCAPGILGGAAGSARFAGCCGSQGRSCGSGKNPELPLKGSKDEVIPRVGTSTAGPRPDWFHVPAPQAASRGAEESRYQQLQKQIRGLDLHTVCEEAKCPNIGECWNGGTATLILLGDTCTRGCRFCAIKTSSKPPPPDPLEPEKVADAVAKWDIDYVVMTSVDRDDMPDGGAGHFARTVQLVKKAKPSMLIECLVSDFQGMEESVRTLAQSGLDVYAHNIETVRRLTPYVRDKRAKYDQSLRVLHLAKQFNPSLFTKSSIMLGLGETSEEVVRTLRDLRDHDVDVVTLGQYLRPTKQQLGVVEYVTPETFKKYQDIAEEMGFKYVASGPLVRSSYKAGEYYMKHLIDDARKHGRRETVKQVKLEADVGTLKGTTTTFQVNEKEA", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSTHQLVSSMISSSSSTFLAPSNFNLRTRNACLPMAKRVNTCKCVATPQEKIEYKTKVSRNSNMSKLQAGYLFPEIARRRSAHLLKYPDAQVISLGIGDTTEPIPEVITSAMAKKAHELSTIEGYSGYGAEQGAKPLRAAIAKTFYGGLGIGDDDVFVSDGAKCDISRLQVMFGSNVTIAVQDPSYPAYVDSSVIMGQTGQFNTDVQKYGNIEYMRCTPENGFFPDLSTVGRTDIIFFCSPNNPTGAAATREQLTQLVEFAKKNGSIIVYDSAYAMYMSDDNPRSIFEIPGAEEVAMETASFSKYAGFTGVRLGWTVIPKKLLYSDGFPVAKDFNRIICTCFNGASNISQAGALACLTPEGLEAMHKVIGFYKENTNIIIDTFTSLGYDVYGGKNAPYVWVHFPNQSSWDVFAEILEKTHVVTTPGSGFGPGGEGFVRVSAFGHRENILEACRRFKQLYK", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSLVLQCWKLSSPSLILQQNTSISMGAFKGIHKLQIPNSPLTVSARGLNKISCSLSLQTEKLCYEDNDNDLDEELMPKHIALIMDGNRRWAKDKGLDVSEGHKHLFPKLKEICDISSKLGIQVITAFAFSTENWKRAKGEVDFLMQMFEELYDEFSRSGVRVSIIGCKTDLPMTLQKCIALTEETTKGNKGLHLVIALNYGGYYDILQATKSIVNKAMNGLLDVEDINKNLFDQELESKCPNPDLLIRTGGDQRVSNFLLWQLAYTEFYFTKTLFPDFGEEDLKEAIINFQQRHRRFGGHTY", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNSSQACFFHFSLRPISLSHPSYAFLSKRDPFLCSQPRKCLTTNLNMSRTRQGHSIQMNRRHLLMKERKSFSINYSDKFRDDSMSSEEMHTDALDVEIIPPDSQDIRNSQNSAVSNTLQDDRPKSFRNRFLDFVRISSVLNTAAERFFKSEIRRRLFVTAVLLVLSRVGYFIPLPGFDRRLIPQDYLSFVSGSVEELGEFGAEIKLSLFQLGLSPQIIASIIMQVLCHVLPSLVKLRKEGLDGHEKIKSYIWWLSFFFAIVEALVVAYTSLQYSVFAATAQVKHVMMTSSLLVCGAMTMTWLCDTISESGFGHGSSLIICVGILTGYTETLHKMLNQISGSFSNWLPYLLGLLGIFTVVTMFAVVVTEGCRKIKLQYYGFKLASASREGSPITEVEPYIPFNINPAGMQPVLTTTYLLAFPSILASILGSPFLLNMKEILNPESTVGAPPWVYYSIYAFFVFLFNIFDIANLPKEIADYLNKMGARIPNIKPGKATIEYLTKIQASTRFWGGLLLSFLATASTVLDHYLRSINQGFSIGFTSVLIIVGSIIELRRSYHAYNVMPSLSKALKRYGV", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGSTPFCYSINPSPSKLDFTRTHVFSPVSKQFYLDLSSFSGKPGGVSGFRSRRALLGVKAATALVEKEEKREAVTEKKKKSRVLVAGGGIGGLVFALAAKKKGFDVLVFEKDLSAIRGEGKYRGPIQIQSNALAALEAIDIEVAEQVMEAGCITGDRINGLVDGISGTWYVKFDTFTPAASRGLPVTRVISRMTLQQILARAVGEDVIRNESNVVDFEDSGDKVTVVLENGQRYEGDLLVGADGIWSKVRNNLFGRSEATYSGYTCYTGIADFIPADIESVGYRVFLGHKQYFVSSDVGGGKMQWYAFHEEPAGGADAPNGMKKRLFEIFDGWCDNVLDLLHATEEEAILRRDIYDRSPGFTWGKGRVTLLGDSIHAMQPNMGQGGCMAIEDSFQLALELDEAWKQSVETTTPVDVVSSLKRYEESRRLRVAIIHAMARMAAIMASTYKAYLGVGLGPLSFLTKFRVPHPGRVGGRFFVDIAMPSMLDWVLGGNSEKLQGRPPSCRLTDKADDRLREWFEDDDALERTIKGEWYLIPHGDDCCVSETLCLTKDEDQPCIVGSEPDQDFPGMRIVIPSSQVSKMHARVIYKDGAFFLMDLRSEHGTYVTDNEGRRYRATPNFPARFRSSDIIEFGSDKKAAFRVKVIRKTPKSTRKNESNNDKLLQTA", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MALTLTPTSSVHLLSSISVARPRIFAADFNLRSRWRRRRPVTSISNFRLRLPSKTSLHCLCSSSSASSPMSLEVSSPNSQFLDCLIYSRAYWVTQGVIAWNVDVGEGSCYFYASKSAGLSFSEDGIDGYDLRIKLEAESGSLPADVIEKFPHIRNYKSFKVPKDLDIRDLVKSQLAVVCFDAEGRLIQGTGLQLPGVLDELFSYDGPLGAHFTPEGVSLHLWAPTAQAVSVCIYKNPLDKSPMEICPLKEANGVWSTEGACSWGGCYYVYKVSVYHPSTMKLETCYANDPYARGLSADGRKTFLVNLDSDDLKPEGWDNLADKKPCLRSFSDISIYELHVRDFSANDETVEPENRGGYLAFTSKDSAGVKHLQKLVDAGLTHLHLLPTFQFGDVDDEKENWKSVDTSLLEGLRPDSTEAQARITEIQNDDGYNWGYNPVLWGVPKGSYASDPTGPCRIIEFRKMVQALNCTGLNVVLDVVYNHLHASGPHDKESVLDKIVPGYYLRRNSDGFIENSTCVNNTASEHYMVDRLIRDDLLNWVVNYKVDGFRFDLMGHIMKATIVNAKSAIGSLRKETDGVDGSRIYLYGEGWNFGEVAENGRGINASQFNLGGTGIGSFNDRIRDATLGGSPFGHPLQQGFITGLLLQPNAHDHGSEATQELMLSTAKNHIQTGMAANLKDYMLTNHEGKEVKGSEVLMHDATPVAYASLPTETINYVSAHDNETLFDIISLKTPMEISVDERCRINHLASSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNRLDFSYSSNNWGVGLPPKGKNEHNWPLIKPRLQDPSFKPKSSHIVATLHNFLDLLRIRYSSPLFRLDTARAIQERVRFHNTGPSSIPGAIVMSIEDGHRGIPSVSQIDPIYSLIVVIFNARPSEFSYPSPALKDRKLELHPVQVMSADEIVKKSVYDSFSGGFTVPARTTTVFVESRNG", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAAHNLCFNSAFVCNVHHQKTQHFPCNAVSKTTSTHAVTFHRRSANYRPPLWDHQYLLSLENIYVKEVETAEKAILFKEEVRKTLNEIEGSIEQLEMIDSLQRLGISYHYKHEIHDILRKIHDQHGEIERETQDLHATSLEFILLRQHGFDVSQDAFDVFISETGEFRKTLHSDIKGLLSLYEASYFSMDSEFKLKETRIYANKRLSEFVAESSKTICREDETYILEMVKRALETPYHWSIRRLEARWYINVYEKKHEMNPLLLEFAAIDFNMLQANHQEELKLISSWWNSTGLMKQLDFVRDRITESYFWTIGIFYEPEFKYCRKILTKIFMLIVIMDDIYDIYGTLEELELFTNVVEKWDVNHVERLPNYMRMCFLFLYNEINQIGYDVLRDKGLNVIPYLKQVWTDLFKTFLTESKWYKTGHKPSFEEYMQNGVISSSVPTILLHLFSVLSDHISDQTLTDDSKNHSVVRSCATILRLANDLATSTEEMARGDSPKSVQCYMYETRASEEEARRHMQSMISDSWDIINSDLKTAHTSSLPRGFLAAAANLNRVVQCIYRHGDGHGSPEKTKTVDYIQSVLFNPVPL", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASLTLRCDSTHLLPSRDVVKGTKPFGTSLVYPRIISKKFNVRMRVIPEEGDVFSSSKSNGSSMGIELQPDLVSFGTLAAEMIPTTMDSPEVEDEEFDLDRPTDGFASIPQAIEDIRHGKMVVVVDDEDRENEGDLIMAASLATPEAMAFVVKHGTGIVCVSMKGEDLERLELPLMVTRKDNEEKLRTAFTVSVDAKKGTSTGVSARDRAQTILTLASKDSKPEDFNRPGHIFPLRYREGGVLKRAGHTEASVDLTVLAGLEPVSVLCEIVDDDGSMARLPRLRQFAQENNLKLISIADLIRYRRKRERLVEFTAVAPIPTMWGPFKAHCFKSLLDGVEHIAMVKGEIGDGKDILVRVHAECITDDIFGNSSGGKQLAIAMRLIEENGRGVFVYLRGPESKGIDLSHKPRTYNTNSDQAEGVSFPVASREYGIGAQILRDLGVREMKVMTNNPAHYVGLKGYGLSISGKVPLITTP", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSQLLHLHRLSLPQSSLRFRFPPLHRRRAASSPTNSTQPPLQFRPLTVSRSQITCRFSQSDITPQFELDKAKDNRKPQKRANGIFWIILINLGIYLADHFFQVRGIKSLYLYHNFPAWYQFVTATFCHANWNHLSSNLFFLYIFGKLVEEEEGNFGLWLSYLFTGVGANLVSWLVLPRNAVSVGASGAVFGLFAISVLVKMSWDWRKILEVLILGQFVIERVMEAAQASAGLSGTIYGGYSLQTVNHIAHLSGALVGVVLVWLLSKFPSASMDQDVKKSS", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVILSLVSCSFSVFSPPISLRLHLPPVTSLCSHGTFPASSTFRSQLQPLLISCLNHREPALTFRCSCLSSPIESGSQIESLFSLFRDIGFIEEETEMILAKNPDIKSTSLDKIGARVASLQSLKINGFPLQGLIAKCPNLLTSEEFDLVISFLVDELEGRLDPELVERLLSVVDTSILLSFNQKVRLLLLHGIPKEKISHVLNKVYLNKLLYQKSVEDIERLISFLEPFGGIGIIARRPVILNSDLDSQLIPRVDFIRNLSGEDDFATGTVLRRLPAILSYSVEHMNGQVEFLKSFAGLTSEQVFKIVHVFPNVISTSKERKLRPRIEFLKECGFDSPGMFKFLSKAPLILALSENNLSHKLGFLVKIGYKHRTKELAFAMGAVTRTSSDNMQRVIGLYLSYGLSFEDILAMSTKHPQVLQYNYTSLEEKLEYLIEYMGREVEELLAFPAFLGYKLDSRIKHRYEEKLKSRGENMSLNKLLTVSAERFSKAADNIEMICL", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAAFSVNGQLIPTATSSTASTSLSSRRKFLSPSSSRLPRISTQSPRVPSIKCSKSLPNRDTETSSKDSLLKNLAKPLAVASVSSAASFFLFRISNLPSVLTGGGGGGDGNFGGFGGGGGGGDGNDGGFWGKLFSPSPAVADEEQSPDWDSHGLPANIVVQLNKLSGFKKYKVSDIMFFDRRRQTTIGTEDSFFEMVSIRPGGVYTKAQLQKELETLATCGMFEKVDLEGKTKPDGTLGVTISFAESTWQSADRFRCINVGLMVQSKPIEMDSDMTDKEKLEYYRSLEKDYKRRIDRARPCLLPAPVYGEVMQMLRDQGKVSARLLQRIRDRVQKWYHDEGYACAQVVNFGNLNTKEVVCEVVEGDITQLVIQFQDKLGNVVEGNTQVPVVRRELPKQLRQGYVFNIEAGKKALSNINSLGLFSNIEVNPRPDEKNEGGIIVEIKLKELEQKSAEVSTEWSIVPGRGGAPTLASFQPGGSVTFEHRNLQGLNRSLMGSVTTSNFLNPQDDLSFKLEYVHPYLDGVYNPRNRTFKTSCFNSRKLSPVFTGGPGVEEVPPIWVDRAGVKANITENFTRQSKFTYGLVMEEITTRDESSHIAANGQRLLPSGGISADGPPTTLSGTGVDRMAFLQANITRDNTKFVNGAVVGQRTVFQVDQGLGIGSKFPFFNRHQLTMTKFIQLREVEQGAGKSPPPVLVLHGHYGGCVGDLPSYDAFVLGGPYSVRGYNMGELGAARNIAEVGAEIRIPVKNTHVYAFVEHGNDLGSSKDVKGNPTAVYRRTGQGSSYGAGVKLGLVRAEYAVDHNNGTGALFFRFGERY", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAILIPASFGRLTITSRAQVRVRVSASANQRTIRRDSVDWVKETSSFFEEDKRPIMLFDGVCNLCNGGVKFVRDHDRNRSIRFEALQSEAGKKLLLRSGRAPDDISSVVLVENDRSYIKSEAVLKIMKYIDLPFPQLAFFLQFAPLFVRDFLYENVANNRYAMFGRSDSCEL", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLVFKSTMECSISSTIHVLGSCKTSDDVNQIHGRLIKTGIIKNSNLTTRIVLAFASSRRPYLADFARCVFHEYHVCSFSFGEVEDPFLWNAVIKSHSHGKDPRQALLLLCLMLENGVSVDKFSLSLVLKACSRLGFVKGGMQIHGFLKKTGLWSDLFLQNCLIGLYLKCGCLGLSRQMFDRMPKRDSVSYNSMIDGYVKCGLIVSARELFDLMPMEMKNLISWNSMISGYAQTSDGVDIASKLFADMPEKDLISWNSMIDGYVKHGRIEDAKGLFDVMPRRDVVTWATMIDGYAKLGFVHHAKTLFDQMPHRDVVAYNSMMAGYVQNKYHMEALEIFSDMEKESHLLPDDTTLVIVLPAIAQLGRLSKAIDMHLYIVEKQFYLGGKLGVALIDMYSKCGSIQHAMLVFEGIENKSIDHWNAMIGGLAIHGLGESAFDMLLQIERLSLKPDDITFVGVLNACSHSGLVKEGLLCFELMRRKHKIEPRLQHYGCMVDILSRSGSIELAKNLIEEMPVEPNDVIWRTFLTACSHHKEFETGELVAKHLILQAGYNPSSYVLLSNMYASFGMWKDVRRVRTMMKERKIEKIPGCSWIELDGRVHEFFVDSIEVSSTL", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRSEVPSSTSPSFLSPPFIHLPLLSLSSPTPLPHSSSSTFSLFSTMAASQIGLVGLAVMGQNLALNIAEKGFPISVYNRTASKVDETLDRAKSEGDLPLSGHYTPRDFVLSIERPRSIVILVKAGSPVDQTIASLASFMEPGDTIIDGGNEWYQNTERRLSDAHSNGLLYLGMGVSGGEEGARFGPSLMPGGDFQAYDNIQHILKKVAAQVDDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKNVGGLSNEELGQIFDEWNKSELESFLVEITADIFKVKDDLADGGLVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKEERENAAKILEAAGMKEEVNAIRGGVDKKRLIDDVRQALYASKICSYAQGMNLLRAKSAEMGWDLNLGELARIWKGGCIIRAVFLDSIKQAYQRNPNLASLVVDPEFAKEMVQRQAAWRRVVGLAVSAGISTPGMCASLAYFDTYRRARLPANLVQAQRDYFGAHTYERVDLPGSYHTEWSKLARKSDPNVAAALH", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSSFTTTNTPPPYLLRKIYHRRVNQPFSVVCCTGEPQQDIFTRRRTLTSLITFTVIGGATSSALAQEKWGTRSFIKEKYFMPGLSPEDAAARIKQTAEGLRDMREMLDHMSWRYVIFYIRLKQAYLSQDLTNAMNILPESRRNDYVQAANELVENMSELDFYVRTPKVYESYLYYEKTLKSIDNVVEFLA", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAISAPAACSSSSRILCSYSSPSPSLCPAISTSGKLKTLTLSSSFLPSYSLTTTSASQSTRRSFTVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALASIGSSVAKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPDMVVFLNKEDQVDDAELLELVELEVRELLSSYEFNGDDIPIISGSALLAVETLTENPKVKRGDNKWVDKIYELMDAVDDYIPIPQRQTELPFLLAVEDVFSITGRGTVATGRVERGTVKVGETVDLVGLRETRSYTVTGVEMFQKILDEALAGDNVGLLLRGIQKADIQRGMVLAKPGSITPHTKFEAIIYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTKIMNDKDEESKMVMPGDRVKIVVELIVPVACEQGMRFAIREGGKTVGAGVIGTILE", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLLNSSFISLPSFFKSQELGRTNLLIHRNGSPLLCYATNTNVSQRKSANYQPNIWNYDILQSLKHDYEDARYVDRSRRLQEEVKRMIKDENVNILELIDTVKQLGLSYHFEEEIGEALDRFLSLEKCSGRNNFGRSLHETALRFRLLREYGYDISPDIFEKFKDHNGNFKACLVQDIKGMLSLYDASFLSYEGEQILDEANAFTSIHLKDLSEGRSSILIDQVNHSLELPLYRRVQSLEARWFIDSYENRKDANKVLLEAAKLNFNIVQSTLQQDLKEMSRWWKGMGLAPRLSFGRDRLMECFFWAAGMTPFEPQFSNIRKGLTKVCSLITLIDDIYDVYGTLDELELFTTAVESWDINAIQILPEYMKIFFLALYTTVNDFTYDTIKETGHDILPYLVKVWSDMLKAFLQEAKWCHNKHMPKFDDYLNNAWVSVSGVVLLTHSYFLLNRNITKEGLGYLENCPMLLQTPSIIFRLCNDLATSSAELERGEGANSIICYMNENGVSEEVAYKHIQNLLDQTWKKMNKDRVINSPSSKYFSETIINLARISHCTYQYGDGHGAPDTLAKNRIKALILEPIN", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASAAAASPSLSLNPTSHFQHQTSLVTWLKPPPSSALFRRKTLPFFERHSLPISASSSSSSSSSTSLSVHEKPISNSVHFHGNLIESFENQDSSYAGTIKGASLIEELENPVERNGLSGRRRLFMQDPPWISALFLKGLSKMVDQTLKIERKDIDKRKFDSLRRRQVKEETEAWERMVDEYRDLEKEMCEKNLAPNLPYVKHMFLGWFQPLKDVIEREQKLQKNKSKKVRAAYAPHIELLPADKMAVIVMHKMMGLVMSGHEDGCIQVVQAAVSIGIAIEQEVRIHNFLKRTRKNNAGDSQEELKEKQLLRKRVNSLIRRKRIIDALKVVKSEGTKPWGRATQAKLGSRLLELLIEAAYVQPPLTQSGDSIPEFRPAFRHRFKTVTKYPGSKLVRRYGVIECDSLLLAGLDKSAKHMLIPYVPMLVPPKRWKGYDKGGYLFLPSYIMRTHGSKKQQDALKDISHKTAHRVFEALDTLGNTKWRVNRNILDVVERLWADGGNIAGLVNREDVPIPEKPSSEDPEELQSWKWSARKANKINRERHSLRCDVELKLSVARKMKDEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGTLEFAEGRPLGKSGLHWLKIHLANLYAGGVEKLSHDARLAFVENHLDDIMDSAENPIHGKRWWLKAEDPFQCLAACVILTQALKSPSPYSVISHLPIHQDGSCNGLQHYAALGRDSFEAAAVNLVAGEKPADVYSEISRRVHEIMKKDSSKDPESNPTAALAKILITQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLEEKGVITDERMLFAAACYSAKVTLAALGEIFEAARAIMSWLGDCAKIIASDNHPVRWITPLGLPVVQPYCRSERHLIRTSLQVLALQREGNTVDVRKQRTAFPPNFVHSLDGTHMMMTAVACREAGLNFAGVHDSYWTHACDVDTMNRILREKFVELYNTPILEDLLQSFQESYPNLVFPPVPKRGDFDLKEVLKSQYFFN", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTTIAAAGLNVATPRVVVRPVARVLGPVRLNYPWKFGSMKRMVVVKATSEGEISEKVEKSIQEAKETCADDPVSGECVAAWDEVEELSAAASHARDKKKAGGSDPLEEYCNDNPETDECRTYDN", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MATVQLSTQFSCQTRVSISPNSKSISKPPFLVPVTSIIHRPMISTGGIAVSPRRVFKVRATDTGEIGSALLAAEEAIEDVEETERLKRSLVDSLYGTDRGLSASSETRAEIGDLITQLESKNPTPAPTEALFLLNGKWILAYTSFVNLFPLLSRGIVPLIKVDEISQTIDSDNFTVQNSVRFAGPLGTNSISTNAKFEIRSPKRVQIKFEQGVIGTPQLTDSIEIPEYVEVLGQKIDLNPIRGLLTSVQDTASSVARTISSQPPLKFSLPADNAQSWLLTTYLDKDIRISRGDGGSVFVLIKEGSPLLNP", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "ATYKVKLITPDGPVVFDCPDNEYILDAAEEQGHDLPYSCRAGSCSSCAGKVTAGTVDQSDGNFLDDDQVADGFVLTCVAYPQSDVTIETHKEEELTA", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "ATYKVKLVTPDGPVEFDCPDDVYILDQAEEEGHELPYSCRAGSCSSCAGKVSAGTVDQSDGNFLDDDQMADGFVLTCVAYPQSDVIIETHKEEELTG", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "ASYKVKLITPEGAVEFDCPDDVYILDQAEEMGHDLPYSCRAGSCSSCAGKVTAGNVDQSDGNFLDDDQMADGFVLTCVAYPQSDVTIETHKEEELTA", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "ASYKVKLITPDGPIEFDCPDDVYILDQAEEAGHDLPYSCRAGSCSSCAGKIAGGAVDQTDGNFLDDDQLEEGWVLTCVAYPQSDVTIETHKEAELVG", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "ATYKVKLVTPDGPVEFDCPDDVYILDQAEEEGHELPYSCRAGSCSSCAGKVTAGTVDQSDGNFLDDDQMADGFVLTCVAYPQSDVTIETHKEEELTG", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASQISQLACFSSTNRQFHFQSRSFPCPMIRPQSFVVKSVDGNSSETPASLSYTAEVSKPVVEKTSKPYSTVDETATNKESITEPVEEDVATQPIRAAKIHDFCFGIPYGGLVVSGGLLGFAFSRNLTSLSTGVLYGGGLLALSTLSLKIWREGKSSFPYILGQAVLSAVVFWKNFTAYSMTKKLFPAGVFAVISACMLCFYSYVVLSGGNPPPKKLKPSATSPSY", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MWFFGSKGASGFSSRSTAEEVTHGVDGTGLTAIVTGASSGIGVETARVLSLRGVHVVMAVRNTDSGAKVKEDIVKQVPGAKLDVMELDLSSMQSVRKFASEYKSTGLPLNLLINNAGIMACPFMLSKDNIELQFATNHLGHFLLTKLLLDTMKSTSRESKREGRIVNLSSEAHRFSYPEGVRFDKINDKSSYSSMRAYGQSKLCNVLHANELTKQLKEDGVNITANSLHPGAIMTNLGRYFNPYLAVAVGAVAKYILKSVPQGAATTCYVALNPQVAGVSGEYFQDSNIAKPLPLVKDTELAKKVWDFSTKLTDSQSGESSS", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASVASSTTLISSPSSRVFPAKSSLSSPSVSFLRTLSSPSASASLRSGFARRSSLSSTSRRSFAVKAQADDLPLVGNKAPDFEAEAVFDQEFIKVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRHSEFEKLNTEVLGVSVDSVFSHLAWVQTDRKSGGLGDLNYPLISDVTKSISKSFGVLIHDQGIALRGLFIIDKEGVIQHSTINNLGIGRSVDETMRTLQALQYIQENPDEVCPAGWKPGEKSMKPDPKLSKEYFSAI", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLIKSQRLTLFSPLLSKTRRIPVNSHQTLVAESVITRRTLGAITATPSFHKNPVVIRRRIKLERVTRNCVRIDREIDEEEEEEEKERGDLVKQSIWEQMKEIVKFTGPAMGMWICGPLMSLIDTVVIGQGSSIELAALGPGTVLCDHMSYVFMFLSVATSNMVATSLAKQDKKEAQHQISVLLFIGLVCGLMMLLLTRLFGPWAVTAFTRGKNIEIVPAANKYIQIRGLAWPFILVGLVAQSASLGMKNSWGPLKALAAATIINGLGDTILCLFLGQGIAGAAWATTASQIVSAYMMMDSLNKEGYNAYSFAIPSPQELWKISALAAPVFISIFSKIAFYSFIIYCATSMGTHVLAAHQVMAQTYRMCNVWGEPLSQTAQSFMPEMLYGANRNLPKARTLLKSLMIIGATLGLVLGVIGTAVPGLFPGVYTHDKVIISEMHRLLIPFFMALSALPMTVSLEGTLLAGRDLKFVSSVMSSSFIIGCLTLMFVTRSGYGLLGCWFVLVGFQWGRFGLYLRRLLSPGGILNSDGPSPYTVEKIKSI", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAISLATAYISPCFTPESSNSASPSRTLSSVRLPSQIRRFGSVQSPSSSTRFAPLTVRAAKKQTFNSFDDLLQNSDKPVLVDFYATWCGPCQLMVPILNEVSETLKDIIAVVKIDTEKYPSLANKYQIEALPTFILFKDGKLWDRFEGALPANQLVERIENSLQVKQ", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASFISLSSKSASWNASSCPHPSVQPFVTRKNVVRYHKPTSSEPSYSPLTTTLSSNLNSQFMQVYETLKSELIHDPLFEFDDDSRQWVERMIDYTVPGGKMVRGYSVVDSYQLLKGEELTEEEAFLACALGWCTEWFQAFILLHDDMMDGSHTRRGQPCWFRLPEVGAVAINDGVLLRNHVHRILKKHFQGKAYYVHLVDLFNETEFQTISGQMIDTISRLAGQKELSKYSMSLNRRIVQYKGAYYSCYLPIACALLMFGENLDDYVQVKDILVELGMYYQIQNDYLDTFGDPNVFGKTGTDIEECKCSWLIAKALELANEEQKKILSENYGIKDPAKVAKVKEIYHALNLKGAYEDYETNLYENSMKAIKAHPSIAVQAVLKSCLEKMYKGHK", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASTVGVPSLYQVPHLEISKPNSKKRSNCLSLSLDKPFFTPLSLVRRTRRIHSSSLLVPSAVATPNSVLSEEAFKSLGLSDHDEYDLDGDNNNVEADDGEELAISKLSLPQRLEESLEKRGITHLFPIQRAVLVPALQGRDIIARAKTGTGKTLAFGIPIIKRLTEEAGDYTAFRRSGRLPKFLVLAPTRELAKQVEKEIKESAPYLSTVCVYGGVSYTIQQSALTRGVDVVVGTPGRIIDLIEGRSLKLGEVEYLVLDEADQMLAVGFEEAVESILENLPTKRQSMLFSATMPTWVKKLARKYLDNPLNIDLVGDQDEKLAEGIKLYAIATTSTSKRTILSDLITVYAKGGKTIVFTQTKRDADEVSLALSNSIATEALHGDISQHQRERTLNAFRQGKFTVLVATDVASRGLDIPNVDLVIHYELPNDPETFVHRSGRTGRAGKEGSAILMHTSSQKRTVRSLERDVGCHFEFISPPTVGDLLESSADQVVATLNGVHPDSIKFFSATAQKLYEEKGTDALAAALAHLSGFSQPPSSRSLLSHEKGWVTLQLIRDPTNARGFLSARSVTGFLSDLYRTAADEVGKIFLIADDRIQGAVFDLPEEIAKELLEKDVPEGNSLSMITKLPPLQDDGPSSDNYGRFSSRDRMPRGGGGSRGSRGGRGGSSRGRDSWGGDDDRGSRRSSGGGSSWSRGGSSSRGSSDDWLIGGRSSSSSRAPSRERSFGGSCFICGKSGHRATDCPDKRGF", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MQTPKPRPGSLEVPQKKSPASTPKTARKLKTSESDPVSSPNTKIRTPKTQSPKVVADRRSPRTPVNEIQKKRTGKTPELASQISQLQEELKKAKEQLSASEALKKEAQDQAEETKQQLMEINASEDSRIDELRKLSQERDKAWQSELEAMQRQHAMDSAALSSTMNEVQKLKAQLSESENVENLRMELNETLSLVEKLRGELFDAKEGEAQAHEIVSGTEKQLEIANLTLEMLRSDGMKMSEACNSLTTELEQSKSEVRSLEQLVRQLEEEDEARGNANGDSSSVEELKEEINVARQEISQLKSAVEVTERRYHEEYIQSTLQIRTAYEQVDEVKSGYAQREAELGEELKKTKAERDSLHERLMDKEAKLRILVDENEILNSKIKEKEEVYLNLENSLNQNEPEDTGELKKLESDVMELRANLMDKEMELQSVMSQYESLRSEMETMQSEKNKAIDEALAKLGSLTEEADKSGKRAENATEQLGAAQVTNTELEAELRRLKVQCDQWRKAAEAAATMLSGGNNNNNSNGKYVERTGSLESPLRRRNVNMSPYMGETDDELSSPKKKNGSMLKKIGVLLKKSQK", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MATISSTLLLNSSRSALPLRFPKFSGFSSSSPFARSYRFGRRNLEPLSNGMLSSGSRADGATAAAASMEGVMTEAMKLIQSASPTWKSAVANNLLIFVLGSPLLVTGLSASGIAAAFLLGTLTWRAYGSAGFLLVAAYFVIGTAATKVKMTQKEAQGVAEKRKGRRGPRSVIGSSAAGCVCAFLSIYQVGGAAFSQLFRLGFVSSFCTKVSDTVSSEIGKAYGKTTYLATTFKIVPRGTEGAMSLEGTLAGLLASFFLASVGCFLGQITPPEAAVCVLASQIANLGESIIGASFQDKEGFKWLNNDVVNVINISLGSIVAILMQQFILQNWVK", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MALQIHSPCSFSTRPYHLFFTTRNPRFAIKCQNSQIESDTTEDPSRSKNSSSSGVGFGSPASSSSPAKKLSAATSGNKKGKGKREVNRRAPVEKPVFMSEEGAAKAEEQRQNENAFLLTWLGLGIVILIEGIILAASGFLPEELDKLFVKYVYPVFTPSVVLFVAGTTAYGVLKYIQNEKMKGQE", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASSSILIPPILTRRNLLLSTTIATVTPPPPAKPPSPDITITDRVFLDFSLCPTYFRSDPSATLSSTTPCSDSTPLGRVVLGLYGRHVPITVSTFKRMCTSSSTSYKNTPVHKIFPGQYFLAGRQGGGRRDTAEVGYSLRDLPRNTDVVNSKAFLLPHARAGVVSLCLSENDDDDDIRLDPDYRNVEFLITTGPGPSPQLDGGNIVFGTVLEGLDVVTSISSIPTYKPSENIKQFNDFAEFLGDERAQNARSLWNRPLKTVFISGCGELKVTNPSLSPTLP", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASLSLSSAHFSSTSSSSRSSISTSSLSPSSTSLPLLQSPIRRRYRSLRRRLSFSVIPRRTSRSFSTSNSQIRCSINEPLKVMISGAPASGKGTQCELIVHKFGLVHISTGDLLRAEVSSGTDIGKRAKEFMNSGSLVPDEIVIAMVAGRLSREDAKEHGWLLDGFPRSFAQAQSLDKLNVKPDIFILLDVPDEILIDRCVGRRLDPVTGKIYHIKNYPPESDEIKARLVTRPDDTEEKVKARLQIYKQNSEAIISAYSDVMVKIDANRPKEVVFEETQTLLSQIQLKRMIKTDKASPVQDKWRGIPTRLNNIPHSRDIRAYFYEDVLQATIRSIKDGNTRLRVDINIPELNPEMDVYRIGTLMELVQALALSFADDGKRVKVCVQGSMGEGALAGMPLQLAGTRKILEYMDWGDDETLGTFVKLGAIGGKEVDEEDDMFILVAPQNAVGNCIIDDLQAMTTAAGKRPVVLINPRLKDLPASSGIMQTMGREQRLEYALTFDNCYVFRLLYYLGTQYPIMGALRMSYPYRYELYKRVNEENGKEKYVLLATYAERPTPEQIDDAFSGKSRDQSKKASGIWGFLSSVFS", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MALIQHGSVSGTSAVRLSFSSSVSPPSSSPPLSRVSLNFQSEKKSCYRRMICRAMVQDSVQGIPSVYAREMERLSAKESLILAFNDAGGFEALVTGKITDMQKIDVNERITNLERLNPTPRPTTSPYLEGRWSFEWFGVNTPGSLAVRVMFERFPSTLVSLSNMEIFIKDNNTKATANIKLLNSIENKITLSSKLTIEGPLRMKEEYLEGLLESPTVIEEAVPDQLRGLLGQATTTLQQLPEPIKDTLANGLRIPLGGTYQRFFMISYLDDEILIVRDTAGVPEVLTRVETSSPMSSSSVVENLEYNS", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVGATVVSKWTPLCVASPPERNSASLNPHCSPARVNFRTALAAFRPQFRLFSRNSASRRRLRASSSAESGIFLPHLVASMEDVEETYIMVKPDGIQRGLVGEIISRFEKKGFKLIGLKMFQCPKELAEEHYKDLSAKSFFPNLIEYITSGPVVCMAWEGVGVVASARKLIGKTDPLQAEPGTIRGDLAVQTGRNIVHGSDSPENGKREIGLWFKEGELCKWDSALATWLRE", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MWPFSSKKGVSGFSGSSTAEQVTHGIDATGLTAIVTGASSGIGAETTRVLALRGAHVIMGVRNMVAAKDVKDTILKDIPSAKVDAIELDLSSLDSVKKFASEFNSSGRPLNILINNAGIMACPFKLSKDNIELQFATNHIGHFLLTNLLLDTMKKTTRESKKEGRIVNVASEAHRFAYPEGIRFDKINDQSSYNNWRAYGQSKLANVLHANQLTKHLKEDGVNITANSLHPGTIVTNLFRHNSAVNGLINVIGKLVLKNVQQGAATTCYVALHPQVKGVSGEYFSDSNVYKTTPHGKDVDLAKKLWDFSINLVKQK", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASNLLRFPLPPPSSLHIRPSKFLVNRCFPRLRRSRIRRHCSRPFFLVSNSVEISTQSFESTESSIESVKSITSDTPILLDVSGMMCGGCVARVKSVLMSDDRVASAVVNMLTETAAVKFKPEVEVTADTAESLAKRLTESGFEAKRRVSGMGVAENVKKWKEMVSKKEDLLVKSRNRVAFAWTLVALCCGSHTSHILHSLGIHIAHGGIWDLLHNSYVKGGLAVGALLGPGRELLFDGIKAFGKRSPNMNSLVGLGSMAAFSISLISLVNPELEWDASFFDEPVMLLGFVLLGRSLEERAKLQASTDMNELLSLISTQSRLVITSSDNNTPVDSVLSSDSICINVSVDDIRVGDSLLVLPGETFPVDGSVLAGRSVVDESMLTGESLPVFKEEGCSVSAGTINWDGPLRIKASSTGSNSTISKIVRMVEDAQGNAAPVQRLADAIAGPFVYTIMSLSAMTFAFWYYVGSHIFPDVLLNDIAGPDGDALALSLKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGYLIRGGDVLERLASIDCVALDKTGTLTEGRPVVSGVASLGYEEQEVLKMAAAVEKTATHPIAKAIVNEAESLNLKTPETRGQLTEPGFGTLAEIDGRFVAVGSLEWVSDRFLKKNDSSDMVKLESLLDHKLSNTSSTSRYSKTVVYVGREGEGIIGAIAISDCLRQDAEFTVARLQEKGIKTVLLSGDREGAVATVAKNVGIKSESTNYSLSPEKKFEFISNLQSSGHRVAMVGDGINDAPSLAQADVGIALKIEAQENAASNAASVILVRNKLSHVVDALSLAQATMSKVYQNLAWAIAYNVISIPIAAGVLLPQYDFAMTPSLSGGLMALSSIFVVSNSLLLQLHKSETSKNSL", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAKLLLLHAPHVIPRFSSSSSRSLVSAAALYRRPLLVNPQFSHIGPRLHSPYNRRFSARAFDDSPASSAEMEKEKQEQLLDGVSGKKDEDYPTGEMEYENRNAWEIFVVKFRMLFAYPWQRVRKGSVLTMTLRGQISDQLKSRFNSGLSLPQLSENFVKAAYDPRIAGVYLHIDPLSCGWGKVEEIRRHILNFKKSGKFIVGYISICGLKEYYLGCACNELFAPPSAYSFLYGLTVQASFLGGVFEKVGIEPQVQRIGKYKSAGDQLSRKSISEENYEMLSVLLDNIYSNWLDGVSDATGKKREDVENFINQGVYEIEKLKEAGLIKDIRYDDEVITMLKERLGVEKDKKLPTVDYKKYSGVKKWTLGLTGGRDQIAIIRAGGSISRVKGPLSTPGSAIIAEQLIEKIRSVRESKKYKAAIIRIDSPGGDALASDLMWREIKLLAETKPVIASMSDVAASGGYYMAMAANAIVAENLTLTGSIGVVTARFTLAKLYEKIGFNKETISRGKYAELLGAEERPLKPEEAELFEKSAQHAYQLFRDKAALSRSMPVDKMEEVAQGRVWTGKDAHSRGLIDAVGGLSRAIAIAKQKANIPLNKKVTLVELSRPSTSLPDILSGIGSSVIGVDRTLKGLLDELTITEGVQARMDGIMFQQLGRDSLATPIIDMLKDYLSSLR", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAAYGQISSGMTVDPQVLSSSRNIGVSLSPLRRTLIGAGVRSTSISLRQCSLSVRSIKISEDSRKPKAYAENGAFDVGVLDSSSYRLADSRTSSNDSRRKTKIVCTIGPSSSSREMIWKLAEAGMNVARLNMSHGDHASHQITIDLVKEYNSLFVDKAIAIMLDTKGPEVRSGDVPQPIFLEEGQEFNFTIKRGVSLKDTVSVNYDDFVNDVEVGDILLVDGGMMSLAVKSKTSDLVKCVVIDGGELQSRRHLNVRGKSATLPSITDKDWEDIKFGVDNQVDFYAVSFVKDAKVVHELKNYLKTCSADISVIVKIESADSIKNLPSIISACDGAMVARGDLGAELPIEEVPLLQEEIIRRCRSIHKPVIVATNMLESMINHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKFPLKAVNVMHTVALRTEASLPVRTSASRTTAYKGHMGQMFAFHASIMANTLSSPLIVFTRTGSMAVLLSHYRPSATIFAFTNQRRIMQRLALYQGVMPIYMEFSDDAEDTYARSLKLLQDENMLKEGQHVTLVQSGSQPIWREESTHLIQVRKIKIGG", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAKLMHAIQYSGYGGGTDALKHVEVAVPDPKSDELLLKIEAATLNPIDWKIQKGVLRPLLPRKFPTIPGTDVAGEVVQAGSAVNRFKTGDKVVAVLSHATGGALAEYAVAKENLTVARPPEVSAAEGAALPVAALTAHQALTQFANIKLDGSGERKNILITAASGGVGHYAVQLAKLGNTHVTATCGARNLDFVKGLGADEVLDYKTPEGASLTSPSGKKYDYVVHGASGIPWSTFEPNLSEAGKVIDLTPGPTAMMTFAWKKLTFSKKQLVPLLLIPKIPNFEYVVNLVKEKKLKTVIDSKHPLSKGEDAWSRIMGGHATGKIIIEP", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAGFTLLPSRLLAFPSRALPRRLHHHHAKLILRCKMSSSSSSLTQSITLPSQPNEPVLVSATAGISSSDFRDAIDSSLFRNWLRNLESESGILADGSMTLKQVLIQGVDMFGKRIGFLKFKADIFDKETGQKVPGIVFARGPAVAVLILLESDGETYAVLTEQVRVPTGKIVLELPAGMLDDDKGDFVGTAVREVEEEIGIKLKKEDMVDLTAFLDPSTGYRIFPSPGGCDEEMSVFLYRGQVEKETIRQLQGKETGLREHGEFIKVRLIPYRELWRKTADAKVLMSIGLYEMAQREGLVSSQRLKPNS", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MQIQCKTLTFTVSSIPCNPKLPFPSSLTLRSWNPSFPSFRSSAVSGPKSSLKLNRFLRNCASTNQELVVDGETGNGSISELQGDAANGSISPVEVEAEVEEVKVDDLATQSIWGQMKEIVMFTGPAAGLWLCGPLMSLIDTAVIGQGSSLELAALGPATVICDYLCYTFMFLSVATSNLVATSLARQDKDEVQHQISILLFIGLACGVTMMVLTRLFGSWALTAFTGVKNADIVPAANKYVQIRGLAWPAVLIGWVAQSASLGMKDSWGPLKALAVASAINGVGDVVLCTFLGYGIAGAAWATMVSQVVAAYMMMDALNKKGYSAFSFCVPSPSELLTIFGLAAPVFITMMSKVLFYTLLVYFATSMGTNIIAAHQVMLQIYTMSTVWGEPLSQTAQSFMPELLFGINRNLPKARVLLKSLVIIGATLGIVVGTIGTAVPWLFPGIFTRDKVVTSEMHKVIIPYFLALSITPSTHSLEGTLLAGRDLRYISLSMTGCLAVAGLLLMLLSNGGFGLRGCWYALVGFQWARFSLSLFRLLSRDGVLYSEDTSRYAEKVKAA", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNNVLQFGLQSSAIYVAKFLVVPLRSLRVGSSFVGVGVGTRSFNKRLMSNATAFSINNSKRKELKIPGAAIDQNCHQMGSDTDRDEMGTLQDDRKEIEAMTVQELRSTLRKLGVPVKGRKQELISTLRLHMDSNLPDQKETSSSTRSDSVTIKRKISNREEPTEDECTNSEAYDIEHGEKRVKQSTEKNLKAKVSAKAIAKEQKSLMRTGKQQIQSKEETSSTISSELLKTEEIISSPSQSEPWTVLAHKKPQKDWKAYNPKTMRPPPLPEGTKCVKVMTWNVNGLRGLLKFESFSALQLAQRENFDILCLQETKLQVKDVEEIKKTLIDGYDHSFWSCSVSKLGYSGTAIISRIKPLSVRYGTGLSGHDTEGRIVTAEFDSFYLINTYVPNSGDGLKRLSYRIEEWDRTLSNHIKELEKSKPVVLTGDLNCAHEEIDIFNPAGNKRSAGFTIEERQSFGANLLDKGFVDTFRKQHPGVVGYTYWGYRHGGRKTNKGWRLDYFLVSQSIAANVHDSYILPDINGSDHCPIGLILKL", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAMVDAKPAASVQGTPLLATATLPVFTRGIYSTKRITLETSSPSSPPPPKPLIIVTPAGKGTFNVILFLHGTSLSNKSYSKIFDHIASHGFIVVAPQLYTSIPPPSATNELNSAAEVAEWLPQGLQQNLPENTEANVSLVAVMGHSRGGQTAFALSLRYGFGAVIGLDPVAGTSKTTGLDPSILSFDSFDFSIPVTVIGTGLGGVARCITACAPEGANHEEFFNRCKNSSRAHFVATDYGHMDILDDNPSDVKSWALSKYFCKNGNESRDPMRRCVSGIVVAFLKDFFYGDAEDFRQILKDPSFAPIKLDSVEYIDASSMLTTTHVKV", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATTSSDRLIAGLTASIGSIESRYANPAQSVSLICRNQINGAPPIVLRSSRRSRLWLIEAIPPAKSWNGSNDGDEDIKKSDTRNYAIGGTGGHAVAGKDDRTMEIVIAAATTAALGVGNRVLYKLALIPLKQYPFFLAQLSTFGYVAVYFSILYFRYRAGIVTKEMLSVPKLPFLIVGVLESLALAAGMAAASNLSGPSTTVLSQTFLVWQILFSIIFLGRRYRINQILGCTLVAFGVIVSVASGSGAAHSFKDTGILWSLLMVFSFLLQGADTVMKEVIFLDSKKRLKGASLDLFVVNSYGSIFQVICIALLLPFLSKLWGIPFNQLPSYIRDGGACFLNIGSRITGCEGAPLLPVMFVMMNMAYNISLLRLIKISSAVVSSLASTVSVPIAVYCFTLPLPYLGVASTLPRGFVAGTIILVVGMLLYAWTPSTNTSDSIIPSPPST", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MASFTATAAVSGRWLGGNHTQPPLSSSQSSDLSYCSSLPMASRVTRKLNVSSALHTPPALHFPKQSSNSPAIVVKPKAKESNTKQMNLFQRAAAAALDAAEGFLVSHEKLHPLPKTADPSVQIAGNFAPVNEQPVRRNLPVVGKLPDSIKGVYVRNGANPLHEPVTGHHFFDGDGMVHAVKFEHGSASYACRFTQTNRFVQERQLGRPVFPKAIGELHGHTGIARLMLFYARAAAGIVDPAHGTGVANAGLVYFNGRLLAMSEDDLPYQVQITPNGDLKTVGRFDFDGQLESTMIAHPKVDPESGELFALSYDVVSKPYLKYFRFSPDGTKSPDVEIQLDQPTMMHDFAITENFVVVPDQQVVFKLPEMIRGGSPVVYDKNKVARFGILDKYAEDSSNIKWIDAPDCFCFHLWNAWEEPETDEVVVIGSCMTPPDSIFNESDENLKSVLSEIRLNLKTGESTRRPIISNEDQQVNLEAGMVNRNMLGRKTKFAYLALAEPWPKVSGFAKVDLTTGEVKKHLYGDNRYGGEPLFLPGEGGEEDEGYILCFVHDEKTWKSELQIVNAVSLEVEATVKLPSRVPYGFHGTFIGADDLAKQVV", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALSSTAATTSSKLKLSNPPSLSHTFTASASASVSNSTSFRPKLTLTRLSSSFLNPSTILHLTPSQRTNRPSSSPFTVRAARGKFERKKPHLNIGTIGHVDHGKTTLTAALTMALACLGNSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPSVVVFLNKQDQVDDEELLELVELEVRELLSSYEFPGDDIPIVSGSALLALEALMANPTLKRGNNQWVDKIYQLMDEVDKYIPIPQRQTELPFLLAIEDVFSITXRGTVATGRIERGLVKVGDVVDLVGLRETRNTTVTGVEMFQKILDDAMAGDNVGLLLRGIQKIDIQRGMVLAKPGTITPHSKFSAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTSIMNDKDEESKMVMPGDRVKIVVELIVPVAIEQGMRFAIREGGKTVGAGVIGAIIE", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGSISLSNSMPITRLPLLTSLYHQSFLPISSSSFSLLPLSNRRRSSTFSPSITVSAFFAAPASVNNNNSVPAKNGGYTVGDFMTPRQNLHVVKPSTSVDDALELLVEKKVTGLPVIDDNWTLVGVVSDYDLLALDSISGRSQNDTNLFPDVDSTWKTFNELQKLISKTYGKVVGDLMTPSPLVVRDSTNLEDAARLLLETKFRRLPVVDADGKLIGILTRGNVVRAALQIKRETENST", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MITGYSTPSAHVLMSSRAFKSSSYRAAAGQTQHYLARSSLPVVKNSWGSPPSPFNELPRVSRGVPLSYLSASSSLLLNGEQGSLSGTLPVLPVRRKTLLTPRASKDVPSSFRFPPMTKKPQWWWRTLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGRLPSWFLMAYFFVAYLGIVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSKWMPLGVYWGKFGMHFWTAVAFAYLFTVLESIRCALAGMYADIPFVCDAAYIQIPYD", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAWSIALLTPPFFGPGRHVQAKEYREPRGCVMKMSSLKAPVLRIQATEYREPRGRVKMMSSLQAPLLTIQSFSGLRAPSALDYLGRPSPGFLVKYKLAKSSGREKASRCVPKAMFERFTEKAIKVIMLSQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDSRVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGENNEVTASVGGGSSGNSKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILARRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKTVITLDMGLLVAGTKYRGEFEERLKKLMEEIRQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTIDEYRKHIEKDPALERRFQPVKVPEPTVEEAIQILQGLRERYEIHHKLRYTDEALVAAAQLSHQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELEKQLRQITKEKNEAVRSQDFEMAGSHRDREIELKAEIANVLSRGKEVAKAENEAEEGGPTVTESDIQHIVATWTGIPVEKVSSDESSRLLQMEQTLHTRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTLVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDHDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVVARLEVKEIELQVTERFKERVVDEGFDPSYGARPLRRAIMRLLEDSMAEKMLSRDIKEGDSVIVDVDAEGSVVVLSGTTGRVGGFAAEEAMEDPIPIL", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGCVPRIEFGCSSQSLTLSWNLRAWNLCRLNTISHFQKLPYPLVASTRKHYKNSLLLKRFLVGVGTEESSLSEDLLDESLSRPLTSDELKSLLIDTERSKLVKKLSEANQQNRFLKRQLKTQEHEITNIKTELALMELEVQALVKLAEEIANLGIPQGSRKISGKYIQSHLLSRLDAVQKKMKEQIKGVEAAQSKEVHVFWIGMAESVQVMGSFDGWSQREDLSPEYSALFTKFSTTLFLRPGRYEMKFLVDGEWQISPEFPTSGEGLMENNVLVVE", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MQALQSSSLRASPPNPLRLPSNRQSHQLITNARPLRRQQRSFISASASTVSAPKRETDPKKRVVITGMGLVSVFGNDVDAYYEKLLSGESGISLIDRFDASKFPTRFGGQIRGFSSEGYIDGKNERRLDDCLKYCIVAGKKALESANLGGDKLNTIDKRKAGVLVGTGMGGLTVFSEGVQNLIEKGHRRISPFFIPYAITNMGSALLAIDLGLMGPNYSISTACATSNYCFYAAANHIRRGEADMMIAGGTEAAIIPIGLGGFVACRALSQRNDDPQTASRPWDKARDGFVMGEGAGVLVMESLEHAMKRGAPIVAEYLGGAVNCDAHHMTDPRADGLGVSSCIERCLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKSTSGIKINATKSMIGHCLGAAGGLEAIATVKAINTGWLHPSINQFNPEQAVDFDTVPNEKKQHEVDVAISNSFGFGGHNSVVAFSAFKP", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASLAQQFSGLRCSPLSSSSRLSRRASKNFPQNKSASVSPTIVAAVAMSSGQTKERLELKKMFEDAYERCRTSPMEGVAFTVDDFAAAIEQYDFNSEIGTRVKGTVFKTDANGALVDISAKSSAYLSVEQACIHRIKHVEEAGIVPGMVEEFVIIGENESDDSLLLSLRNIQYELAWERCRQLQAEDVIVKAKVIGANKGGLVALVEGLRGFVPFSQISSKAAAEELLEKEIPLKFVEVDEEQTKLVLSNRKAVADSQAQLGIGSVVLGVVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIATVLQPGDTLKVMILSHDRDRGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSDGILGPLGSELPDDGVDLTVDDIPSAVDI", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAATPTHFSVSHDPFSSTSLLNLQTQAIFGPNHSLKTTQLRIPASFRRKATNLQVMASGKTPGLTQEANGVAIDRQNNTDVFDDMKQRFLAFKKLKYMDDFEHYKNLADAQAPKFLVIACADSRVCPSAVLGFQPGDAFTVRNIANLVPPYESGPTETKAALEFSVNTLNVENILVIGHSRCGGIQALMKMEDEGDSRSFIHNWVVVGKKAKESTKAVASNLHFDHQCQHCEKASINHSLERLLGYPWIEEKVRQGSLSLHGGYYNFVDCTFEKWTVDYAASRGKKKEGSGIAVKDRSVWS", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MALGGLISNRNFGSFIGSGNGCQRLGKSGAEVSKLFPNALLCRNHQPLQASLHHESGHMRRSFGCFLQPRMDSVIRFRNSIKINRSRAYYKSEESDITEGVVPSADGSAEAILVEGNLQNASPWWQQFPRRWVIVLLCFSSFLLCNMDRVNMSIAILPMSQEYNWSSATVGLIQSSFFWGYLLTQILGGIWADKFGGKVVLGFGVVWWSFATIMTPIAARLGLPFLLVVRAFMGIGEGVAMPAMNNMLSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPMLITKFGWPSVFYSFGSLGSIWFLLWLKFAYSSPKDDPDLSEEEKKVILGGSKPREPVTVIPWKLILSKPPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVSRGLSITNVRKIMQSIGFLGPAFFLSQLSHVKTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVAVALYLIGTLVWNLFATGEKILD", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGSVSGQTRITTMNLSLSTAEKNPNFRSSLLNSKNAISDTLGVSSKCSTFLRGQFQRIHFSWLQHTRPLRKRTVFGHVSCVMPLTEENVERVLDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSSMTLKMGIESRLRDKIPEIMSVEQFLESETGGLELNDENIEKVLSELRPYLSGTGGGGLELVEIDGYVVKVRLTGPAAGVMTVRVALTQKLRETIPSIGAVQLLE", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASTSLLKASPVLDKSEWVKGQSVLFRQPSSASVVLRNRATSLTVRAASSYADELVKTAKTIASPGRGILAMDESNATCGKRLDSIGLENTEANRQAFRTLLVSAPGLGQYVSGAILFEETLYQSTTEGKKMVDVLVEQNIVPGIKVDKGLVPLVGSNNESWCQGLDGLSSRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDSGLVPIVEPEILLDGEHDIDRTYDVAEKVWAEVFFYLAQNNVMFEGILLKPSMVTPGAESKDRATPEQVAAYTLKLLRNRVPPAVPGIMFLSGGQSEVEATLNLNAMNQAPNPWHVSFSYARALQNTCLKTWGGRPENVNAAQTTLLARAKANSLAQLGKYTGEGESEEAKEGMFVKGYTY", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MASNSLMSCGIAAVYPSLLSSSKSKFVSAGVPLPNAGNVGRIRMAAHWMPGEPRPAYLDGSAPGDFGFDPLGLGEVPANLERYKESELIHCRWAMLAVPGILVPEALGYGNWVKAQEWAALPGGQATYLGNPVPWGTLPTILAIEFLAIAFVEHQRSMEKDPEKKKYPGGAFDPLGYSKDPKKLEELKVKEIKNGRLALLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDIVIPFN", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MQSAMALSFSQTSFTRPNHVLGSSGSVFSTPRSLRFCGLRREAFGFSTSNQLAIRSNRIQFLSRKSFQVSASASSNGNGAPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQNEHHMKSFGLQVSAAGYDRQGVADHANNLATKIRNNLTNSMKAIGVDILTGFGSVLGPQKVKYGKDNIITAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLESVPEWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEISKLAQRVLINPRKIDYHTGVFASKITPARDGKPVLIELIDAKTKEPKDTLEVDAALIATGRAPFTNGLGLENVNVVTQRGFIPVDERMRVIDGKGTLVPNLYCIGDANGKLMLAHAASAQGISVVEQVSGRDHVLNHLSIPAACFTHPEISMVGLTEPQAKEKGEKEGFKVSVVKTSFKANTKALAENEGEGIAKMIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKLAVHAHPTLSEVLDELFKAAKVESHATTRTGDAKIKLNTNQEDRKGRRRGGDDEKQPSVSKDLKDISTRPSSFFENISVGVLSLLSLIFV", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASLISFSLLPKPKAVRSSISAPQTQTINTEKLEDKFGRKGIKFSESNNIPMVELKVRNGSSLKLSLSDAHVLSYKPKVYWKDEGFEEVLYTVDGDESRGGVGVVIVNGEEPKGGSSVISGCDWSVKDTDSDAIDALQIELSCTAGVLDITYIVSLYPVSMATALVVKNNGRKPVTLKPGIMSYLRFKKRSGAGIQGLKGCSYCPNPPLSSPFELLSPSEAMKAESSGWFGSEEGEKPGIWAVEDSVITLLEKKMSRIYGAPPAERLKAVYNTPPSKFETIDQGRGLFFRMIRIGFEEMYVGSPGSMWDKYGKQHYFVCTGPTSMLVPVDVASGETWRGAMVIEHDNL", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVSLVNSSLTCSSLTLNLLPILRTETPSLSRKRRAAYVAATSSRDVNDTAADSSQKLTKFVTFLGKGGSGKTTAAVFAAQHYALAGLSTCLVIHNQDPSAEFLLGSKIGTSPTLINDNLSVIRLETTKMLLEPLKQLKQADARLNMTQGVLEGVVGEELGVLPGMDSIFSMLELERLVGFFRQATRKNHKGKPFDVIIYDGISTEETLRMIGLSSKTRLYAKYLRSLAEKTDLGRLTSPSIMRFVDESMNINSNKSPFDGMTSPAMWDTLERFLETGASAWRDPERFRSFLVMDPNNPMSVKAALRYWGCTVQAGSHVSGAFAISSSHLTSQIPKADFVPLPFASASVPFTITGLDWDKILLDQANSSIRELLSETVSHGTSLTQTVMFDTAKKLVTLFMPGFEKSEIKLYQYRGGSELLIEAGDQRRVIHLPSQIQGKVGGAKFVDRSLIVTMR", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MALLSQAGGSYTVVPSGVCSKAGTKAVVSGGVRNLDVLRMKEAFGSSYSRSLSTKSMLLHSVKRSKRGHQLIVAASPPTEEAVVATEPLTREDLIAYLASGCKTKDKYRIGTEHEKFGFEVNTLRPMKYDQIAELLNGIAERFEWEKVMEGDKIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKWRREDIPIMPKGRYDIMRNYMPKVGTLGLDMMLRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTEGKPNGFLSMRSHIWTDTDKDRTGMLPFVFDDSFGFEQYVDYALDVPMYFAYRKNKYIDCTGMTFRQFLAGKLPCLPGELPSYNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDDDSLQAILDLTADWTPAEREMLRNKVPVTGLKTPFRDGLLKHVAEDVLKLAKDGLERRGYKEAGFLNAVDEVVRTGVTPAEKLLEMYNGEWGQSVDPVFEELLY", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MELCTRSTTIITHLPASFNGHGYLAGKSVDRISLPLQRNVASLVLQSRTLRCSRKFPGETVTEETSTGVNEFGVEDRDGVVVAAEEKNSNSEAPQAEDEETQALEFLNDIKLDSDKTYSILLYGSGAIVALYLTSAIVSSLEAIPLFPKLMEVVGLGYTLWFTTRYLLFKRNREELKTKVSEIKKQVLGSDSE", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNSAPLSTPAPVTLPVRSIPGSYGLPLVGPIADRLDYFWFQKPENFFTKRMEKHKSTVFRTNVPPCFPFFGSVNPNVVAVLDVKSFSHLFDMEIVEKANVLVGDFMPSVVYTGDMRVCAYLDTSEPKHAQIKNFSQDILKRGSKTWVPTLLKELDTMFTTFEADLSKSNTASLLPALQKFLFNFFSLTILGADPSVSPEIANSGYIFLDSWLAIQLAPTVSIGVLQPLEEILVHSFAYPFFLVKGNYEKLVQFVKNEAKEVLSRAQTEFQLTEQEAIHNLLFILGFNAFGGFSIFLPTLLGNLGDEKNADMQEKLRKEVRDKVGVNPENLSFESVKEMELVQSFVYETLRLSPPVPSQYARARKDFKLSSHDSVYEIKKGELLCGYQPLVMKDPKVFDEPEKFVLERFTKEKGKELLNYLFWSNGPQTGRPTESNKQCAAKDMVTLTASLIVAYIFQKYDSVSFSSGSLTSVKKAS", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTSIELLSPLIHDKFRFSTCCSTSSLLYLHASSFFRDRSFGFRQNPNRFVSNSSIQLPQSVPGSINQERFNLWQGFSRKKSTSSSRTIVNCQEGDQKASSSEGEGKTNKDKGRKQGKNELWWSKGKKWQWKPIIQAQEIGVMLLQLGIVMFVVRLLRPGIPLPGSEPRTQTTFMSVPYSDFLSKVNNDEVQKVEVDGFHVLFKLKDDGNLQESETSSSSIKLSESSETMLRSVAPTKRVVYSTTRPRDIKTPYEKMLENNVEFGSPDKRSGGFFNSGLIVLFYIAVLAGLLHRFPVNFSQSTTGQLRTRKSGGPGGGKVSGDGETITFADVAGVDEAKEELEEIVEFLKNPDRYVRLGARPPRGVLLVGLPGTGKTLLAKAVAGESDVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRMVSNDEREQTLNQLLTEMDGFDSSSAVIVLGATNRADVLDPALRRPGRFDRVVTVESPDKVGRESILKVHVSKKELPLGDDVNLASIASMTTGFTGADLANLVNEAALLAGRKSKMTVDKIDFIHAVERSIAGIEKKTARLKGSEKAVVARHEAGHAVVGTAVASLLSGQSRVEKLSILPRSGGALGFTYIPPTHEDRYLLFIDELHGRLVTLLGGRAAEEVVYSGRISTGALDDIRRATDMAYKAVAEYGLNEKIGPVSVATLSAGGIDDSGGSPWGRDQGHLVDLVQREVTNLLQSALDVALTVVRANPDVLEGLGAQLEDEEKVEGEELQKWLNRVVPSEELAVFIKGKQTALLPAQASSS", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKATLRAPASRASAVRPVASLKAAAQRVASVAGVSVASLALTLAAHADATVKLGADSGALEFVPKTLTIKSGETVNFVNNAGFPHNIVFDEDAIPSGVNADAISRDDYLNAPGETYSVKLTAAGEYGYYCEPHQGAGMVGKIIVQ", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASSAAAIVSGSPFRSSPLIHNHHASRYAPGSISVVSLPRQVSRRGLSVKSGLIEPDGGKLMNLVVEESRRRVMKHEAETVPARIKLNRVDLEWVHVLSEGWASPLKGFMRQSEFLQTLHFNSFRLEDGSVVNMSVPIVLAIDDDQKFRIGDSNQVTLVDSVGNPIAILNDIEIYKHPKEERIARTWGTTARGLPYAEEAITKAGNWLIGGDLQVLEPIKYNDGLDRFRLSPSQLREEFIRRGADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPVLLLNPLGGFTKADDVPLSWRMRQHEKVLEDGVLDPETTVVSIFPSPMLYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDKTQGKMAFFDPSRSQDFLFISGTKMRGLAKKKENPPDGFMCPSGWKVLVDYYDSLSAETGNGRVSEAVASA", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MATVGSLKPLHHSSCSSSFPRNPIVNRKALLGFVFDSARKNQIRCENLRYSSESDGKRRNAAAKKRNQSPERCAAEGVLTGGGGSEAIAEVRTMMPERIKVVILTACMMCLCNADRVVMSVAVVPLADKLGWSSSFLGVVQSSFLWGYIFSSVIGGALVDRYGGKRVLAWGVALWSLATLLTPWAAAHSTLALLCVRAFFGLAEGVAMPSMTTLLSRWFPMDERASAVGISMAGFHMGNVVGLLLTPLMLSSIGISGPFILFASLGLLWVSTWSSGVTNNPQDSPFITRSELRLIQAGKPVQPSTISPKPNPSLRLLLSKLPTWAIIFANVTNNWGYFVLLSWMPVYFQTVFNVNLKQAAWFSALPWATMAISGYYAGAASDFLIRTGHSVTSVRKIMQSIGFMGPGLSLLCLNFAKSPSCAAVFMTIALSLSSFSQAGFLLNMQDIAPQYAGFLHGISNCAGTLAAIVSTIGTGYFVQWLGSFQAFLTVTAFLYFATTVFWLLFATGERVF", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASTFTATSSIGSMVAPNGHKSDKKLISKLSSSSFGRRQSVCPRPRRSSSAIVCAAKELHFNKDGTTIRRLQAGVNKLADLVGVTLGPKGRNVVLESKYGSPRIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGFIAEGVKVVAAGANPVLITRGIEKTAKALVTELKKMSKEVEDSELADVAAVSAGNNDEIGNMIAEAMSKVGRKGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEFDNCKLLLVDKKITNARDLVGVLEDAIRGGYPILIIAEDIEQEALATLVVNKLRGTLKIAALRAPGFGERKSQYLDDIAILTGATVIREEVGLSLDKAGKEVLGNASKVVLTKETSTIVGDGSTQDAVKKRVTQIKNLIEQAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKATLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSNDNVKFGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPVPVGNPMDNSGYGY", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAASSACLVGNGLSVNTTTKQRLSKHFSGRQTSFSSVIRTSKVNVVKASLDGKKKQEGRRDFLKILLGNAGVGLVASGKANADEQGVSSSRMSYSRFLEYLDKDRVNKVDLYENGTIAIVEAVSPELGNRVERVRVQLPGLSQELLQKLRAKNIDFAAHNAQEDQGSVLFNLIGNLAFPALLIGGLFLLSRRSGGGMGGPGGPGNPLQFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGAKIPKGVLLIGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGVIVVAATNRADILDSALLRPGRFDRQVSVDVPDVKGRTDILKVHAGNKKFDNDVSLEIIAMRTPGFSGADLANLLNEAAILAGRRARTSISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAVCGTLTPGHDAVQKVTLIPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEIIFGDSEVTTGAVGDLQQITGLARQMVTTFGMSDIGPWSLMDSSAQSDVIMRMMARNSMSEKLAEDIDSAVKKLSDSAYEIALSHIKNNREAMDKLVEVLLEKETIGGDEFRAILSEFTEIPPENRVPSSTTTTPASAPTPAAV", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVKETLIPPSSTSMTTGTSSSSSLSMTLSSTNALSFLSKGWREVWDSADADLQLMRDRANSVKNLASTFDREIENFLNNSARSAFPVGSPSASSFSNEIGIMKKLQPKISEFRRVYSAPEISRKVMERWGPARAKLGMDLSAIKKAIVSEMELDERQGVLEMSRLRRRRNSDRVRFTEFFAEAERDGEAYFGDWEPIRSLKSRFKEFEKRSSLEILSGFKNSEFVEKLKTSFKSIYKETDEAKDVPPLDVPELLACLVRQSEPFLDQIGVRKDTCDRIVESLCKCKSQQLWRLPSAQASDLIENDNHGVDLDMRIASVLQSTGHHYDGGFWTDFVKPETPENKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKAAKQSVTLVVPWLCESDQELVYPNNLTFSSPEEQESYIRKWLEERIGFKADFKISFYPGKFSKERRSIFPAGDTSQFISSKDADIAILEEPEHLNWYYHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFFVNHVNNWVTRAYCDKVLRLSAATQDLPKSVVCNVHGVNPKFLMIGEKIAEERSRGEQAFSKGAYFLGKMVWAKGYRELIDLMAKHKSELGSFNLDVYGNGEDAVEVQRAAKKHDLNLNFLKGRDHADDALHKYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNEFFRSFPNCLTYKTSEDFVSKVQEAMTKEPLPLTPEQMYNLSWEAATQRFMEYSDLDKILNNGEGGRKMRKSRSVPSFNEVVDGGLAFSHYVLTGNDFLRLCTGATPRTKDYDNQHCKDLNLVPPHVHKPIFGW", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MMIARCSLFPPPCFSAVRIRCFAGETSDTGILFREKLIYLQDLNVDPHKALRVNPSLRSAPISSVVSVETLLSSTGLSRPAVGRILDMFPDLLTSDPESEILPVLRFLSNEISISEQDIPKSISRCPRLLISSVDYQLRPALTFLKTLGFVGRDTITSRNTVLLVSNVERTLIPKIEYLEEGLGFTREEVAKMVVRSPALLTYSVDNNLVPKVEFFIEEMRGDVKELKRFPQYFSFSLERKIKPRHRLLKEHGILMPLSEMLKVSDGQFNHWLLELRLRSAERR", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAAHSSCNFALTNPIISQIDSFSKKKLSVPLYFFSTRKALTNPWLGVVDSSLSLTSPVSALQTNRPRRIHKSAISSLPTANPDLVVSDAKKPKWSWRAIKSFAMGELEARKLKYPNTGTEALLMGILIEGTSFTSKFLRANKIMLYKVREETVKLLGKADMYFFSPEHPPLTEDAQRALDSALDQNLKAGGIGEVMPAHILLGIWSEVESPGHKILATLGFTDEKSKELESFASESGFLDE", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAIAFKSGVFFLQSPKSQIGFRHSSPPDSSLSFKRFTPMASLSTSSPTLGLADTFTQLKKQGKVAFIPYITAGDPDLSTTAEALKVLDACGSDIIELGVPYSDPLADGPVIQAAATRSLERGTNLDSILEMLDKVVPQISCPISLFTYYNPILKRGLGKFMSSIRAVGVQGLVVPDVPLEETEMLRKEALNNDIELVLLTTPTTPTERMKLIVDASEGFIYLVSSIGVTGARSSVSGKVQSLLKDIKEATDKPVAVGFGISKPEHVKQIAGWGADGVIVGSAMVKLLGDAKSPTEGLKELEKLTKSLKSALL", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNARALLCSSNIHSLYTSNRPPEKTSSSRSLRNLKPSPKSLRVWIYPRNRSSVFRVLVRSSDKSESSNSYYVEGDKVSGNNDVVSDSPSSIVLPWWEEFPKRWVIVLLCFSAFLLCNMDRVNMSIAILPMSAEYGWNPATVGLIQSSFFWGYLLTQIAGGIWADTVGGKRVLGFGVIWWSIATILTPVAAKLGLPYLLVVRAFMGVGEGVAMPAMNNILSKWVPVQERSRSLALVYSGMYLGSVTGLAFSPFLIHQFGWPSVFYSFGSLGTVWLTLWLTKAESSPLEDPTLLPEERKLIADNCASKEPVKSIPWRLILSKPPVWALISCHFCHNWGTFILLTWMPTYYHQVLKFNLMESGLLSVFPWMTMAISANAGGWIADTLVSRGFSVTNVRKIMQTIGFLGPAFFLTQLKHIDSPTMAVLCMACSQGTDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVLGTAATGHILQHGSWDDVFTISVGLYLVGTVIWNLFSTGEKIID", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MHSCSHTHFVSFKLPHFFAPKSFVVSSRRELRVFAVATTVEEASGNIPAAPISLPQGSWKQIAGGVTAAKGFKAAGMYAGLRAAGKKPDLALVTCDVEAVAAGVFTTNVVAAAPVVYCKKVLETSKTARAVLINAGQANAATGDAGYQDMLDCVGSIATLLKVKPEEVLIESTGVIGQRIKKEELLHALPTLVNSRSDSVEEADSAAVAITTTDLVSKSVAVESQVGGIKIRVGGMAKGSGMIHPNMATMLGVITTDALVESDIWRKMVKVAVNRSFNQITVDGDTSTNDTVIALASGLSGSPSISSLNCKEAAQLQACLDAVMQGLAKSIAWDGEGATCLIEVTVKGTETEAEAAKIARSVASSSLVKAAVYGRDPNWGRIAAAAGYAGVSFQMDKLKISLGEFSLMESGQPLPFDRDGASNYLKKTGEVHGTVTIDISVGDGAAIGKAWGCDLSYDYVKINAEYTS", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAASVHCTLMSVVCNNKNHSARPKLPNSSLLPGFDVVVQAAATRFKKETTTTRATLTFDPPTTNSERAKQRKHTIDPSSPDFQPIPSFEECFPKSTKEHKEVVHEESGHVLKVPFRRVHLSGGEPAFDNYDTSGPQNVNAHIGLAKLRKEWIDRREKLGTPRYTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRKFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTIMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVDGIAENLNWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRLTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKQHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPADGAKVAHFCSMCGPKFCSMKITEDIRKYAEENGYGSAEEAIRQGMDAMSEEFNIAKKTISGEQHGEVGGEIYLPESYVKAAQK", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MMDSALYHPRIFFAHSFINGLYSSPRFANTCWRLVSRSSWEIKASENSDRNVFDENPVRKTDGSLFDSASFETVDAEITPETDDFFVSDAEGDPDCPTQGYSSIELALQALRKGKFVIVVDDETGDVEGNLIMAATLTSPKDIAFLIKNGSGIVSVGMKKENLERLSLTLMSPEMEDEDSSAPTFTITVDAKSGTSTGVSASDRAMTVLALSSLDAKPDDFRRPGHVFPLKYRDGGVLRRAGHTEASVDLMILAGLRPLSVLSAILDQEDGSMASLPYMKKLATEHDIPIVSLTDLIRYRRKRDKLVERITVSRLPTKWGLFQAYCYRSKLDGTENIALVKGNVGNGEDILVRVHSECLTGDIFGSARCDCGNQLDLAMELIEKEGRGVVVYLRGHEGRGIGLGHKLRAYNLQDEGHDTVQANVELGLSIDSREYGIGAQMLRDIGVRTMRLMTNNPAKFTGLKGYGLAVVGRVPVVTPITKENRRYMETKRKKMGHIYISDNNDQPLA", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSVALFCGPPPAVSFGCKDGRGRKGMVRSKDIVRQTVKPPAHACRLIGWNKYPGSVVPTNSSLSPSPTALDDEIELDLSPFLIIYKDGRIERLKGTTVIPACPEVATKDVIIDPATGVSVRLYLPNVVDLPSKKLPVLVYFHGGGFVIENTGSPNYHNYLTLLAAKSGLLIVSVNYRLAPEHPIPASFDDCMAGFNWVVSHSAGPAPEPWLARHGDLTQILISGDSAGGTVTHYVLLRADAGVIEGAALVHPYFLGSKRLENQTEEDFEFHEKLWRLSTPDTEGLDDPLINPLAPGAPSLAGLKCKRAVVFVAELDFLVERGRMYYDALVKSGWGGKAELVHQEGVGHVFHLSDYSGDVSVDMMAKMVAFLRGE", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSEIVNLSSSLRSLNPKISPLVPPYRQTSSSFSRPRNFKYHSFTDKICLAAERIRAVDIQKQDGGLQELDDSPVSVELGPICGESHFDQVMEDAQKLGESVVIVWMAAWCRKCIYLKPKLEKLAAEFYPRLRFYHVDVNAVPYRLVSRAGVTKMPTIQLWRDGQKQAEVIGGHKAHFVVNEVREMIENDSIT", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MATWSGFNVSSSPLLRLRSSSVSNVTKLPFLSPICRRRLLAERFGLATVVVTRQNLTVTPSSAAVEARISGKREPMTPPYNILITGSTKGIGYALAREFLKAGDNVVICSRSAERVETAVQSLKEEFGEHVWGTKCDVTEGKDVRELVAYSQKNLKYIDIWINNAGSNAYSFKPLAEASDEDLIEVVKTNTLGLMLCCREAMNMMLTQSRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMQDVKNVVVHNLSPGMVTTDLLMSGATTKQAKFFINVLAEPAEVVAEYLVPNIRAIPASGSMKPTYIRFLTGIKAYTKIFSRVALGARKNRYVTEE", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTFYISSSLTPTHFSKPLNPSNTLFPSQFRGSLSSFVRRRKPTEAKLSSKFNLFPSRRNGLITCCSTSSFESTESSVSQEEDAESNRLFEKLRETERERLSNMEELERKANVQLERQLVMASDWSRTLLTMRGKLKGTEWDPETSHRINFSDFMKLLDSNSVQYMEYSNYGQTISVILPYYKDGEPLGEEEDSKKEIIFRRHIVDRMPIDGWNDVWKKLHQQIVNVEVFNVDVVPAEVYTTVATFVVWSMRLALFVSLYVWIDSITRPIYAKLIPCDLGTPTKKIRQPLKRQALGSLGKSRAKFISAEEKTGVTFDDFAGQEYIKRELQEIVRILKNDEEFQNKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFASSRSYAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKVTTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEDEKEELLQEVAENTEDFTGAELQNVLNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEVPEELKLRLAYREAAVAVLACYLPDQYRPISETDINSIRSQPNMRYSETSGRVFARKSDYVNSIIRACAPRVVEEEMFGIENLCWISAKSTLEASQRAEFLILQTGMTAFGKAYYRNQRDLVPNLVPKLEALRDEYMRFAVEKCSSILQEYQSALEEITDVLLEKGEIKADEIWNIYNTAPRIPQKPVRPVDEYGALIYAGRWGIHGVSLPGRVTFSPGNIGFATFGAPRPMETQIISDDTWKLVDEIWDKKVEEIKAEAVIQIEEEKKKPQILMATHFF", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSNSLSLTFTALNNPQINAISNPNARLRPLARVTRCSATCVERKRWLGTKLRSGGGLERIQLWESGGLGRLPKLRVAVKSSFSAVPDKPMGLYDPAFDKDSCGVGFVAELNGQSSRKTVTDALEMLVRMTHRGACGCEANTGDGAGILVALPHGFYQEVVDFQLPPQGNYAVGMFFLPKSDSRRKESKNIFTKVAESLGHKVLGWRSVPTDNTGLGKSAQLTEPVIEQVFLTPSSDSKVDLEKQMYILRKLSMVSITSALNLQSDGITDFYICSLSSRTVIYKGQLTPAQLGEYYYADLGNERFTSYMALIHSRFSTNTFPSWDRAQPFRVLGHNGEINTLRGNVNWIKAREGLLKCKELGLSENDLKKFLPIVDANSSDSGCFDGVLEFLLHSGKSLPEAVMMMIPEAWQNDKNMDPQRKAFYEYYSALMEPWDGPALISFTDGHYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVCRKGRLNPGMMLLVDFEKQIVVNDDALKEQYSLARPYGDWLEKQKIELKDIIDSVHESDIVPPTISGVPPLSNDDVDMENMGIQGLLAPLKAFGYSVESLEILLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMRCMVGPEGDLTETTEEQCHRLSLKGPLLSTKEMEAIKKMNYRGWRSKVIDITYSKERGTKGLEEALDRICTEAHNAISEGYTTLVLSDRAFSKKHVAVSSLLAVGAVHQHLVKTLERTRVALMVESAEPREVHHFCTLVGFGADAICPYLAIEAIWRLQVDGKIPPKASGDFNSKDELVKKYFKASTYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFAGTPSRVEGATFEMLAQDALHLHELAFPSRIFSPGSAEAVALPNPGDYHWRKGGEVHLNDPLAIAKLQEAARTNSVDAYKQYSKTIHELNKACNLRGLLKFKDAASKVPISEVEPASEIVKRFCTGAMSYGSISLEAHTALATAMNTIGGKSNTGEGGEQPSRMEPLADGSRNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAITRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPAARISVKLVSEAGVGVIASGVVKGHAEHVLISGHDGGTGASRWTGIKSAGLPWELGLAETHQTLVANDLRGRTTLQTDGQLKTGRDVAIAALLGAEEYGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMVAEEMREIMSQLGFRTVNEMVGRSDMLEVDKEVVKGNAKLENIDLSLLLRPAAELRPEAAQYCVQKQDHGLDMALDNKLISLSNAALEKGLPVYIETPICNTNRAVGTMLSHEVTKRYNLAGLPADTIHIQFTGSAGQSFGAFLCPGITLELEGDSNDYIGKGLSGGKVVVYPPKGSNFDPKDNILIGNVALYGATRGEAYFNGMAAERFCVRNSGALAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDGTFQSRCNLELVDLDKVEEEEDIITLRMLIQQHQRHTNSLLAKEVLVDFENLLPKFVKVFPREYKRVLASMKSDAASKDAVERAAEDVDEQDDEAQAVEKDAFEELKKLATASLNEKPSEAPKRPSQVTDAVKHRGFVAYEREGVQYRDPNVRLNDWNEVMMETKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWQEALERLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKNIECAIIDKAFEEGWMIPRPPVKRTGKRVAIVGSGPSGLAAADQLNKMGHIVTVFERADRIGGLMMYGVPNMKTDKVDIVQRRVNLMAEEGINFVVNANIGLDPLYSLERLREENDAIVLAVGATKPRDLPVPGRELSGVHFAMEFLHANTKSLLDSNLQDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCTAVVNLELLPQPPPTRAPGNPWPQWPRIFRVDYGHQEAETKFGKDPRTYEVLTKRFVGDENGVVKGLEVVRVCWEKDETGKFQFKEIEGSEEIIEADLVLLAMGFLGPEATIAEKLGVERDNRSNFKADYGRFSTSVDGVFAAGDCRRGQSLVVWAISEGRQAAAQVDSYLTNEDHGIDGNQDEFVKRQQDLNKKHSKHTVMT", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MALLCSALSNSTHPSFRSHIGANSENLWHLSADPAQKSKRRCNLTLSSRAARISSALESAKQVKPWQVPKRDWFPPEFMFGAASAAYQIEGAWNEGGKGPSSWDNFCHSHPDRIMDKSNADVAANSYYMYKEDVRMLKEIGMDSYRFSISWPRILPKGTLDGGINHEGIQYYNDLLDCLIENGIKPYITLFHWDTPQALADEYKDFLDRRIVKDYTDYATVCFEHFGDKVKNWFTFNEPHSFCGLGYGTGLHAPGARCSAGMTCVIPEEDALRNPYIVGHNLLLAHAETVDVYNKFYKGDDGQIGMVLDVMAYEPYGNNFLDQQAQERAIDFHIGWFLEPMVRGDYPFSMRSLVGDRLPFFTKSEQEKLVSSYDFVGINYYTSRFAKHIDISPEFIPKINTDDVYSNPEVNDSNGIPIGPDVGMYFIYSYPKGLKNILLRMKEKYGNPPIYITENGTADMDGWGNPPMTDPLDDPLRIEYLQQHMTAIKEAIDLGRRTLRGHFTWSLIDNFEWSLGYLSRFGIVYIDRNDGCKRIMKKSAKWLKEFNGATKKLNNKILGASSCCSGVTHGGGTA", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MISVSRFLSPQFYAIPRSFVKMSASATQTAGEVSMGIKPPSHPTYDLKAVIKLALAEDAGHTGDVTCMATIPFDMEVEAYFLAKEDGIVAGVALADMIFEHVDPSLKVEWMRKDGDYVHKGLKFGKVSGNAHKIVVAERVLLNFMQRMSGIATLTKLMADAASPACILETRKTAPGLRLVDKWAVLIGGGRNHRMGLFDMVMIKDNHISAAGGIVNAVKSVDEYLKQKNLEMDVEVETRTLEEVKEVLEYASGSETRLTRIMLDNMVVPLENGDVDVTMLKDAVELINGRFETEASGNVTLETVHKIGQSGVTFISSGALTHSVKALDISLKIDTELALEVGRRTKRA", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDASMITNSKSITSPPSLALGKSGGGGVIRSSLCNLMMPSKVNFPRQRTQTLKVSQKKLKRATSGGLGVTCSGGDKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADEAVCIGEAPSNQSYLVIPNVLSAAISRGCTMLHPGYGFLSENALFVEMCRDHGINFIGPNPDSIRVMGDKATARETMKNAGVPTVPGSDGLLQSTEEAVRVANEIGFPVMIKATAGGGGRGMRLAKEPGEFVKLLQQAKSEAAAAFGNDGCYLEKFVQNPRHIEFQVLADKFGNVVHFGERDCSIQRRNQKLLEEAPSPALTAELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMIYSVDLIEEQIRVAMGEKLRYKQEDIVLRGHSIECRINAEDPFKGFRPGPGRITSYLPSGGPFVRMDSHVYSDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALNDTIITGVPTTINYHKLILDVEDFKNGKVDTAFIVKHEEELAEPQEIVAVKDLTNATV", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAASASPMASQLRSSFSSASLSQRLAVPKGISGAPFGVSPTKRVSSFTVRAVKSDKTTFQVVQPINGDPFIGSLETPVTSSPLIAWYLSNLPGYRTAVNPLLRGVEVGLAHGFFLVGPFVKAGPLRNTAYAGSAGSLAAAGLVVILSMCLTIYGISSFKEGEPSIAPSLTLTGRKKQPDQLQTADGWAKFTGGFFFGGISGVTWAYFLLYVLDLPYFVK", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASLSVPCVKICALNRRVGSLPGISTQRWQPQPNGISFPSDVSQNHSAFWRLRATTNEVVSNSTPMTNGGYMNGKAKTNVPEPAELSEFMAKVSGLLKLVDSKDIVELELKQLDCEIVIRKKEALQQAVPPAPVYHSMPPVMADFSMPPAQPVALPPSPTPTSTPATAKPTSAPSSSHPPLKSPMAGTFYRSPGPGEPPFVKVGDKVQKGQIVCIIEAMKLMNEIEAEKSGTIMELLAEDGKPVSVDTPLFVIAP", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MALIQNPNMKHAPFLRNRSPQQTLFIPYTLSLPISYQNPKRLKTANSSSSSSLLAPVILNSPVASSSPPPIYCAPKFPCSSGAATVPLSRVWREIQGCNNWKDLIEPLNPLLQQEITRYGNLVSTCYKAFDLDPNSKRYLNCKYGKQTLLKETEIDQPEDYQVTKYIYATPDININISPIQNEMNRRARWVGYVAASSDDSVKRLGRRDIVVTFRGTVTNPEWLANFMSSLTPARFHPHNPRLDVKVESGFLSLYTSDESESKFGLESCRQQLLSEISRLMNKYKGEEMSITLAGHSMGSSLAQLLAYDIAELGLNRRIGKGDIPVTVFSFAGPRVGNLEFKKRCEELGVKVLRITNVNDPVTKLPGVLFNENFRVLGGFYELPWSCSCYVHVGVELTLDFFDVQNISCVHDLQTYIDLLNQRRTNSRSVDSDEDEDSDNVALEFLKTNGEKMMFLKRQRMMYWSNAVDLLFSFSNHMSYCNIF", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAYATSTYARTSCIILPKIQNGAHFTDDTKAFRRITARRVTRIYASQGPTKPSKPSPGVDTRIHWESPDEGWIGGRSDPAKSVDEDKTNLLSDEKFAELIKDSFDSHYQFLGVSTDADLEEIKSAYRRLSKEYHPDTTSLPLKTASEKFMKLREVYNVLSDEETRRFYDWTLAQEVASRQAEKMRMKLEDPKEQDFRGYESIPDMVDRLGGRNMELSDQAMTALTFDILIVLFAVCCIAFVIVFKDPSY", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MATDSFIKLNPISFNRARFDLRDFAGISPKSISSLCCISPRLISCNHFSPRTLISGENGNILFSKKKIPAFVRCQTSLGIGRNQKWWEKELKPNMKSVTSPQDLVVSLRNAGDKLVVVDFFSPSCGGCKALHPKICKIAEKNPEVEFLQVNYEEHRSLCQSLNIHVLPFFRFYRGSSGRVCSFSCTNATIRKFKEALEKHGREQCSIGETKGLEEKELVAMAANKDLSFDYKPTSCGNIQEQKKKEIFLPKSPTFNKQKEVEHSLLLVSPAPA", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAFATGITTSSNPTFLGLKISNTSLRSVVSCNSISFPSLSYVNLNLNRRNRLSVRSASVPAAPAMEGLKPAISLSENALKHLSKMRSERGEDLCLRIGVKQGGCSGMSYTMDFENRANARPDDSTIEYQGFTIVCDPKSMLFLFGMQLDYSDALIGGGFSFSNPNATQTCGCGKSFAAEM", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLLHCNVSYYTSTFSFISSSLRRQDNADDSQDTVIRRRHNARSISLYIRHNRDLKLNKNPNESQETFVPPPPPPRRDLDGENRSKLLELSLVTRRTPQFPGSIYAQSASDADIASSLPSLRNFLGSDGDDDGESEREMIVKALEIRRKVTKEIIKESLVRKGRFGITYATNVTDRLGDFVDHVMIQAAALKRLPEFSESRFNLRARTVIEDSNFVPLVRWLKHHELSYNRIAKIICMSKGNLDSIRIMIEWLKSIHVKGEFIAVAFLRSGDNILQRNREELNEIVEYLESNGVRRDWMGYVVGRCPELLSFSMEEVKSRVDFFLKMGMNQNDFGTMVYDYPKIIGFFSFQVMEKKINYLKEFGLSTEEVGRLLAYKPHLMGCSIEERWKPLVKYFYYLGIPKEGMKRILVVKPILYCIDLEKTIAPKVRFLQEMGIPNEAIGNMLVKFPSLLTNSLYKKIRPVVIFLLTRAGVTQKDIGKVIAMDPALLGCSIGTKLEPNMRYYISLGIRFYQLGEMIADFPMLLRYNVDNLRPKYRYLRRTMIRPLQDLIEFPRFFSYSLERRIIPRHTIMVENRVNFKLRYMLACTDEEFERRVRDKVERRERFEAGLDSEDSQPSDENISDQEIAFSDEAEEEEDLTE", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEEDRAILTFHRIPSLNSSLITTSSPAKSGAEQFRRRVLRNPARGDFGLGRFACISLVEKCEQREFAPTTAQLLNNPLAILALVPKDAAIFAAGALAGAAAKTVTAPLDRIKLLMQTHGIRLGQQSAKKAIGFIEAITLIAKEEGVKGYWKGNLPQVIRVLPYSAVQLLAYESYKNLFKGKDDQLSVIGRLAAGACAGMTSTLLTYPLDVLRLRLAVEPGYRTMSQVALSMLRDEGIASFYYGLGPSLVGIAPYIAVNFCIFDLVKKSLPEEYRKKAQSSLLTAVLSAGIATLTCYPLDTVRRQMQMRGTPYKSIPEAFAGIIDRDGLIGLYRGFLPNALKTLPNSSIRLTTFDMVKRLIATSEKQLQKISDDNRNRDQAQ", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MALYGTLQLSHSLGLCRNQRFCNPENSAMRRRLHISNGPLSLGVPLGQHGFSNILLSNYLRRPICSVPCRTTAFRCHSFSASGKAIEPAVKAVTVVLTKSHGLMQQFPFVYKLVPAVALLVFSLWGLVPFARQGRNILLNKNDNGWKKSGTYHVMTSYVQPLLLWLGALFICRALDPVVLPTEASKIVKDRLLNFVRSLSTVLAFAYCLSSLIQQTQKLFSETSNPSDTRNMGFQFAGKALYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLITLAGREILTNFLSSVMIHATRPFVLNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGEDREAIHIPNHKFTVNVVRNLTQKTHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPMVEQQRLHRRVFLENVIPENQALSILISCFVKTSHHEEYLGVKEAILLDLLRVISHHRARLATPIRTIRKMYTETDVENTPFGESMYGGVTSRRPLMLIEPAYKINGEDKSKSQNRAAKPTAEQENKGSNPKSKETSSPDLKANVKVGESPVSDTNKVPEETVAKPVIKAVSKPPTPKDTETSGTEKPKAKRSGGTIKSTKTDETDSSTSSASRSTLEENIVLGVALEGSKRTLPIEEEIHSPPMETDAKELTGARRSGGNGPLVADKEQKDSQSQPNSGASTEP", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MGEEKSLLQFRSFPSLKTSDFALTEEPSWRLENNVSSNRRRGNKRSGGVFTNFASLSVAIRRDRRESTFNGRNGGGGGAFASVSVVIPKEEDEFAPTSAQLLKNPIALLSIVPKDAALFFAGAFAGAAAKSVTAPLDRIKLLMQTHGVRAGQQSAKKAIGFIEAITLIGKEEGIKGYWKGNLPQVIRIVPYSAVQLFAYETYKKLFRGKDGQLSVLGRLGAGACAGMTSTLITYPLDVLRLRLAVEPGYRTMSQVALNMLREEGVASFYNGLGPSLLSIAPYIAINFCVFDLVKKSLPEKYQQKTQSSLLTAVVAAAIATGTCYPLDTIRRQMQLKGTPYKSVLDAFSGIIAREGVVGLYRGFVPNALKSMPNSSIKLTTFDIVKKLIAASEKEIQRIADDNRKKASPNTIDEQT", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MELLNGVETLVSGIHHHHRTNAKRNRLVRSVKILNSGNHEIPRKCLCFDLYDKLVPYKKAWSWQKSIVEEKKTLIDRNQDCADTVILLQHSPVYTMGTASTEDYLNFDIKDAPFNVYRTERGGEVTYHGPGQLVMYPIINLRNHEMDLHWYLRMLEEIVIRVLSSTFSIKASRLDGLTGVWVGNQKVAAIGIRVSKWITYHGLALNVTTDLTPFNWIVPCGIRDRKVGNIKGLLEDGEHGMVDDLRLIDIVHESLLKEFSEAFQLQIEKQTVSDPNIL", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MASISHSSLALGGASSASASDYLRSSSNGVNGVPLKTLGRAVFTTIRRKDLAVTSRLKKGKKFEHPWPANPDPNVKGGVLSYLAEFKPLGDTQKPVTLDFEKPLVELEKKIVDVRKMANETGLDFTEQIITLENKYRQALKDLYTHLTPIQRVNIARHPNRPTFLDHIHNITDKFMELHGDRAGYDDPAIVTGIGTIDGKRYMFIGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIANNLRTMFGLKVPILSIVIGEGGSGGALAIGCANKMLMLENAVFYVASPEACAAILWKTSKAAPEAAEKLRITSKELVKLNVADGIIPEPLGGAHADPSWTSQQIKIAINENMNEFGKMSGEELLKHRMAKYRKIGVFIEGEPIEPSRKINMKKREAVFSDSRKLQGEVDKLKEQILKAKETSTEAEPSSEVLNEMIEKLKSEIDDEYTEAAIAVGLEERLTAMREEFSKASSEEHLMHPVLIEKIEKLKEEFNTRLTDAPNYESLKSKLNMLRDFSRAKAASEATSLKKEINKRFQEAVDRPEIREKVEAIKAEVASSGASSFDELPDALKEKVLKTKGEVEAEMAGVLKSMGLELDAVKQNQKDTAEQIYAANENLQEKLEKLNQEITSKIEEVVRTPEIKSMVELLKVETAKASKTPGVTEAYQKIEALEQQIKQKIAEALNTSGLQEKQDELEKELAAARELAAEESDGSVKEDDDDDEDSSESGKSEMVNPSFA", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAATALSMSILRAPPPCFSSPLRLRVAVAKPLAAPMRRQLLRAQATYNVKLITPEGEVELQVPDDVYILDFAEEEGIDLPFSCRAGSCSSCAGKVVSGSVDQSDQSFLNDNQVADGWVLTCAAYPTSDVVIETHKEDDLL", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MQTTLKQQRASGRVSARQPFRSAAVARPRRSTVRVQASAAPLNDGLGFETMRDGIKVAAKETLLTPRFYTTDFDEMEQLFSKEINPNLDMEELNACLNEFRNDYNKVHFVRNETFKAAADKVTGETRRIFIEFLERSCTAEFSGFLLYKELARRMKASSPEVAEMFLLMSRDEARHAGFLNKALSDFNLALDLGFLTKNRTYTYFKPKFIIYATFLSEKIGYWRYITIYRHLQRNPDNQFYPLFEYFENWCQDENRHGDFLAACLKAKPELLNTFEAKLWSKFFCLSVYITMYLNDHQRTKFYESLGLNTRQFNQHVIIETNRATERLFPVVPDVEDPRFFEILNKMVDVNAKLVELSASSSPLAGLQKLPLLERMASYCLQLLFFKEKDVGSVDIAGSGASRNLAY", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "ATYKVKLVTPDGPIEFDCPDDVYILDQAEEEGHELPYSCRAGSCSSCAGKVTAGTVDQSDGNFLDDDQMADGFVLTCVAYPKSDVTIETHKEEDLTG", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "ATYKVKLITPEGPVEFNCPDDVYILDSAEENGHDLPYSCRAGACSSCAGKITAGNVDQSDNSFLDDDQVAEGFVLTCVAYPKSNVTIETHKEDDLVG", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEIAISYKPNPLISSSTQLLKRSKSFGLVRFPAKYGLGATRKKQLFRVYASESSSGSSSNSDGGFSWVRLAQSIRLGAERIGEKIGESVKTEIGFDSEEASGRVNEYVARVKDSVHKGHHELTRFKNETVPSFIDWNKWEHWKDIRNWDGKRVAALFIYAFALLLSCQRVYVAIQAPRVERERRELTESFMEALIPEPSPGNIEKFKRNMWRKATPKGLKLKRFIEAPDGTLVHDSSYVGENAWDDDLETTEGSLKKIIGRNARIQTEAKKKLSQDLGVSGEIGDSVGNWRERLATWKEMLEREKLSEQLNSSAAKYVVEFDMKEVEKSLREDVIGRTSETEGTRALWISKRWWRYRPKLPYTYFLQKLDSSEVAAVVFTEDLKRLYVTMKEGFPLEYIVDIPLDPYLFETICNAGVEVDLLQKRQIHYFMKVFIALLPGILILWFIRESAMLLLITSKRFLYKKYNQLFDMAYAENFILPVGDVSETKSMYKEVVLGGDVWDLLDELMIYMGNPMQYYEKDVAFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSEKSGAAKINEMFSIARRNAPAFVFVDEIDAIAGRHARKDPRRRATFEALIAQLDGEKEKTGIDRFSLRQAVIFICATNRPDELDLEFVRSGRIDRRLYIGLPDAKQRVQIFGVHSAGKNLAEDIDFGKLVFRTVGFSGADIRNLVNEAAIMSVRKGRSYIYQQDIVDVLDKQLLEGMGVLLTEEEQQKCEQSVSYEKKRLLAVHEAGHIVLAHLFPRFDWHAFSQLLPGGKETAVSVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAERVVFGDNVTDGGKDDLEKITKIAREMVISPQSARLGLTQLVKKIGMVDLPDNPDGELIKYRWDHPHVMPAEMSVEVSELFTRELTRYIEETEELAMNALRANRHILDLITRELLEKSRITGLEVEEKMKDLSPLMFEDFVKPFQINPDDEELLPHKDRVSYQPVDLRAAPLHRS", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "ASYKVKLITPDGPIEFNCPDDVYILDRAEEEGHDLPYSCRAGACSSCAGKIVDGSVDQSDNSFLDDDQIGGGFVLTCVAYPKSNVTIETHKEEALVG", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "ATYKVKLVTPDGPVEFECPDDEYILDRAEEEGHDLPYSCRAGSCSSCAGKIAAGSVDQSDGNFLDDDQIADGFVLTCVAYPQSDVTIETHKEEELTA", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGGVSFLSTVPSFTNTTNHQHLTTLSSSSHRSAVIRCSKIEPQVSGESLAFHRRDVLKLAGTAVGMELIGNGFINNVGDAKAADLNQRRQRSEFQSKIKILLSTTIKAKPELVPSLLKLALNDAMTYDKATKSGGANGSIRFSSELSRAENEGLSDGLSLIEEVKKEIDSISKGGPISYADIIQLAGQSAVKFTYLASAIRKCGGNEEKGNLLYTAYGSAGQWGLFDRNFGRSDATEADPEGRVPQWGKATVQEMKDKFIAVGLGPRQLAVMSAFLGPDQAATEQLLATDPQVAPWVQKYQRSRETVSQTDYEVDLITAFTKLSCLGQQINFEAYTYPVERINLSKLKL", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAVALQFSRLCVRPDTFVRENHLSGSGSLRRRKALSVRCSSGDENAPSPSVVMDSDFDAKVFRKNLTRSDNYNRKGFGHKEETLKLMNREYTSDILETLKTNGYTYSWGDVTVKLAKAYGFCWGVERAVQIAYEARKQFPEERLWITNEIIHNPTVNKRLEDMDVKIIPVEDSKKQFDVVEKDDVVILPAFGAGVDEMYVLNDKKVQIVDTTCPWVTKVWNTVEKHKKGEYTSVIHGKYNHEETIATASFAGKYIIVKNMKEANYVCDYILGGQYDGSSSTKEEFMEKFKYAISKGFDPDNDLVKVGIANQTTMLKGETEEIGRLLETTMMRKYGVENVSGHFISFNTICDATQERQDAIYELVEEKIDLMLVVGGWNSSNTSHLQEISEARGIPSYWIDSEKRIGPGNKIAYKLHYGELVEKENFLPKGPITIGVTSGASTPDKVVEDALVKVFDIKREELLQLA", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASSSTSFPLTTAPPQGVRFNRRKPRLTVWAKQTAFQLGKTKGDDDSEGKQKGKNPFQFDFGKLPDMKSLIPVVTNPSTGLVFGNNRKKDPGTIFVAGATGQAGIRIAQTLLQRGFSVRAGVPDLGAAQDLARVAATYKILSNDEVKRLNAVQSPFQDAESIAKAIGNATKVVVTVGATENGPDAQVSTSDALLVVQAAELAGVSHVAIVYDGTISGSTYNVLDGITSFFGNLFAKSQPLTISDLIEKVAQTDVAYTLIKTSLTEDFSPEKAYNVVVSAEGSNSGSGSSSSEAYKVPKLKIASLVADIFANTAVAENKVVEVSTDPSAPSRPVDELFSVIPEDGRRKVYADAIARERAEEEAKVAADKAREAAEAAKEFEKQMQKLSEKEAEAASLAEDAQQKADAVGVTVDGLFNKAKDISSGLSWNKLGSQFATAIQNASETPKVQVATVRGQAKARNLPPKKAVVKQRPSSPFASKPKEERPKKPEKEVRKVFGGLFKQETIYIDDD", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSSSMCLARCSASLSAGNFPSRMFMGRLHAQRRTRTLQLRCAASLLPDQPTLAASSAISPVPSDLPEVLGDALHRLGAIYVLADASASTAAAAVMPTAVDSAAGAAPQRAGGWVAPVADALEQVLYALQEGLDKLHVPYSYGYSIILLTLIVKLLTYPLTKQQVESAMAVQALKPRIDLIKDRFGEDKDKIQKETSVLYEQAGVNPLAGCLPTLATIPIFIGLFSSLTNVANDGLLDTQGFYFVPSLAGPTTMAMRQSGLGTSWLWPLGPDGAPPIGWEDAAAYLTLPLLLVAVQYASSSVTSPPIDPKDENANTQRALLVFLPLMVGWFSLNVPAGLSLYYLANTVLSSAIQIYLKKLGGANVVMNELGPVTKPGSGRRNGVAAGEWSVWKPATVLTTAEAAKARAEAEEAVERAREAAEEAAAAAAFDNASVSLSVDDSTAAIAGTATMAVTAGAPAAAMDPSKVNRRCKRRRLTSLVQDGSTASAAVAGASA", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQLQTQSFALNLLPSPNFAKPIERREFISLKRDPSRPISLRCSVSTTLDTPATASTHKPFPAEVSRSIMELSSVGTLSTLTHDGWPLGVGVRFAVDKDGTPVLCLNRSVSPDKRSALHVQLEQCGLRTPQCTIQGSIGRPGDDTVLKRLSATWREKFGEEVKEDSLYVVAVDRVLQMEDFMEDGIWVASSDYKNASPDPLRDIAEDIVNQINANNMEDIFRFCNVYVDLDFVVSETKMIWMDRLGFDLRVWSPRGVYDVRIPFPMEVTDEKGAKSSFNGMSQLAWEVEKSYCPADFNKVKLLKQVVGSSHSHKGGGQ", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASSVSLQFLTNTFISKPQGFCNGIVSAPRPRSNLLRDRQNGVRPIKVASIETQPFPLFQSPASEESSSSELETADPDFYKIGYVRSVRAYGVEFKEGPDGFGVYASKDIEPRRRARVIMEIPLELMITIRQKHPWMFFPDIVPIGHPIFDIINSTDPEIDWDIRLACLLLFSFDRDDHFWRLYGDFLPAADECSSLLLATEEDLAELQDPDLVSTIRQQQKRILDFWEKNWHSGVPLKIKRLAEDPERFIWAVSMAQTRCISMQTRVGALVQELNMMIPYADMLNHSFEPNCFLHWRPKDRMLEVMSNAGQDIKKGEEMTINYMPGQKNNMLMERYGFSTPVNPWDAIKFSGDSRIHLNSFLSVFNIYGLPEEYYHDSELSRGDTFVDGAVIAAARTLPTWSDIDLPPIPSAERKAVKELQDECRKMLAEYPTTAEQDQKLLDSMSEARTTFATAVKYRMHRKMFIGKIIKALDIYQERLLY", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDVAAMARCVGRCYVSPAFGESESHRLSERRFLKLSSSTNSDPAGSKSLKLRGKIHRRMSYFRPIMAKDESISSRSGETKQINGKQKNIVWHDCPVTKSDRQELIKQKGCVIWITGLSGSGKSSLACALSRALHNRGKLSYILDGDNVRHGLNSDLSFEADDRAENIRRVGEVAKLFADSGIICIASLISPYRIERAACRALLPQGDFIEVFMDVPLHVCEARDPKGLYKRARAGKIKGFTGVDDPYEAPLDCEIVIQNSRDKGLSSSSSSSSSPSSSSSSLCEMADIVVSYLDQNGYLKKHSTKSRNCM", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MALLCSALSNSTHPSFRSHIAGANSENLWHLSAHPAQKSKRRCNLTLSSRAAARISSALESGKLKPWQIPKRDWFPPEFTFGAASAAYQIEGAWNEGGKGPSSWDNFCHNYPERIMDGSNWDVAANSYYMYKEDVRMLKEIGMDSYRFSISWPRILPEGTLEGGINHEGIQYYNDLLDCLIENGIKPYITLFHWDTPQALADKYNDFLDRRIVKDYTDYATVCFEHFGDKVKNWITFNEPHSFCGLAYGTGLHAPGLCSPGMDCAIPQGDALRQPYIVGHNLLLAHAETVDVYKKFYKGDDGQIGMVMDVMAYEPYGNNFVDQQAQERSIDFHIGWFLEPMVRGDYPFSMRSLVGDRLPFFTKSEQEKLVSSYDFVGINYYTARFSEHIDISPEIIPKLNTDDAYSTPEFNDSNGIPIGPDLGMYWILSYPKGLKDILLLMKEKYGNPPIYITENGTADMDGWGNPPMTDPLDDPLRIEYLQQHMTAIKEAIDLGADVRGHFTWSLIDNFEWSMGYLSRFGIVYIDRNDGFKRIMKKSAKWLKEFNGATKEVNNKILGASSCCSGELMWFLVQNPYGK", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALETCFRAWATLHAPQPPSSGGSRDRLLLSGAGSSQSKPRLSVASPSPLRPASRFACQCSNVVDEVVVADEKNWDSMVLGSEAPVLVEFWAPWCGPCRMIAPVIDELAKEYVGKIKCCKVNTDDSPNIATNYGIRSIPTVLMFKNGEKKESVIGAVPKTTLATIIDKYVSS", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MATTQPCLIGQIIAVPQFHILFSPRNSLKPELSTNKRTNFSVSIGLRHSFASSISTCNPKAPSLSCLRNCAAVDGADTSSSEDKWDWDWDRWNRHFSEIEEVESVVSLLKSQLEDAVEKEDFEEAVKLKQAISEATVDDAVAEIMRQLQTAVNEERYHDASRLCNETGSGLVGWWVGLPRDSEEPFGRIVHITPGVGRFIGKSYSPRQLVAEAAGTPLFEIFVIKDTDGGYVMQVVYVQHVKQNLTISENSFSKVQQSSKSSINDPSILDVRGSELKVDKKEDTQLNAGEPTEEGIKNVIKFLKDKIPGLKLKVMDVIKIPEEEIVGSDDATEELVGEGTEETNSSDDEEEVEEEENDSIEAISSMDSADYGKHSNTKLVIGGVLHNIEDSSIDDEIVRVSANIMDTERDSFILHVPGRSKRDIDTRKNRVSKEQVTALAAQGLSDLLPPEVAEAFWGEKASLKVSKHVHEIVKLAINQAQKGNHLSEYTAFNRIITPESNLDPFDGLYVGAFGPYGTEIVQLKRKYGRWDDAEGSNSSDIEFFEYVEAVKLTGDPNVPAGQVTFRARIGNGSRMTNHGLFPEELGVLASYRGQGKIADFGFKKPRWVEGKLLKLNGKGMGPYVKGADLGFLYIGPEQSFLVLFNRLRLPE", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAYSLPTFPQALPCSSTKTSSSLATFRSPFLRFNGSTSLIPSSISITSRGTSSPTIIPRAAASESDSNEALANTTFHGLCYVLKDNIDTDQIIPAGAACTFPSNQQERDEIAAHALSGLPDFHKTRFIEPGENRSKYSIIIGGENFGCGSSREHAPVCLGAAGAKAIVAESYARIFFRNSVATGEVFPLESEVRVCEECKTGDTVTIELSDSGGLLTNHTTGKNYKLKSIGDAGPVIDAGGIFAYARMMGMIPSLA", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFRLYPNCSLPSHRPLVFLPRLPSRSLRCRAAADIPLGDGIRLPREADSTSDTARSRDVSVAAGGNGEGAKWRKRRLLWSKSGESYLVDDGDALPLPMTYPDTSPVSPDVIDRRLQCDPVVEDCREVVYEWTGKCRSCQGSGTVSYYKKRGKEVICKCIPCQGIGYVQKITSRTDIEVMEDLDNEPS", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MQISCLPISIPSITPRTSIPLLPSLSSNPRRIFNLTSLQSPNHCFFKRLHKSQTGFSNPVLAAMRREEDVEVDDSFYMRKCVELAKRAIGCTSPNPMVGCVIVKDGDIVGQGFHPKAGQPHAEVFALRDAGELAENATAYVSLEPCNHYGRTPPCTEALIKAKVRRVVIGMVDPNPIVFSSGISRLKDAGIDVTVSVEEELCKKMNEGFIHRMLTGKPFLALRYSMSVNGCLLDKIGQGASDSGGYYSKLLQEYDAIILSSSLSDELSSISSQEAINVSIQPIQIIVASNAQQSHILASSHTVEESGPKVVVFTAKESVAESGISSSGVETVVLEKINLDSILDYCYNRGLCSVLLDLRGNVKDLEVLLRDGFEQKLLQKVIIEVLPEWSTKDERQIASMKWLESKHVKDLQSKQLGGSVLLEGYF", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNLAVAAALPSVTPRTGVVLPRSSRRHCPRGVVPRAASSSVSSFTSPSAAAAPIYTPTPQDRSLRTPHSGYHFDGTARPFFEGWYFKVSIPECRQSFCFMYSVENPLFRDGMSDLDKLLYRPRFTGVGAQILGADDKYICQFSEKSNNFWGSRHELMLGNTFISNKESTPPQGEVPPQDFSRRVLEGLQVTPIWHQGFIRDDGRSNYVPNVQTARWEYSTRPVYGWGDVKSKQLSTAGWLAAFPFFEPHWQICMARGLSTGWIEWDGERFEFENAPSYSEKNWGGGFPRKWYWIQCNVFPGASGEVSLTAAGGLRKIGLGDTYESPSLIGIHYEGQFFEFVPWTGTVSWDIGLWGLWKMSGENKTHLVEIEATTAESGTALRAPTIEAGLVPACKDTCYGDLRLQLWEKKYDGSKGEMILDATSNMAALEVGGGPWFNGWKGTTVVNEVVNNIVGTPVDVESLLPIPFLKPPGL", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQACCGGNSMASLQQPGRVQGSVFPPIMPPVTKFSQQLKFNISKPFRSSFLKRNLVSEMRASSVSLPNVEISSKEIPFEDYGLGEVDPEVRTIITKEKDRQFRSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDQLETLCQNRALAAFRLDSTKWGVNVQPLSGSPANFAVYTAILSPHDRIMGLDLPHGGHLSHGFMTAKRRVSGTSIYFESMPYRLDESTGIVDYDMLEKTATLFRPKLIIAGASAYSRDFDYPRMRKIADSVGAFLMMDMAHISGLVAASVVADPFEYCDIVTTTTHKSLRGPRGGMIFFRKDPINGVDLESAVNNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKAYQKRVVSNCRALANRLVELGFKLVSGGSDNHLVLVDLRPMGMDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGLSEKDFVVVADFIKEGVEITMEAKKAAPGSKLQDFNKFVTSPEFPLKERVKSLKERVETFTSRFPIPGV", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTWSVFRSINTPTLDLSTALRSTRTPLVAAGVGCATFAGVSLFRMSSRSPPFASLSVSASSVKKEVVSTEKAPAALGPYSQAIKANNLVFLSGVLGLIPETGKFVSESVEDQTEQVLKNMGEILKASGADYSSVVKTTIMLADLADFKTVNEIYAKYFPAPSPARSTYQVAALPLNAKIEIECIATL", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MATAATTSAAAIPTGGGGRRQHPHPRRPGLRPRRLHRLRLPAQAAAAAAASSPSTSSSSSSSSTPAEGGGRLVAELVGAFNELTGRMGEGLATSSSSRLLFRALKLALPALRDGDGGRALARALAIAASLADLQMDAEVISAGILREALDAGAISMRDVKSEIGISTAHLLHESLRLKHAPSKLDVLDDESASALRKFCLSYYDIRAVILELALKLDMMRHLDCLPRYLQRIKSLEVLKIYAPLAHAVGAGNLSLELEDLSFRYLFPHSYDHIDQWLRSQETENKLLIDSYKEQLLQALKDDDELSQIVQDISIQGRYKSRFSTMKKLVKDGRKPEEVNDILALRVILEPRCDGSSLDWGPRACHRTHEIIQAMWKEVPGRTKNYVTRPKENGYQSLHVAIDVSEPGKMRPLMEIQIRTKEMHKFAVGGEASHSLYKGGLTDPGEAKRLKAIMLAAAELAAMRLRDLPASDQGDSNCTNRAFCQLDKNGDGRISIEELTEVMEDLGAGGKDAKELMHLLDANSDGSLSSDEFEAFQRQIELMRSLDDKDDRYRKILKEKLQTIDSAGLIQVYRKQLGDKLLVS", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MATTRLNPSCHFPASTRLSCESYLGLRTTGRISYARTLTAPRGYLAVKANGGQASVVTAAAITEKQQKKYPGESKGFVEEMRFVAMRLHTKDQAREGEKESRSPEEGPVAKWEPTVEGYLHFLVDSKLVYDTLEGIIDGSNFPTYAGFKNTGLERAESLRKDLEWFKEQGYEIPEPMAPGKTYSEYLKDLAENDPQAFICHFYNIYFAHSAGGQMIGTKVSKKILDNKELEFYKWDGQLSQLLQNVRQKLNKVAEWWTREEKSHCLEETEKSFKFSGEILRLILS", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSNSVVHNLLNRGLIRPLNFEHQNKLNSSVYQTSTANPALGKIGRSKLYGKGLKQAGRSLVTETGGRPLSFVPRAVLAMDPQAAEKFSLDGNIDLLVEVTSTTVREVNIQIAYTSDTLFLHWGAILDNKENWVLPSRSPDRTQNFKNSALRTPFVKSGGNSHLKLEIDDPAIHAIEFLIFDESRNKWYKNNGQNFHINLPTERNVKQNVSVPEDLVQIQAYLRWERKGKQMYNPEKEKEEYEAARTELREEMMRGASVEDLRAKLLKKDNSNESPKSNGTSSSGREEKKKVSKQPERKKNYNTDKIQRKGRDLTKLIYKHVADFVEPESKSSSEPRSLTTLEIYAKAKEEQETTPVFSKKTFKLEGSAILVFVTKLSGKTKIHVATDFKEPVTLHWALSQKGGEWLDPPSDILPPNSLPVRGAVDTKLTITSTDLPSPVQTFELEIEGDSYKGMPFVLNAGERWIKNNDSDFYVDFAKEEKHVQKDYGDGKGTAKHLLDKIADLESEAQKSFMHRFNIAADLVDEAKSAGQLGFAGILVWMRFMATRQLVWNKNYNVKPREISKAQDRLTDLLQDVYASYPEYRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRKNDCKGGIMEEWHQKLHNNTSPDDVVICQALMDYIKSDFDLSVYWKTLNDNGITKERLLSYDRAIHSEPNFRGEQKDGLLRDLGHYMRTLKAVHSGADLESAIQNCMGYQDDGEGFMVGVQINPVSGLPSGYPDLLRFVLEHVEEKNVEPLLEGLLEARQELRPLLLKSHDRLKDLLFLDLALDSTVRTAIERGYEQLNDAGPEKIMYFISLVLENLALSSDDNEDLIYCLKGWQFALDMCKSKKDHWALYAKSVLDRSRLALASKAERYLEILQPSAEYLGSCLGVDQSAVSIFTEEIIRAGSAAALSSLVNRLDPVLRKTANLGSWQVISPVEVVGYVIVVDELLTVQNKTYDRPTIIVANRVRGEEEIPDGAVAVLTPDMPDVLSHVSVRARNGKICFATCFDSGILSDLQGKDGKLLSLQPTSADVVYKEVNDSELSSPSSDNLEDAPPSISLVKKQFAGRYAISSEEFTSDLVGAKSRNIGYLKGKVPSWVGIPTSVALPFGVFEKVISEKANQAVNDKLLVLKKTLDEGDQGALKEIRQTLLGLVAPPELVEELKSTMKSSDMPWPGDEGEQRWEQAWAAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRSLSFICKKNNLDSPLVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDQVVLDYTTDPLITDLSFQKKVLSDIARAGDAIEKLYGTAQDIEGVIRDGKLYVVQTRPQV", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLSVSCPRVYMSRKALDFGQLASCRCRWAGRSGMRVAPRRRMPCVCFVASPSQPGLAAVDVPAEAISSARTTTMIPERISVSSLLEVVSDDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLLELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLLASSTRSAAIFSGVSTTICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPKLREIIDSEFSESDSLATAIDLVHRSGGIRRAQELAKEKGDLALQNLQCLPKSQFRSTLENVVKYNLQRID", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAEAFTSFTFTNLHIPSSYNHSPKQNSGPNHGYWLSKNVNEKRERNLMRGSLCVRKALPHDLPLMAVMVQQIEGMRDIITEKHVWHLSDKAIKNVYMFYIMFTCWGCLYFGSAKDPFYDSEEYRGDGGDGTGYWVYETQEDIEEKARAELWREELIEEIEQKVGGLRELEEAVTK", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPMEVFSLTSTAIPSTLTRRDTAADKPSPHLNLSKYSHFMRYPLTTTLTNNRIRSSSSSSSSIRAQASGSTKSSTAEGIPEKTDSKDDNLVFVAGATGKVGSRTVRELIKLGFKVRAGVRNAQKAGALVQSVKQLKLDGASGGGEAVEKLEIVECDLEKADQIGSALGNASTVICAIGASEKEIFDITGPCRIDYRATKNLVDAATVAKVNHFILVTSLGTNKFGLPAAILNLFWGVLIWKRKAEEALLASGIPYTIVRPGGMERPTDAYKETHNVTLSTEDTLFGGQVSNLQVAELMAIMAKNPDLSYCKIVEVIAETTAPLTPAEKLLTRIPSQRPYIPSPKKVQKADTATVSNTGPSANVVAEVPSIAPQKETASKPVAKTEQPLSPYTAYDDLKPPSSPSPTKPSEKKQINISDAVPTPISSDTPSSIQEIDGISQTTSSSKGKESLSPYAAYPDLKPPSSPSPSVPTTSLSKRDTVVVSSNGPAQLSVEDTPKNEEQHLHEPKSRPLSPYAMYEDLKPPASPSPSFRKS", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAATTNSFLVGSNNTQIPALKPKSSSQSFLHLSKPNTVNFVSKTKPVAVRCVASTTQVQDGVRSGSVGSQERVFNFAAGPATLPENVLLKAQADLYNWRGSGMSVMEMSHRGKEFLSIIQKAESDLRQLLEIPQEYSVLFLQGGATTQFAALPLNLCKSDDTVDFVVTGSWGDKAVKEAKKYCKTNVIWSGKSEKYTKVPSFEELEQTPDAKYLHICANETIHGVEFKDYPVPKNGFLVADMSSNFCSKPVDVSKFGVIYGGAQKNVGPSGVTIVIIRKDLIGNAQDITPVMLDYKIHDENSSLYNTPPCFGIYMCGLVFEDLLEQGGLKEVEKKNQRKADLLYNAIEESNGFFRCPVEKSVRSLMNVPFTLEKSELEAEFIKEAAKEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFQAKHA", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MATLPRAAAAAAPSPAAALLPLPRAAPLLAGRAAARSAARRLRARGTRAPPLAAARRGWGGVSPRAVLDLPRRREAAEKPAQKAADLNEILSERGACGVGFVANLKNEPSFNIVRDALVALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFNDWANKQGLAPLDRTNTGVGMVFLPQDENSMEEAKAVVAKVFTDEGLEVLGWRTVPFNVSVVGRYAKETMPNIQQIFVKVAKEDNADDIERELYICRKLIERATKSASWADELYFCSLSSRTIVYKGMLRSEILGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEAMMILVPEAYKNHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKSVASANPYGSWLQQSTRSIKPVNFQSSVAMDNETVLRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENADQVTLSSPVLNEGELESLLNDSKLKPKVLSTYFDIRKGLDGSLDKAIKVLCDEADAAVRNGSQLLVLSDRSEALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAICPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAVREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLADVEDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQINPKAKVSVKLVAEAGIGTVASGVSKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLSETHQTLIQNGLRERVVLRVDGGFRSGLDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRATLAQLGFEKLDDIIGRTDILKAKHVSLAKTQHIDLKYLLSSAGLPKWSSSQIRSQDVHSNGPVLDETILADPDISDAIENEKEVSKTFQIYNVDRAVCGRVAGVIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNIRLVGEANDYVGKGMAGGELVVVPVEKTGFVPEDAAIVGNTCLYGATGGQVFVRGKTGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTVQSAK", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPMTVVSGRFSTALLPTCFSLSRLHSVKYAAQRRVVFVSRSAHASSASVSVETNSNSNVDFVIEKKDKNRGEKKILACPICYNSLAWISQPNGLIESAASGIQVQCNTCKRSYSGNETHLDLAVASGSKRYSEPMPLSTELFRTPLVSFLYERGWRQNFIWGGFPGPEKEFEMAKAYLKPVLGGNIIDASCGSGMFSRLFTRSDLFSLVIALDYSENMLRQCYELLNKEENFPNKEKLVLVRADIARLPFLSGSVDAVHAGAALHCWPSPSSAVAEISRVLRPGGVFVATTFIYDGPFSFIPFLKNLRQEIMRYSGSHIFLNERELEDICKACGLVNFTRVRNGPFIMLSATKPS", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQVDPTKGIGLANTSLQFSNGRLHALCEYDLPYVVRLSPEDGDISTVGRIENNVSTKSTTAHPKTDPVTGETFSFSYGPIQPYVTYSRYDCDGKKSGPDVPIFSFKEPSFVHDFAITEHYAVFPDIQIVMKPAEIVRGRRMIGPDLEKVPRLGLLPRYATSDSEMRWFDVPGFNMVHVVNAWEEEGGEVVVIVAPNVSPIENAIDRFDLLHVSVEMARIELKSGSVSRTLLSAENLDFGVIHRGYSGRKSRYAYLGVGDPMPKIRGVVKVDFELAGRGECVVARREFGVGCFGGEPFFVPASSKKSGGEEDDGYVVSYLHDEGKGESSFVVMDARSPELEILAEVVLPRRVPYGFHGLFVTEAELLSQQ", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGKTSGAKQATVVVAAMALGWLAIEIAFKPFLDKFRSSIDKSDPTKDPDDFDTAATATTSKEGL", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MATIATGLNIATQRVFVTSENRPVCLAGPVHLNNSWNLGSRTTNRMMKLQPIKAAPEGGISDVVEKSIKEAQETCAGDPVSGECVAAWDEVEELSAAASHARDKKKADGSDPLEEYCKDNPETNECRTYDN", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAPFVEVCRYKPLPLSLSSLCTCPCRSSPRKYLILPQFSEKYPKPLLSHSRFTPISVNRRVITAVARAESNQIGDDANSKEEHNIDQELQNVEEDSSLDDQKQKSRSQFKKRVTFGLGIGLSVGGIVLAGGWVFTVAVAAAVLLSAREYFELVRSKGIAQGMTPPPRYLSRVCSIICALMPILTLYFGHIDISITSAAFVVAMALLLQRGNPRFSQLSSTMFGLFYCGYLPCFWVKLRCGLTAPVLNTGIGRSWPTILGGQAHWTVGLVAILISFCGIIASDTFAFLGGKAFGRTPLISISPKKTWEGAFAGLVGCISITILLSKSLSWPQSLVSTIAFGVLNFFGSVFGDLTESMIKRDAGVKDSGSLIPGHGGILDRVDSYIFTGALAYSFVRLHGV", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MALTPIPSTFTSLFNFSDHSPYPSPSLHYLLPGSSPSFSLQLSALSRTPIYFEALKVLSRSKCFAKSPTTAEDFVGDYESLNVSDDDDGSDSNSSDGDNGGGRDDSKKIDSSSSSSSSDSTSLGIREPVYEVVEVKATGAISTRKINRRQLLKSSGLRPRDIRSVDPSLFMTNSVPSLLVREHAILLNLGSLRAIAMRDRVLIFDYNRRGGRAFVDTLMPRLNPRSMNGGPSMPFELEAVESALISRIQRLEQRLMDIEPRVQALLEVLPNRLTADILEELRISKQRLVELGSRAGALRQMLLDLLEDPHEIRRICIMGRNCTLRRGDDDLECTLPSDKLIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSIAVNLSSRRLEVSRFELLLQVGTFCVAVGALIAGIFGMNLRSYLEEQASAFWLTTGGIIIGAAVAFFLMYSYLSRRKIF", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MALEAAFSMSFCSFSVPKAIFCERETSSFQRITSRAKGIAGESQVQSSDGVETQVKETSPKVFDKLPERNLDTWSGGRETARGLSGSVVRNTVRKDTTLRHISPSSHSTKVRGDKPEISGGEKKAIVDRSKAYVKLKSLGKEVRDAGYVPETKYVLHDIDEEAKEKALMHHSERLAIAFGIINTPPGTTIRVMKNLRICGDCHNFIKILSSIEDREIIVRDNKRFHHFRDGNCSCGDYW", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPSLSTPPSQNLAFSPAASATSSRLTPSSKRSFYPHRLPDPTALCRCSSSSGSNSSSSSSSDDNPRWDSAIQDVLKSAIKRFDSVLSWYATLDNDDGEQGSENVEKIDDDWDWDRWKKHFDQVDDQDRLLSVLKSQLNRAIKREDYEDAARLKVAIAATATNDAVGKVMSTFYRALLEERYKDAVYLRDKAGAGLVGWWSGISEDVKDPFGLIVQITAEHGRYVARSYNPRQLSTSAAGAPLFEIFLTLDGKGNYKKQAVYLKWKEIFPDVPTMPSRTLTPGRFLTSPGRKEDTGNLAVESSEDEESDNSDDDSDLLEESSGFQSFLRDMIPGVKVKVMKVTAPGRVDKDFISKVIEQIADEEDEENDLDIEDIDVEDDTKAEIDEKNADIELESVTDEIIDNNGGREIAVKFVIGDIVDRLSGNQPLKESLRSPANLESVENSSFYLRLEKDLNVKESKGVEGTTLVDGKGSRQSRRRIENIMGDLAKSIEKEKKISVKMLKDVGELLSLTLSQAQNRQQLSGLTKFRRIDVTPSLDPLDGLYIGAHGLYTSEVIHLKRKFGQWKGGKESKKPTDIEFYEYVEAVKLTGDPYVPAGKVAFRAKIGRRYELPHKGLIPEEFGVIARYKGQGRLADPGFRNPRWVDGELVILDGKYVKGGPVVGFVYWAPEYHFVMFFNRLRLQA", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MATSSITIPTIRTPIHRSKFLGQTHQFSTVNRSVFPPPKQQSKLYQVKAMGKFNLWEVMGGRGLCNGEKGIEKELQRNIEDEQETSKAENNETERESDDSNLSFKVPEDGFEKEMMGLTGGFPGGEKGLKTFIEKNPPPPPPPPPAKQGSDASAVATDKKPKAPKLPLLMPGMIAIVKNQNSPYHMYCGIVQRITDGKAGVLFEGGNWDRLITFRLEELERREKGPPGKNPKSCILEPLIEQMQKEEAAP", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAFSQAALSALPLSDRTFRKKPSSSSSSSPNFVLRVRAAAKEVHFNRDGSVTKKLQAGADMVAKLLGVTLGPKGRNVVLQNKYGPPRIVNDGETVLKEIELEDPLENVGVKLVRQAGAKTNDLAGDGSTTSIILAHGLITEGIKVISAGTNPIQVARGIEKTTKALVLELKSMSREIEDHELAHVAAVSAGNDYEVGNMISNAFQQVGRTGVVTIEKGKYLVNNLEIVEGMQFNRGYLSPYFVTDRRKREAEFHDCKLLLVDKKITNPKDMFKILDSAVKEEFPVLIVAEDIEQDALAPVIRNKLKGNLKVAAIKAPAFGERKSHCLDDLAIFTGATVIRDEMGLSLEKAGKEVLGTAKRVLVTKDSTLIVTNGFTQKAVDERVSQIKNLIENTEENFQKKILNERVARLSGGIAIIQVGALTQVELKDKQLKVEDALNATKSAIEEGIVVGGGCALLRLATKVDRIKETLDNTEQKIGAEIFKKALSYPIRLIAKNADTNGNIVIEKVLSNKNTMYGYNAAKNQYEDLMLAGIIDPTKVVRCCLEHASSVAQTFLTSDCVVVEIKEIKPRPIINPPLPTSSPATSSMFPDRKLPRFPQIMPRTRSHFPRK", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASQSSVAVISSAAARGESFPDSKKPIGSVRFQQPLRLSFSYCKSGNMSSRICAMAKPNDAETLSSSVDMSLSPRVQSLKPSKTMVITDLAATLVQSGVPVIRLAAGEPDFDTPKVVAEAGINAIREGFTRYTLNAGITELREAICRKLKEENGLSYAPDQILVSNGAKQSLLQAVLAVCSPGDEVIIPAPYWVSYTEQARLADATPVVIPTKISNNFLLDPKDLESKLTEKSRLLILCSPSNPTGSVYPKSLLEEIARIIAKHPRLLVLSDEIYEHIIYAPATHTSFASLPDMYERTLTVNGFSKAFAMTGWRLGYLAGPKHIVAACSKLQGQVSSGASSIAQKAGVAALGLGKAGGETVAEMVKAYRERRDFLVKSLGDIKGVKISEPQGAFYLFIDFSAYYGSEAEGFGLINDSSSLALYFLDKFQVAMVPGDAFGDDSCIRISYATSLDVLQAAVEKIRKALEPLRATVSV", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "ATYKVKLVTPDGPVEFNCPDDVYILDQAEEEGHDLPYSCRAGSCSSCAGKVTAGTVDQSDGNYLDDDQMADGFVLTCVAYPQSDVTIETHKEEELTG", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "ATYKVKLVTPDGPVEFDCPDDVYILDRAEEEGHDLPYSCRAGSCSSCAGKVTAGTVDQSDGNYLDDDQMAEGFVLTCVAYPQSDVTIETHKEEELTG", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "ATYKVKLVTPDGPVEFDCPDDVYILDRAEEEGHDLPYSCRAGSCSSCAGKVTAGTVDQSDGNYLDDDQMAEGFVLTCVAYPQSDVTIETHKEEELTG", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "ALADPLKVMISGAPASGKGTQCELIKTKYQLAHISAGDLLRAEIAAGSENGKRAKEFMEKGQLVPDEIVVNMVKERLRQPDAQENGWLLDGYPRSYSQAMALETLEIRPDTFILLDVPDELLVERVVGRRLDPVTGKIYHLKYSPPENEEIASRLTQRFDDTEEKVKLRLETYYQNIESLLSTYENIIVKVQGDATVDAVFAKIDELLGSILEKKNEMVSST", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MILLSSSISLSRPVSSQSFSPPAATSTRRSHSSVTVKCCCSSRRLLKNPELKCSLENLFEIQALRKCFVSGFAAILLLSQAGQGIALDLSSGYQNICQLGSAAAVGENKLTLPSDGDSESMMMMMMRGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYTFDMKESAIRVDTFCVHGSPDGYITGIRGKVQCVGAEDLEKSETDLEKQEMIKEKCFLRFPTIPFIPKLPYDVIATDYDNYALVSGAKDKGFVQVYSRTPNPGPEFIAKYKNYLAQFGYDPEKIKDTPQDCEVTDAELAAMMSMPGMEQTLTNQFPDLGLRKSVQFDPFTSVFETLKKLVPLYFK", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MIGCAKPLAAPLQAWARPPSPAGRRLPPSFCAPDTSPALTRAVESPGQSQSDDAPPPRSGEAASSLAPRASSHLDRWSRSRALRSGHRPALNRAALSSASVSAPPVIKSPRPEDAAVAAEDGEDDDVCEAERDAAAGKAIYIVSDGTGWTAEHSVNAALGQFENCLADRGCAVNTHLFSLIDDMDRLIEVIKQAAKEGALVLYTLADPSMAEATKKACDFWGVPCTDVLRPTVEAIASHIGVAPSGIPRSFPSRNGRLSEDYFQRIDAIDFTIKQDDGVLPQNFYRADIVLAGVSRTGKTPLSIYLAQKGYKVANVPIVMGVALPKSLFEINQDKVFGLTINPAILQGIRKTRAKTLGFDGRQSNYAEMDHVRQELVHANQIFVQNPWWPVIAVTGKAIEETAAVILGILHDRKQKCSMPRISKRY", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSTGISTDLHVHFGALNFSKTYKSGLSNRTVSFSRVGYAQNRKLSCSVSNTENVAPKDDERGKDRPLVKMCGITSARDAAMAVEAGADFIGMIIWPHSKRSISLSVAKDISKVAREGGAKPVGVFVEDDDNTILRAADSSDLELVQLHGNGSRAAFSRLVRKRRVIYVLNANQDGKLLNEVPEEDCHLADWILVDSATGGSGHGFNWAQFKLPSVRSRNGWLLAGGINPTNVSEALSILQPDGIDVSSGICGTDGIQKDKSKISSFITAVRSVHY", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASSLCASSAIAAISSPSFLGGKKLRLKKKLTVPAVSRPDASVRAVAADPDRPIWFPGSTPPEWLDGSLPGDFGFDPLGLSSDPDSLKWNVQAEIVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQEYFTDKTTLFVVELILIGWAEGRRWADIIKPGSVNTDPVFPNNKLTGTDVGYPGGLWFDPLGWGSGSPAKLKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAAFTPK", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSFHHLCSSPSSLLHDPLPLCNLLSVYPKSTPRSFLSSYNPNSSHFHSRNLLQATHVSVQEAIPQSEKSKLVDVDLPIPEPTASKSYVWVNPKSPRASQLRRKSYDSRYSSLIKLAESLDACKPNEADVCDVITGFGGKLFEQDAVVTLNNMTNPETAPLVLNNLLETMKPSREVILYNVTMKVFRKSKDLEKSEKLFDEMLERGIKPDNATFTTIISCARQNGVPKRAVEWFEKMSSFGCEPDNVTMAAMIDAYGRAGNVDMALSLYDRARTEKWRIDAVTFSTLIRIYGVSGNYDGCLNIYEEMKALGVKPNLVIYNRLIDSMGRAKRPWQAKIIYKDLITNGFTPNWSTYAALVRAYGRARYGDDALAIYREMKEKGLSLTVILYNTLLSMCADNRYVDEAFEIFQDMKNCETCDPDSWTFSSLITVYACSGRVSEAEAALLQMREAGFEPTLFVLTSVIQCYGKAKQVDDVVRTFDQVLELGITPDDRFCGCLLNVMTQTPSEEIGKLIGCVEKAKPKLGQVVKMLVEEQNCEEGVFKKEASELIDSIGSDVKKAYLNCLIDLCVNLNKLERACEILQLGLEYDIYTGLQSKSATQWSLHLKSLSLGAALTALHVWMNDLSEAALESGEEFPPLLGINTGHGKHKYSDKGLAAVFESHLKELNAPFHEAPDKVGWFLTTSVAAKAWLESRRSAGGVSA", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDVASARGVSSHPPYYSKPICSSQSSLIRIPISKGCCFARSSNLITSLHAASRGVTRRTSGVQWCYRSIRFDPFKVNDKNSRTVTVRSDLSGAATPESTYPEPEIKLSSRLRGICFCLVAGISAIVLIVLMIIGHPFVLLFDRYRRKFHHFIAKLWASISIYPFYKTDIQGLENLPSSDTPCVYVSNHQSFLDIYTLLSLGQSYKFISKTGIFVIPVIGWAMSMMGVVPLKRMDPRSQVDCLKRCMELVKKGASVFFFPEGTRSKDGRLGPFKKGAFTIAAKTGVPVVPITLMGTGKIMPTGSEGILNHGDVRVIIHKPIYGSKADVLCEEARNKIAESMNLLS", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAVASLSICFSARPHLLLRNFSPRPKFVAMAAMSEDPIREWILTEGKATQITKIGSVGGGCINLASHYQTDAGSFFVKTNRSIGPAMFEGEALGLEAMYETRTIRVPNPHKAGELPTGGSYIIMEFIDFGGSRGNQAELGRKLAEMHKAGKTSKGFGFEVDNTIGSTPQINTWSSDWIEFYGEKRLGYQLKLARDQYGDSAIYQKGHTLIQNMAPLFENVVIEPCLLHGDLWSGNIAYDKNNEPVILDPACYYGHNEADFGMSWCAGFGESFYNAYFKVMPKQAGYEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEDENTTIIMASLSALSPSHLTNLTHSILSISHHHRRRLGAVLSSPTLFSLTLRHLLSLSLPDKTHLIANHLLSLLHPLLIHRKHHSSYAVTMKLRDLDAVVLLLFLCETHQLHPDVLEASADNWREILGNTYSNNMLSNNSGLWTCDAGILMPYIETLVRCKRFVDIMGGYNHLRRRDQKEGYQVPAARAAVVALRAVEVFNVAASNAGEVECVICKEEMSEGRDVCEMPCQHFFHWKCILPWLSKKNTCPFCRFQLPTDDVFSEIQRLWEILVKSSELHVA", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASSLVSRPHLTQRPVRAATLASATRPRLAAGALSGRCQAQAAGDLDDAHYMRRCVELARKAAGHTSPNPMVGCVIVRDGRVVGEGFHPKAGQPHAEVFALRDAGNLAENATAYVSLEPCNHYGRTPPCTEALINAKVKEVVVGMTDPNPIVASKGIEKLQGAGISVRVGVEEALCRKLNEAYIHRMLTGKAFATLRATLSMNGIITNQIGKGADQSGGYYSQLMKEYDGVIISSDLAKMSALPLSREAGTNQPLCIIIAQGESSRLHIPSLSQEHASRAIVLADSPVTVEPAGVEVAVFRQIDLESILQLLAQRGLCSVLVDFREAGESFASLLNDFQEDKLVQKVVVEVLPFWLASDGLSNLAFGGSQSFPLKNLELRDVNGSVLLEGYV", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MESAITISNHVNLAFSLSRNPSLSTKNSAGISCIKWQRPCLRNLGHVRLNQQRKGTRRKSTLVQAVAVPVAQPSAFPPTDNTEHLKQLAERYGFQQIGEPLPDDVTMRDIITSLPKQVFEINDTKAWGTVLISVTSYALGIFMIAKAPWYLLPLAWAWTGTAITGFFVIGHDCAHKSFSKNKLVEDIVGTLAFMPLIYPYEPWRFKHDQHHTKTNMLREDTAWLPIMKEDIESSPGLRKALIYAYGPLRTWMSIAHWLKVHFNLKDFRQSEVKRATISLAAVFAFMVIGWPLIIYKTGIVGWIKFWLMPWLGYHFWMSTFTIVHHTAPHIPFKSSKEWNAAQAQLSGTVHCDYPRWIEILCHDISVHIPHHISPKIPSYNLRAANQSLNENWGEYLNKPKSNWRLMRTIMTTCHIYDKDGNYVSFEKAVPEESQPISIPKRVMPDYA", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSCSYLLSGDASVVASRRWSDVSSRQRLFLPRQSLRQPSGSSFSRCVRLVANVNDHFSKQSLATRNCLASVFSADLGGSNGNNDNGNGGGGGGDGGGDNSDDSSFDLRYLCFLLLGLSCFFHFRLSAASAIAKDQNSDSNGDAVKETVWEVRGSKRKRLVPDFVKDEFVSEESAFELSSSLTPENLLAQCRNLLTQFLLPEGFPNSVTSDYLDYSLWRGVQGIASQISGVLATQSLLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIMLSKYGRHFDVHPKGWRLFADLLENAAFGMEMLTPVFPQFFVMIGAAAGAGRSAAALIQAATRSCFNAGFASQRNFAEVIAKGEAQGMVSKSVGILLGIVVANCIGTSTSLALAAFGVVTTIHMYTNLKSYQCIQLRTLNPYRASLVFSEYLISGQAPLIKEVNDEEPLFPTVRFSNMKSPEKLQDFVLSSEAKAAAADIEERLQLGSKLSDVIHNKEEAIALFDLYRNEGYILTEHKGRFCVMLKESSTPQDMLRSLFQVNYLYWLEKNAGIEPASTYSDCKPGGRLHISLDYVRREFEHAKEDSESVGWVTEGLIARPLPTRIRLGHDRELLLSSPNSS", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MESIGSHCCSSPFTFITRNSSSSLPRLVNITHRVNLSHQSHRLRNSNSRLTCTATSSSTIEEQRKKKDGSGTKVRLNVRLDHQVNFGDHVAMFGSAKEIGSWKKKSPLNWSENGWVCELELDGGQVLEYKFVIVKNDGSLSWESGDNRVLKVPNSGNFSVVCHWDATRETLDLPQEVGNDDDVGDGGHERDNHDVGDDRVVGSENGAQLQKSTLGGQWQGKDASFMRSNDHGNREVGRNWDTSGLEGTALKMVEGDRNSKNWWRKLEMVREVIVGSVEREERLKALIYSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELEHICSKKDATPEEVLVARKIHPCLPSFKAEFTAAVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLIATEAMLQRITETPGKYSGDFVEQFKIFHNELKDFFNAGSLTEQLDSMKISMDDRGLSALNLFFECKKRLDTSGESSNVLELIKTMHSLASLRETIIKELNSGLRNDAPDTAIAMRQKWRLCEIGLEDYFFVLLSRFLNALETMGGADQLAKDVGSRNVASWNDPLDALVLGVHQVGLSGWKQEECLAIGNELLAWRERDLLEKEGEEDGKTIWAMRLKATLDRARRLTAEYSDLLLQIFPPNVEILGKALGIPENSVKTYTEAEIRAGIIFQISKLCTVLLKAVRNSLGSEGWDVVVPGSTSGTLVQVESIVPGSLPATSGGPIILLVNKADGDEEVSAANGNIAGVMLLQELPHLSHLGVRARQEKIVFVTCDDDDKVADIRRLVGKFVRLEASPSHVNLILSTEGRSRTSKSSATKKTDKNSLSKKKTDKKSLSIDDEESKPGSSSSNSLLYSSKDIPSGGIIALADADVPTSGSKSAACGLLASLAEASSKVHSEHGVPASFKVPTGVVIPFGSMELALKQNNSEEKFASLLEKLETARPEGGELDDICDQIHEVMKTLQVPKETINSISKAFLKDARLIVRSSANVEDLAGMSAAGLYESIPNVSPSDPLVFSDSVCQVWASLYTRRAVLSRRAAGVSQREASMAVLVQEMLSPDLSFVLHTVSPADPDSNLVEAEIAPGLGETLASGTRGTPWRLASGKLDGIVQTLAFANFSEELLVSGTGPADGKYVRLTVDYSKKRLTVDSVFRQQLGQRLGSVGFFLERNFGCAQDVEGCLVGEDVYIVQSRPQPL", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAVPFLSSSLQLTPTSPILFTKVTPTPIIHNHRSTCTIPTKPRLRLLRRSAVAGTAVSDQTEGGGDVLLNPEEEKRVEVADYDWTEEWYPLYLTKNVPEDAPLGLTVYDRQIVLYKDGEGTLRCYEDRCPHRLAKLSEGQLIDGRLECLYHGWQFEGEGKCVKIPQLPASAKIPKAACVKTYEVKDSQGVVWVWMSTKTPPNPEKLPWFENFARPGFFDISTTHELPYDHSILLENLMDPAHVPISHDRTDFTAKREDAQPLVFEVTERSNRGFAGTWGREKEGGKGSNLLRFDAPCVLQNNREFEGKDGVKNYFSGLFLCRPTGQGKSMLIVRFGVTKRSPLVSVLPQWFWHQNACKVFEQDMGFLSSQNEVLMKEKVPTKDLYLNLKSSDTWVAEYRKWMDKVGHGMPYHFGHRTISLPKVPPVVEHAPAGLIAALSASYPAKGGIGTMHAPNLANRYFRHIIHCRSCSNVIKSFELWKNILSATAVALTALAILVVSRQWKAVLLGSAALCSAAAYTCLRAINLNTNNFIRTHRRL", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASSTISLQSISMTTLNNLSYSKQFHRSSLLGFSKSFQNFGISSNGPGSSSPTSFTPKKKLTPTRALSQNLGNTENPRPSKVQELSVYEINDLDRHSPKILKNAFSFRFGLGDLVPFTNKLYTGDLKKRVGITAGLCVVIEHVPEKNGDRFEATYSFYFGDYGHLSVQGPYLTYEDSFLAITGGAGIFEGAYGQVKLQQLVYPTKLFYTFYLKGLANDLPLELIGTPVPPSKDVEPAPEAKALKPSGVVSNFTN", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASSAVSLQSISMTTLNNLSCNQQFHRSSLLGSSKSFQNLGISSNGSDFSYPSSFTAKKNLTASRALSQNGNIENPRPSKVQELSVYEINELDRHSPKILKNAFSLMFGLGDLVPFTNKLYTGDLKKRVGITAGLCVVIEHVPEKKGERFEATYSFYFGDYGHLSVQGPYLTYEDSFLAITGGAGIFEGAYGQVKLQQLVYPTKLFYTFYLKGLANDLPLELTGTPVPPSKDIEPAPEAKALEPSGVISNYTN", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASSSAAMSLESISMTTLNNLSRNHQSHRSSLLGFSRSFQNLGISSNGPDFSSRSRSTTSKNLNVTRAFFWNWGKKTENSRPSKIQELNVYELNEGDRNSPAVLKLGKKPTELCLGDLVPFTNKLYTGDLKKRVGITAGLCVLIQHVPEKSGDRFEASYSFYFGDYGHLSVQGQYLTYEDTFLAVTGGSGIFEGAYGQVKLRQLVYPTKLFYTFYLKGLANDLPLELTGTAVTPSKDVKPAPEAKAMEPSGVISNFTN", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSTVPIESLLHHSYLRHNSKVNRGNRSFIPISLNLRSHFTSNKLLHSIGKSVGVSSMNKSPVAIRATSSDTAVVETAQSDDVIFKEIFPVQRIEKAEGKIYVRLKEVKEKNWELSVGCSIPGKWILHWGVSYVGDTGSEWDQPPEDMRPPGSIAIKDYAIETPLKKLSEGDSFFEVAINLNLESSVAALNFVLKDEETGAWYQHKGRDFKVPLVDDVPDNGNLIGAKKGFGALGQLSNIPLKQDKSSAETDSIEERKGLQEFYEEMPISKRVADDNSVSVTARKCPETSKNIVSIETDLPGDVTVHWGVCKNGTKKWEIPSEPYPEETSLFKNKALRTRLQRKDDGNGSFGLFSLDGKLEGLCFVLKLNENTWLNYRGEDFYVPFLTSSSSPVETEAAQVSKPKRKTDKEVSASGFTKEIITEIRNLAIDISSHKNQKTNVKEVQENILQEIEKLAAEAYSIFRSTTPAFSEEGVLEAEADKPDIKISSGTGSGFEILCQGFNWESNKSGRWYLELQEKADELASLGFTVLWLPPPTESVSPEGYMPKDLYNLNSRYGTIDELKDTVKKFHKVGIKVLGDAVLNHRCAHFKNQNGVWNLFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRKDIKEWLCWMMEEVGYDGWRLDFVRGFWGGYVKDYMDASKPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATSGAAGAFDVTTKGILHTALQKCEYWRLSDPKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPEGKEMQGYAYILTHPGTPAVFFDHIFSDYHSEIAALLSLRNRQKLHCRSEVNIDKSERDVYAAIIDEKVAMKIGPGHYEPPNGSQNWSVAVEGRDYKVWETS", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAAMLASKQGAFMGRSSFAPAPKGVASRGSLQVVAGLKEVRDRIASVKNTQKITDAMKLVAAAKVRRAQEAVVNGRPFSENLVKVLYGVNQRVRQEDVDSPLCAVRPVKSVLLVVLTGDRGLCGGYNNFIIKKTEARYRELTAMGVKVNLVCVGRKGAQYFARRKQYNIVKSFSLGAAPSTKEAQGIADEIFASFIAQESDKVELVFTKFISLINSNPTIQTLLPMTPMGELCDVDGKCVDAADDEIFKLTTKGGEFAVEREKTTIETEALDPSLIFEQEPAQILDALLPLYMSSCLLRSLQEALASELAARMNAMNNASDNAKELKKGLTVQYNKQRQAKITQELAEIVGGAAATSG", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MMMSCRNIDLGTSVLDHSCSSSSTSRRFLFGNSSKTVCMIGGRSCVGNLVFLRRDLATCRAVPAKSKENSLVNGIGQDQTVMLNLRQESRKPISLETLFEVVADDLQRLNDNLLSIVGAENPVLISAAEQIFSAGGKRMRPGLVFLVSRATAELAGLKELTVEHRRLGEIIEMIHTASLIHDDVLDESDMRRGRETVHELFGTRVAVLAGDFMFAQASWYLANLENLEVIKLISQVIKDFASGEIKQASSLFDCDVKLDDYMLKSYYKTASLVAASTKGAAIFSKVESKVAEQMYQFGKNLGLSFQVVDDILDFTQSTEQLGKPAANDLAKGNITAPVIFALENEPRLREIIESEFCEPGSLEEAIEIVRNRGGIKKAQELAKEKAELALKNLNCLPRSGFRSALEDMVMFNLERID", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGSLGYSISMIASLSPTLMESRLISSMGCVSMTVAPSFSSVSVVSSSLGTTRRDRTLKLRSSMSPGMVTTLDSDVGVGSSATTKKVLVPIGYGTEEIEAVVLVDVLRRAGADVTVASVEQKLEVEGSSGTRLLADVLISKCADQVYDLVALPGGMPGAVRLRDCEILEKIMKRQAEDKRLYGAISMAPAITLLPWGLLTRKRTTGHPAFFGKLPTFWAVKTNIQISGELTTSRGPGTSFQFALSLAEQLFGETTAKSIEEFLLLRDGYQNPKNKEFNSIDWSLDHTPRVLIPVANGSEAVELVSIADVLRRAKVDVTVSSVERSLRITAFQGTKIITDKLIGEAAESSYDLIILPGGHTGSERLQKSKILKKLLREQHESGRIYGATNSSSTVLHKHGLLKEKRTTVYPSESDEPMNQQMIEGAEVVIDGNVITSLGLATVTKFSLAIVSKLFGHARARSVSEGLVHEYPRQ", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRLLLPFSSPLSATSSPSTPQFIPELPPPSQFDYSGLTKILKKSVIGTLTGALSLTLVFSSPISSVAATNDPYLSVNPPSSSFESSLNHFDSAPEDCPNEEEADTEIQDDDIEPQLVTNEGIVEEAWEIVNGAFLDTRSHSWTPETWQKQKDDILASPIKSRSKAHEVIKNMLASLGDQYTRFLSPDEFSRMSKYDITGIGINLREVSDGGGNVKLKVLGLVLDSAADIAGVKQGDEILAVNGMDVSGKSSFEVSSLLQGPSKTFVVLKVKHGKCGPVKSLKIQRQVNAQTPVSYRLEKVDNGTVSVGYIRLKEFNALARKDLVIAMKRLLDKGASYFVMDLRDNLGGLVQAGIETAKLFLDEGDTVIYTAGRDPEAQKTVVSDKKPLITAPLIVMVNNRTASASEIVASALHDNCKAVLVGERTYGKGLIQSVYELRDGSGVVVTIGKYVTPNHMDINGGGIEPDFRNLPAWDEVKERLSKCSILQQS", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASLLLTSSSMITTSCRSMVLRSGLPIGSSFPSLRLTRPYDKATLFVSCCSAESKKVATSATDLKPIMERRPEYIPNKLPHKNYVRVLDTTLRDGEQSPGAALTPPQKLEIARQLAKLRVDIMEVGFPVSSEEEFEAIKTIAKTVGNEVDEETGYVPVICGIARCKKRDIEATWEALKYAKRPRVMLFTSTSEIHMKYKLKKTKEEVIEMAVNSVKYAKSLGFKDIQFGCEDGGRTEKDFICKILGESIKAGATTVGFADTVGINMPQEFGELVAYVIENTPGADDIVFAIHCHNDLGVATANTISGICAGARQVEVTINGIGERSGNAPLEEVVMALKCRGESLMDGVYTKIDSRQIMATSKMVQEHTGMYVQPHKPIVGDNCFVHESGIHQDGILKNRSTYEILSPEDVGIVKSENSGIVLGKLSGRHAVKDRLKELGYEISDEKFNDIFSRYRELTKDKKRITDADLKALVVNGAEISSEKLNSKGINDLMSSPQISAVV", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATISPGGAYIGTPSPFLGKKLKPFSLTSPILSFKPTVKLNSSCRAQLIDTVHNLFIGVGVGLPCTVMECGDMIYRSTLPKSNGLTITAPGVALALTALSYLWATPGVAPGFFDMFVLAFVERLFRPTFRKDDFVVGKKLGEGSFGVVYKVSLSKKRSNEEGEYVLKKATEYGAVEIWMNERVRRACGNSCADFVYGFLDKSSKKGPEYWLLWKYEGESTLAGLMQSKEFPYNVETIILGKVQDLPKGLERENKIIQTIMRQLLFALDGLHSTGIIHRDVKPQNIIFSEGSRSFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVAAALSPVLWQMNLPDRFDIYSIGLIFLQMAFPSLRSDSNLIQFNRQLKRCDYDLTAWRKLVEPRASADLRRGFELVDLDGGIGWELLTSMVRYKARQRISAKAALAHPYFDRQGLLALSVMQNLRMQYFRATQQDYSEAANWVIQLMAKNGTEKDGGFTETQLQELREKEPRKKANAQRNALASALRLQRKLVKTVTETIDEISDGRKTVWWNRWIPREE", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTSASSMSVSVECVNICNLTKGDGNARSDCSALSCAWKAPRALTGFLASTAHPPVCSVYSCGRNGRKSRMKACAWQRYEYEVGFSEAPYFVNVRNILKSRLSCGGHKRWELYCVSAESSSGASSDVTVETLWEDLFPSISYLPRKELEFVQKGLKLAFEAHHGQKRRSGEPFIIHPVAVARILGELELDWESIVAGLLHDTVEDTNFITFEKIEEEFGATVRHIVEGETKVSKLGKLKCKTESETIQDVKADDLRQMFLAMTDEVRVIIVKLADRLHNMRTLCHMPPHKQSSIAGETLQVFAPLAKLLGMYSIKSELENLSFMYVSAEDYDRVTSRIANLYKEHEKELTEANRILVKKIEDDQFLDLVTVNTDVRSVCKETYSIYKAALKSKGSINDYNQIAQQLRIVVKPKPSVGVGPLCSPQQICYHVLGLVHEIWKPIPRTVKDYIATPKPNGYQSLHTTVIPFLYESMFRLEVQIRTEEMDLIAERGIAVYYNGKSLSTGLVGNAVPLGRNSRGKTGCLNNADFALRVGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMVAAKVNGNLVSPTHVLENAEVVEIVTYNALSSKSAFQRHKQWLQHAKTRSARHKIMRFLREQAAQCAAEITQDQVNDFVADSDSDVEDLTEDSRKSLQWWEKILVNVKQFQSQDKSRDTTPAPQNGSVWAPKVNGKHNKAIKNSSSDEPEFLLPGDGIARILPANIPAYKEVLPGLDSWRDSKIATWHHLEGQSIEWLCVVSMDRKGIIAEVTTVLAAEGIALCSCVAEIDRGRGLAVMLFQIEANIESLVSVCAKVDLVLGVLGWSSGCSWPRSTENAQVLEC", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MMMISSSSITSSLSLLSSSPEKLPLINPIQRCPITYSGFRTASVNRAIRRQPQSPAVSATESRVSLVLALASQASSVSQRLLADLAMETAKYVFPKRFDSSTNLEEAFMSVPDLETMNFRVLFRTDKYEIRQVEPYFVAETIMPGETGFDSYGASKSFNVLAEYLFGKNTIKEKMEMTTPVVTRKVQSVGEKMEMTTPVITSKAKDQNQWRMSFVMPSKYGSNLPLPKDPSVKIQQVPRKIVAVVAFSGYVTDEEIERRERELRRALQNDKKFRVRDGVSFEVAQYNPPFTLPFMRRNEVSLEVENKED", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MESVAKPATTKEGSAKQAAIVVGVLALGWFAIQVAFIPLFNKVRGGGSDKKDDDVNAFTPDT", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MALQAAYSLLPSTISIQKEGKFNASLKETTFTGSSFSNHLRAEKISTLLTIKEQRRQKPRFSTGIRAQTVTATPPANEASPEQKKTERKGTAVITGASSGLGLATAKALADTGKWHVIMACRNFLKAEKAARSVGMSKEDYTVMHLDLASLESVKQFVENFRRTEQPLDVLVCNAAVYQPTAKEPSFTAEGFEISVGTNHLGHFLLSRLLLDDLKKSDYPSKRMIIVGSITGNTNTLAGNVPPKANLGDLRGLASGLNGQNSSMIDGGEFDGAKAYKDSKVCNMLTMQELHRRYHEETGVTFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLGKSGVYWSWNNNSSSFENQLSKEASDAEKAKKLWEVSEKLVGLA", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQAVTAAAAAGQLLTDTRRGPRCRARLGTTRLSWTGRFAVEAFAGQCQSSATTVMHKFSAISQAARPRRNTKRQCSDDYPALQAGCSEVNWDQNGSNANRLEEIRGDVLKKLRSFYEFCRPHTIFGTIIGITSVSLLPMKSIDDFTVTVLRGYLEALTAALCMNIYVVGLNQLYDIQIDKINKPGLPLASGEFSVATGVFLVLAFLIMSFSIGIRSGSAPLMCALIVSFLLGSAYSIEAPFLRWKRHALLAASCILFVRAILVQLAFFAHMQQHVLKRPLAATKSLVFATLFMCCFSAVIALFKDIPDVDGDRDFGIQSLSVRLGPQRVYQLCISILLTAYGAATLVGASSTNLFQKIITVSGHGLLALTLWQRAQHFEVENQARVTSFYMFIWKLFYAEYFLIPFVQ", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MANLLETSIFFSSADKLLSFPPKNSQTHHLPFSAFINGGRKIRKSSTITFATDTVTYNGTTSAEVKSSVEDPMEVEVAEGYTMAQFCDKIIDLFLNEKPKVKQWKTYLVLRDEWNKYSVNFYKRCRIRADTETDPILKQKLVSLESKVKKIDKEMEKHNDLLKEIQENPTDINAIAAKRRRDFTGEFFRYVTLLSETLDGLEDRDAVARLATRCLSAVSAYDNTLESVETLDTAQAKFEDILNSPSVDSACEKIRSLAKAKELDSSLILLINSAYAAAKESQTVTNEAKDIMYHLYKATKSSLRSITPKEIKLLKYLLNITDPEERFSALATAFSPGDDHEAKDPKALYTTPKELHKWIKIMLDAYHLNKEETDIKEAKQMSQPIVIQRLFILKDTIEDEYLDKKTIVADETPKKEEEDTTIEDFLN", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSVALLWVVSPCDVSNGTGFLVSVREGNRIFDSSGRRNLACNERIKRGGGKQRWSFGSYLGGAQTGSGRKFSVRSAIVATPAGEMTMSSERMVYDVVLRQAALVKRQLRSTDELDVKKDIPIPGTLGLLSEAYDRCSEVCAEYAKTFYLGTMLMTPERRKAIWAIYVWCRRTDELVDGPNASHITPAALDRWEDRLEDVFSGRPFDMLDAALSDTVSKFPVDIQPFRDMIEGMRMDLRKSRYRNFDELYLYCYYVAGTVGLMSVPIMGIAPESKATTESVYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFAGRVTDKWRIFMKKQIQRARKFFDEAEKGVTELSAASRWPVLASLLLYRRILDEIEANDYNNFTKRAYVSKPKKLIALPIAYAKSLVPSTRT", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MATTNSLHHHHHSSPSYTHHRNNLHCQSHFGPTSLSLKQPTSAATFSLICSASSTSSSTTAVSAVSTTNASATTAETATIFDVLENHLVNQNFRQADEETRRLLIQISGEAAVKRGYVFFSEVKTISPEDLQAIDNLWIKHSDGRFGYSVQRKIWLKVKKDFTRFFVKVEWMKLLDTEVVQYNYRAFPDEFKWELNDETPLGHLPLTNALRGTQLLKCVLSHPAFATADDNSGETEDELNRGVAVAKEQAGVGADKRVFKTNYSF", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSMELTVLGPLAGRSFAIAGKPKLLLLRPTNLPLLRLSLPLSLPNFSSSSRFNSPIVFAAQESNLSVSNENETSEWLMQDFYTLRKDVEIASARVEEIRASANLQQLEQEITNLESKATDTSFWDDRTKAQETLSSLNDLKDRMRLLSEFKTMVEDAETIVKLTEEMDSTDVSLLEEAMGIIKELNKSLDKFELTQLLSGPYDKEGAVVYITAGAGGTDAQDWADMLLRMYMRWGEKQRYKTKVVEMSNGEEAGIKSATLEIEGRYAYGYISGEKGTHRIVRQSPFNSKGLRQTSFSGVEVMPLLPEEAVGIEIPEEDLDISFTRAGGKGGQNVNKVETAVRITHIPTGVAVRCTEERSQLANKTRALIRLKAKLMVIAEEQRATEIKEIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGHETSDITSVMDGDLDPFIKAYLKHKYTLAMASAVTN", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAVSSFQCPTIFSSSSISGFQCRSDPDLVGSPVGGSSRRRVHASAGISSSFTGDAGLSSRILRFPPNFVRQLSIKARRNCSNIGVAQIVAAKWSNNPSSALPSAAAAAATSSASAVSSAASAAAASSAAAAPVAAAPPVVLKSVDEEVVVAEEGIREKIGSVQLTDSKHSFLSSDGSLTVHAGERLGRGIVTDAITTPVVNTSAYFFKKTAELIDFKEKRSVSFEYGRYGNPTTVVLEDKISALEGAESTLVMASGMCASTVMLLALVPAGGHIVTTTDCYRKTRIFMENFLPKLGITVTVIDPADIAGLEAAVNEFKVSLFFTESPTNPFLRCVDIELVSKICHKRGTLVCIDGTFATPLNQKALALGADLVVHSATKYIGGHNDVLAGCICGSLKLVSEIRNLHHVLGGTLNPNAAYLIIRGMKTLHLRVQQQNSTAFRMAEILEAHPKVSHVYYPGLPSHPEHELAKRQMTGFGGVVSFEIDGDIETTIKFVDSLKIPYIAPSFGGCESIVDQPAIMSYWDLPQEERLKYGIKDNLVRFSFGVEDFEDVKADILQALEAI", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAQAVTSMAGLRGASQAVLEGSLQINGSNRLNISRVSVGSQRTGLVIRAQQNVSVPESSRRSVIGLVAAGLAGGSFVKAVFAEAIPIKVGGPPLPSGGLPGTDNSDQARDFSLALKDRFYIQPLSPTEAAARAKDSAKEIINVKSFIDKKAWPYVQNDLRLRASYLRYDLNTVISAKPKEEKQSLKDLTAKLFQTIDNLDYAARSKSSPDAEKYYSETVSSLNNVLAKLG", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASHSSTLLSSPTFAPFSSHRLHYSPNPSTLRFSRPIRNKPNLALRCSVSIEKEVPETERPFTFLRDSDDVTPSSSSSSVRARFETMIRAAQDSVCDAIEAIEGGPKFKEDVWSRPGGGGGISRVLQDGNVFEKAGVNVSVVYGVMPPEAYRAAKGSASDQKPGPVPFFAAGVSSVLHPKNPFAPTLHFNYRYFETDAPKDVPGAPRQWWFGGGTDFTPAYIFEEDVKHFHSIQKQACDKFDPSFYPRFKKWCDDYFYIKHRDERRGLGGIFFDDLNDYDQEMLLSFATECANSVVPAYIPIVEKRKDMEFTEQHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLSARWEYDHKPEEGTEEWKLLDACINPKEWI", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MANEELTESQQQEDPSQQLPNADEEKGSDSDSNSDSDASSQSSGDDFYISESENEAEGDNTIFNYVRPSDIPPDPNANPETNIRRFNRVLDGKRVKRMQEEEEDKYTFYEDLFDFPRDPERWKEQDLREIWADGPLEMTKPGWDPAWADEDDWDVVNDEIQEGRDPGIQPFYVPYRKPYPAIPDNHYDIENAKGVVEELDRIEEFLQWVSYIFPDGSSYEGTVWDDLAQGKGVYIAENGLVRYEGEWLQNDMEGHGVIDVDIPDIEPIPGSKLEAKMRAEGRIIKRDYMTPEDRKWLEMDVEDSVALTDGNFQVPFYENEEWVTQFGEKPEKGRYRYAGQWKHSRMHGCGVYEVNERILYGRFYFGELLEEEHGCTVDICALHSGLAEVAAAKARMFVNKPDGMIREERGPYGDPQHPYFYEEDDVWMAPGFINQFYEVPEYWETYVGEVDQEREMWLNSFYKAPLRLPMPAELEHWWENVEVTPEFVLLNKEPEPDPNDPSKLVQKEDPVILHTPTGRIINYVEDEKHGIRLFWQPPLEEGEEVDPSKVEFLPLGFDEFYGKEVVVKKEHPIKSFVLGIEKSVKPMLDGLEKWTEEKKKAYEERKEMIQQELELVEAEICLEEAIEDMDEELKKKEQEEEKKTEMGLTEEDEDVLVPVYKEEKVVTAKEKIQENKQEEKYKDDDDEDDDDGDDDDDDDDDDDLGPSSFGSADKGRRNSPFSSSSLSFASCTLFPAVQSRLESSFLAWKQHRAEPSKVNTGIIKGADTASASIHFPPLSSNNARLKMGKVANRGCVQRSYGSSRSQSQLMSLSRLLSCNASSSSSPPDSSSSEYLKDSGLWETPVGDMSVVLSLQIQTKCSDLFAETPAVS", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAMATRAIRYQLPSPRFRAPRCESSEPIKQIQIQQRPRGGDLAENGKIVLQPRLCTLRSYGSDMVIAKKDGGDGGGGGSDVELASPFFETLTDYIESSKKSQDFETISGRLAMIVFAVTVTEEIVTGNSLFKKLDVEGLSEAIGAGLAAMGCAAMFAWLTISRNRVGRIFTVSCNSFIDSLVDQIVDGLFYDTKPSDWSDDL", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASLAQQLAGGLRCPPLSNSNLSKPFSPKHTLKPRFSPIVSAVAVSNAQTRERQKLKQLFEDAYERCRNAPMEGVSFTIDDFHTALDKYDFNSEMGSRVKGTVFCTDANGALVDITAKSSAYLPLAEACIYRIKNVEEAGIIPGVREEFVIIGENEADDSLILSLRQIQYELAWERCRQLQAEDVVVKGKIVGANKGGVVALVEGLRGFVPFSQISSKSSAEELLEKEIPLKFVEVDEEQSRLVMSNRKAMADSQAQLGIGSVVTGTVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIATVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSDGILGPLTSDLPAEGLDLSVVPPAVES", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSFLLLTPPPCLLIPPPPLSHRRSSSLFLKHPFQPSPRPLSFCKPSALRLRANTTVNSLKALETIKPYLQSESKTVLLGWLCSCVSVVSLSQIVPRLGSFTSNLNANAASLTKLKGECLVLAGLVLAKVVAYYLQQAFLWEAALNTVYKIRVFAYRRVLERELEFFEGGNGISSGDIAYRITAEASEVADTIYALLNTVVPSAIQISVMTAHMIVASPALTLVSAMVIPSVALLIAYLGDRLRKISRKAQIASAQLSTYLNEVLPAILFVKANNAEISESVRFQRFARADLDERFKKKKMKSLIPQIVQVMYLGSLSIFCVGAVILAGSSLSSSAIVSFVASLAFLIDPVQDLGKAYNELKQGEPAIERLFDLTSLESKVIERPEAIQLEKVAGEVELCDISFKYDENMLPVLDGLNLHIKAGETVALVGPSGGGKTTLIKLLLRLYEPSSGSIIIDKIDIKDIKLESLRKHVGLVSQDTTLFSGTIADNIGYRDLTTGIDMKRVELAAKTANADEFIRNLPEGYNTGVGPRGSSLSGGQKQRLAIARALYQKSSILILDEATSALDSLSELLVREALERVMQDHTVIVIAHRLETVMMAQRVFLVERGKLKELNRSSLLSTHKDSLTSAGLVI", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MELTLNSSSSLIKRKDAKSSRNQESSSNNMTFAKMKPPTYQFQAKNSVKEMKFTHEKTFTPEGETLEKWEKLHVLSYPHSKNDASVPVFVMLPLDTVTMSGHLNKPRAMNASLMALKGAGVEGVMVDAWWGLVEKDGPMNYNWEGYAELIQMVQKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNPDLVYTDKSGRRNPEYISLGCDSVPVLRGRTPIQVYSDFMRSFRERFEGYIGGVIAEIQVGMGPCGELRYPSYPESNGTWRFPGIGEFQCYDKYMKSSLQAYAESIGKTNWGTSGPHDAGEYKNLPEDTEFFRRDGTWNSEYGKFFMEWYSGKLLEHGDQLLSSAKGIFQGSGAKLSGKVAGIHWHYNTRSHAAELTAGYYNTRNHDGYLPIAKMFNKHGVVLNFTCMEMKDGEQPEHANCSPEGLVKQVQNATRQAGTELAGENALERYDSSAFGQVVATNRSDSGNGLTAFTYLRMNKRLFEGQNWQQLVEFVKNMKEGGHGRRLSKEDTTGSDLYVGFVKGKIAENVEEAALV", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MQHSLRSDLLPTKTSPRSHLLPQPKNANISRRILINPFKIPTLPDLTSPVPSPVKLKPTYPNLNLLQKLAATMLDKIESSIVIPMEQNRPLPKPTDPAVQLSGNFAPVNECPVQNGLEVVGQIPSCLKGVYIRNGANPMFPPLAGHHLFDGDGMIHAVSIGFDNQVSYSCRYTKTNRLVQETALGRSVFPKPIGELHGHSGLARLALFTARAGIGLVDGTRGMGVANAGVVFFNGRLLAMSEDDLPYQVKIDGQGDLETIGRFGFDDQIDSSVIAHPKVDATTGDLHTLSYNVLKKPHLRYLKFNTCGKKTRDVEITLPEPTMIHDFAITENFVVIPDQQMVFKLSEMIRGGSPVIYVKEKMARFGVLSKQDLTGSDINWVDVPDCFCFHLWNAWEERTEEGDPVIVVIGSCMSPPDTIFSESGEPTRVELSEIRLNMRTKESNRKVIVTGVNLEAGHINRSYVGRKSQFVYIAIADPWPKCSGIAKVDIQNGTVSEFNYGPSRFGGEPCFVPEGEGEEDKGYVMGFVRDEEKDESEFVVVDATDMKQVAAVRLPERVPYGFHGTFVSENQLKEQVF", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MEALSHVGIGLSPFQLCRLPPATTKLRRSHNTSTTICSASKWADRLLSDFNFTSDSSSSSFATATTTATLVSPPPSIDRPERHVPIPIDFYQVLGAQTHFLTDGIRRAFEARVSKPPQFGFSDDALISRRQILQAACETLSNPRSRREYNEGLLDDEEATVITDVPWDKVPGALCVLQEGGETEIVLRVGEALLKERLPKSFKQDVVLVMALAFLDVSRDAMALDPPDFITGYEFVEEALKLLQEEGASSLAPDLRAQIDETLEEITPRYVLELLGLPLGDDYAAKRLNGLSGVRNILWSVGGGGASALVGGLTREKFMNEAFLRMTAAEQVDLFVATPSNIPAESFEVYEVALALVAQAFIGKKPHLLQDADKQFQQLQQAKVMAMEIPAMLYDTRNNWEIDFGLERGLCALLIGKVDECRMWLGLDSEDSQYRNPAIVEFVLENSNRDDNDDLPGLCKLLETWLAGVVFPRFRDTKDKKFKLGDYYDDPMVLSYLERVEVVQGSPLAAAAAMARIGAEHVKASAMQALQKVFPSRYTDRNSAEPKDVQETVFSVDPVGNNVGRDGEPGVFIAEAVRPSENFETNDYAIRAGVSESSVDETTVEMSVADMLKEASVKILAAGVAIGLISLFSQKYFLKSSSSFQRKDMVSSMESDVATIGSVRADDSEALPRMDARTAENIVSKWQKIKSLAFGPDHRIEMLPEVLDGRMLKIWTDRAAETAQLGLVYDYTLLKLSVDSVTVSADGTRALVEATLEESACLSDLVHPENNATDVRTYTTRYEVFWSKSGWKITEGSVLAS", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MATITTLASSVPLFRPYSFPGGSSRKPKKDNLSIKPPATSSLKVNAKLASADDTSSNFNKDNWLASADELSRSFPPGFLFGGGSASYQYEGAVKEGGRTPSIWDTFAHEFPDKIADGSNGDVAVDFYHRYKDDVKLMKKIGVNGFRFSISWTRILPSGKLCGGVNKEGVAFYNSLINELLANGIEPFVTIFHWDLPQGLENEYDGFLSGQIVNDYRDYAEVCFQEFGDRVKFWTTLNEPWTFCYNGYVNGSFAPGRCSTCTAGNSGTEPYLVAHNLLLSHAAVAQLYKNKYQASQKGQIGIVLVCFWMVPYSDCPYDCEAAQRALDFMLGWFLHPLTYGDYPESMRHLVGERLPQFTEMQAMMMKGSIDFLGLNYYTSIYAANNESPNPHDISYTTDSRVNLFQKRDGILIGPATGTPAFCFCPEGIRDLLVYTKEKYNNPIIYITECGLAEANINTVDQGVKDVERVEFYYEHLKFLRSAIKKGVNVKGFFTWSLLDDWEWNSGFNVRFGIVYIDHEDGLKRYLKYSALWFKKLFGK", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGFLVAVMNFSPTLVHHHMKSKPQCQNEKLRQGQTSSLFDRRGFLKCVVGASSFMATIEFSGLQAQASEEKLDEGEGVVGAFKTLFDPNERTKSGKELPKAYLKSAREVVKTMRESLKENPKDNAKFRRSADAAKESIRDYLSNWRGQKTVAGEESYVELENVIRALAKFYSKAGPSAPLPDEVKTEILDDLNKAEEFL", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAADALRISSSSSGSLVCNLNGSQRRPVLLPLSHRATFLGLPPRASSSSISSSIPQFLGTSRIGLGSSKLSQKKKQFSVFAAAEAEAKRAVPLKDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWDKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVLQIPIGAEDVFKGVVDLVRMKAIVWSGEELGAKFSYEDIPEDLEDLAQEYRAAMMELIVDLDDEVMENYLEGVEPDEATVKRLVRKGTITGKFVPILCGSAFKNKGVQPLLDAVVDYLPSPVEVPPMNGTDPENPEITIIRKPDDDEPFAGLAFKIMSDPFVGSLTFVRVYSGKISAGSYVLNANKGKKERIGRLLEMHANSREDVKVALTGDIIALAGLKDTITGETLSDPENPVVLERMDFPDPVIKVAIEPKTKADIDKMATGLIKLAQEDPSFHFSRDEEMNQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISKIAEVKYTHKKQSGGQGQFADITVRFEPLEAGSGYEFKSEIKGGAVPREYIPGVMKGLEECMSTGVLAGFPVVDVRACLVDGSYHDVDSSVLAFQLAARGAFREGMRKAGPRMLEPIMRVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDSLVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLSSKDQEVAA", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MALSQVSASLAFSLPNSGALKLATITNPTSTCRVHVPQLAGIRSTFASGSPLLPLKLSMTRRGGNRAASVSIRSEQSTEGSSGLDIWLGRGAMVGFAVAITVEISTGKGLLENFGVASPLPTVALAVTALVGVLAAVFIFQSSSKN", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAMATRVLAQSTPPSLACYQRNVPSRGSGRSRRSVKMMCSQLQVSGLRMQGFMGLRGNNALDTLGKSRQDFHSKVRQAMNVPKGKASRFTVKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGENNEVTANVGGGSSSNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIRQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILKGLRERYEIHHKLRYTDESLVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKELRQITKEKNEAVRGQDFEKAGTLRDREIELRAEVSAIQAKGKEMSKAESETGEEGPMVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADILLKEVFERLKKKEIELQVTERFKERVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDAEGNVTVLNGGSGTPTTSLEEQEDSLPVA", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAMAIAMLPALFSSPSILTSRIRCGATANSGGAISSTSSNSDPRRGVPLYKPKSYEVLATDAANSLAFALQDSKSRLEIDFPPLPSSISSYKGSSDDFIDANIQLAVTVVRKLQEKIETRACIVFPDKPEKRRASQRFKAAFDSVDGISIGSLDDIPGTSVTNFFRSIRSTLDFDFEDENEGTWEPKEPPTLYIFINCSTRELSFIEKFVETFASSTPALLFNLELDTLRADLGLLGFPPKDLHYRFLSQFIPVFYIRTREYSKTVAVAPFVLNYNGALFRQYPGPWQVMLKQTDGSFACVAESPTRFTLGETKEELLQVLGLQEEKGSSLEFLRRGYKSATWWEEDVELEASSNWRN", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MMVMISLHFSTPPLAFLKSDSNSRFLKNPNPNFIQFTPKSQLLFPQRLNFNTGTNLNRRTLSCYGIKDSSETTKSAPSLDSGDGGGGDGGDDDKGEVEEKNRLFPEWLDFTSDDAQTVFVAIAVSLAFRYFIAEPRYIPSLSMYPTFDVGDRLVAEKVSYYFRKPCANDIVIFKSPPVLQEVGYTDADVFIKRIVAKEGDLVEVHNGKLMVNGVARNEKFILEPPGYEMTPIRVPENSVFVMGDNRNNSYDSHVWGPLPLKNIIGRSVFRYWPPNRVSGTVLEGGCAVDKQ", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEGKAIATSLGGDRVLIFPCSPRSSFVFTSRLSSLPLKRASIGGAVSCSGVNGLTRWNSIVSTRRLVPVRSINSESDSDSDFPHENQQGNPGLGKFKEYQEWDSWTAKFSGGANIPFLMLQLPQIILNTQNLLAGNNTALSAVPWLGMLTGLLGNLSLLSYFAKKREKEAAVVQTLGVVSTHIVLAQLTMAEAMPIQYFVATSAVVTIGLIVNCLYYFGKLSKTVWQLWEDVITIGGLSVLPQIMWSTFVPLVPNSILPGTTAFGIAVAAIIMARTGKLSEKGVRFVGSLSGWTATLMFMWMPVSQMWTNFLNPDNIKGLSSITMLLSMMGNGLMIPRALFIRDLMWLTGSLWATLFYGYGNILCLYLVNCTSQSFFVAATIGLISWIGLALWRDAVAYGHNSPFRSLKELVFGP", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAALSSSSLFFSSKTTSPISNLLIPPSLHRFSLPSSSSSFSSLSSSSSSSSSLLTFSLRTSRRLSPQKFTVKASSVGEKKNVLIVNTNSGGHAVIGFYFAKELLSAGHAVTILTVGDESSEKMKKPPFNRFSEIVSGGGKTVWGNPANVANVVGGETFDVVLDNNGKDLDTVRPVVDWAKSSGVKQFLFISSAGIYKSTEQPPHVEGDAVKADAGHVVVEKYLAETFGNWASFRPQYMIGSGNNKDCEEWFFDRIVRDRAVPIPGSGLQLTNISHVRDLSSMLTSAVANPEAASGNIFNCVSDRAVTLDGMAKLCAAAAGKTVEIVHYDPKAIGVDAKKAFLFRNMHFYAEPRAAKDLLGWESKTNLPEDLKERFEEYVKIGRDKKEIKFELDDKILEALKTPVAA", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATSLLLRHSSAVFFSQSSFFTKNKSFRSFTSIKMEKGEAENAVKTKKVFVAGATGQTGKRIVEQLLSRGFAVKAGVRDVEKAKTSFKDDPSLQIVRADVTEGPDKLAEVIGDDSQAVICATGFRPGFDIFTPWKVDNFGTVNLVDACRKQGVEKFVLVSSILVNGAAMGQILNPAYLFLNLFGLTLVAKLQAEKYIKKSGINYTIVRPGGLKNDPPTGNVVMEPEDTLYEGSISRDLVAEVAVEALLQEESSFKVVEIVARAEAPKRSYKDLFASVKGQ", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAISSASLISSFSHAETFTKHSKIDTVNTQNPILLISKCNSLRELMQIQAYAIKSHIEDVSFVAKLINFCTESPTESSMSYARHLFEAMSEPDIVIFNSMARGYSRFTNPLEVFSLFVEILEDGILPDNYTFPSLLKACAVAKALEEGRQLHCLSMKLGLDDNVYVCPTLINMYTECEDVDSARCVFDRIVEPCVVCYNAMITGYARRNRPNEALSLFREMQGKYLKPNEITLLSVLSSCALLGSLDLGKWIHKYAKKHSFCKYVKVNTALIDMFAKCGSLDDAVSIFEKMRYKDTQAWSAMIVAYANHGKAEKSMLMFERMRSENVQPDEITFLGLLNACSHTGRVEEGRKYFSQMVSKFGIVPSIKHYGSMVDLLSRAGNLEDAYEFIDKLPISPTPMLWRILLAACSSHNNLDLAEKVSERIFELDDSHGGDYVILSNLYARNKKWEYVDSLRKVMKDRKAVKVPGCSSIEVNNVVHEFFSGDGVKSATTKLHRALDEMVKELKLSGYVPDTSMVVHANMNDQEKEITLRYHSEKLAITFGLLNTPPGTTIRVVKNLRVCRDCHNAAKLISLIFGRKVVLRDVQRFHHFEDGKCSCGDFW", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAHVSTGNIHNFYLAGQVYRGQAFSWSSASTFMANPFKEPSWSSGVFKALKAERCGCYSRGISPISETSKPIRAVSVSSSTKYYDFTVIGSGVAGLRYALEVAKQGTVAVITKDEPHESNTNYAQGGVSAVLCPLDSVESHMRDTMVAGAHLCDEETVRVVCTEGPERIRELIAMGASFDHGEDGNLHLAREGGHSHCRIVHAADMTGREIERALLEAVLNDPNISVFKHHFAIDLLTSQDGLNTVCHGVDTLNIKTNEVVRFISKVTLLASGGAGHIYPSTTNPLVATGDGMAMAHRAQAVISNMEFVQFHPTALADEGLPIKLQTARENAFLITEAVRGDGGILYNLGMERFMPVYDERAELAPRDVVARSIDDQLKKRNEKYVLLDISHKPREKILAHFPNIASECLKHGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVLGLFVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSTELMKRTRLDVCASEKWTRPVVATARLLGDEVIAKIIALTKEVRRELQEVMWKYVGIVRSTIRLTTAERKIAELEAKWETFLFEHGWEQTVVALEACEMRNLFCCAKLVVSSALARHESRGLHYMTDFPFVEESKRIPTIILPSSPTTASWSSRRLQNISSSSLIDC", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MFLCFCPCHVPIMSRLSPATGISSRLRFSIGLSSDGRLIPFGFRFRRNDVPFKRRLRFVIRAQLSEAFSPDLGLDSQAVKSRDTSNLPWIGPVPGDIAEVEAYCRIFRSAERLHGALMETLCNPVTGECRVPYDFSPEEKPLLEDKIVSVLGCILSLLNKGRKEILSGRSSSMNSFNLDDVGVAEESLPPLAVFRGEMKRCCESLHIALENYLTPDDERSGIVWRKLQKLKNVCYDAGFPRSDNYPCQTLFANWDPIYSSNTKEDIDSYESEIAFWRGGQVTQEGLKWLIENGFKTIVDLRAEIVKDTFYQTALDDAISLGKITVVQIPIDVRMAPKAEQVELFASIVSDSSKRPIYVHSKEGVWRTSAMVSRWKQYMTRPITKEIPVSEESKRREVSETKLGSNAVVSGKGVPDEQTDKVSEINEVDSRSASSQSKESGRFEGDTSASEFNMVSDPLKSQVPPGNIFSRKEMSKFLKSKSIAPAGYLTNPSKILGTVPTPQFSYTGVTNGNQIVDKDSIRRLAETGNSNGTLLPTSSQSLDFGNGKFSNGNVHASDNTNKSISDNRGNGFSAAPIAVPPSDNLSRAVGSHSVRESQTQRNNSGSSSDSSDDEAGAIEGNMCASATGVVRVQSRKKAEMFLVRTDGVSCTREKVTESSLAFTHPSTQQQMLLWKTTPKTVLLLKKLGQELMEEAKEAASFLYHQENMNVLVEPEVHDVFARIPGFGFVQTFYIQDTSDLHERVDFVACLGGDGVILHASNLFKGAVPPVVSFNLGSLGFLTSHPFEDFRQDLKRVIHGNNTLDGVYITLRMRLRCEIYRKGKAMPGKVFDVLNEIVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAKLELKIPDDARSNAWVSFDGKRRQQLSRGDSVRIYMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSPAMLQASSLCVSAALSGAASRPGRLASQGHQGKRAVAQPLAASAVTEAAPPAPVVAPPARPVDAPRRRGGRGGGGGGGELVAWKSVRQERWEGALEVDGELPLWLDGTYLRNGPGLWNLGDYGFRHLFDGYATLVRVSFRGGRAVGAHRQIESEAYKAARAHGKVCYREFSEVPKPDNFLSYVGQLATLFSGSSLTDNSNTGVVMLGDGRVLCLTETIKGSIQVDPDTLDTVGKFQYTDKLGGLIHSAHPIVTDTEFWTLIPDLIRPGYVVARMDAGSNERQFVGRVDCRGGPAPGWVHSFPVTEHYVVVPEMPLRYCAKNLLRAEPTPLYKFEWHLESGSYMHVMCKASGKIVASVEVPPFVTFHFINAYEETDEEGRVTAIIADCCEHNANTAILDKLRLHNLRSSSGQDVLPDARVGRFRIPLDGSQFGELETALDPEEHGRGMDMCSINPAHVGREYRYAYACGARRPCNFPNTLTKVDLVERTAKNWHEEGSVPSEPFFVPRPGATEEDDGVAISMVSAKDGSGYALVLDGKTFEEVARAKFPYGLPYGLHCCWVPRKRNSK", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAAISAAVSLPSSKSSSLLTKISSVSPQRIFLKKSTVCYRRVVSVKAQVTTDTTEAPPVKVVKESKKQEEGIVVNKFKPKNPYTGRCLLNTKITGDDAPGETWHIVFTTEGEVPYREGQSIGVIPEGIDKNGKPHKLRLYSIASSAIGDFGDSKTVSLCVKRLVYTNDGGEIVKGVCSNFLCDLKPGDEAKITGPVGKEMLMPKDPNATIIMLGTGTGIAPFRSFLWKMFFEEHEDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKNPDNFRLDFAVSREQTNEKGEKMYIQTRMAEYAEELWELLKKDNTFVYMCGLKGMEKGIDDIMVSLAAKDGIDWLEYKKQLKRSEQWNVEVY", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MQLLTLNPAAISRTPPQAIDPSSSSSLLLPFPQILSSQRALGLVARPCNPLRRGLSRFLSSRQLFRRSKVVKAVATPDPILEVPLTEENVESVLDEIRPYLMSDGGNVALHEIDGNIVRVKLQGACGSCPSSTMTMKMGIERRLMEKIPEIVAVEALPDEETGLELNEENIEKVLEEIRPYLIGTADGSLDLVEIEDPIVKIRITGPAAGVMTVRVAVTQKLREKIPSIAAVQLI", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLCGGSRASVHLWDHRHPPRLGAKVLRKSSFMLRPCSAISQQRIKSSFRSHCKTPRKIPAPLDCFSQGDDHPELSAEGLSPVAGGIVALGKFDALHIGHRELAIQAARIGTPYLLSFVGLAEVLGWKPRAPIVAKCDRKRVLSSWASYCGNIAPVEFEIEFASVRHLNPQQFVEKLSRELRVCGVVAGENYRFGYRASGDASELVRLCKDFGISAYIINSVMDKNQVSVNTEEEDSKSKERGQVSSTRVRHALAAGDVRYVTELLGRPHRVISRTRTQDLTSKRGRISLQTSSLLNLPPGNGVYKACSLIVGDKHPISCKVIVDTSNLYIETEEERFHNSDESQEFQLLGIEFG", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MEYAVTNMRLLSNMMYSASAISFPRVRLHCSIPTEPSCRRNPFRQSNQPVQVPSPKLACFDGVLTEAFQRLDVSENNSPVEAFAYVLELCGKRRAVSQGRQLHSRIFKTFPSFELDFLAGKLVFMYGKCGSLDDAEKVFDEMPDRTAFAWNTMIGAYVSNGEPASALALYWNMRVEGVPLGLSSFPALLKACAKLRDIRSGSELHSLLVKLGYHSTGFIVNALVSMYAKNDDLSAARRLFDGFQEKGDAVLWNSILSSYSTSGKSLETLELFREMHMTGPAPNSYTIVSALTACDGFSYAKLGKEIHASVLKSSTHSSELYVCNALIAMYTRCGKMPQAERILRQMNNADVVTWNSLIKGYVQNLMYKEALEFFSDMIAAGHKSDEVSMTSIIAASGRLSNLLAGMELHAYVIKHGWDSNLQVGNTLIDMYSKCNLTCYMGRAFLRMHDKDLISWTTVIAGYAQNDCHVEALELFRDVAKKRMEIDEMILGSILRASSVLKSMLIVKEIHCHILRKGLLDTVIQNELVDVYGKCRNMGYATRVFESIKGKDVVSWTSMISSSALNGNESEAVELFRRMVETGLSADSVALLCILSAAASLSALNKGREIHCYLLRKGFCLEGSIAVAVVDMYACCGDLQSAKAVFDRIERKGLLQYTSMINAYGMHGCGKAAVELFDKMRHENVSPDHISFLALLYACSHAGLLDEGRGFLKIMEHEYELEPWPEHYVCLVDMLGRANCVVEAFEFVKMMKTEPTAEVWCALLAACRSHSEKEIGEIAAQRLLELEPKNPGNLVLVSNVFAEQGRWNDVEKVRAKMKASGMEKHPGCSWIEMDGKVHKFTARDKSHPESKEIYEKLSEVTRKLEREVGYVADTKFVLHNVDEGEKVQMLHGHSERIAIAYGLLRTPDRACLRITKNLRVCRDCHTFCKLVSKLFRRDIVMRDANRFHHFESGLCSCGDSW", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "AAIVKLGGDDGSLAFVPNNITVGAGESIEFINNAGFPHNIVFDEDAVPAGVDADAISAEDYLNSKGQTVVRKLTTPGTYGVYCDPHSGAGMKMTITVQ", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASLSGLYSSSPSLKPAKNHSFKALPAQSRDSFSFPHTSKPTNLPLTLSSARSVARDISHADSKKELLKDPDALWKRYLDWFYQQKELGLYLDISRVGFTDEFVAEMEPRFQAAFKAMEDLEKGSIANPDEGRMVGHYWLRNSKLAPKPTLKTLIENTLDSICAFSDDIISGKIKPPSSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVVVISKSGGTPETRNGLLEVQKAFREAGLNFAKQGVAITQENSLLDNTARIEGWLARFPMYDWVGGRTSIMSAVGLLPAALQGINVREMLTGAALMDEATRTTSIKNNPAALLAMCWYWASNGVGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNTVNQGLTVYGNKGSTDQHAYIQQLRDGVHNFFATFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANGRESISVTIQEVTPTSVGAIIALYERAVGLYASIVNINAYHQPGVEAGKKAAAEVLALQKRVLSVLNEATCKDPVEPLTLEEIADRCHAPEEIEMIYKIIAHMSANDRVLIAEGNCGSPRSIKVYLGECNVDDLYA", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAVTAFNTLKLVSSSLDPIPSVSCSSYSFSLIYVGSPYKRCLKQSCSVRAMTSSSSAASSSSSSFGSRMEESIRKTVTENTVVIYSKTWCSYCTEVKTLFKRLGVQPLVVELDQLGPQGPQLQKVLERLTGQHTVPNVFVCGKHIGGCTDTVKLNRKGDLELMLAEANGKNGQS", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MMETALLRYCVNFSGHKKISAHQRSNSEIPKTSPGGCEDEWCARVLSRRSVMASGLVSSTTALAFPREGLAVVKQGLLAGRVPGLSEPDEEGWRTYRRPDEKSGGHGVGWSPIIPYAFSVPQDWNEVPVSIADLGGTEIDLRFASPKEGRLSVIVAPVLRFADNLGDDVKIENIGQPAKVINAFGPEVIGENVEGKVLSSNVAEHDGRLYYQFELEPPHVLITATAAGNRLYLFSVTGNGLQWKRHYKDLKRIASSFRIV", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MFQATSTGAQIMHAAFPRSWRRGHVLPLRSAKIFKPLACLELRGSTGIGGFHEIELKVRDYELDQFGVVNNAVYANYCQHGRHEFMDSIGINCNEVSRSGGALAIPELTIKFLAPLRSGCRFVVKTRISGISLVRIYFEQFIFKLPNQEPILEAKGTAVWLDNKYRPTRVPSHVRSYFGHFQCQHLVD", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVSMTTSSSSYGTFSTVVNSSRPNSSATFLVPSLKFSTGISNFANLSNGFSLKSPINPGFLFKSRPFTVQARAAAEKTVHDFTVKDIDGKDVALNKFKGKVMLIVNVASRCGLTSSNYSELSHLYEKYKTQGFEILAFPCNQFGFQEPGSNSEIKQFACTRFKAEFPIFDKVDVNGPSTAPIYEFLKSNAGGFLGGLIKWNFEKFLIDKKGKVVERYPPTTSPFQIEKDIQKLLAA", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLKATGTVAPAMHVVFPCFSSRPLILPLRSTKTFKPLSCFKQQGGKGMNGVHEIELKVRDYELDQFGVVNNAVYANYCQHGQHEFMETIGINCDEVSRSGEALAVSELTIKFLAPLRSGCKFVVKTRISGTSMTRIYFEQFIFKLPNQEPILEAKGMAVWLDKRYRPVCIPSYIRSNFGHFQRQHVVEY", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MIRLSPGFAINPRFRSDSFVNSQKPPFLSVQIGSQRRNLSRIGSENGDGVAFDAVAYEADRLSLDAAAMEDMAETAKKELESDPDSDPKAWKWVIRKKMWDLMEARNYAMSPRPVHHRIPNFVGASAAARKLAELDAFRMAMVVKVNPDSPQKQIRFLTLSGEKKLLTPQPRLRTGFFSVLESDLLKPETIMEACTSVGVAKYGRAIGLDEKIKVDLIVIGSVAVNPQTGARLGKGEGFAELEYGMLRYMGAIDDSTPVVTTVHDCQLVDDIPLEKLAIHDVPVDIICTPTRVIFTNTPIPKPQGIYWDKLSPEKLRQIRILRELKNRLEKKTGRKLPTGPSEKLPPTAERKRR", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MALRSVKTPTLITSVAVVSSSVTNKPHSIRFSLKPTSALVVHNHQLSFYGSNLKLKPTKFRCSASALTPQLKDTLEKLVNSEKVVLFMKGTRDFPMCGFSNTVVQILKNLNVPFEDVNILENEMLRQGLKEYSNWPTFPQLYIGGEFFGGCDITLEAFKTGELQEEVEKAMCS", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGFVLICTCPPSSGVVVSQLHHHQFSAGVKSNELWFRPTRRTLISKSSCFNLPQEPILSEALKEPIAFLGGMFAGLLRLDLNEEPLKDWVTRTVEASGITEEEVDADGVVSNDEDSPQQIEIE", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAASSPSLLLPLGSASRNGLTTKNPNSSRYIAARVIASETREQSCKISNLSSRREAMLLVLGVSGGLSMSSLAAYAAGLPPEDKPRLCEAECEKELENVPMVTTESGLQYKDIKVGRGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGLPYLFRVGSGQVIKGLDEGILSMKAGGKRRLYIPGPLAFPKGLVSAPGRPRVAPNSPVIFDVSLEFIPGLDSEEE", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAAVMSAQAMADAVRVVRASISELGKSAKKSDAFSARDLKSRSGFTESVDRLGLVNLQVQARKKRVRGLRLVPTRVVSAIEGSNSTTADAPVDEDVLAWTKAYRAENSTAITRDETLKSNAQSALQWKCVETQVEGERLHYGRFAVSPFRSGQANTVGVSMQKALLGEVEGAAVSCATFKNVKSEYAAMKGVEETPMDILVNLKELVIRSDSDEPQKAIISAIGPGPVTAGDIVLPPSLEVTDPTQHIAYLTKEVSLDIELDVEKGCGYRMGDHTKSGDGRFYIDSVFMPVRNANYSVHSYESEPDVTQEILFLEIWTNGSITPEEALHEAARCLIDLFLPFLHPKKKEVTNSATKMHKSFTMSQFNSSAEMSAKEVDLRHVYVDQLRIPSKAYNSLKRANINTVSDLLDYTQDDLLSIPNFGRKSVDDILEALQAQFSIDLPENNPLCN", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASSAAQIHVLGGIGFASSSSSKRNLNGKGGTFMPRSAFFGTRTGPFSTPTSAFLRMGTRNGGGASRYAVGPVRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDEESKQVSYRVVRDENNNVKLECPAINKQFAAEEISAQVLRKLVDDASRFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFDRKANETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLAAEFKKDEGIDLLKDKQALQRLTEAAEKAKIELSSLTQTNMSLPFITATADGPKHIETTLTRAKFEELCSDLLDRVRTPVENSLRDAKLSFKDIDEVILVGGSTRIPAVQELVRKVTGKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVSAVDKGTGKKQDITITGASTLPKDEVDQMVQEAERFAKDDKEKRDAIDTKNQADSVVYQTEKQLKELGEKIPGEVKEKVEAKLQELKDKIGSGSTQEIKDAMAALNQEVMQIGQSLYNQPGAGGPGAGPSPGGEGASSGDSSSSKGGDGDDVIDADFTDSQ", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MISLTPSLFLNKTVVPGCSTRLSLRQPRTIITPPASLRVFSSLGSNQDPTGSVLIETTATSSSSLETSAADIVPKSTVSGGVQDVYGEDAATEDMPITPWSLSVASGYTLLRDPHHNKGLAFSHRERDAHYLRGLLPPTVISQDLQVKKIMHTLRQYQVPLQKYMAMMDLQETNERLFYKLLIDHVEELLPVIYTPTVGEACQKYGSIFLRPQGLFISLKEKGKIHEVLRNWPEKNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPVTIDVGTNNEKLLNDEFYIGLRQRRATGEEYSELMHEFMTAVKQNYGEKVVIQFEDFANHNAFDLLAKYGTTHLVFNDDIQGTASVVLAGLIAALRFVGGSLSDHRFLFLGAGEAGTGIAELIALEISKKSHIPLEEARKNIWLVDSKGLIVSSRKESIQHFKKPWAHDHEPIRELVDAVKAIKPTVLIGTSGVGQTFTQDVVETMAKLNEKPIILSLSNPTSQSECTAEEAYTWSQGRAIFASGSPFAPVEYEGKTFVPGQANNAYIFPGFGLGLIMSGTIRVHDDMLLAASEALAEELMEEHYEKGMIYPPFRNIRKISARIAAKVAAKAYELGLATRLPQPKELEQCAESSMYSPSYRSYR", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MARLTLRPHNHFFSSPIYAHKQPFLSVYTIFPHHHQNPLIKSRVKCSASGTERVRESKKLPPKDPIEDPKPQLPIPEVLSTETGFEQNWPPWKNIPQRYKLIGATSLAFVICNMDKVNLSIAIIPMSHQFGWSSSVAGLVQSSFFWGYALSQLPGGWLSKIFGGRKVLEIGVFTWSFATALVPLLAGFMPGLIFSRILVGIGEGVSPSAATDLIARTIPVKERSRAVGFVFGGLSLGSVMGLLLAPPIIETFNWESVFYLFGLLGVGWFVGFQFLNEEEVSYKGNEISTSHKSENATKEELGSSLKEIPWKSFFQSPAVWAMIYTHFCGSWGHYTCLSWLPTYFSEALSLNLTEAAWVSILPPLASIVVTSLASQFADYLITNGVDTTTVRKICQTIAFVAPAICMTLSSVDIGLPPWEIVGILTAGLALSSFALSGLYCTHQDISPEYASILLGITNTVGAVPGIVGVALTGFLLDSTHSWTMSLFVPSIFFYLTGTVVWLAFASSEPQTFRKEDS", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATKAVLLPWLIPSPRHVSLFPVVQLPALETAGWCVRKRRAEDVAVSVAFNPSGNFDISAFENDQDSDKVEPPMPPTTGRYEVVIDNDSIGRLDLSPFQRAIGITSPDDFGVAEPKRYLDRTIGFTINYKREDPGDPRELSEYPDVRLWFVRLDAMYPWLPLLLDWRAGELARYAAMLVPHQMSLRMGVVFNPEALELFVMNKVFVVYPWLKRHGVPKPRLKTSDMARMLGFGIGDELFDLIDHNE", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASLSVSSSSTIIDSRAPPSRLASASASSPSCISLPTLPIQSHTRAAKATAYCRKIVRNVVTRATTEVGEAPATTTEAETTELPEIVKTAQEAWEKVDDKYAIGSLAFAGVVALWGSAGMISAIDRLPLVPGVLELVGIGYTGWFTYKNLVFKPDREALFEKVKSTYKDILGSS", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MATKIFLASPVISATRTPIVPKAIASRLGTSLAAALAATSVLTMVPVLPAAGEGNQTYKIYYGTAASAANYGGYGGNSDRKTSAEYVYDVPEGWKERLVSKVEKGTNGTDSEFYNPKKKTEKEYLTFLAGFRQLAPRDVILNNLALSDVELQDLIAGADKVVSEERKDETGQVYYLYEIDGVGKHSLITVTCSKNRLYAHFVNAPAPEWNRDHDTLTHLRDSFKTVSSS", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRFRSFFFSSSIFSLSHSRSPSLSSSRFSSLSAAMSPALEKSRQGNGGCNDDSKSKVTVVGSGNWGSVAAKLIASNALKLPSFHDEVRMWVFEEVLPNGEKLNDVINKTNENVKYLPGIKLGRNVVADPDLENAVKDANMLVFVTPHQFMDGICKKLDGKITGDVEAISLVKGMEVKKEGPCMISSLISKQLGINCCVLMGANIANEIAVEKFSEATVGYRGSREIADTWVQLFSTPYFMVTPVHDVEGVELCGTLKNVVAIAAGFVDGLEMGNNTKAAIMRIGLREMKALSKLLFPSVKDSTFFESCGVADVITTCLGGRNRRVAEAFAKSRGKRSFDELEAEMLQGQKLQGVSTAREVYEVLKHCGWLEMFPLFSTVHQICTGRLQPEAIVQYRENKL", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASLCLSLHQTLTNPLSAPRCRPLSLSFPGSSTFSIRPSSRRATALTTRASYTPTPATERVISIASYALPFFNSLQYGRFLFAQYPRLGLLFEPIFPILNLYRSVPYASFVAFFGLYLGVVRNTSFSRYVRFNAMQAVTLDVLLAVPVLLTRILDPGQGGGFGMKAMMWGHTGVFVFSFMCFVYGVVSSLLGKTPYIPFVADAAGRQL", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFVRIGFVVAASIAAVTVKRLNVKPSKPSKPSDNGEGGDKEQSVDPDYNLNDKNLQEEEEEEEEEVKLINSVINQTRGSFSDYLDDDILPEFEDLLSGEIEYPLPDDDNNLEKAEKERKYEVEMAYNDGELERLKQLVKELEEREVKLEGELLEYYGLKEQESDIVELQRQLKIKTVEIDMLNITINSLQAERKKLQEELSQNGIVRKELEVARNKIKELQRQIQLDANQTKGQLLLLKQHVSSLQMKEEEAMNKDTEVERKLKAVQDLEVQVMELKRKNRELQHEKRELSIKLDSAEARIATLSNMTESDKVAKVREEVNNLKHNNEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPAGKISARDLSKNLSPKSQAKAKRLMLEYAGSERGQGDTDLESNYSQPSSPGSDDFDNASMDSSTSRFSSFSKKPGLIQKLKKWGKSKDDSSVQSSPSRSFYGGSPGRLSSSMNKQRGPLESLMIRNAGESVAITTFGQVDQESPGTPETPNLPRIRTQQQASSPGEGLNSVAASFHVMSKSVDNVLDEKYPAYKDRHKLAVEREKHIKHKADQARAERFGGNVALPPKLAQLKEKRVVVPSVITATGDQSNESNESNEGKASENAATVTKMKLVDIEKRPPRVPRPPPRSAGGGKSTNLPSARPPLPGGGPPPPPPPPGGGPPPPPGGGPPPPPPPPGALGRGAGGGNKVHRAPELVEFYQSLMKRESKKEGAPSLISSGTGNSSAARNNMIGEIENRSTFLLAVKADVETQGDFVQSLATEVRASSFTDIEDLLAFVSWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLMKLEKQVTSFVDDPNLSCEPALKKMYKLLEKVEQSVYALLRTRDMAISRYKEFGIPVDWLSDTGVVGKIKLSSVQLAKKYMKRVAYELDSVSGSDKDPNREFLLLQGVRFAFRVHQFAGGFDAESMKAFEELRSRAKTESGDNNNNNNNNSNEEESVN", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAMAALTSSSSAITLLNKPFLPNRSSFFSSDSQSPLLRFSASTSVRSRFPSAAISAVAPKSDINKNETPKIEIEETQVFACPVCYEPLMRKGPSGINLQAIYRSGFKCGQCNKTYSSKDEYLDLTVTADLDDYNEVKPITTELFRSPLVSFLYERGWRQAFKRSGFPGPDEEFRMAEEYFKEAEGGLLVDVSCGSGLFSRKFAQSGKYSGVIALDYSENMLRQCKEFIKNDNTFDNSTNIAVVRADVSRLPFPSGSVDAVHAGAALHCWPSPTNAIAEICRVLRSGGVFVGTTFLRYSPSTPWIIRPFQSRILQSYNYLMQDEIKDVCTSCGLTDYEDYIQDSFIMFTARKP", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MATSSTFSSLLPSPPALLSDHRSPPPSIRYSFSPLTTPKSSRLGFTVPEKRNLAANSSLVEVSIGGESDPPPSSSGSGGDDKQIALLKLKLLSVVSGLNRGLVASVDDLERAEVAAKELETAGGPVDLTDDLDKLQGKWRLLYSSAFSSRSLGGSRPGLPTGRLIPVTLGQVFQRIDVFSKDFDNIAEVELGAPWPFPPLEATATLAHKFELLGTCKIKITFEKTTVKTSGNLSQIPPFDIPRLPDSFRPSSNPGTGDFEVTYVDDTMRITRGDRGELRVFVIA", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "METTAFNTTSRIGNWSSAISPPLQTCGSFKCQLPTRRGVIVADLRNSNFRWRKATTTSRGNVAAEAVKIPTSVPVRVARELAQAGYRYLDVRTPDEFSIGHPTRAINVPYMYRVGSGMVKNPSFLRQVSSHFRKHDEIIIGCESGQMSFMASTDLLTAGFTAITDIAGGYVAWTENELPVEE", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MATTMNAAVSLTSSNSSSFPATSCAIAPERIRFTKGAFYYKSNNVVTGKRVFSIKAQITTETDTPTPAKKVEKVSKKNEEGVIVNRYRPKEPYTGKCLLNTKITADDAPGETWHMVFSHQGEIPYREGQSVGVIADGIDKNGKPHKVRLYSIASSALGDLGNSETVSLCVKRLVYTNDQGETVKGVCSNFLCDLAPGSDVKLTGPVGKEMLMPKDPNATVIMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTTSSLLYQEEFDKMKAKAPENFRVDYAISREQANDKGEKMYIQTRMAQYAAELWELLKKDNTFVYMCGLKGMEKGIDDIMVSLAANDGIDWFDYKKQLKKAEQWNVEVY", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASSAAQIHILGGIGFPTSSSSSSTKNLDNKTNSIPRSVFFGNRTSPFTTPTSAFLRMGRRNNNASRYTVGPVRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKSKDRLVGQIAKRQAVVNPENTFFSVKRFIGRRMNEVAEESKQVSYRVIKDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASRFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKSNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLASTFKKDEGIDLLKDKQALQRLTEAAEKAKIELSSLTQTNMSLPFITATADGPKHIETTLTRGKFEELCSDLLDRVRTPVENSLRDAKLSFKDIDEVILVGGSTRIPAVQDLVRKLTGKEPNVSVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSIGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVSASDKGTGKKQDITITGASTLPKDEVDTMVQEAERFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGEKIPGPVKEKVEAKLQELKEKIASGSTQEIKDTMAALNQEVMQIGQSLYNQPQPGGADSPPGGEASSSSDTSSSAKGGDNGGDVIDADFTDSN", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAFSSSCSSVKAVNSRWTSPSPSPSSRFAVLPAFLHRRYATSVKLTAISAALKTVEQTTLTEDNRFSTVGSDSDEYNPTLPKPRILVTEKLGEAGVNLLREFGDVDCSYDLSPEDLKKKVAESDALIVRSGTKVTREVFEAAKGRLKVVGRAGVGIDNVDLQAATEHGCLVVNAPTANTVAAAEHGIALLASMARNVAQADASIKAGKWERSKYVGVSLVGKTLAVMGFGKVGTEVARRAKGLGMTVISHDPYAPADRARALGVDLVSFDQAISTADFVSLHMPLTPATKKVFNDETFSKMKKGVRLINVARGGVIDEDALVRALDAGIVAQAALDVFCEEPPSKDSRLIQHENVTVTPHLGASTKEAQEGVAIEIAEAVAGALKGELSATAVNAPMVAPEVLSELTPYIVLAEKLGRLAVQLASGGKGVQSIRVVYRSARDRDDLDTRLLRAMITKGIIEPISDSYVNLVNADFIAKQKGLRISEERMVVDSSPEYPVDSIQVQILNVESNFAGAVSDAGDISIEGKVKYGVPHLTCVGSFGVDVSLEGNLILCRQVDQPGMIGQVGNILGEQNVNVNFMSVGRTVLRKQAIMAIGVDEEPDNKTLERIGGVSAIEEFVFLKL", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MECSLQKQLFNNGDKLFSSRHNRRVSIEQVHVTDSLSVNSINLFHKPICYPISSIITSRKSKSHFSVCATRRRRVHSNSDTYVLLEAGQDEQFVTEDELKAKLRGWLENWPVNSLPPDLARFDDLDEAVDFLVKAVCELEIDGEVGSVQWYQVRLE", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAPALSRSLYTSPLTSVPITPVSSRLSHLRSSFLPHGGALRTGVSCSWNLEKRCNRFAVKCDAAVAEKETTEEGSGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGDGGDLEIRIKPDPDNGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWESVADSSSYLIREETDPDNILRRGTQITLYLREDDKYEFAESTRIKNLVKNYSQFVGFPIYTWQEKSRTIEVEEDEPVKEGEEGEPKKKKTTKTEKYWDWELANETKPLWMRNSKEVEKGEYNEFYKKAFNEFLDPLAHTHFTTEGEVEFRSILYIPGMGPLNNEDVTNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLIRKTFDMIQEISESENKEDYKKFWENFGRFLKLGCIEDTGNHKRITPLLRFFSSKNEEELTSLDDYIENMGENQKAIYYLATDSLKSAKSAPFLEKLIQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEVKDREAKQEFNLLCDWIKQQLGDKVAKVQVSNRLSSSPCVLVSGKFGWSANMERLMKAQALGDTSSLEFMRGRRILEINPDHPIIKDLNAACKNAPESTEATRVVDLLYDTAIISSGFTPDSPAELGNKIYEMMAMAVGGRWGRVEEEEESSTVNEGDDKSGETEVVEPSEVRAESDPWQD", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATFAELVLSTSRCTCPCRSFTRKPLIRPPLSGLRLPGDTKPLFRSGLGRISVSRRFLTAVARAESDQLGDDDHSKGIDRIHNLQNVEDKQKKASQLKKRVIFGIGIGLPVGCVVLAGGWVFTVALASSVFIGSREYFELVRSRGIAKGMTPPPRYVSRVCSVICALMPILTLYFGNIDILVTSAAFVVAIALLVQRGSPRFAQLSSTMFGLFYCGYLPSFWVKLRCGLAAPALNTGIGRTWPILLGGQAHWTVGLVATLISFSGVIATDTFAFLGGKTFGRTPLTSISPKKTWEGTIVGLVGCIAITILLSKYLSWPQSLFSSVAFGFLNFFGSVFGDLTESMIKRDAGVKDSGSLIPGHGGILDRVDSYIFTGALAYSFIKTSLKLYGV", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDTLTSAPLLTSKFKPSFSPQQKPCFPHRRRFENGKKKQSIVPVARLFGPAIFEASKLKVLFLGIDENKHPGNLPRTYTLTHSDVTSKLTLAISQTINNSQLQGWYNRLQRDEVVAQWKKVKGKMSLHVHCHISGGHFLLDIFARLRYFIFCKELPVVLKAFVHGDGNLFNNYPELEESLVWVFFHSKILIREFNKVECWGPLKEASQPTSGTHSDLKLPQSCEEDCECCFPPLNLSPIPCSNEVINNTYEPIDGIGTQHGNL", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MDTLTSAPLLTTKFKPSFSPQQKPCFPHRRRFENGKKNQSIVPVARLFGPAIFEASKLKVLFLGIDENKHPGNLPRTYTLTHSDVTSKLTLAISQTINNSQLQGWYNRLQRDEVVAQWKKVKGKMSLHVHCHISGGHFLLDIFARLRYFIFCKELPVVLKAFVHGDGNLFNNYPELEESLVWVFFHSKIREFNKVECWGPLKEASQPTSGTHSDLKLPQSCEEDCECCFPPLNLSPIPCSNEVINNTYEPIDGIGTQHGNL", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MATLSMTLSNPKSLSAPPRRLSPINTSAFTSTSFRLRTKSSFDSISFSSSTPFSASSLLLHTSYTKRNHRCFSVQSNAEVVTEPQSKITHKVYFDISVGNPVGKLAGRIVIGLYGDDVPQTVENFRALCTGEKGFGYKGSTFHRVIRDFMIQGGDFEKGNGTGGKSVYGRTFKDENFKLSHVGPGVLSMANAGPNTNGSQFFICTIKTSWLDGRHVVFGQVIEGMEVVKLIEEQETDRGDRPRKKVVIADCGQLPMSEA", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MATITASSSASLVARASLVHNSRVGVSSSPILGLPSMTKRSKVTCSIENKPSTTETTTTTNKSMGASLLAAAAAATISNPAMALVDERMSTEGTGLPFGLSNNLLGWILFGVFGLIWALYFVYASGLEEDEESGLSL", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASVTLGSWIVVHHHNHHHPSSILTKSRSRSCPITLTKPISFRSKRTVSSSSSIVSSSVVTKEDNLRQSEPSSFDFMSYIITKAELVNKALDSAVPLREPLKIHEAMRYSLLAGGKRVRPVLCIAACELVGGEESTAMPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEDVAVLAGDALLSFAFEHLASATSSDVVSPVRVVRAVGELAKAIGTEGLVAGQVVDISSEGLDLNDVGLEHLEFIHLHKTAALLEASAVLGAIVGGGSDDEIERLRKFARCIGLLFQVVDDILDVTKSSKELGKTAGKDLIADKLTYPKIMGLEKSREFAEKLNREARDQLLGFDSDKVAPLLALANYIAYRQN", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAFTCTSRPPISLRSETRIVSSSPSASSLSSRRMFAVLPESSGLRIRLSLSPASLTSIHQPRVSRLRRAVVCEAQETTTDIQVVNDSTWDSLVLKATGPVVVDFWAPWCGPCKMIDPLVNDLAQHYTGKIKFYKLNTDESPNTPGQYGVRSIPTIMIFVGGEKKDTIIGAVPKTTLTSSLDKFLP", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASLKLSPSSPISISKVGVIPSSKKGLSFLVKAEHHSSSSSSHLQDKCQRRLIVTFGVVAPWISLLSRAPLSFAAESKKGFLAVSDNKDAYAFLYPFGWQEVVIEGQDKVYKDVIEPLESVSVNLVPTSKQTIKEFGPPKQIAETLIKKVLAPPNQKTTLIDASEHDVDGKTYYQFEFTVQARNYTRHALGTITVFNGNFYTLTTGANERRWEKMKDRLHTVVDSFKITV", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLKAVQILGWSSGLTISQRLTKTRKSSTVSFISSSLNLSSVTSSSPRRIFSFKPTRMSSSLPGSDPVANSPTFVSVQSAGDVRKIKFCQWCGGPTKHEIPDGEEKLRAICTHCGKIAYQNPKMVVGCLIEHEGKVLLCKRNIQPSHGLWTLPAGYLEVGESAAQGAMRETWEEAGATVEVISPFAQLDIPLIGQTYVIFLAKLKNLHFAPGPESLECRLFALDEIPFDSLAFSSIYVTLNLYLEDLKKGKLKFHYGTINKRPGSSPSDIRAFSLDYHLQP", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MALYRPLLLHHPTSPSVTTFLRNYPSKPIKFSSLPFLHRCRKSRVSSSSARCCSSMESPPEGYRRNVGVCLMNSSKKIFTASRLDIPSAWQMPQGGIDEGEDPRVAVMRELKEETGVHSAEILAEAPHWITYDFPPDVREKLKVRWGSDWKGQAQKWFLLKFTGKDEEINLLGDGTEKPEFGEWSWTSPDQVVENAVEFKKPVYKEVMSAFASHLQ", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNTLPVVSLTASSSFKFFHFPSLHRSLSHSPNFSFTKSLILNPNHLSFKSTLNSLSPSQSQLYEEEDDEEEEEEDEDDDDEAADEYDNISDEIRNSDDDDDDEETEFSVDLPTESARERVEFRWQRVEKLRSLVRDFGVEMIDIDELISIYDFRIDKFQRLAIEAFLRGSSVVVSAPTSSGKTLIAEAAAVSTVAKGRRLFYTTPLKALSNQKFREFRETFGDDNVGLLTGDSAINKDAQIVIMTTEILRNMLYQSVGMASSGTGLFHVDAIVLDEVHYLSDISRGTVWEEIVIYCPKEVQLICLSATVANPDELAGWIGEIHGKTELVTSTRRPVPLTWYFSTKHSLLPLLDEKGINVNRKLSLNYLQLSASEARFRDDDDGYRKRRSKKRGGDTSYNNLVNVTDYPLSKNEINKIRRSQVPQISDTLWHLQGKNMLPAIWFIFNRRGCDAAVQYVENFQLLDDCEKSEVELALKKFRVLYPDAVRESAEKGLLRGIAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKKAGNERIELGPNELYQMAGRAGRRGIDEKGYTVLVQTAFEGAEECCKLVFAGVKPLVSQFTASYGMVLNLVAGSKVTRKSSGTEAGKVLQAGRSLEEAKKLVEKSFGNYVSSNVTVAAKQELAEIDNKIEILSSEISDEAIDKKSRKLLSARDYKEITVLKEELREEKRKRAEQRRRMELERFLALKPLLKGMEEGNLPFICLEFKDSEGREQSVPAVYLGHIDSFQGSKLQKMMSLDESFALNLIEDELAADEPGKPNVKPSYYVALGSDNSWYLFTEKWVRTVYRTGFPNIALALGDALPREIMKNLLDKADMQWDKLAESELGSLWRLEGSLETWSWSLNVPVLSSLSDEDEVLHMSEEYDNAAQKYKEQRSKISRLKKKMSRSEGFREYKKILENANLTVEKMKRLKARSRRLINRLEQIEPSGWKDFMRISNVIHESRALDINTHLIFPLGETAAAIRGENELWLAMVLRNKALVDLKPPQLAGVCASLVSEGIKVRPWRDNNYIYEPSDTVVDMVNFLEDQRSSLIKLQEKHEVMIPCCLDVQFSGMVEAWASGLSWKEMMMECAMDEGDLARLLRRTIDLLAQIPKLPDIDPVLQRSAAAAADIMDRPPISELAG", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASSTATMLKASPVKSDWVKGQSLLLRQPSSVSAIRSHVAPSALTVRAASAYADELVKTAKTIASPGHGIMAMDESNATCGKRLASIGLENTEANRQAYRTLLVSAPGLGQYISGAILFEETLYQSTTDGKKMVDVLVEQNIVPGIKVDKGLVPLVGSYDESWCQGLDGLASRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDSGLVPIVEPEIMLDGEHGIDRTYDVAEKVWAEVFFYLAQNNVMFEGILLKPSMVTPGAEATDRATPEQVASYTLKLLRNRIPPAVPGIMFLSGGQSELEATLNLNAMNQAPNPWHVSFSYARALQNTCLKTWGGKEENVKAAQDILLARAKANSLAQLGKYTGEGESEEAKEGMFVKGYTY", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEVLSTSSPLTLHSHRLLSASSSSSHVTSIAASSLSSFASSYLGISLSNRTIHRFSTTPTNLRRFPQRKRKKFTPISAVFERFTERAIRAIIFSQKEAKSLGKDMVYTQHLLLGLIAEDRDPQGFLGSGITIDKAREAVWSIWDEANSDSKQEEASSTSYSKSTDMPFSISTKRVFEAAVEYSRTMDCQYIAPEHIAVGLFTVDDGSAGRVLKRLGANMNLLTAAALTRLKGEIAKDGREPSSSSKGSFESPPSGRIAGSGPGGKKAKNVLEQFCVDLTARASEGLIDPVIGREKEVQRVIQILCRRTKNNPILLGEAGVGKTAIAEGLAISIAEASAPGFLLTKRIMSLDIGLLMAGAKERGELEARVTALISEVKKSGKVILFIDEVHTLIGSGTVGRGNKGSGLDIANLLKPSLGRGELQCIASTTLDEFRSQFEKDKALARRFQPVLINEPSEEDAVKILLGLREKYEAHHNCKYTMEAIDAAVYLSSRYIADRFLPDKAIDLIDEAGSRARIEAFRKKKEDAICILSKPPNDYWQEIKTVQAMHEVVLSSRQKQDDGDAISDESGELVEESSLPPAAGDDEPILVGPDDIAAVASVWSGIPVQQITADERMLLMSLEDQLRGRVVGQDEAVAAISRAVKRSRVGLKDPDRPIAAMLFCGPTGVGKTELTKALAANYFGSEESMLRLDMSEYMERHTVSKLIGSPPGYVGFEEGGMLTEAIRRRPFTVVLFDEIEKAHPDIFNILLQLFEDGHLTDSQGRRVSFKNALIIMTSNVGSLAIAKGRHGSIGFILDDDEEAASYTGMKALVVEELKNYFRPELLNRIDEIVIFRQLEKAQMMEILNLMLQDLKSRLVALGVGLEVSEPVKELICKQGYDPAYGARPLRRTVTEIVEDPLSEAFLAGSFKPGDTAFVVLDDTGNPSVRTKPDSSTIRVTDKTSIA", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MELLCSPTSLSSSFALSSALLVPRSFSMPGTRRFMVLCSSQKESQMTVSVTGATGFIGRRLVQRLRADNHAIRVLTRSKSKAEQIFPAKDFPGIVIAEESEWKNCVQGSTAVVNLAGLPISTRWSPEIKKEIKGSRIRVTSKVVDLINNSPAEARPTVLVSATAVGYYGTSETGVFDENSPSGKDYLAEVCREWEGTALKANKDVRVALIRIGVVLGKDGGALAMMIPFFQMFAGGPLGSGQQWFSWIHVDDLVNLIYEALTNPSYKGVINGTAPNPVRLGEMCQQLGSVLSRPSWLPVPDFALKALLGEGATVVLEGQKVLPVRAKELGFEFKYKYVKDALRAIMQ", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MIHTDIAEILCVKPKTTKKTKTMEEDVKKTTTMKIEGEVVVMKKNLLDFKDVMASLLDRVNELLGRRVSLHLISSHQPDPANEKRGRLGKAAHLEKWVTKIKTSVTAEETAFGVTFDWDESMGPPAAFVIKNHHHSQFYLKSLTLRGFPDGEGGATAIHFICNSWIYPNHRYRSDRVFFSNKAYLPSETPELIKELREEELKNLRGNEKGGEFKEWDRVYDYAYYNDLGAPDKGPDSVRPVLGGSPELPYPRRGKTGRKSTKSDPKSESRLALLNLNIYVPRDERFSHVKFSDFLAYALKSVTQVLVPEIASVCDKTINEFDSFEDVFHLYDGSIKLANGHTISKLRDVIPWEMFRELVRNDGERFLKYPLPDILKESRSAWRTDEEFAREMLAGLNPVVISRLQEFPPKSCLDSAKYGNQHSSIRTEHIESNMNGLNVQEALEQNKLYILDHHDALMPYLTRINSTNTKTYATRTLLLLQADGTLKPLAIELSLPHAQGESYGSVSKVFTPAEKGVEGSVWQLAKAYAAVNDSGYHQLISHWLQTHAVIEPFIIASNRQLSVVHPIHKLLHPHFRDTMNINALARHVLINSDGVLERTVFPSRYAMEMSSSIYKNWVFTEQALPKDLLKRGVAVEDPNSDNGVKLLIEDYPFAVDGLEIWSAIKTWVTEYCTFYYNNDKTVQTDTEIQSWWTELRTKGHGDKRHESWWPSMQTRDDLIETCTIIIWIASALHAAVNFGQYPYAGFLPNRPTVSRRFMPEPGTDEYAELEEDADVAFLKTITPQLQTLLGISIIEILSMHSTDEIYLGQRDSPNWTADDEPLEAFKRFGKELELIENNIIRRNNDKRFKNRTGPVNIPYTLLYPNTTDYTREGGITGKGIPNSVSI", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAAVNCHFFQLSRHLKPSRPSFSCSASQPSQNNIKVIINGAAKEIGRAAVVAVTKARGMELAGAVDNHFVGEDIGLLCDMEEPLEIPVVSDLTMVLGSISQGKEVGVVIDFTDPSTVYENVKQATAFGMKSVVYVPRIKPETVSALSALCDKATMGCLVAPTLSIGSILLQQAVIMASFHYNNVELVESRPNAADLPSPEAIQIANNISNLGQIYNREDSSTDVQARGQVIGEDGVRVHSMVLPGLPSSTQVYFSSPGDVYTVKHDIIDVRSLMPGLLLAIRKVVRLKNLVYGLEKFL", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSLVVGQSLGLTLVGDGLSLRNSKINVGKSKFFSVNRRRLARAALVQARPKEDGAAASPSPSSRPASVVQYRRADLADDLQAEARALGRAIDASIYSPELIARKHGSQPFKALRRSLEILGALGGFALKLGIDQKQGNLEKNMKKRAIELRRIFTRLGPTFVKLGQGLSTRPDLCPPDYLEELAELQDALPTFPDAEAFACIERELDLSLETIFSSVSPEPIAAASLGQVYKAQLRYSGQVVAVKVQRPGIEEAIGLDFYLIRGVGKLINKYVDFITTDVLTLIDEFACRVYQELNYVQEAQNARRFKKLYADKADVLVPDIFWDYTSRKVLTMEWVEGTKLNEQLAIESQGLKVLDLVNTGIQCSLRQLLEYGFFHADPHPGNLLATPDGKLAFLDFGMMSETPEEARFAIIGHVVHLVNRDYEAMARDYYALKFLSPDVDVTPIIPALRDFFDDALNYTVSELNFKTLVDGLGAVFYQYPFNVPPYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRWNRLENLLQQGSKDRDFSAKDALQPVLKLLLDPNGEELRLLVIKEAVRVSEAIALGTVVDTYNSLPEFLRSLVFNGNGNGPLTMSTAELQSTLELRDQVSRIWGLLQSSESFDPAILQPILQVLQQPEARRLGGRVAGGVGQRLAARFLQQLLRATTPSSAPSP", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSIVGLVSVVCPSGGIKKRYFSKGLDNFQGFRSSECLGIQLQVPVPFYSGIRQSPRATSLQVVCKDCPRPELEGAVNFLEAAQLSASFRSSPRPEKGLEVVVVGAGLAGLSTAKYLADAGHKPILLESRDVLGGKIAAWKDKDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPEVLPAPLNGIWAILRNNEMLTWPEKVRFAIGLLPAMVGGQAYVEAQDGLTVTEWMRRQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHIQSLGGRAQLNSRLQKIELNPDGTVKHFVLGNGNIITGDAYVVAAPVDILKLLLPQEWREIPYFQKLDKLVGVPVINVHIWFDRKLKNTYDHLLFTRSPLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWISRSDSEIIERTMKELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTIPDCEPCRPLQRSPIEGFYLAGDYTNQKYLASMEGAVLSGKLCAQSIVQDYELLVRRSKKASTAEMTVV", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDTVLMATTPPIRCLHASIPTVFRSPAIYQVSCRSSQLFSYRSTTMMSMCFLRRSDLRSRFLSTPKTTSPMRRPRFSVGASTEESSIPSNRNLIVANSVVIVALAVANRVLYKLALVPMKQYPFFMAQLTTFGYVLIYFTILYTRRRLGIVTNEMMDVPKWRFAIIGFLEALGVATGMAAAAMLPGPVIPILNQTYLVWQLLFALLILGRRFLLNQIAGCLLVAVGVVVAVSSGSGADTTLSGIGFLWPAVLVASAAFQAGASIIKEFVFNDAAKRLEGKSLDIFVVNSFGSGFQALFVFLLLPFLSNLKGIPFASLPSYLKDGAGCFFNTGAKISGCDGAPILPLLYISTNLAFNISLLHLVKISSAIVSSLTMMLSVPLAVYIMSKPLPYLPGGSSLSSNFTMGCIVLVLGLLLYNIPTTPTKQHTKTS", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MESQGQWNPLLSFSRFINHHSNHLATRLEETKRLAGTLIQSHTRTKPAFAATLTPNHVAKSLAGTSVYTVSNSDNEFVLMSDAEGAKSIGLLCFRQEDAEAFLAQVRSRKKEFRGGAKVVPITLDQVYMLKVEGIAFRFLPDPVQIKNALELRAANRGSFDGVPVFQSDLLVVKKKNKRYCPVYFSKEDLEYELSKVSRSSKGVGVSQHIMVGSFEDVLKKMELSEKSSGWEDLVFIPPGKKHSQHMQEVIA", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MQFLQEKVKLIKKEDPVMLKSPEDFPVYWFETSDSVSHRYQFQSDGHLSMKVVDDARPVPQKMVESFLNKFFPSGYPYSVNEGYLRYTQFRALQHFSSAALSVLSTQSLLFAAGLRPTPAQATVVSWILKDGMQHVGKLICSNLGARMDSEPKRWRILADVLYDLGTGLELVSPLCPHLFLEMAGLGNFAKGMATVAARATRLPIYSSFAKEGNLSDIFAKGEAISTLFNVAGIGAGIQLASTICSSMEGKLVVGSILSVVHVYSVVEQMRGVPINTLNPQRTALIVANFLKTGKVPSPPDLRFQEDLMFPERPIQDAGNVKVGRALHKAVKPSEVQRLKQVFVEEKFLLSHGKSWTDMVLEHDATGEDALRGWLVAAYVKSMTKIYNDPDDIILQDAYDKMNDVFNPFLSQVQAKGWYTDRFLDGTGTRFAW", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAHLLSTSCSMKVSPSEKLSSKCWNIGSTKYPMSFTQQTSKSAFKSLVHQRNNTQKLTVVRATTVPLNQETKAESGTSSFENNGNTSGRKRVMVIGGDGYCGWATALHLSKKNYDVCIVDNLVRRLFDHQLGLDSLTPIASIQNRIRRWQGLTGKTIDLHVGDICDFEFLAETFKSFEPDTVVHFGEQRSAPYSMIDRSRAVYTQQNNVIGTINVLFAIKEFSEECHLVKLGTMGEYGTPNIDIEEGFITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVMTEETAMHEELCNRFDYDAVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKLGEFRVFNQFTEQYSVRDLAALVTKAGEKLGLNVETISVPNPRVEAEEHYYNAKHTKLAELGLKPHLLSDSLLDSVLNFAVQYKDRVDTKQIMPSVSWKKIGVKPQTLRA", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASLMLNGAITFPKGLGSPGSNLHARSIPRPTLLSVTRTSTPRLSVATRCSSSSVSSSRPSAQPRFIQHKKEAYWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLSHPDMRVVDVGGGTGFTTLGIVKTVKAKNVTILDQSPHQLAKAKQKEPLKECKIVEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIREAYRVLKIGGKACLIGPVYPTFWLSRFFSDVWMLFPKEEEYIEWFKNAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQLGPKEEDVEKPVNNPFSFLGRFLLGTLAAAWFVLIPIYMWIKDQIVPKDQPI", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MARLVFSLNLPSSHGFNLSPRNLQSFFVTQTGAPRFRAVRCKPNPESSETKQEKLVIDNGETSSASKEVESSSSVADSSSSSSSGFPESPNKDINRRVAAVTVIAALSLFVSTRLDFGISLKDLTASALPYEEALSNGKPTVVEFYADWCEVCRELAPDVYKIEQQYKDKVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDREGNEEGNVVGRLPRQYLVENVNALAAGKQSIPYARAVGQYSSSESRKVHQVTDPLSHG", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAAASISAIGCNQTLIGTSFYGGWGSSISGEDYQTMLSKTVAPPQQARVSRKAIRAVPMMKNVNEGKGLFAPLVVVTRNLVGKKRFNQLRGKAIALHSQVITEFCKSIGADAKQRQGLIRLAKKNGERLGFLA", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATLSMVSVPIATSSLPLSARGRSSSVSFPAPKKGGIGHGGLQIECIRIGGVEIPNHKRVEYSLQYIHGIGRSRSRQILLDLNFDNKVTKDLSEEEVIILRKEKRFNRVAIERLKEIRCYRGIRHKLGLPVRGQRTKNNCRTLKG", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAVSAFRGTRLPLFHHSQFPVARTVSGTSKKMIGARNFKGFVLTAQYSQTQDLFTSRLQSQIEKLPKLVEDIVQTSINTGPRGVTRLVQGVQAFVGVGGEWLNDLSKSTSASGGLPSELQLGLLSPLYLRKLFERMGATYIKLGQFIASAPTLFPPEYVKEFQNCFDKAPPVPFEEIRKILQEELGRPIESVYEYVDPTPIASASIAQVHGARLRGSQEDVVIKVLKPGIEDFLVADLNFIYVVSRIFEFLSPEFSRTSLVGIVKDIRESMLEEVDFNKEAQNIESFKRYLETMGLTGQATAPRVYKYCSSRRVLTMERLYGVPLTDLDSIRSLVSSPENSLITALNVWFGSLLACESFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWAAMEVFLASIATEEYESMASALIQMGATNRDVDGKAFAKDLEKMFSSIQELDTEIVVATARGTNSDTTAVAANVVMDERQMNALFLDLVRVSESYGLKFPREFALLLKQLLYFDRYTRLLAPNLNMLQDQRISIASNKRTNGYKDSFN", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVSLLTMPMSGGIKTWPQAQIDLGFRPIKRQPKVIKCTVQIDVTELTKKRQLFTPRTTATPPQHNPLRLNIFQKAAAIAIDAAERALISHEQDSPLPKTADPRVQIAGNYSPVPESSVRRNLTVEGTIPDCIDGVYIRNGANPMFEPTAGHHLFDGDGMVHAVKITNGSASYACRFTKTERLVQEKRLGRPVFPKAIGELHGHSGIARLMLFYARGLCGLINNQNGVGVANAGLVYFNNRLLAMSEDDLPYQLKITQTGDLQTVGRYDFDGQLKSAMIAHPKLDPVTKELHALSYDVVKKPYLKYFRFSPDGVKSPELEIPLETPTMIHDFAITENFVVIPDQQVVFKLGEMISGKSPVVFDGEKVSRLGIMPKDATEASQIIWVNSPETFCFHLWNAWESPETEEIVVIGSCMSPADSIFNERDESLRSVLSEIRINLRTRKTTRRSLLVNEDVNLEIGMVNRNRLGRKTRFAFLAIAYPWPKVSGFAKVDLCTGEMKKYIYGGEKYGGEPFFLPGNSGNGEENEDDGYIFCHVHDEETKTSELQIINAVNLKLEATIKLPSRVPYGFHGTFVDSNELVDQL", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MENLNLALVSSPKPLLLGHSSSKNVFSGRKSFTFGTFRVSANSSSSHVTRAASKSHQNLKSVQGKVNAHDFASISSSNGQETTSVGVSPQLSPPPPSTVGSPLFWIGIGVGFSALFSVVASRVKKYAMQQAFKSMMGQMNTQNNPFDSGAFSSGPPFPFPMPSASGPATPAGFAGNQSQATSTRSASQSTVTVDIPATKVEAAAPAPDINVKEEVEVKNEPKKSAFVDVSPEETVQKNAFERFKDVDESSSFKEARAPAEASQNGTPFKQGFGDSPSSPSERKSALSVDALEKMMEDPTVQQMVYPYLPEEMRNPSTFKWMMQNPEYRQQLEAMLNNMGGGTEWDSRMMDTLKNFDLNSPDVKQQFDQIGLSPQEVISKIMANPDVAMAFQNPRVQAAIMDCSQNPMSIVKYQNDKEVMDVFNKISELFPGVSGPP", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MALSFRISSSSPLICRATLSNGDNSRNYHTTDAAFIRRAADLSEMSAGLTSPHPNFGCVIATSSGKVAGEGYLYAQGTKPAEALAVEAAGEFSRGATAYLNMEPGDCHGDHTAVSALVQAGIERVVVGIRHPLQHLRGSAIRELRSHGIEVNVLGEDFESKVLEDARKSCLLVNAPLIHRACSRVPFSVLKYAMTLDGKIAASSGHAAWISSKLSRTRVFELRGGSDAVIVGGNTVRQDDPRLTARHGQGHTPTRIVMTQSLDLPEKANLWDVSEVSTIVVTQRGARKSFQKLLASKGVEVVEFDMLNPREVMEYFHLRGYLSILWECGGTLAASAISSSVIHKVVAFVAPKIIGGSKAPSPVGDLGMVEMTQALNLIDVCYEQVGPDMLVSGFLQPIPDLLPVIPSEDATVEIDPSVDPFEPSIIFFYKTWDLYGMWNITIRYHTTVHVKWYLALSKKHNLLILHPKTLKANKFVGVENPKAYDCVEKIRTARSPEEAALIGRSTLRQKPELVRNDWEDVKIEVMYKALKCKFSTYPHLKSMLLSTIGTVLVEASPHDLFWGGGREGEGLNYLGRLLMQLRSEYLGESSVSAEKTSSA", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAIRLNHSVIPVSVKLGAPTRVSARSSLPFSVGDWRGVSTFSGARPLVLAKVKLRAESTEEDRVPIDDDDDSTDQLVDEEIVHFEERDFAGTACVPVYVMLPLGVIDMNSEVVEPEELLDQLRTLKSVNVDGVMVDCWWGIVESHTPQVYNWSGYKKLFQMIRELGLKIQVVMSFHECGGNVGDDVHIQIPEWVREIGQSNPDIYFTDSAGRRNTECLTWGIDKQRVLRGRTALEVYFDYMRSFRVEFDEFFEEKIIPEIEVGLGPCGELRYPSYPAQFGWKYPGIGEFQCYDKYLMNSLKEAAEVRGHSFWGRGPDNTETYNSTPHGTGFFRDGGDYDSYYGRFFLNWYSRVLIDHGDRVLAMANLAFEGTCIAAKLSGIHWWYKTASHAAELTAGFYNSSNRDGYGPIAAMFKKHDAALNFTCVELRTLDQHEDFPEALADPEGLVWQVLNAAWDASIPVASENALPCYDREGYNKILENAKPLTDPDGRHLSCFTYLRLNPTLMESQNFKEFERFLKRMHGEAVPDLGLAPGTQETNPE", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MALLSAMKLQGRPPPISSNLNPNSKPAGSDSVSLNASEPGSERKPRKFSSQLNRWNRARTLRSGAKLDSTITNGSNNTTGPMRPIESSSRTDVSTLDSDVSSSSNGVSEADMTAAKSIYIVSDGTGWTAEHAVNAALGQFDYCLVDRGCPVNTHLFSGIEDGEKLMEIIKQAAREGAMVIYTLADPSMAEATMRACKLWKIPSLDILGPITESISSHLGTNPSGLSRGITNSSLNEDYFKRIEAIEFTIKHDDGALPENLEKADIVLVGVSRTGKTPLSTYLAQKGYKVSNVPIVNGVDLPKTLFEIDPRKVFGLMINPLVLQGIREARAKSLGLGSSFKTKYSELGSVKEELELAKKIFAENPTWPVIEVTESAIEETAAVVLRLYDERQSNRAMPRISKSY", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASIDSLQFHSLCNLQSSIGRAKLQNPSSLVIFRRRPVNLNWVQFETKGSFVCKAIGDSSTPDEDIQNTQSDDNVVVVTATTQSDIPHDSEYSISRFRSMVTTLPPVVFLMKKCSVNSIWIGVCITATVLVAAIRAYVVRKSRDNQRAGSVADLVRRGQLRSGDRRGISKSLNYEDPFNNPFVKLDKGSSTVEMCGKVYRLAPVTLTEKEQTIHQKRRSRAYQWKRPTIFLKEGDSIPPDVDPDTVRWIPANHPFATTVSDIDQDLAQNNVYQKQGVPFRIRAEHEAMQKKLEALQNEEKLNNLSIDSQNARDFQRPYKFSAKLEGENIQKNSQENHTGNSSSEETHKS", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "ATYKVKLVTPDGPVEFDCPDDVYILDQAEEEGHELPYSCRAGSCSSCAGKVSAGTVDQSDGNFLDDDQIADGFVLTCVAYPQSDVTIETHKEEALTG", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAKSLLCSSTLNPFFSTTLSSSKKNQIAYSGNSKNQTSSSLLWKRRELSLGFMSSLVAIGLVSNDRRRHDANAAILEADDDEELLEKVKQDRKKRIERQAVLNSAVKEKGYLQDLVYKLSKVGQAIENNDLPAAGLVLGKGIDTEWVKTVNLAFTKLSTSPEENTEVEAFNSSLASLITSVNKNDIESSKLAFVSSAGAFEKWTTLTGLLEQLKGL", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MMLSCSPLTVPSSSYPFHFLPSSSDPPYDSIRNHPSLSLLHNCKTLQSLRIIHAQMIKIGLHNTNYALSKLIEFCILSPHFEGLPYAISVFKTIQEPNLLIWNTMFRGHALSSDPVSALKLYVCMISLGLLPNSYTFPFVLKSCAKSKAFKEGQQIHGHVLKLGCDLDLYVHTSLISMYVQNGRLEDAHKVFDKSPHRDVVSYTALIKGYASRGYIENAQKLFDEIPVKDVVSWNAMISGYAETGNYKEALELFKDMMKTNVRPDESTMVTVVSACAQSGSIELGRQVHLWIDDHGFGSNLKIVNALIDLYSKCGELETACGLFERLPYKDVISWNTLIGGYTHMNLYKEALLLFQEMLRSGETPNDVTMLSILPACAHLGAIDIGRWIHVYIDKRLKGVTNASSLRTSLIDMYAKCGDIEAAHQVFNSILHKSLSSWNAMIFGFAMHGRADASFDLFSRMRKIGIQPDDITFVGLLSACSHSGMLDLGRHIFRTMTQDYKMTPKLEHYGCMIDLLGHSGLFKEAEEMINMMEMEPDGVIWCSLLKACKMHGNVELGESFAENLIKIEPENPGSYVLLSNIYASAGRWNEVAKTRALLNDKGMKKVPGCSSIEIDSVVHEFIIGDKFHPRNREIYGMLEEMEVLLEKAGFVPDTSEVLQEMEEEWKEGALRHHSEKLAIAFGLISTKPGTKLTIVKNLRVCRNCHEATKLISKIYKREIIARDRTRFHHFRDGVCSCNDYW", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASTASYSPSPTSQWRTQKLPKRFNFYVIHNQEFGKLSQSSSLPTSSFPKTLKLPVIQMPINNNIQSQTTVCVSTDENLEELVNLQKIANGVLTKESNKRVFIQDPPWVSSLFMNSLFVRAKQVQGVRREFREIERRRRYAMLRRRQIKAETEAWEQMVEEYRELEREMCEKKLAPNLPYVKKLLLGWFEPLRQAIEKEQNAETTVKHRAAFAPHIDSLPADKMAVIVMHKLMGLLMMGGKEERCVQVVQAAVQIGMAVENEVRIHNFLEKTKKLQKHMTGAQSQEDMSRETMILRKRVKSLIKRNRVVEVRKLMKSEEPESWGRDTQAKLGCRLLELLTETAYVQPPVDQSADTPPDIRPAFRHVFRIATRDPGKSIVKKYGVIECDPLVVAGVDRTVKQMMIPYVPMLVPPKKWRGYDKGGYLFLPSYLMRTHGSRRQQDAVRSVPTKQMQQVYEALDTLGSTKWRVNKRILSVVESIWAGGGNIAGLVDRKDVPIPELHSDDIMEVKKWKWRVRKSKKINQELHSQRCDTELKLSVARKLKDEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGILEFAEGRPLGKSGLRWLKIHLASLYAGGIEKLCYDARLAFVENHIDDILDSANNPLNGNRWWLNAEDPFQCLAACINLSEALKSSSPHTVFSHLPIHQDGSCNGLQHYAALGRDSMEAAAVNLVAGDKPADVYTEIALRVDHIIRGDSIKDPATDPNALLAKLLIDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLEEKGLIDDDRLLFTASCYAAKVTLAALGELFQAARGTMTWLGDCAKVIASENQPVRWTTPLGLPVVQPYFKTQRHVIRTSLQVLALQREGDTVEVRKQRTAFPPNFVHSLDGSHMMMTAVACRDAGLQFAGVHDSFWTHACDVDQMNRILREKFVELYSMPILEDLLESFQNSYPALTFPPLPKRGDFDLVEVLESPYFFN", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MATTSRRFTTGLFASITSVKSHSANRPQSISLIRRNHIDHRLPLIVPSSRRWIIQAARSWDGFDDGAEAEIKKPGAYGYAIGDNEIEGSSSSTVHVIDGEHVKTAEIVIWAAVTAAFGVGNRVMYKLALVPLKEYPFFLAQLSTFGYVAVYYTILYFRYRAGTVTDAMLSVPKSPFLIVGILEALAAAAGMAAAANLSGPSTTVLSQTFLVWQIFFSIIFLGRRYSVNQILGCTLVALGVIVSVASGSGAAHSLNEAGVLWILLMVLSFLLQGAGTVLKEVIFIDSQRRLKGASLDLFIVNSYGSAFQAICIALLLPFLSKLWGIPFNQLGTYLKDGAVCFLNNGTITKGCDGAPFLPLLFVIMNIGYNIALLRLLKISSAVVSCLASTVSVPIAVFLFTMPLPYLGVASSLPKGFMGGTIILVLGMILYSWTPHGANSSHTDSVIPSPPPT", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSTISLKPTHLILSSFSTGKVLQFRRSRFSHTPSSSSSRYRTLVAQLGFRPDSFDFIKDHAENLLYTIADAAVSSSETFESVAGTTTKTTQSNDWFSGIANYMETILKVLKDGLSTVHVPYSYGFAIILLTVLVKAATFPLTKKQVESAMAMKSLTPQIKAIQERYAGDQEKIQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTVAARQNGSGISWLFPFIEGHPPLGWPDTLAYLVLPLLLVFSQYLSIQIMQSSQSNDPAMKSSQAVTKLLPLMIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKYGGAKNPVEKFTNLVTKEDKTQQIEKSFSEPLVQKSVSELKIPREKGGEKVTPECPKPGERFRLLKEQEAKRRREKEERQKAEAALSNQNTDKAHEQDEKSDTAIVAEDDKKTELSAVDETSDGTVAVNGKPSIQKDETTNGTFGIGHDTEQQHSHETEKR", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAATAAYLPLRAQAQVGLAPLRPSGSAAAGARLPGRTARRRLAARGGPEAAGIRAEAVPGGGGVARRAAMVPPYNVLITGSTKGIGYALAKEFLKAGDNVVICSRSAERVESAVTDLKKEFGEQHVWGIVCDVREGKDVKALVDFARDKMKYIDIWINNAGSNAYSYKPLVETSDEALMEVITTNTLGLMICCREAINMMRNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMNEVNNVMVHNLSPGMVTTDLLMSGATTKQAKFFINILAEPANVVADYLVPNIRAIPTNQSMKPTYIRFLTGLKAYSRIFSRIAFGARRNKYVAED", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MASASFVKPNTLSSPWIGQRSFAHTSASSSPPPRVSFAIRAGAYSDELVKTAKSIASPGRGILAIDESNATCGKRLASIGLDNTEDNRQAYRQLLLTTPGLGDYISGSILFEETLYQSTKDGKTFVDCLRDANIVPGIKVDKGLSPLAGSNEESWCQGLDGLASRSAEYYKQGARFAKWRTVVSVPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHPIERTLEVAEKVWSEVFFYLAQNNVMFEGILLKPSMVTPGAEHKNKASPETVADFTLTMLKRRVPPAVPGIMFLSGGQSEAEATLNLNAMNQSPNPWHVSFSYARALQNSVLRTWQGKPEKIEASQKALLVRAKANSLAQLGKYSAEGENEDAKKGMFVKGYTY", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MATAAPPSLSLCYASSSFQYQQDPSFQTHFKPLLLNSSLCRLTLNQRERSCLKWVDFTSQKQSPKSVSFRVLAAVAAEAEVADMEEEEGESGGVATLPSPTKPKKGKAALPLKSDRTRSKRFLEIQKLREIKQEYDLKTALSLMKQMSSTKFVETAEAHFRLNIDPKYNDQQLRATVSLPKGTGKTVKIAVLAQGDKIDEAKAAGADIVGGEELIEQIKGGFMDFDKLIATSDMMAKVASLGRILGPRGLMPTPKAGTVTPNVAQAVEEFKKGKVEFRVDKTGIVHIPFGKLNFEEEDLLINLFATIKSVETNKPTGAKGVYWKSAHISSSMGPSIRLNIREMLDYKPPSNA", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSPTTTSLRSLSFSLYASSNSTPISTPIEARQLLSSCNRFYGLSSSSSSSSLTTSSLIGNLVFSSRNQSLSVKVQALAAETEQPKWWERKAGPNMIDITSAEQFLNALKDAGDRLVIVDFYGTWCGSCRAMFPKLCKTAKEHPNILFLKVNFDENKSLCKSLNVKVLPYFHFYRGADGQVESFSCSLAKFQKLREAIERHNVGSISNISSSASEKVEDSSE", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSVGLKVSAFLHPTLALSSRDVSLSSSSSSLYLDRKILRPGSGRRWCKSRRTEPILAVVESSRVPELDSSSEPVQVFDGSTRLYISYTCPFAQRAWIARNYKGLQNKIELVPIDLKNRPAWYKEKVYSANKVPALEHNNRVLGESLDLIKYIDTNFEGPSLTPDGLEKQVVADELLSYTDSFSKAVRSTLNGTDTNAADVAFDYIEQALSKFNEGPFFLGQFSLVDVAYAPFIERFRLILSDVMNVDITSGRPNLALWIQEMNKIEAYTETRQDPQELVERYKRRVQAEARL", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MISSLSASSSLVSSFVAVKATPVTGPLIPRRDLLSIRIRASSNQNSSGYCFPEKFKSFAKSAILIGAAVSMTGKFSTLPVKAESPVTTIEKTYEEVKEEKLSEITPLSELLDSTPEAVETLRSLLQQKLEKGEDEEALKLLERLVAAQPEETEWKFLMARLLGEMGRPENARQMFEEILQRNPLSFEALFENALLMDRSGEGNAVLQRLEDALAVAEAEYLVKEARDVRLIIAQIHFLQKNVDEALKSYEQLTKEDPKDFRPYFCRGMIYSLLDKNVEAKEQFAKYRELSPKKFEVEGYLRTPLSKMKLFGGSEEN", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASRIADSLFAFTGPQQCLPRVPKLAASSARVSPGVYAVKPIDLLLKGRTHRSRRCVAPVKRRIGCIKAVAAPVAPPSADSAEDREQLAESYGFRQIGEDLPENVTLKDIMDTLPKEVFEIDDLKALKSVLISVTSYTLGLFMIAKSPWYLLPLAWAWTGTAITGFFVIGHDCAHKSFSKNKLVEDIVGTLAFLPLVYPYEPWRFKHDRHHAKTNMLVHDTAWQPVPPEEFESSPVMRKAIIFGYGPIRPWLSIAHWVNWHFNLKKFRASEVNRVKISLACVFAFMAVGWPLIVYKVGILGWVKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKPADEWNAAQAQLNGTVHCDYPSWIEILCHDINVHIPHHISPRIPSYNLRAAHESIQENWGKYTNLATWNWRLMKTIMTVCHVYDKEENYIPFDRLAPEESQPITFLKKAMPNYTA", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASSMLSSAAVVTSPAQATMVAPFTGLKSSASFPVTRKANNDITSITSNGGRVSCMKVWPPIGKKKFETLSYLPDLTDVELAKEVDYLLRNKWIPCVEFELEHGFVYREHGNTPGYYDGRYWTMWKLPLFGCTDSAQVLKEVEECKKEYPGAFIRIIGFDNTRQVQCISFIAYKPPSFTDA", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASSMLSSATMVASPAQATMVAPFNGLKSSAAFPATRKANNDITSITSNGGRVNCMQVWPPIGKKKFETLSYLPDLTDSELAKEVDYLIRNKWIPCVEFELEHGFVYREHGNSPGYYDGRYWTMWKLPLFGCTDSAQVLKEVEECKKEYPNAFIRIIGFDNTRQVQCISFIAYKPPSFTG", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALVARSICVSYDEIAGICNNVSHRNFKKWVQWKNPFLFQDDARRNIRFNDRKLSCTKFIGASEKLQHSKSPKSGLISCGWEVNSSKVVSNAVIPKKWNLLKLKVVEVTAIVACTFFVMSSAQAVDALKTCTCLLKECRIELAKCIANPSCAANVACLQTCNNRPDETECQIKCGDLFANKVVDEFNECAVSRKKCVPQKSDVGEFPVPDPSVLVKSFNMADFNGKWFISSGLNPTFDAFDCQLHEFHLEDGKLVGNLSWRIKTPDGGFFTRTAVQKFAQDPSQPGMLYNHDNAYLHYQDDWYILSSKIENQPDDYVFVYYRGRNDAWDGYGGAFLYTRSATVPENIVPELNRAAQSVGKDFNKFIRTDNTCGPEPPLVERLEKTVEEGERTIIKEVEQLEGEIEGDLEKVGKTEMTLFQRLLEGFQELQKDEEYFLKELNKEERELLEDLKMEAGEVEKLFGRALPIRKLR", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSHPSTVTSEPSNLLDFVPKLGNFVLNSSLHGNNSNGYSSFSSNSVHFGGLATQNRYKFVNSLSFSKEGSNLKRILSDFNRVIRLHCDRIPLGFSSIGLNSGESNGVSDNGHGVLEDVRVPVNAVEPESPKRVLILMSDTGGGHRASAEAIKAAFNEEFGDDYQVFVTDLWSEHTPWPFNQLPRSYNFLVKHGPLWKMMYYGTSPRVIHQSNFAATSVFIAREVARGLMKYQPDIIISVHPLMQHVPLRILRGRGLLEKIVFTTVVTDLSTCHPTWFHKLVTRCYCPSNEVAKRATKAGLQPSQIKVYGLPVRPSFVRSVRPKNELRKELGMDEHLPAVLLMGGGEGMGPIEATARALGNALYDANLGEPTGQLLVICGRNKKLAGKLSSIDWKIPVQVKGFVTKIEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEAGNVPYVIENGIGKYLKSPKEIAKTVSQWFGPKANELQIMSQNALKHARPDAVFKIVHDLDELVRQKIFVRQYSCAA", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRTLISHRQCVTSPFLISAASPPFPGRCFKLSSFTPPRHRRFSSLSIRNISHESADQTSSSRPRTLYPGGYKRPELAVPGLLLRLDADEVMSGNREETLDLVDRALAKSVQIVVIDGGATAGKLYEAACLLKSLVKGRAYLLIAERVDIASAVGASGVALSDEGLPAIVARNTLMGSNPDSVLLPLVARIVKDVDSALIASSSEGADFLILGSGEEDTQVADSLLKSVKIPIYVTCRGNEEAKEELQLLKSGVSGFVISLKDLRSSRDVALRQSLDGAYVVNNHETQNMNELPEKKNSAGFIKLEDKQKLIVEMEKSVLRETIEIIHKAAPLMEEVSLLIDAVSRIDEPFLMVIVGEFNSGKSTVINALLGKRYLKEGVVPTTNEITFLCYSDLESEEQQRCQTHPDGQYVCYLPAPILKDINIVDTPGTNVILQRQQRLTEEFVPRADLLVFVLSADRPLTESEVAFLRYTQQWKKKFVFILNKSDIYRDARELEEAISFVKENTRKLLNTENVILYPVSARSALEAKLSTASLVGRDDLEIADPGSNWRVQSFNELEKFLYSFLDSSTATGMERIRLKLETPMAIAERLLSSVEALVRQDCLAAREDLASADKIISRTKEYALKMEYESISWRRQALSLIDNARLQVVDLIGTTLRLSSLDLAISYVFKGEKSASVAATSKVQGEILAPALTNAKELLGKYAEWLQSNTAREGSLSLKSFENKWPTYVNSKTQLGIDTYDLLQKTDKVSLKTIQNLSAGTTSKRLEQDIREVFFVTVGGLGAAGLSASLLTSVLPTTLEDLLALGLCSAGGYVAIANFPYRRQAIIGKVNKVADALAQQLEDAMQKDLSDATSNLVNFVNIVAKPYREEAQLRLDRLLGIQKELSDIRSKLQLLQVDIDNLHVSRDEMRL", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNCPAMTASPSSSSSSSYSTFRPPPPLLPQLSNDSQRSVVMHCTRLPFEAFAATSSNRLLGKHSLPLRAALVTSNPLNISSSSVISDAISSSSVITDDAKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPPVFQFLQKPLAQFISVARAPKSKEGYASIGGGSPLRHITDAQAEELRKCLWEKNVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLERIFREDEYLVNMQHTVIPSWYQREGYIKAMANLIQSELGKFGSPNQVVIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELDKRKITNAYTLAYQSRVGPVEWLKPYTEEAITELGKKGVENLLAVPISFVSEHIETLEEIDVEYKELALKSGIKNWGRVPALGTEPMFISDLADAVVESLPYVGAMAVSNLEARQSLVPLGSVEELLATYDSQRRELPAPVTMWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGKGFLHQWGILPSL", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MALVQRQHSYLLRYFRLMASRPRPRLFSHSLYPSLHRHSSALSSSTPRIKFQLANVFSQRLVQRNAVSPKSFMSSTMESLQESSTSKDYSSEHIQVLEGLDPVRKRPGMYIGSTGSRGLHHLVYEILDNAIDEAQAGFASKIDVVLHSDDSVSISDNGRGIPTDLHPATGKSSLETVLTVLHAGGKFGGKSSGYSVSGGLHGVGLSVVNALSEALEVIVRRDGMEFQQKYSRGKPVTTLTCHVLPPESRGTQGTCIRFWPDKEVFTTAIQFDHNTIAGRIRELAFLNPKVTISLKKEDDDPERDVYSEYFYAGGLTEYVSWLNTDKKPLHDVLGFRKEINGSTVDVSLQWCSDAYSDTMLGYANSIRTIDGGTHIEGVKASLTRTLNSLAKKLKVIKEKDISLSGEHVREGLTCIVSVKVPNPEFEGQTKTRLGNPEVRKIVDQSVQEYLTEYLELHPDVLESIISKSLNAYKAALAAKRARELVRSKSVLKSSSLPGKLADCSSTDPAESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERKDEAAMYKNEEIQNLILGLGLGVKGEDFNKENLRYHKIIILTDADVDGAHIRTLLLTFFFRYQRALFDAGCIYVGVPPLFKVERGKQAHYCYDDAALKKITASFPGNASYNIQRFKGLGEMMPAQLWETTMNPDTRILKQLVVDDAAETNMVFSSLMGARVDVRKELIKSAATRMNLENLDI", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSSCLLPQFKCPPDSFSIHFRTSFCAPKHNKGSVFFQPQCAVSTSPALLTSMLDVAKLRLPSFDTDSDSLISDRQWTYTRPDGPSTEAKYLEALASETLLTSDEAVVVAAAAEAVALARAAVKVAKDATLFKNSNNTNLLTSSTADKRSKWDQFTEKERAGILGHLAVSDNGIVSDKITASASNKESIGDLESEKQEEVELLEEQPSVSLAVRSTRQTERKARRAKGLEKTASGIPSVKTGSSPKKKRLVAQEVDHNDPLRYLRMTTSSSKLLTVREEHELSAGIQDLLKLERLQTELTERSGRQPTFAQWASAAGVDQKSLRQRIHHGTLCKDKMIKSNIRLVISIAKNYQGAGMNLQDLVQEGCRGLVRGAEKFDATKGFKFSTYAHWWIKQAVRKSLSDQSRMIRLPFHMVEATYRVKEARKQLYSETGKHPKNEEIAEATGLSMKRLMAVLLSPKPPRSLDQKIGMNQNLKPSEVIADPEAVTSEDILIKEFMRQDLDKVLDSLGTREKQVIRWRFGMEDGRMKTLQEIGEMMGVSRERVRQIESSAFRKLKNKKRNNHLQQYLVAQS", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAAQALVSSSLTSSVQTARQIFGSKPVASASQKKSSFVVKAAATPPVKQGANRPLWFASSQSLSYLDGSLPGDYGFDPLGLSDPEGTGGFIEPRWLAYGEIINGRFAMLGAAGAIAPEILGKAGLIPAETALPWFQTGVIPPAGTYTYWADNYTLFVLEMALMGFAEHRRLQDWYNPGSMGKQYFLGLEKGLAGSGNPAYPGGPFFNPLGFGKDEKSLKELKLKEVKNGRLAMLAILGYFIQGLVTGVGPYQNLLDHLADPVNNNVLTSLKFH", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MCSLSAIMLLPTKLKPAYSDKRSNSSSSSSLFFNNRRSKKKNQSIVPVARLFGPAIFESSKLKVLFLGVDEKKHPSTLPRTYTLTHSDITAKLTLAISQSINNSQLQGWANRLYRDEVVAEWKKVKGKMSLHVHCHISGGHFLLDLFAKFRYFIFCKELPVVLKAFVHGDGNLLNNYPELQEALVWVYFHSNVNEFNKVECWGPLWEAVSPDGHKTETLPEARCADECSCCFPTVSSIPWSHSLSNEGVNGYSGTQTEGIATPNPEKL", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MALALSVAPTSSSLSSLLSRTPNPSPNFRTTHLNFGSQRRIYTINPLLRSFKCLQSSSRDVNASPFSISAIASSSSSSQTTELVPYKLQRLVKEFKSLTEPIDRLKWVLHYASLLPQMPESSKTESNRVMGCTARVWLDAELGQDGKMRFCADSDSDVSKGMCSCLIQVLDEASPVEVMELKTEDLAELNVGLLGGERSRVNTWYNVLVSMQKKTRRLVAEREGKVPSFEPFPSLVLTAHGIEAKGSFAQAQAKYLFPEESRVEELVNVLKEKKIGVVAHFYMDPEVQGVLTAAQKHWPHISISDSLVMADSAVTMAKAGCQFITVLGVDFMSENVRAILDQAGFEKVGVYRMSDETIGCSLADAASAPAYLNYLEAASRSPPSLHVVYINTSLETKAFAHELVPTITCTSSNVVQTILQAFAQMPELTVWYGPDSYMGANIVKLFQQMTLMTNEEIANIHPKHSLDSIKSLLPRLHYFQEGTCIVHHLFGHEVVERIKYMYCDAFLTAHLEVPGEMFSLAMEAKKREMGVVGSTQNILDFIKQKVQEAVDRNVDDHLQFVLGTESGMVTSIVAVIRSLLGSSANSKLKVEVVFPVSSDSMTKTSSDSSNSIKVGDVALPVVPGVAGGEGCSIHGGCASCPYMKMNSLSSLLKVCHKLPDLENVYGGFIAERFKRQTPQGKLIADVGCEPILHMRHFQANKELPDKLVHQVLSCESKR", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MRTSVIPNRLTPTLTTHPSRRRNDHITTRTSSLKCHLSPSSGDNNDSFNSSLLKTISTTVAVSSAAASAFFLTGSLHSPFPNFSGLNAAAGGGAGGGGGGSSSSGGGGGGWFNGDEGSFWSRILSPARAIADEPKSEDWDSHELPADITVLLGRLSGFKKYKISDILFFDRNKKSKVETQDSFLDMVSLKPGGVYTKAQLQKELESLATCGMFEKVDMEGKTNADGSLGLTISFAESMWERADRFRCINVGLMGQSKPVEMDPDMSEKEKIEFFRRQEREYKRRISSARPCLLPTSVHEEIKDMLAEQGRVSARLLQKIRDRVQSWYHEEGYACAQVVNFGNLNTREVVCEVVEGDITKLSIQYLDKLGNVVEGNTEGPVVQRELPKQLLPGHTFNIEAGKQALRNINSLALFSNIEVNPRPDEMNEGSIIVEIKLKELEQKSAEVSTEWSIVPGRGGRPTLASLQPGGTITFEHRNLQGLNRSLTGSVTTSNFLNPQDDLAFKMEYAHPYLDGVDNPRNRTLRVSCFNSRKLSPVFTGGPGVDEVPSIWVDRAGVKANITENFSRQSKFTYGLVMEEIITRDESNHICSNGQRVLPNGAISADGPPTTLSGTGIDRMAFLQANITRDNTRFVNGTIVGSRNMFQVDQGLGVGSNFPFFNRHQLTVTKFLQLMSVEEGAGKSPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGEIGAARNILELAAEIRIPIKGTHVYAFAEHGTDLGSSKDVKGNPTVVYRRMGQGSSYGAGMKLGLVRAEYAVDHNSGTGAVFFRFGERF", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MMHHCSITKPTFSISISTQKLHHHSSKFLNLGFRIRCESGDVSSPLRTKAVSLSSEMEDSSSLKKSLMELEGKKSEPYPGGMPKMGPFTGRDPNVKKPAWLRQKAPQGERFQEVKESLSRLNLNTVCEEAQCPNIGECWNGGGDGVATATIMVLGDTCTRGCRFCAVKTSRNPPPPDPMEPENTAKAIASWGVDYIVITSVDRDDIPDGGSGHFAQTVKAMKRHKPDIMIECLTSDFRGDLEAVDTLVHSGLDVFAHNVETVKRLQRLVRDPRAGYEQSMSVLKHAKISKPGMITKTSIMLGLGETDEELKEAMADLRAIDVDILTLGQYLQPTPLHLTVKEYVTPEKFDFWKTYGESIGFRYVASGPLVRSSYRAGELFVKTMVKESYSKSLS", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTSSVLTPSLKLLAMTNSSSSTLFCIPSIFNISSSESHRFNFSLSSRPVNLTLSLKSKTLRNSSPVVTFVSQTSNWAEEEEGEDGSIGGTSVTVDESFESEDGVGFPEPPEEAKLFVGNLPYDVDSQALAMLFEQAGTVEISEVIYNRDTDQSRGFGFVTMSTVEEAEKAVEKFNSFEVNGRRLTVNRAAPRGSRPERQPRVYDAAFRIYVGNLPWDVDSGRLERLFSEHGKVVDARVVSDRETGRSRGFGFVQMSNENEVNVAIAALDGQNLEGRAIKVNVAEERTRR", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTTTPIANHPNIFTHQSSSSPLAFLNRTSFIPFSSISKRNSVNCNGWRTRCSVAKDYTVPSSAVDGGPAAELDCVIVGAGISGLCIAQVMSANYPNLMVTEARDRAGGNITTVERDGYLWEEGPNSFQPSDPMLTMAVDCGLKDDLVLGDPNAPRFVLWKGKLRPVPSKLTDLAFFDLMSIPGKLRAGFGAIGLRPSPPGHEESVEQFVRRNLGGEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWKLEETGGSIIGGTFKAIKERSSTPKAPRDPRLPKPKGQTVGSFRKGLRMLPDAISARLGSKLKLSWKLSSITKSEKGGYHLTYETPEGVVSLQSRSIVMTVPSYVASNILRPLSVAAADALSNFYYPPVGAVTITYPQEAIRDERLVDGELKGFGQLHPRTQGVETLGTIYSSSLFPNRAPKGRVLLLNYIGGAKNPEILSKTESQLVEVVDRDLRKMLIKPKAQDPLVVGVRVWPQAIPQFLVGHLDTLSTAKAAMNDNGLEGLFLGGNYVSGVALGRCVEGAYEVASEVTGFLSRYAYK", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MATSLNLSSIFSSSSRLVTTPSSVFPIRQRRRIILVTSSSSGGGGKPTILVTEKLGQAGIDLLKKYANVDCSYDLSLEELCTKISLCDALIVRSGTKVGRDVFESSRGRLKVVGRAGVGIDNVDLAAATEYGCLVVNAPTANTVAAAEHGIALLTAMARNIAQADASIKAGKWTRNKYVGVSLVGKTLAVLGFGKVGSEVARRARGLGMHVITHDPYAPADRARAIGVELVSFEVAISTADFISLHLPLTAATSKMMNDVTFAMMKKGVRIVNVARGGVIDEEALLRALDSGIVAQAALDVFTVEPPVKDNKLVLHESVTATPHLGASTMEAQEGVSIEVAEAVIGALRGELAATAVNAPMVPLEVLRELKPYVVLAEKLGRLAVQLVTGGSGVNAVKVTYASSRAPDDLDTRLLRAMVIKGIIEPISSVFINLVNSDYIAKQRGVKISEERMVLDGSPENPIEYITVRIANVESRFASALSESGEIKVEGRVKQGVPSLTKVGLFGVDVSLEGSVILCRQVDQPGMIGKVASILGDENVNVSFMSVGRIAPGKQAVMAIGVDEQPSKETLKKIGDIPAIEEFVFLKL", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNSLGGIRSWPANWRSTTASMTTTESVRKVPQVLTVAGSDSGAGAGIQADLKVCAARGVYCASVITAVTAQNTRGVQSVHLLPPEFISEQLKSVLSDFEFDVVKTGMLPSTEIVEVLLQNLSDFPVRALVVDPVMVSTSGHVLAGSSILSIFRERLLPIADIITPNVKEASALLDGFRIETVAEMRSAAKSLHEMGPRFVLVKGGDLPDSSDSVDVYFDGKEFHELRSPRIATRNTHGTGCTLASCIAAELAKGSSMLSAVKVAKRFVDNALDYSKDIVIGSGMQGPFDHFFGLKKDPQSSRCSIFNPDDLFLYAVTDSRMNKKWNRSIVDALKAAIEGGATIIQLREKEAETREFLEEAKACIDICRSHGVSLLINDRIDIALACDADGVHVGQSDMPVDLVRSLLGPDKIIGVSCKTPEQAHQAWKDGADYIGSGGVFPTNTKANNRTIGLDGLKEVCEASKLPVVAIGGIGISNAGSVMQIDAPNLKGVAVVSALFDQDCVLTQAKKLHKTLKESKRGI", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAAITALTLRSPVYLPSSATSPRFHGFTNQPPPARLFFPLNPFPSLSIQNPKSIRISASASPITTPILQTEKSTARSSTLTGSTRSLATLAALAIAVTRVLAQKLSLAIQTSSPVIADGLRFSLSTAGPVFFASLRDRPPGYLNTPLTVVAVGIKKWLDIYSGVLMVRVLLSWFPNIPWERQPLSAIRDLCDPYLNLFRNIIPPIFDTLDVSPLLAFAVLGTLGSIVHGSTG", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPLSLRLAPSPTSFRYSPITSTGAGGFSPVKQHCRIPNSGVATKIGFCSGGGGVLDSGRRIGSCVVRCSLETVNVTVGQVTEVDKDTFWPIVKAAGDKIVVLDMYTQWCGPCKVIAPKYKELSEKYQDMVFLKLDCNQDNKPLAKELGIRVVPTFKILKDNKVVKEVTGAKYEDLLAAIEAARSG", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLTSPSNALHSSTPQFWPLRRSKLCRSRNFPRFHSGERSSGGGGKLCSLSLLSGSGAGKFSVRALVRPDDTDDADSVGDGSLAFPNHVSVKIPFGNREILVETGLMGRQASSAVTVTDGETIVYTSVCLADVPSEPSDFLPLYVHYQERFSAVGRTSGGFFKREGRTKDHEVLICRLIDRPLRPTMPKGFYNETQILSWVLSYDGLHAPDALAVTSAGIAVALSEVPNAKAIAGVRVGLIGGEFIVNPTVKEMEESQLDLFLAGTDTAILTIEGYSNFLPEEMLLQAVKVGQDAVQATCIAIEVLAKKYGKPKMLDAIRLPPPELYKHVKELAGEELTKALQIKSKISRRKAISSLEEKVLTILTEKGYVIDEVAFGTIEAQPDLLEDEDEDEEVVPEGEVDQGDVHIRPIPRKPIPLLFSEVDVKLVFKEVSSKLLRRRIVEGGKRSDGRTLDEIRPINSRCGLLPRAHGSTLFTRGETQALAVVTLGDKQMAQRIDNLEGSDEYKRFYLQYTFPPSSVGEVGRIGAPSRREIGHGTLAERALETILPSDDDFPYTIRVESTVIESNGSSSMASVCGGCLALQDAGVPVKCSVAGIAMGMVWDTEEFGGDGSPLILSDITGAEDASGDMDFKVAGNEDGVTAFQMDIKVGGITLEIMEKALIQAKAGRRHILAEMAKCSPPPTLSLSKYAPLILIMKVHPSKVYSLIGSGGKKVKSIIEESGVEAIDMQDDGTVKIMAIDVASLERAKAIISGLTMVPSVGDIYRNCEIKSMAPYGAFVEIAPGREGLCHISELSAEWLAKPEDAYKVGDRIDVKLIEVNEKGQLRLSVRALLPESETDKDSQKQQPAGDSTKDKSSQRKYVNTSSKDRAAAGASKVSSGDELVLKKKDVRRATGGSSDKTMNSNSSTNEESLVNGEATIS", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDVTEVPWRRLPQFSVSSRASWLVSSGFPLSSYMFSHVERGKTFRLTLCFGVSRLRPRSAIPLRFLLSVFSEQPPSRLKGLCEVVWIVEADLAANEHLYVTGDPSTLGSWEPDCAISMYPTENDNEWEAKVKIASGVNFRYNYLLKAGYGSSSDVIWRPGPQFSLSVPSSVNQDRKIIIRDSWMSMSISSKSQESYGWGSWIDDAYLFPNCVTPAQSEDECTSADSAIEVPRTHLNDKQVGAESFLCDELAAFSSENSNLSALFSDNYQPIEEPWLIQESITLQHERNMQTDSEQDVESCDDNENNLNTDEQNHQLTETLLPDGGFFQSESIATTILINSSICTVQRIAVLEGGKLVELLLEPVKTNVQCDSVYLGVITKFVPHMGGAFVNIGSARHSFMDIKSNREPFIFPPFCDGSKKQAADGSPILSMNDIPAPHEIEHASYDFEASSLLDIDSNDPGESFHDDDDEHENDEYHVSDHLAGLVNGTVVNHGAVEVGSENGHIPMERGHSADSLDSNASVAKASKVMSSKDNKWIQVRKGTKIIVQVVKEGLGTKGPTLTAYPKLRSRFWVLLTRCKRIGVSKKISGVERTRLKVIAKTLQPQGFGLTVRTVAAGHSLEELQKDLDGLLLTWKNITDEAKSAALAADEGVEGAIPALLHRAMGQTLSVVQDYFNDKVEKMVVDSPRTYHEVTHYLQDMAPDLCNRVELHDKGIPLFDLYEIEEEIEGILSKRVPLSNGGSLVIEQTEALVSIDVNGGHGMFGQGNSQEKAILEVNLAAARQIAREIRLRDIGGIIVVDFIDMADESNKRLVYEEVKKAVERDRSLVKVSELSRHGLMEITRKRVRPSVTFMISEPCSCCHATGRVEALETTFSKIEQEICRQLAKMEKRGDLENPKSWPRFILRVDSHMSSFLTTGKRTRLAILSSSLKVWILLKVARHFTRGTFEVKPFMDEKTVNERQHQVAISLLKKADAIADSSGKKKLTLIPIKKEKTSGKQRR", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAFLQQISGLGALERSCPSIMIGSSFRSGNGRVFDGRGIAYLGSREKFGFNRRRRVVLRVVAMSSSSTPFKMNLNEYMVTLEKPLGIRFALSADGKIFVHAIKKGSNAEKARIIMVGDTLKKASDSSGGTLVEIKDFGDTKKMLVEKTGSFSLVLERPFSPFPIQYLLHLSDLDLLYNRGRVSFVTWNKNLLSSNLRASSQGSGNSGYAAFSSKFFTPQGWKLLNRQSNSFQSGTKKNILSPPISPLVSVFSEDVPGDGEWGYGNFPLEEYIKALDRSKGELSYNHALGMRYSKITEQIYVGSCIQTEEDVENLSEAGITAILNFQGGTEAQNWGIDSQSINDACQKSEVLMINYPIKDADSFDLRKKLPLCVGLLLRLLKKNHRVFVTCTTGFDRSSACVIAYLHWMTDTSLHAAYSFVTGLHACKPDRPAIAWATWDLIAMVDDGKHDGTPTHSVTFVWNGHEGEEVLLVGDFTGNWKEPIKATHKGGPRFETEVRLTQGKYYYKYIINGDWRHSATSPTERDDRGNTNNIIVVGDVANVRPTIQQPRKDANIIKVIERVLTESERFRLAKAARCIAFSVCPIRLCPKS", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPLSLRLSPSPTALSPTTGGFGPSRKQCRIPYSGVPTTKIGFCSLDSRKRGDSSVVRCSLETVNVSVGQVTEVDKDTFWPIVKAAGEKLVVLDMYTQWCGPCKVIAPKYKALSEKYDDVVFLKLDCNPDNRPLAKELGIRVVPTFKILKDNKVVKEVTGAKYDDLVAAIETARSAASG", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLALFLSSSSYPTLSFLSRSVTLNLARTTTLSALTMSMNLKTHAFAGNPLKSKTPKSTDPFSPTSAFESLKTLIPVIPNHSTPSPDFKVLPFSKGRPLVFSSGGDANTTPIWHLGWVSLADCKVLLASCGVDLNEDSLVYLGPKLEEDLVYWAVDLAEDGFVSELGGRKLCFVELRTLMVAADWADQRAMDELAIAGNARALLEWHNVSQFCGSCGSKTFPKEAGRRKQCSDETCRKRVYPRVDPVVIMLVIDRENDRALLSRQSRYVPRMWSCLAGFIEPGESLEEAVRRETWEETGIEVGDVVYHSSQPWPVGPSSMPCQLMLGFFAFAKTLDINVDKEELEDAQWHSREEVKKALAVAEYRKAQRTAAAKVEQICKGVERSQSLSTDFNLESGELAPMFIPGPFAIAHHLISAWVNQAPDDVHSKQQAGVSLSSL", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPLLHPQSLRHPSFEIQTQRRSNSTTRLLLSHKFLHSQASIISISRTRILKRVSQNLSVAKAASAQASSSVGESVAQTSEKDVLKALSQIIDPDFGTDIVSCGFVKDLGINEALGEVSFRLELTTPACPVKDMFENKANEVVAALPWVKKVNVTMSAQPAKPIFAGQLPFGLSRISNIIAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVYGPSLPTMVNPESRILEMNPEKKTIIPTEYMGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLVIDMPPGTGDIQLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRYYPFGKGSGSEVVKQFGIPHLFDLPIRPTLSASGDSGTPEVVSDPLSDVARTFQDLGVCVVQQCAKIRQQVSTAVTYDKYLKAIRVKVPNSDEEFLLHPATVRRNDRSAQSVDEWTGEQKVLYGDVAEDIEPEDIRPMGNYAVSITWPDGFSQIAPYDQLEEIERLVDVPPLSPVEV", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTTTFEFLQPRIHGFATCCSSNSLLYSKASRFFNDRCRVYRQNPNRFVSNSITLPLQKKQVTVLRNHERFNLWDGFSRKKSRLVVNCQEDDQNESSSEEEESSQSTPAKSERKREKKEDKVWWSKGKKWQWQPIIQAQGIGVLLLQLSVVMFVMRLLRPGIPLPGSEPRIQTTFVSVPYSEFLSKVNSNQVQKVEVDGVQVLFKLRDDGKWQESETSRLSQSSESLLRTVAPTKRVVYSTTRPGDIKTPYEKMLGNNVEFGSPEKRSGGFFNSALIALFYIAVLAGLIRFPVSFSTSSTGQLRTRKAGGPDGGKVSGGGETITFADVAGVDEAKEELEEIVEFLRNPEKYVRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRMGSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRADVLDPALRRPGRFDRVVTVETPDKIGRESILRVHVSKKELPLGDDVNLGSIASMTTGFTGADLANLVNEAALLAGRKNKTNVEKIDFIQAVERSIAGIEKKSARLKGNEKAVVARHEAGHAVVGTAVANLLTGQPRVEKLSILPRTGGALGFTYIPPTSEDRYLLFIDELLGRLVTLLGGRAAEEVVYSGRISTGAFDDIRRATDMAYKAVAEYGLNQKIGPVSVATLSGGGIDDSGGSPWGRDQGKLVDLVQKEVTILLQSALDVALSVVRANPDVLEGLGAQLEEKEKVEGEELQKWLSMVVAPEELAVFVEGKQELLLPAQASSS", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASLCLSSSRIVSLHHQKPFLSLKLRSRPSDLSGLGRHTSPVCFNPLRLSGDRQRTATVSTRVEKRRKRGSSVVCYAAPISANSLQWISTISCLALMLARGTGIHKSVVVPLFALHAPSSIVAWIKGEYGVWAAFLALIARLFFTFPGELELPFIALLLVIVAPYQVMNIRGKQEGAIIAIAISGFLAFQHFSRAGSLEKAYEKGSVLATVAIIGVTVVSLLLLL", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTSFLSFSAISAHPPTFSGASFRPRSFSPRLFKSCVKCTYAEAGLSSASWSAPIDIVADVKSERVVVLGGNGFVGSAICKAAISNGIEVVSVSRSGRPNFEDSWLDQVTWVTGDVFYLNWDEVLLGATAVVSTIGGFGNEEQMKRINGEANVTAVNAAKDFGVPKFVLITVHDYNLPPFILSNGYFTGKRNAEAELLSKYPTSGVVLRPGFIYGKRKVNGIEVPLDLVGEPLDKIYDSAERFIRPLRSLPASDLILAPPVNVDDLALAVINAVKDDDFFGIFTIEQIKEAAAKMRA", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MERAAILPSVNQNYLLCPSRAFSTRLHSSTRNLSPPSFASIKLQHSSSSVSSNGGISLTRCNAVSSNSSSTLVTELADIDWDTVGFGLKPADYMYVMKCNIDGEFSKGELQRFGNIEISPSAGVLNYGQGLFEGLKAYRKKDGNNILLFRPEENAKRMRNGAERMCMPAPTVEQFVEAVTETVLANKRWVPPPGKGSLYVRPLLMGTGAVLGLAPAPEYTFIIYVSPVGNYFKEGVAPINLIVENEFHRATPGGTGGVKTIGNYAAVLKAQSIAKAKGYSDVLYLDCIYKRYLEEVSSCNIFIVKDNVISTPEIKGTILPGITRKSMIDVARTQGFQVEERNVTVDELLEADEVFCTGTAVVVSPVGSVTYKGKRVSYGEGTFGTVSKQLYTVLTSLQMGLIEDNMKWTVNLS", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MEALKTATFSPMSVLSEKRSEPRKPFSLPNLFPPKSQRPISQESFLKRFNGGLALLTSVLSSATAPAKSLTYEEALQQSMTTSSSFDSDGLIEGISNFVTDNPLVIAGGVAALAVPFVLSQVLNKKPKSWGVESAKNAYTKLGTDDNAQLLDIRATADFRQVGSPNIKGLGKKAVSTVYNGEDKPGFLKKLSLKFKDPENTTLYILDKFDGNSELVAELVALNGFKSAYAIKDGAEGPRGWLNSSLPWIEPKKTLSLDLSSLTDSISGVFGESSDGVSVALGVAAAAGLSVFAFTEIETILQLLGSAALVQLAGKKLLFAEDRKQTLKQVDEFLNTKVAPKELVDELKEIGKALLPQSTSNKALPAPATVTAEAESATATTTTVDKPVPEPETVAATTTTVDKPVPEPEPVPEPVPVPAIEAAVAAQVITEPTETEAKPKPHSRPLSPYASYPDLKPPSSPMPSQP", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVRIIPMAASSIRPSLACFSDSPRFPISLLSRNLSRTLHVPQSQLFGLTSHKLLRRSVNCLGVAESGKAAQATTQDDLLTWVKNDKRRMLHVVYRVGDMDRTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGAGFGHFGIAVDDVAKTVELVKAKGGKVSREPGPVKGGKTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRAIKFYEKAFGMELLRTRDNPEYKYTIAMMGYGPEDKFPVLELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEAIKLFGGKITREPGPLPGISTKITACLDPDGWKSVFVDNIDFLKELE", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGIAEVALHSMPGAFAAHSPASNLPLAADAARGRRRRSANSLHSSRALQGPVRFPGLRAAVECQCQRIDDLARVTEGNGAWVKDAVDKASHALGDVRVPGQAVGGNGSVNGSAAKPPPQRRKASSVEDEAWELLRESVVYYCGSPVGTIAANDPNDANPMNYDQVFIRDFIPSGIAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLTVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHIREYYWVDMQKLNEIYRYKTEEYSYDAVNKFNIYPDQVSPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVASIKMNRPEIAAKAVEVAERRIAIDKWPEYYDTKRARFIGKQSRLYQTWSIAGYLVAKQLLDKPDAARILSNDEDSEILNALSTNRKRGKKVLKKTFIV", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASNSLLRSSSNFFLGSHIIISSPTPKTTRKPSFPFSFVSRAKYQITRSSQDENSPNGKPNSPFSSQVALAAILLSSISSSPLALAVVDEPASPSVVIESQAVKPSTPSPLFIQNEILKAPSPKSSDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGSVVQLTAVDNRRASVIVPNDPDLIDILAMNGVDISVSEGESSGNDLFTVIGNLIFPLLAFGGLFLLFRRAQGGPGGGPGGLGGPMDFGRSKSKFQEVPETGVSFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGMGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALGKDVDFDKVARRTPGFTGADLQNLMNEAAILAARRELKEISKDEISDALERIIAGPEKKNAVVSEEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGDENVTTGASNDFMQVSRVARQMIERFGFSKKIGQVAVGGPGGNPFMGQQMSSQKDYSMATADIVDAEVRELVEKAYKRATEIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGQAELYIS", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MELFALLIKVAGLLATVTVGANVVSYSRFRRQNLAKFRSPIDESKEVLADFNSIEHEEGKFFFGLATAPAHAEDDLDDAWLQFAKETPCSAEEAEAADKKARRKKVKLAVGAITKGLAKNTHGKEDKNAADKPPSKNVAAWHNAPHAEDRLKFWSDPDKEVKLAKDTGVTVFRMGVDWSRIMPVEPTKGIKEAVNYEAVEHYKWILKKVRSNGMKVMLTLFHHSLPPWAADYGGWKMEKTVDYFMDFTRIVVDSMYDLVDSWVTFNEPHIFTMLTYMCGSWPGNNPDFLEIATSTLPMGVFHRALHWMAVAHSKAYDYIHGKISLKKPLVGVAHHVSFMRPYGLFDIGAVTISNSLTIFPYIDSICEKLDFIGINYYGQEAVCGAGLKLVETDEYSESGRGVYPDGLYRVLLMFHERYKHLKVPFIVTENGVSDETDVIRRPYLIEHLLALYAAMLKGVPVLGYIFWTISDNWEWADGYGPKFGLVAVDRSHDLARTLRQSYHLFSKIVKSGKVTRKDRSLAWNELQKAAKAGKLRPFYRGVDNHNLMYADGLDKPQWRPFVDRDWRFGHYQMDGLQDPLSRVARTLLIWPLIMKKRIRKVKIKHTDDAGLVLHPALASPFD", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAATNTILAFSSPSRLLIPPSSNPSTLRSSFRGVSLNNNNLHRLQSVSFAVKAPSKALTVVSAAKKAVAVLKGTSDVEGVVTLTQDDSGPTTVNVRITGLTPGPHGFHLHEFGDTTNGCISTGPHFNPNNMTHGAPEDECRHAGDLGNINANADGVAETTIVDNQIPLTGPNSVVGRAFVVHELKDDLGKGGHELSLTTGNAGGRLACGVIGLTPL", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSVIRPSPIPIPRCRSQVLHRRLYSIQLIQRRRRRWNPRSEVEDTAIESTARSPEAAGGKMVVELVGAFNEVTERMNSVWLSTSSSRLLFKALKLSIPILQSLPLASDGRSPLSKALSLSIILADLQMDAEVISASILSEVVDANAISIYEVRDHIGTGTAHLLHEIFRVKNIPFKVDVLDDETAASLRKFYLTYYDIRAVIMDLVSKLDEMRHLDHLPRYRQQILSLEVLKIYSPLAHAVGANHLSLELEDISFRYLFPCSYIYLDSWLRGHENGSKPLIDVYKEQLHRSLKDDLVLAEMVNDVYIKGRYKSRYSMMKKLLRDGRKPEEVNDVLGLRVILMPNSVVNDVEVGEKACYRTSEIIRSLWKEIPHRTKDYIARPKENGYRSLHMAVDVSDSDQIRPLMEIQIRTMDMDGSANAGTASHSLYKGGLTDPKEAKRLKAIMLAAADLAAIRLKDISSNKHQSFKTTTNQRDRVFCLLDKNGDGMISIEELMEVMEELGAPGEDAEEMMQLLDSNSDGSLSSDEFDTFQKQVEFMRKWEDRDNEYKSLLDEKLHDLPHQDTTGLIQLYNKELEDRLSTH", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSSSPLSSSLFHPLSTLSTHCHGRRQNLCFNRKQQPFVVRAAKLPEGVIVPKAQPKSQPAFLGFTQTAEIWNSRACMIGLIGTFIVELILNKGILELIGVEIGKGLDLPL", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLSSSPTSFTHPFLSSSPPLSPISPPSRTARISPPLVSASCSYTYTEDSPRLHQIPRRLTTVPASLYDVLEVPLGATSQDIKSAYRRLARICHPDVAGTDRTSSSSADEFMKIHAAYCTLSDPEKRSVYDRRMLRRSRPLTVGTSGLGSYVGRNWETDQCW", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAMAFPLSYTPTITVKPVTYSRRSNFVVFSSSSNGRDPLEENSVPNGVKSLEKLQEEKRRAELSARIASGAFTVRKSSFPSTVKNGLSKIGIPSNVLDFMFDWTGSDQDYPKVPEAKGSIQAVRNEAFFIPLYELFLTYGGIFRLTFGPKSFLIVSDPSIAKHILKDNAKAYSKGILAEILDFVMGKGLIPADGEIWRRRRRAIVPALHQKYVAAMISLFGEASDRLCQKLDAAALKGEEVEMESLFSRLTLDIIGKAVFNYDFDSLTNDTGVIEAVYTVLREAEDRSVSPIPVWDIPIWKDISPRQRKVATSLKLINDTLDDLIATCKRMVEEEELQFHEEYMNERDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLTTEPSVVAKLQEEVDSVIGDRFPTIQDMKKLKYTTRVMNESLRLYPQPPVLIRRSIDNDILGEYPIKRGEDIFISVWNLHRSPLHWDDAEKFNPERWPLDGPNPNETNQNFSYLPFGGGPRKCIGDMFASFENVVAIAMLIRRFNFQIAPGAPPVKMTTGATIHTTEGLKLTVTKRTKPLDIPSVPILPMDTSRDEVSSALS", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAASASSLALSSFNPKSLPFGVSRPASVSLLSPSLSFKLNSDSVSFSIAAKWNSPASRFARNVAITSEFEVEEDGFADVAPPKEQSFSADLKLFVGNLPFNVDSAQLAQLFESAGNVEMVEVIYDKITGRSRGFGFVTMSSVSEVEAAAQQFNGYELDGRPLRVNAGPPPPKREDGFSRGPRSSFGSSGSGYGGGGGSGAGSGNRVYVGNLSWGVDDMALESLFSEQGKVVEARVIYDRDSGRSKGFGFVTYDSSQEVQNAIKSLDGADLDGRQIRVSEAEARPPRRQY", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSATAAASSSIAVATNSLRNVTLSSRSPLPSAISVAFPSRGRNTLQRRLVLVSCSTGDGSKPTILVAEKLGDAGIKLLEDVANVDCSYNMTPEELNIKISLCDALIVRSGTKVGREVFESSHGRLKVVGRAGVGIDNVDLSAATEFGCLVVNAPTANTIAAAEHGIALMAAMARNVAQADASVKAGEWKRNKYVGVSLVGKTLAVLGFGKVGTEVARRAKGLGMRVIAHDPYAPADRAHAIGVDLVSFDEALATADFISLHMPLTPTTSKILNDETFAKMKKGVRIVNVARGGVIDEDALVRALDAGIVAQAALDVFTKEPPAKDSKLVQHERVTVTPHLGASTMEAQEGVAIEIAEAVVGALNGELAATAVNAPMVSAEVLTELKPYVVLAEKLGRLAVQLVAGGSGVKNAKITYASARATDDLDTRLLRAMITKGIIEPISDVYVNLVNADFTAKQRGLRLSEERVLLDGSPESPLETITVQLSNVESKFASSLSESGEVKVEGKVKDGVPHLTKVGSFEVDVTLEGSIILCRQVDQPGMIGTVGSILGESNVNVNFMSVGRIAPRKQAIMAIGVDDIPSKETLKKIGEIPAVEEFVFLKL", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MMSASFKCPVSLSGVENICNGDKAIPGINSRTLFTGSSFLQRHHSANKIFFRTCGKKGSCCLFNIRAMAETDSGNGVPQLDISLSPRVAALKPSKTMAITDLATALKQAGVPVIGLAAGEPDFNTPDAVAEAGIKAIQDGYTRYTPNAGTMEIRTAICHKLKEENGLSYTPDQILVSNGAKQCIMAAAVLAVCSPGDEVIIPAPFWVSYTEMARLADATPVIIPTLLSDDFLLNPEVFSSKLNENSRLLILCSPSNPTGSVYPRELLEEIAKIVAKHPKLLVLSDEIYEHIMYPPAKHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYLAGPKHFVTACGRIQSQSTSGASSISQKAGVAALALGYAGSEAVSTMVKAYRERRDFLVQRLQAMEGVKLPVPQGAFYLFPDFSSYYGTEVEDFGVINGSEALCRFFLEKAQVALVPGDAFGNDDCIRISYAASLDTLRTAINNIEKSLLLLRPAAAASKAS", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASLSFTQFLSFPRCNADVPCLLQSHGFVKFRGERWNGKQSFSMAAGRRKLSESAPLEEEGNDGNGAVVGKKPSKSVKRTTKKKVVVKDEPLEEISEFLVDNDDVLDKESIVSALKPKKTRTRKKAAAASSDVEEVKTEKKVRRKRTVKKDKDVEDDLATIMDAEVSDVEEALAVESTDTESEEEEIDLSKHEGEDISHTYGWPPLVCCFGSAQHAFVPSGRPANRLLDYELHERMRDAKWAPEKYIRAPGGCAGGVAIALASLGGKVAFMGKLGADDYGQAMLYYLNVCKVQTRSVKIDGKRVTACSTMKISKRGRLKSTCIKPCAEDSLSKSEINVDVLKEAKMFYFSTHSLLDKKMMSTTIQAIKISKQLGNVIFYDLNLPLPLWHSSEETKSFIQEVWNLADVIEITKQELEFLCGIEPTEEFDTENNDISKFVHYPPETVEQLWHENLKVLFVTNGTSKIHYYTKEHNGAVSGMEDVPITPFTRDMSASGDGIVAGLIRMLTVQPDLMNNKGYLERTARYAIECGIIDQWLLAQTRGYPPKDDMEEEEDDEEEDEVESDPNGIRSITEKEYRTSKPYDEPDGPYVMKPVEEREYKKLELVGSMFEDGSL", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLLSAIASQTLLSSNPNLHFSNSIPNPRPSNPSLKLLNASSSSSSSSSSSIFTRGLRYVNHTVSNEESEPGGGETMVASASAIASAIRGASTTPVEFTQMIEKDHLKTKIILPSPDFQRLCLEQLDLFRQIVDPNAVLSIYVRPAGSYVMDRLELRRVTCYPSVNAGDVVILVGNFGIPAGLRAAEASLSSQQVELVSKHRAAVFPMVKHPFVVGFLVAELPVEAEEEEEEEEEEKPHGVNQFLSPEEAYALPASANTKSPRVKLPSVKVFTEEQRSYAINISRTLAMAYVMDQKTMLLQQSSWQNNVRMSKLVEQIRGPLSTMRTLSKMLSTHTKRNQISHDIVEDLIVQGDQIKDTLEELQDAVHLTKANIVRHNEEALKKINKTHNETRRSKYEHKDPIDGSQISSTRLSLGSGLDDSEMPMPPLALAPLQMHSIRPCDISNVLLDMVETVRPLALTQQRVVELGENSASLQVAVEEPALRQALSNLIEGALLRTHVGGKVEILSTRAPAGGSLVVIDDDGPDMRYMTQMHSLTPFGAELLSENMVEDNMTWNFVAGLTVAREILESYGCVIRVISPRSSDAALGAGGTRVELWLPAFPAAVSEANEA", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRSQIYRSATKAARSFLSSSKNASSRFLPEGRTVAATAAVSLRVKAPYLASFGGANASGTWMSTALAIPAAAYLLQDQEACAAEFERTFIAIKPDGVQRGLISEIVARFERKGFKLVAIKVVIPSKDFAQKHYHDLSERPFFNGLCDFLSSGPVVAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIKLWFKPEELVNYTHNAEKWIYGDN", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MIVGYRSTIITLSHPKLGNGKTISSNAIFQRSCRVRCSHSTPSSMNGFEDARDRIRESFGKVELSPSSYDTAWVAMVPSKHSLNEPCFPQCLDWIIENQREDGSWGLNPSHPLLLKDSLSSTLACLLALTKWRVGDEQIKRGLGFIETQSWAIDNKDQISPLGFEIIFPSMIKSAEKLNLNLAINKRDSTIKRALQNEFTRNIEYMSEGVGELCDWKEIIKLHQRQNGSLFDSPATTAAALIYHQHDKKCYEYLNSILQQHKNWVPTMYPTKIHSLLCLVDTLQNLGVHRHFKSEIKKALDEIYRLWQQKNEQIFSNVTHCAMAFRLLRMSYYDVSSDELAEFVDEEHFFAISGKYTSHVEILELHKASQLAIDHEKDDILDKINNWTRTFMEQKLLNNGFIDRMSKKEVELALRKFYTISDLAENRRCIKSYEENNFKILKAAYRSPNIYNKDLFIFSIRNFELCQAQHQEELQQFKRWFEDYRLDQLGIAERYIHDTYLCAVIVVPEPELSDARLLYAKYVLLLTIVDDQFDSFASTDECLNIIELVERWDDYASVGYKSEKVKVFFSTLYKSIEELVTIAEIKQGRSVKNHLLNLWLELVKLMLMERVEWFSGKTIPSIEEYLYVTSITFGARLIPLTTQYFLGIKISEDILESDEIYGLCNCTGRVLRILNDLQDSKKEQKEDSVTIVTLLMKSMSEEEAIMKIKEILEMNRRELLKMVLVQKKGSQLPQICKDIFWRTSNWADFIYLQTDGYRIAEEMKNHIDEVFYKPLNH", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALPWCLKTGVLTSPAAGFNHPSDSGFAVPTKLLSIRKGDRERLRIQAVFSFPPRNGGAEKRKQLKHELVEAIEPLERGATASPDDQLLIDQLARKVEAVNPTKEPLKSDLINGKWELIYTTSAAILQAKKPRFLRSLTNYQCINMDTLKVQRMETWPFYNSVTGDLTPLNSKTVAVKLQVFKILGFIPVKAPDGTARGELEITYVDEELRISRGKGNLLFILKMFDPTYRIPL", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAMASASGSALCFTDASSSLALRRDCGALCLPPRTVTFGFVDKPLVNLERLRLSTLKIRASNATAVENGKQEGSAADSDKVPTPVVIIDQDSDPDATVLEVTFGDRLGALLDTMNALKNLGLNVVKANVYLDSSGKHNKFAITRADSGRKVEDPELLEAIRLTVINNLLEFHPESSSQLAMGAAFGVLPPTEPIDVDIATHITIEDDGPDRSLLFIESADRPGLLVELVKIISDISVAVESGEFDTEGLLAKVKFHVSYRNKALIKPLQQVLANSLRYFLRRPSTDESSF", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MATLCTSAINMNPNLTNSLSNSINLSSTPTNLSSLRSTFTNSCSLGLNVAVKSVQISRNKPNVVCMSWDGPLSSVKLILQGRNLEVSDNVRSHVEDKVGKSVAKHSHLVREVDVRLSARGGDLSKGPKLRRCEVTLFTKRHGVIRAEEDAESLYSSIDLVSSIIQRKLRKIKDKVSDHGRHMKGFNRSKVRDPEPVRITREEVLEEVESAPAPVSVEDDDFIEEVVRTKYFDMPPLTITEAVEQLENVDHDFYAFRNEETGDINILYKRKEGGYGLIIPKDGKTEKLESLPVQTDKQPSFAE", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASISSLNQIPCKTLQITSQYSKPTSKISTLPISSTNFPSKTELHRSISVKEFTNPKPKFTAQATNYDKEDEWGPEVEQIRPGGVAVVEEEPPKEPSEIELLKKQLADSLYGTNRGLSASSETRAEIVELITQLESKNPNPAPTEALTLLNGKWILAYTSFSGLFPLLSRGNLPLVRVEEISQTIDSESFTVQNSVVFAGPLATTSISTNAKFEVRSPKRVQIKFEEGIIGTPQLTDSIVLPENVEFLGQKIDLSPFKGLITSVQDTASSVAKSISSQPPIKFPITNNNAQSWLLTTYLDDELRISRGDAGSVFVLIKEGSPLLKP", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MIMASSAAASISMITLRNLSRNHQSHQSTFLGFSRSFHNQRISSNSPGLSTRARSTTSSTGGFFRTICSSSSNDYSRPTKIQELNVYEFNEGDRNSPAVLKLGKKPDQLCLGDLVPFTNKLYTGDLTKRIGITAGLCVLIQHVPEKKGDRFEASYSFYFGDYGHISVQGPYLTYEDTFLAITGGSGVFEGAYGQVKLRQLVYPTKLFYTFYLKGVAADLPVELTGKHVEPSKEVKPAAEAQATQPGATIANFTN", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVLSDFTGVGVGFGFGVGCGFGVGWGFGGMPMNILGVGAGGGCGVGLGLGWGFGTAFGSHYRSSRLTFQGIELETADKREEVVANMSKNST", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MATSRLNASCRFPASRRLDCESYVSLRAKTVTIRYVRTIAAPRRHLVRRANEDQTLVVNVVAAAGEKPERRYPREPNGFVEEMRFVVMKIHPRDQVKEGKSDSNDLVSTWNFTIEGYLKFLVDSKLVFETLERIINESAIQAYAGLKNTGLERAENLSRDLEWFKEQGYEIPESMVPGKAYSQYLKNIAEKDPPAFICHFYNINFAHSAGGRMIGTKVAEKILDNKELEFYKWDGQLSELLQNVSEELNKVAELWTREEKNHCLEETEKSFKFYWEIFRYLLS", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTETGVIGCGCRGVTGGNFFHPGGFSLKSCFLEQSTKRNRNFFRSVSMIPPFKRGRFITKLRSVAGNSRIFSMDAREKSRSFVLVSSRHKRVPVFVMMPIDTFGIDASGCPKIKRLKALTVSLKALKLAGVHGIAVEVWWGIVERFSPLEFKWSLYEELFRLISEAGLKLHVALCFHSNMHLFGGKGGISLPLWIREIGDVNKDIYYRDKSGFSNNDYLTLGVDQLPLFGGRTAVQCYEDFMLSFSTKFEPYLGNVIEEISIGLGPSGELRYPAHPSGDGRWKFPGIGEFQCHDKYMMEDLMAVASQEGKPQWGSRDPPNTGCYNSFPSGVPFFEEGNDSFLSDYGRFFLEWYSGKLICHADAILAKAADVLRRRQEEEKSSVMLVAKIGGIYWWYKTSSHPAELTAGYYNTSLRDGYDPVASVLSRHGAALNIPCLDMADSEIPEKYLCSPEGLRRQIHDVSKKWTIHVTGRNTSERFDEMGLRQIRENCVQPNGDTLRSFTFCRMNEKIFRVENWNNFVPFIRQMSADM", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAIISQFFAPLPSLTGTLTLTGRSFLPLNLDTQFPKPRLSRDRAATLVLQSKGDDSVDASDRIISAVCYFYPFFDGIQYGKFIITQYQPFQILIQPLFPAIRAFKSFPFNGFLIFITLYFVVVRNPNFSRYVRFNTMQAIVLDVLLIFPDLLERSFNPRDGFGLDVVMSLDSTVFLFLLVSLIYGFSACLFGLTPRLPLVAEAADRQVL", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MACSYILTPNPTKLNLSFAPSDLDAPSPSSSVSFTNTKPRRRKLSANSVSDTPNLLNFPNYPSPNPIIPEKDTSRWNPLQRAASAALDFAETALLRRERSKPLPKTVDPRHQISGNYAPVPEQSVKSSLSVDGKIPDCIDGVYLRNGANPLFEPVSGHHLFDGDGMVHAVKITNGDASYSCRFTETERLVQEKQLGSPIFPKAIGELHGHSGIARLMLFYARGLFGLLNHKNGTGVANAGLVYFHDRLLAMSEDDLPYQVRVTDNGDLETIGRFDFDGQLSSAMIAHPKIDPVTKELFALSYDVVKKPYLKYFKFSPEGEKSPDVEIPLASPTMMHDFAITENFVVIPDQQVVFKLSDMFLGKSPVKYDGEKISRFGILPRNAKDASEMVWVESPETFCFHLWNAWESPETDEVVVIGSCMTPADSIFNECDEQLNSVLSEIRLNLKTGKSTRRTIIPGSVQMNLEAGMVNRNLLGRKTRYAYLAIAEPWPKVSGFAKVDLSTGEVKNHFYGGKKYGGEPFFLPRGLESDGEDDGYIMSFVHDEESWESELHIVNAVTLELEATVKLPSRVPYGFHGTFVNSADMLNQA", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MESTLSAFSTVKATAMARSSGGPSLPLLTISKALNRHFTGARHLHPLLLARCSPSVRRLGGFHGSRFTSSNSALRSLGAAVLPVIRHRLECLSSSSPSFRSISSGGGSGFGGYNGGSGGGGGGGSESGDSKSKLGANASDGVSVPSSDIIILDVGGMTCGGCSASVKKILESQPQVASASVNLTTETAIVWPVPEAKSVPDWQKSLGETLANHLTNCGFQSTPRDLVTENFFKVFETKTKDKQARLKESGRELAVSWALCAVCLVGHLTHFLGVNAPWIHAIHSTGFHVSLCLITLLGPGRKLVLDGIKSLLKGSPNMNTLVGLGALSSFSVSSLAAMIPKLGWKTFFEEPVMLIAFVLLGRNLEQRAKIKATSDMTGLLSVLPSKARLLLDGDLQNSTVEVPCNSLSVGDLVVILPGDRVPADGVVKSGRSTIDESSFTGEPLPVTKESGSQVAAGSINLNGTLTVEVHRSGGETAVGDIIRLVEEAQSREAPVQQLVDKVAGRFTYGVMALSAATFTFWNLFGAHVLPSALHNGSPMSLALQLSCSVLVVACPCALGLATPTAMLVGTSLGARRGLLLRGGDILEKFSLVDTVVFDKTGTLTKGHPVVTEVIIPENPRHNLNDTWSEVEVLMLAAAVESNTTHPVGKAIVKAARARNCQTMKAEDGTFTEEPGSGAVAIVNNKRVTVGTLEWVKRHGATGNSLLALEEHEINNQSVVYIGVDNTLAAVIRFEDKVREDAAQVVENLTRQGIDVYMLSGDKRNAANYVASVVGINHERVIAGVKPAEKKNFINELQKNKKIVAMVGDGINDAAALASSNVGVAMGGGAGAASEVSPVVLMGNRLTQLLDAMELSRQTMKTVKQNLWWAFGYNIVGIPIAAGVLLPLTGTMLTPSMAGALMGVSSLGVMTNSLLLRYRFFSNRNDKNVKPEPKEGTKQPHENTRWKQSS", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASISLSSSTVPSLNSKESSGVSAFASRSISAVKFQFPVRRVRTGDLKFPSLSSTTRCTPRRIEAKKQTFDSFEDLLVNSDKPVLVDYYATWCGPCQFMVPILNEVSETLKDKIQVVKIDTEKYPSIANKYKIEALPTFILFKDGEPCDRFEGALTAKQLIQRIEDSLKVKP", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAIIQLGSTCVAQWSIRPQFAVRAYYPSRIESTRHQNSSSQVNCLGASKSSMFSHGSLPFLSMTGMSRNMHPPRRGSRFTVRADADYYSVLGVSKNATKAEIKSAYRKLARNYHPDVNKDPGAEEKFKEISNAYEVLSDDEKKSLYDRYGEAGLKGAAGFGNGDFSNPFDLFDSLFEGFGGGMGRGSRSRAVDGQDEYYTLILNFKEAVFGMEKEIEISRLESCGTCEGSGAKPGTKPTKCTTCGGQGQVVSAARTPLGVFQQVMTCSSCNGTGEISTPCGTCSGDGRVRKTKRISLKVPAGVDSGSRLRVRGEGNAGKRGGSPGDLFVVIEVIPDPILKRDDTNILYTCKISYIDAILGTTLKVPTVDGTVDLKVPAGTQPSTTLVMAKKGVPVLNKSNMRGDQLVRVQVEIPKRLSKEEKKLIEELADMSKNKTANSTSR", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVFKFPTPPGTQKKAGTTATKPAPKATTKKVATSTGTRSGGVGYRKYQGDALWLPNTTRPEWLDGSLPGDRGFDPLGLSKPSEFVVIGVDENDQNAAKNNKGSVEAIVQATPDEVSSENRLAPYSEVFGLARFRECELIHGRWAMLACLGALVAEATTGVSWVEAGKVELDGASYAGLSLPFSITQLIWIEVILVGGAEFYRNSETNPEKRCYPGGVFDPLKLASEDEERAFRLKTAEIKHARLAMVSFFGYGVQALSTGEGALGSLAKFADGLNNGKGL", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MFAVSIVPRTTSCRLSSAFLCQLSIPLTLRLHHHYQHHQPHLPSPLSFQIHSLRKQIDMAAQGGDSYEEALAALSSLITKRSRADKSNKGDRFELVFDYLKLLDLEEDILKMNVIHVAGTKGKGSTCTFTESIIRNYGFRTGLFTSPHLIDVRERFRLDGVDISEEKFLGYFWWCYNRLKERTNEEIPMPTYFRFLALLAFKIFAAEEVDAAILEVGLGGKFDATNAVQKPVVCGISSLGYDHMEILGDTLGKIAGEKAGIFKLGVPAFTVPQPDEAMRVLEEKASETEVNLEVVQPLTARLLSGQKLGLDGEHQYVNAGLAVSLASIWLQQIGKLEVPSRTQMSILPEKFIKGLATASLQGRAQVVPDQYTESRTSGDLVFYLDGAHSPESMEACAKWFSVAVKGDNQSGSSGHLVNGSAGSSHDKWSNETCEQILLFNCMSVRDPNLLLPHLKNMCAKYGVNFKKALFVPNMSVYHKVGTAADLPENDPQVDLSWQFTLQKVWESLVQSERDGEKDGESDGNSEVFTSLPMAIKCLRDTVHESSSATRFQVLVTGSLHLVGDVLRLIRK", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQKVFLAMDTCALVIHQSLSRIKLSPPKSSSSSSSAFSPESLPIRRIELCFRGAICAAVQRNYEETTSSVEEAEEDDESSSSYGEVNKIIGSRTAGEGAMEYLIEWKDGHSPSWVPSSYIAADVVSEYETPWWTAARKADEQALSQLLEDRDVDAVDENGRTALLFVAGLGSDKCVRLLAEAGADLDHRDMRGGLTALHMAAGYVRPEVVEALVELGADIEVEDERGLTALELAREILKTTPKGNPMQFGRRIGLEKVINVLEGQVFEYAEVDEIVEKRGKGKDVEYLVRWKDGGDCEWVKGVHVAEDVAKDYEDGLEYAVAESVIGKRVGDDGKTIEYLVKWTDMSDATWEPQDNVDSTLVLLYQQQQPMNE", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASSTRLTIIQSSFVSARTRLNYVSKTNHSGFACRSLSKPRNLSLSVYSMGSSSSSPKPDNVQEAEKNEFASLSENEWKKRLTPEQYYITRQKGTERAFTGEYWNSKTPGVYNCVCCDTPLFDSSTKFDSGTGWPSYYQPIGNNVKTKLDLSIIFMPRQEVVCAVCNAHLGHVFDDGPRPTGKRYCLNSAALKLNALEKTRD", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAVKASGFIGKSAISVHLDFSSFPVKFSCLKQFSVSSPKPLVVLSVALSSPARTVESPPVGYRKNVGICLVSPCRKIFTASKIHIPDTWQMPQGGADEGEDLRNAAFRELREETGVTSAEFIAEIPNWLTYDFPREVKDKLNRKWRTSYKGQAQKWFLFKFTGKEEEINLLGDGTAKPEFKVWSWMLPEQVIEHAVYFKRPVYEHVIKQFNPYFVDEEKDSMNSSKD", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "DVTVKLGADSGALVFEPSSVTIKAGETVTWVNNAGFPHNIVFDEDEVPSGANAEALSHEDYLNAPGESYSAKFDTAGTYGYFCEPHQGAGMKGTITVQ", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPVAYTFPVLPSSCLLCGISNRSTSFVVDRPELQISGLLVVRSESGEFFGSGLSLRRFQREGRRRLNAAGGGIHVVDNAPSRTSSLAASTSTIELPVTCYQLIGVSEQAEKDEVVKSVINLKKTDAEEGYTMEAAAARQDLLMDVRDKLLFESEYAGNLKEKIAPKSPLRIPWAWLPGALCLLQEVGQEKLVLDIGRAALRNLDSKPYIHDIFLSMALAECAIAKAAFEVNKVSQGFEALARAQSFLKSKVTLGKLALLTQIEESLEELAPPCTLDLLGLPRTPENAERRRGAIAALRELLRQGLSVEASCQIQDWPCFLSQAISRLLATEIVDLLPWDDLAITRKNKKSLESHNQRVVIDFNCFYMVLLGHIAVGFSGKQNETINKAKTICECLIASEGVDLKFEEAFCSFLLKQGSEAEALEKLKQLESNSDSAVRNSILGKESRSTSATPSLEAWLMESVLANFPDTRGCSPSLANFFRAEKKYPENKKMGSPSIMNHKTNQRPLSTTQFVNSSQHLYTAVEQLTPTDLQSPVVSAKNNDETSASMPSVQLKRNLGVHKNKIWDEWLSQSSLIGRVSVVALLGCTVFFSLKLSGIRSGRLQSMPISVSARPHSESDSFLWKTESGNFRKNLDSVNRNGIVGNIKVLIDMLKMHCGEHPDALYLKSSGQSATSLSHSASELHKRPMDTEEAEELVRQWENVKAEALGPTHQVYSLSEVLDESMLVQWQTLAQTAEAKSCYWRFVLLHLEVLQAHIFEDGIAGEAAEIEALLEEAAELVDESQPKNAKYYSTYKIRYILKKQEDGLWKFCQSDIQIQK", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MMNVAVTATPSSLLYSPLLLPSQGPNRRMQWKRNGKRRLGTKVAVSGVITAGFELKPPPYPLDALEPHMSRETLDYHWGKHHKTYVENLNKQILGTDLDALSLEEVVLLSYNKGNMLPAFNNAAQAWNHEFFWESIQPGGGGKPTGELLRLIERDFGSFEEFLERFKSAAASNFGSGWTWLAYKANRLDVANAVNPLPKEEDKKLVIVKTPNAVNPLVWDYSPLLTIDTWEHAYYLDFENRRAEYINTFMEKLVSWETVSTRLESAIARAVQREQEGTETEDEENPDDEVPEVYLDSDIDVSEVD", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVSVSLSHHNLWPPESGSTAFRGFATAASVHACHHVSRHLRLDFHLRSSLKKLQHFSDDARMKFARYQRVFVFNGANFLKSRVDIRLSQSSPFVCFFNGGESRLNPRGGEEGSSNPETSKRNTVNGRRWTNVLLAINVIMYIAQIASDGKVLTWGAKINSLIERGQLWRLATASVLHANPMHLMINCYSLNSIGPTAESLGGPKRFLAVYLTSAVAKPILRVLGSAMSYWFNKAPSVGASGAIFGLVGSVAVFVIRHKQMVRGGNEDLMQIAQIIALNMAMGLMSRRIDNWGHIGGLLGGTAMTWLLGPQWKYEYTTRDGRRVFMDSAPIPLLLRWRNEQRRL", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAHFIDLNSLTNTLPSLPKLPESRKTGKSSGFACRRTEEFQEPDSVQITRRMTLGFAVSIGLTGILGENNVSLAQDNGFWIDGPLPIPPIYNNIVNEKTGTRTFIKKGVYVADIGTKGRMYRVKKNAFDLLAMEDLIGPDTLNYVKKYLRLKSTFLFYDFDNLISAAASEDKQPLTDLANRLFDNFEKLEDAAKTKNLAETESCYKDTKFLLQEVMTRMA", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAMSGTFHLTSDYVPGYTLSDSRCFFNSAVSRRTLAILPCSSCLDHKNGRLKSVPNRSSFVCRASSGGYRRNPDFSRLNKHGYRGNNRQSGGREDFDIENSDMLSSRNGPLFNLSSSPKFQATSSPGPREKEIVELFRKVQAQLRARAAAKKEEKKIEEASKGQGKESETVDSLLKLLRKHSGEQSKRQVSKFSSQGEVQGDTVDKQDRTGNLVTSGNKDNNASSFTRPTSSFRRKSPVPRSQSPPAYSSEATFDQSSSYSVTWTQKKDTVELHDEPEHEPAYEHEHEPENESEPGPVTTMLEPDSELKPESSSFYQEEEDDDVTFDVLSQDDGILDVLSDDDESLDDADEDSDEAEEEAVKDLSELKLVELRGIAKSRGLKGLSKMKKAELVELLGSDSS", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEAVKEAVRKIKSLVIPHADEKDNGIVFEIKLNETDQRVEKWGLDPSLDFFEVTGNCNLGRPNSEGSNQSLMGSVTIRNIFNPKLDDLLSKIEYVRFLEAVKKPRNRTFKTSFFNSRKLSPVFTGGPGYEDLVPPMFVGRDCLKATITENLTRQRELTYGVMFEEIITRDENRRISENGLLLSPDGGISINGPPTTLSGTGIDHIATLQANITRDNTKLVNGAVVGEKNIFQVDQGLGIGNNFPLFNRHQLSLTSFIQLKQVEEGSDKPQPPVLVLHGRYGGCIGDLPSYDVFALGGPNSVRGYSMGELGAAKNILELGAEIRIPVKNTHVYAFAEHGNDLGSSKDVKGNPTGLYRKMGHGSSYGLGVKLGMVRAEYTVRHNRGTGALFLRFGERY", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAASWQWENATAGAVAGFATVAAMHSLDVVRTRFQVNDGRGSSLPTYKNTAHAVFTIARLEGLRGLYAGFFPAVIGSTVSWGLYFFFYGRAKQRYARGRDDEKLSPALHLASAAEAGALVCLCTNPIWLVKTRLQLQTPLHQTQPYSGLLDAFRTIVKEEGPRALYKGIVPGLVLVSHGAIQFTAYEELRKIIVDLKERRRKSESTDNLLNSADYAALGGSSKVAAVLLTYPFQVIRARLQQRPSTNGIPRYIDSLHVIRETARYEGLRGFYRGLTANLLKNVPASSITFIVYENVLKLLKQHPTTKD", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGEMEIEEIEAVLEKIWDLHDKLSDEIHLISKSHFLKSVKPSNRSEKRKNPHGNSGEDKRPGYVFIKGFAVDDNDSTIQEAKSLNAIRTALENLEDQLEFFHTIHTQQRTEKDVAIARLEQSRILLAMRLAEHHGKNYGVLEEALAFVGSIKSNSHYVSPDHLYDSSRNPDGANSIPDGIESNFVINAFASTFGFAKRALGFNHVKGVLGNAAIFAISVVAMLHLHQVATSEHHLQKKEDRFYRSQQRKTYGRDKSSADRSLDHLDVMMARG", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MANPQASPILHHPQNHLSLFHFRTTTSPRSFSSLHFRKPLLFLSSSSSFSSKLQQSEQQCNNHQVRHVSTVPVEYSTPTPPESDDFLSEIDRLKSLLSKLDVSKDLRRKDAVIDADSRVRRFFSENRGGLSKVFGYLGLNSNEMFLVKCVIAAGQEHALCMNYEEAFGEEEEEYTVRSSVKNALYALVEMIERFDVNSSGYKGRREMGTVLDSEEIAHFRKFLTFLEEIEQFYDCIGGIIGYQVMVLELLHQSSKRRNTNRSQLVEESLGCQYLEMHTPSVLDLTQEEDYASQAALWGIEGLPDLGEIYPLGGAADRLGLIDSETGECLPAAMLAHCGRTLLEGLIRDLQAREFLYFKLYGKQCVTPVAIMTSAAKNNHEHVSSLCERLKWFGRGQSNFRLFEQPLVPAVSAEDGQWIVSKPFVPVSKPGGHGVIWKLAYDKGVFNWFYDHGRKGATVRQVSNVVAATDVTLLALAGIGLRYNKKLGFASCKRNAGATEGINVLMEKKNFDGKWEYGISCIEYTEFDKFDISNRSPSSNGLQADFPANTNILYVDLHSAELIGSSSNAKSLPNMVLNTKKRIEYLDQYGDYHSVMGGRLECTMQNIADNFFNKFPSRCHGSLEDKLDTYIVYNERRKVTSSAKKKKPHASAALHQTPDGALLDILRNGYDLLTECDIKLPMIEANDKYVDSPPPYLILLHPALGPLWEVSRQKFKGGSISSCSELQLEIAEFSWNNVQVDGSLIVTAENAMGSTTPNDNGEPILQYGLRCGKCKLHNVNVVNRGIDWNSKSNVYWRNDVNRLETCKIILHGNAEFEASNVTIEGHHVFEVPDGHKLKITSGNAGLSINLEALKEEVMETGSWYWNYQLNGSHIHLQQVEVSQS", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MALKFNPLVASQPYKFPSSTRPPTPSFRSPKFLCLASSSPALSSGPKEVESLKKPFTPPREVHVQVLHSMPPQKIEIFKSMENWAEENLLIHLKDVEKSWQPQDFLPDPASDGFEDQVRELRERARELPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASPTSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARQAKEHGDIKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDGTVMAFADMMRKKISMPAHLMYDGRNDNLFDNFSSVAQRLGVYTAKDYADILEFLVGRWKIQDLTGLSGEGNKAQDYLCGLAPRIKRLDERAQARAKKGPKIPFSWIHDREVQL", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSDLDRQIGQLKRCEPLSESEVKALCLKAMEILVEESNVQRVDAPVTLCGDIHGQFYDMMELFKVGGDCPKTNYLFMGDFVDRGYYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSSNVWRYCTDIFDYMSLSAVVENKIFCVHGGLSPAIMTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFNHSNNIDYIARAHQLVMEGYKWMFDSQIVTVWSAPNYCYRCGNVASILELDENLNKEFRVFDAAQQDSRGPPAKKPAPDYFL", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSDLDKQIEQLKRCEALKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALVENKIFCVHGGLSPAIMTLDQIRAIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFNHSNNIDYICRAHQLVMEGYKWMFNSQIVTVWSAPNYCYRCGNVAAILELDENLNKEFRVFDAAPQESRGALAKKPAPDYFL", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASLCLSSSRIVSLHHQKPFLALKLRPRPSNISGLGHSTSVVCFNPLRLSADRQRTATVSARAEKRRKRGSSVVCYATPMLSVHNLQWISTISCVALMFARGTGIHKSFVVPLFALQAPMGIVSWMKGEYGIWAAFLALLTRLFFSFPVELELPFIALLLVIVAPYQVMSIRGKQEGAILSLAISCFLAFQHFSRAGTLQKAFDQNSVLATVAIIGVTVVSFLFLI", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MIGNPVIQVPSSLMPSSSMIACPRVSPNGVPYLPPKPRTRHLVVRAASNSDAAHGQPSSDGGKNPLTVVLDVPRNIWRQTLKPLSDFGFGKRSIWEGGVGLFIVSGATLLALSWAWLRGFQMRSKFRKYQTVFELSHASGICTGTPVRIRGVTVGTIIRVNPSLKNIEAVAEIEDDKIIIPRNSLVEVNQSGLLMETMIDIMPRNPIPEPSVGPLHPECGKEGLIVCDRQTIKGVQGVSLDELVGIFTRIGREVEAIGVANTYSLAERAASVIEEARPLLKKIQAMAEDAQPLLSEFRDSGLLKEVECLTRSLTQASDDLRKVNSSIMTPENTELIQKSIYTLVYTLKNVESISSDILGFTGDEATRKNLKLLIKSLSRLL", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSLVASLQLILPPRPRSTKLLCSLQSPKQEQELSSTSPPISLLPKLISFALAISLTSFSPALAIPSLSSSQPLTTPFTQSKFVQTGLLNGKIRPCPSTNPGCVSTNPTSSSFSFPLTIPETDTQDPIEKLKEAIMSTQKNPKFVVLEDTPYGRYVEAEVEGGGFSRDVMEFLVKQDVVAYRCMATKVTFVYPFTTAFGDSKGQEERLKKLIDQLGWYAPTFESME", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASLLANGISSFSPQPTSDSSKSPKGFHPKPESLKFPSPKSLNPTRPIFKLRADVGIDSRPIGASESSSSGTSTVSSTDKLQQYFQNLDYDKKYGFVEDIDSFTIPKGLSEETIRLISKLKEEPDWMLEFRFKAYAKFLKLEEPKWSDNRYPSINFQDMCYYSAPKKKPTLNSLDEVDPQLLEYFDKLGVPLTEQKRLANVAVDAVIDSVSIATTHRKTLEKSGVIFCSISEAIREYPDLIKKYLGRVVPSDDNYYAALNSAVFSDGSFCYIPKNTRCPMPISTYFRINAMETGQFERTLIVAEEGSFVEYLEGCTAPSYDTNQLHAAVVELYCGKGAEIKYSTVQNWYAGDEQGKGGIYNFVTKRGLCAGDRSKISWTQVETGSAITWKYPSVVLEGDDSVGEFYSVALTNNYQQADTGTKMIHKGKNTKSRIISKGISAGHSRNCYRGLVQVQSKAEGAKNTSTCDSMLIGDKAAANTYPYIQVKNPSAKVEHEASTSKIGEDQLFYFQQRGIDHERALAAMISGFCRDVFNKLPDEFGAEVNQLMSIKLEGSVG", - "output": "Plastid" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLSRSVASAVTPVSSSSLLPNSKPIFCLKTLSGYRSSSFCGGCIRKINHKPLRMTSSNITPRAMATQQLENADQLIDSVETFIFDCDGVIWKGDKLIEGVPETLDMLRAKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLQSINFPKDKKVYVIGEEGILKELELAGFQYLGGPDDGKRQIELKPGFLMEHDHDVGAVVVGFDRYFNYYKIQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGALVGSTQREPLVVGKPSTFMMDYLADKFGIQKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSISMLESPENKIQPDFYTSKISDFLSPKAATV", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MHFFFVPNSSSSSPSPANTSSFSLSFLTPQIPENLCKSPTKIHIGTHGISGQSFLSHPTFSSKNTYLYAVVDRSSSGVFSPQKESANGEGEESNTEEGVLVVRRPLLENSDKESSEEEGKKYPARIDAGLSNIAKKMPIFEPERSESSSSSSAAAAARAQERPLAVNLDLSLYKAKVLARNFRYKDAEKILEKCIAYWPEDGRPYVALGKILSKQSKLAEARILYEKGCQSTQGENSYIWQCWAVLENRLGNVRRARELFDAATVADKKHVAAWHGWANLEIKQGNISKARNLLAKGLKFCGRNEYIYQTLALLEAKAGRYEQARYLFKQATICNSRSCASWLAWAQLEIQQERYPAARKLFEKAVQASPKNRFAWHVWGVFEAGVGNVERGRKLLKIGHALNPRDPVLLQSLGLLEYKHSSANLARALLRRASELDPRHQPVWIAWGWMEWKEGNTTTARELYQRALSIDANTESASRCLQAWGVLEQRAGNLSAARRLFRSSLNINSQSYVTWMTWAQLEEDQGDTERAEEIRNLYFQQRTEVVDDASWVTGFLDIIDPALDTVKRLLNFGQNNDNNRLTTTLRNMNRTKDSQSNQQPESSAGREDIETGSGFNLDVFLRSKLSLDPLKLDVNLDSKRLERFTRGRINGA", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLSRSVASAVTPVSSSSLLPNSKPIFCLKTLSGYRSSSFCGGCIRKINHKPLRMTSSNITPRAMATQQLENADQLIDSVETFIFDCDGVIWKGDKLIEGVPETLDMLRAKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLQSINFPKDKKVYVIGEEGILKELELAGFQYLGGPDDGKRQIELKPGFLMEHDHDVGAVVVGFDRYFNYYKIQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGALVGSTQREPLVVGKPSTFMMDYLADKFGIQKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSISMLESPENKIQPDFYTSKISDFLSPKAATV", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASLQLCDGYLLFKPSVSPRFLSQRISHRLIPKASSSPPPSPSPSSSSSSLSFSRRELLYQSAAVSLSLSSIVGPARADEQLSEWERVFLPIDPGVVLLDIAFVPDEPSRGFLLGTRQTLLETKDGGSTWNPRSIPSAEEEDFNYRFNSISFKGKEGWIIGKPAILLYTADAGENWDRIPLSSQLPGDMVFIKATEDKSAEMVTDEGAIYVTSNRGYNWKAAIQETVSATLNRTVSSGISGASYYTGTFSAVNRSPDGRYVAVSSRGNFFLTWEPGQPYWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLYLSKGTGITEEFEEVPVQSRGFGILDVGYRSEEEAWAAGGSGILLRTRNGGKSWNRDKAADNIAANLYAVKFVDDKKGFVLGNDGVLLRYVG", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASVPCSFKLSAHRRSSSKLDGNNKQCSSLVERLRDKTKSQVPKSITCINRLEISRIAPLHATMNSPKGFGPPPKKTKKSKKPKPGNQSDEDDDDEDEDDDDEEDERERGVIPEIVTNRMISRMGFTVGLPLFIGLLFFPFFYYLKVGLKVDVPTWVPFIVSFVFFGTALAGVSYGIVSSSWDPLREGSLLGWNEAKKNWPVFWQSFWNSSDKR", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASSSSSSYRFQSGSYPLSSSPSLGNFVERIKDACHFLVSAVLGTIISAILTFFFALVGTLLGALTGALIGQETESGFIRGAAIGAISGAVFSIEVFESSLDLWKSDESGFGCFLYLIDVIVSLLSGRLVRERIGPAMLSAVQSQMGAVDTAFDDHTSLFDTGGSKGLTGDLVEKIPKMTITGNNNTDASENTDSCSVCLQDFQLGETVRSLPHCHHMFHLPCIDNWLLRHGSCPMCRRDI", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MISSLAAITGGPSTFRRDPDSNTLRLSRRKTLISVLRSCKNIAHVPSIHAKIIRTFHDQDAFVVFELIRVCSTLDSVDYAYDVFSYVSNPNVYLYTAMIDGFVSSGRSADGVSLYHRMIHNSVLPDNYVITSVLKACDLKVCREIHAQVLKLGFGSSRSVGLKMMEIYGKSGELVNAKKMFDEMPDRDHVAATVMINCYSECGFIKEALELFQDVKIKDTVCWTAMIDGLVRNKEMNKALELFREMQMENVSANEFTAVCVLSACSDLGALELGRWVHSFVENQRMELSNFVGNALINMYSRCGDINEARRVFRVMRDKDVISYNTMISGLAMHGASVEAINEFRDMVNRGFRPNQVTLVALLNACSHGGLLDIGLEVFNSMKRVFNVEPQIEHYGCIVDLLGRVGRLEEAYRFIENIPIEPDHIMLGTLLSACKIHGNMELGEKIAKRLFESENPDSGTYVLLSNLYASSGKWKESTEIRESMRDSGIEKEPGCSTIEVDNQIHEFLVGDIAHPHKEAIYQRLQELNRILRFKENQIDIIMGF", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MEDEEGIGLILARATELRLKISDCIDNSSTTVSDNGDGNEDLSPGEGRKSEIIGNQDKDFDSISSEDVDEAEAERLLRIRDALEALESQLASLQNLRQRQQYEKQLALSEIDYSRKMLLEKLKEYKGKDFEVLRETTTFAGERVDYENDLLLPPYPVHPPLSLGLDNNNGYLSHLPSKKKSDANGFGSGHVRNEAEAKSPNGGSGGSSHGVIRFLGSVAKIVLPIIGVISLLSASGYGPEMRKRGASLNLFGLLPHRATRGKRTPNQCPPGKVLVIEDGEARCLVKERVEIPFDSVVAKRDVTYGYG", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MDASVVRFSQSPARVPPEFEPDMEKIKRRLLKYGVDPTPKILNNLRKKEIQKHNRRTKRETESEAEVYTEAQKQSMEEEARFQTLRREYKQFTRSISGKRGGDVGLMVGNPWEGIERVKLKELVSGVRREEVSAGELKKENLKELKKILEKDLRWVLDDDVDVEEFDLDKEFDPAKRWRNEGEAVRVLVDRLSGREINEKHWKFVRMMNQSGLQFTEDQMLKIVDRLGRKQSWKQASAVVHWVYSDKKRKHLRSRFVYTKLLSVLGFARRPQEALQIFNQMLGDRQLYPDMAAYHCIAVTLGQAGLLKELLKVIERMRQKPTKLTKNLRQKNWDPVLEPDLVVYNAILNACVPTLQWKAVSWVFVELRKNGLRPNGATYGLAMEVMLESGKFDRVHDFFRKMKSSGEAPKAITYKVLVRALWREGKIEEAVEAVRDMEQKGVIGTGSVYYELACCLCNNGRWCDAMLEVGRMKRLENCRPLEITFTGLIAASLNGGHVDDCMAIFQYMKDKCDPNIGTANMMLKVYGRNDMFSEAKELFEEIVSRKETHLVPNEYTYSFMLEASARSLQWEYFEHVYQTMVLSGYQMDQTKHASMLIEASRAGKWSLLEHAFDAVLEDGEIPHPLFFTELLCHATAKGDFQRAITLINTVALASFQISEEEWTDLFEEHQDWLTQDNLHKLSDHLIECDYVSEPTVSNLSKSLKSRCGSSSSSAQPLLAVDVTTQSQGEKPEEDLLLQDTTMEDDNSANGEAWEFTETELETLGLEELEIDDDEESSDSDSLSVYDILKEWEESSKKE", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSQVDAEGVVDGLRRTYISGKTKSYEWRVSQLKALLKITTHHDKEVVEALRADLKKPEHEAYVHEIFMVSNACKSALKELHQWMKPQKVKTSLATYPSSAEIVSEPLGVVLVITAWNYPFLLALDPMIGAIAAGNCVVLKPSEIAPATSALLAKLLNQYVDTSAIRVVEGAVPEMQALLDQRWDKIFYTGSSKVGQIVLSSAAKHLTPVVLELGGKCPTVVDANIDLKVAARRIISWKWSGNSGQTCISPDYIITTEENAPKLVDAIKCELESFYGKDPLKSQDMSSIINERQFERMTGLLDDKKVSDKIVYGGQSDKSNLKIAPTILLDVSEDSSVMSEEIFGPLLPIITVGKIEECYKIIASKPKPLAAYLFTNDKKRTEEFVSNVSAGGITINDIALHFLEPRLPFGGVGESGMGSYHGKFSFDAFSHKKSVLKRSFGGEVAARYPPYAPWKLHFMEAILQGDIFGLLKAWLGWSS", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MATISSILPCHGLLQHCSSSSSSSKPKFSSQNLVQLQSFSNGFGLKLKTRVSTNPPLLKVRAVVTEETSSSSTASSSSDGEGARRLYVGNIPRNLNNDELRTIVEEHGAIEIAEVMYDKYSGRSRRFGFVTMKTVEDANAVIEKLNDTEIGGRKIKVNITEKPLEGMDIATTQAEDSQFVESPYKVYIGNLAKTVTNELLKDFFSEKGKVLGAKVQRTPGTSKSNGFGFVSFSSEEEVEAAIQALNNSVLEGQKIRVNKA", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASLQTPVMVGTVVGCVAGVVGFLAMSSNAATSLSVAPASTSTQIIANPSVIAPQYQGSVTSEDVAMEASQTDFAEVAEISSPVQVQSWSMIFSAMLAVPLAAAAMFFMKKSTTEERRPLVSIDDLLSVGKKAVVASAVVGAAAGSANAYPIFAQQAYGNPREATGRIVCANCHLASKPTEIEVPQAVLPDQVFEAVTKVPFSGPSGFFNVVDPSTVVGSVTFAGTQPVGFIQESGVPVSQALVDIATPGTPDTVFKATIKVPYDESLKQVAGNGRAAPLNVGAVLILPEGFRLAPPERIPEKMKEEINGLQFIQYSKDTPNILVVGPVPGKKYAEMTVALLSPDPRVDKKAEFGTLPIYVGGNRGRGQLYPTGEKSNNNIYNVEHSGKIADIQLNEKKRIYTVAVQQKDGEIINEDLPAGAELIVKVGDVVEAGQAISTNPNVGGFGQAESEIVLQNPGRVQAFLFFSFTVLATQTLLVVKKKQYEQVQLSEMNF", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MALALASANSFLLPTKTHFALHVSPPPSKKTLLCTNPSSNFSFNKALSSRRRKQAWCVAAAADVKDATLLDGEEDQKVLVGPSSEQERKGEREVADYDWTEEWYPLYLTKNVPHDAPLGLKVYDKNIVLFRDGNDQFQCYEDRCPHRLAKLSEGQLIDGRLECLYHGWQFEGEGKCVKIPQLPADAKIPKSACVKTYEVRDSQGVLWVWMSRKTPPNVSKIPWFENFARPGFQDISTTHELPYDHSILLENLMDPAHVPISHDRTDWSAKREDAQALGFEVTERTDRGFAGWWGREKDGSKPNFLRFEAPCVLQNNREIVDKNGEINHFSGLFLCRPTGQGKSMLIVRFGATKRSPLIKLFPEWYFHQNASKVFEQDMGFLSSQNEILLKEKVPTKELYLNLKSSDTWVAEYRKWMDKVGHGMPYHFGHSTISLPEEPAVVEHAPAGLVAGLSASSPAKGGIGTMHAPNLANRYFRHVIHCKGCSSAIKAFQIWKNVLSGVVVALAALAILVSGRQWKVLLLASASLCSVGVYACSTAIAMNTTNFIRVHRRL", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAVSSAFVGCPKLETLLNHHNLSPSSSSSSSVSQTPLGLNGVRVLPKNNRTRRGLIQKARCELSASSDSASNAASISALEQLKNSAADRYTKERSSIVVIGLSIHTAPVEMREKLAIPEAEWPRAIAELCGLNHIEEAAVLSTCNRMEIYVLALSQHRGVKEVTEWMSKTSGIPVSEICQHRFLLYNKDATQHIFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVNGFGRNISGLFKHAITVGKRVRTETNIASGAVSVSSAAVELALMKLPQSSNVSARMCVIGAGKMGKLVIKHLMAKGCTKVVVVNRSEERVSAIREEMPGIEIIYRPLDEMLACASEADVVFTSTASETPLFLKEHVENLPQASPEVGGLRHFVDISVPRNVGSCVGEVETARVYNVDDLKEVVAANKEDRMRKAMEAQTIITEESTQFEAWRDSLETVPTIKKLRAYAERIRVAELEKCMSKMGDDINKKTTRAVDDLSRGIVNRFLHGPMQHLRCDGSDSRTLSETLENMHALNRMYGLEKDILEEKLKAMAEQQQK", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MESSLFSPSSSSYSSLFTAKPTRLLSPKPKFTFSIRSSIEKPKPKLETNSSKSQSWVSPDWLTTLTRTLSSGKNDESGIPIANAKLDDVADLLGGALFLPLYKWMNEYGPIYRLAAGPRNFVIVSDPAIAKHVLRNYPKYAKGLVAEVSEFLFGSGFAIAEGPLWTARRRAVVPSLHRRYLSVIVERVFCKCAERLVEKLQPYAEDGSAVNMEAKFSQMTLDVIGLSLFNYNFDSLTTDSPVIEAVYTALKEAELRSTDLLPYWKIDALCKIVPRQVKAEKAVTLIRETVEDLIAKCKEIVEREGERINDEEYVNDADPSILRFLLASREEVSSVQLRDDLLSMLVAGHETTGSVLTWTLYLLSKNSSALRKAQEEVDRVLEGRNPAFEDIKELKYITRCINESMRLYPHPPVLIRRAQVPDILPGNYKVNTGQDIMISVYNIHRSSEVWEKAEEFLPERFDIDGAIPNETNTDFKFIPFSGGPRKCVGDQFALMEAIVALAVFLQRLNVELVPDQTISMTTGATIHTTNGLYMKVSQR", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLRLPSSMPIVSFPANPNLLINPQPSWPSRRGNSAVVVSAASRDVDSFTSKSGYLFSLSADEADSLSEYNFPRIDGMYKKKPLILLRRLAQIGTTFSYWFGLRLADEALERSDQMFKVRAAELRKLLVELGPAYVKIAQAVSSRPDLIPPIYLDELSLLQDQITPFSTEVAFNMIEDELGLPIDELFSEISPEPVAAASLGQVYQARLRRSGKVVAVKVQRPGVRAAIALDTLILRYIAGLIKKAGRFNSDLEAVVDEWATSLFKEMDYLNEAQNGIKFRKLYGGIKDVLVPKMYTEYSTSKVLVMEWVEGQKLNEVNDLYLVEVGVYCSFNQLLEYGFYHADPHPGNFLRTYDGQLAYLDFGMMGDFRPELRDGFMEACLHLVNRDFKALAKDFVTLGLLPPTAEKSAVTKALTDVFQDAISRGVRNISFGDLLGDLGKTMYRFKFRIPPYFSLVIRSLAVLEGIAIGISPNYKVLGSTYPWIARKILTDSSPQLKSSLQNLLYEEGVFRIDRLESLLSESLRTETALVQKPVVGTESNIAMKQMLAFTFTEQGSFVREILLREFAKGLDAYGLATLDSFTFSGSGPSSSLTEEDMTNLRTFYRLISLFSGMQKAKSQVKAVSKYGEALTPLDEASLVMYQLPSAQEMLPILSILPELPQESQQRLLQLPGDLVGRLVTRAFARTIRRIFL", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGTESGSDPESSSNGWSRARGLVVKTLVLIGGALLIKRLTKSTTRRDHARVVSRSLTGEKFTREQASRDPDNYFNIRMLSCPAAEMVDGSEVLYLEQAFWRTPQKPFRQRLYMVKPCPKELKCDVEVSSYAIRDAEEYKNFCDRPKDQRPLPEEVIGDIGEHLTTIHLNCCDRGKRCLYEGSTSPGGFPNSWNGASYCTSDLAVLKNNEIHLWDRGFDENRNQVWGPKEGPYEFKPATSSSINENLSALNILYQSSIDKPIQGSLILQD", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSLKAIHVSEVPSLDHFPENPSLICSSRKANNKFVVVGHRGHGMNMSQSPDLRFSALKENSILSFNAASKFPLDFIEFDVQVTRDGCPIIFHDDFIYSEEQGVVYEKRVTEVCLSEFMSYGPQRDTGKTGKPLLRKSKEGKIHKWSVATDDSFCTLQEAFEKVENPNLGFNIELKLDDNVFYSSDHLSRLLLPILQVVSDIGNDRTIIFSSFHPDAALLVRKLQTTYPVFFLTNGGTEMYHDTRRNSLEEAIKVCLEGGLQGIVSEVKGVFRNPALVNKIKESKLSLMTYGKLNNVAEAVYMQHLMGIEGVIVDHVEEITEAVREMMKPSNRDADGTKPKPNFSDRELSFLLKLIPELIQH", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASLLRPTPLLSTPRKLTHSHLHTSISFPFQISTQRKPQKHLLNLCRSTPTPSQQKASQRKRTRYRKQYPGENIGITEEMRFVAMRLRNVNGKKLDLSEDKTDTEKEEEEEEEDDDDDDEVKEETWKPSKEGFLKYLVDSKLVFDTIERIVDESENVSYAYFRRTGLERCESIEKDLQWLREQDLVIPEPSNVGVSYAKYLEEQAGESAPLFLSHFYSIYFSHIAGGQVLVRQVSEKLLEGKELEFNRWEGDAQDLLKGVREKLNVLGEHWSRDEKNKCLKETAKAFKYMGQIVRLIIL", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MALLLLSHCSILSFQPPLSSSSSFHSSHIQSLSKPVFASPSPIRNSISSSVSSSSSSVSSSNSIPQVVVTRERGKNNQIIKALEKNGISSLELPLIQHARGPDFDRLASVLNDKSFDWIIITSPEAGSVFLEAWKTASSPEVQIGVVGAGTARVFEEAMKSADGLLHVAFTPSKATGKVLASELPEKVGKRSSVLYPASLKAGNDIVEGLSKRGFEVVRLNTYTTVPVQSVDTVLLQQALSAPVLSVASPSAVRAWLHLIQNEEQWSNYVACIGETTASAARRLGLKNVYYPEKPGLEGWVESIMEALGAHADSSNPSSRN", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MALAQRQVSCRIERSTGASTSQPVGSCLLVQRRPGQRRGVPARATPEFIDALSALVPNLPLEQIAAPCQVMKCGDIVYRSTLDPSLYNEAGFDEKTVALLAPVLAYLFLPPGVLPGAIDYYIRAPLKRKQTKAIDKNDIVLGKRLGTGGFGTVFKGELKEEGGVKTSIIIKKAKEFGEAEVWMNERMSRVAGHHVAEFVTAFDESLNVPLPAAAGKRAAPVQPTSPLDANSIWLVWVYEGDNTLSSLMERREWPYNLEPLLFGRELRAPRGPVRELVTIKEAFRQLVQAVAACHSVGIVHRDIKPANCIVSERDKKIKLIDLGAAADLRIGINYVPNEYLLDPRYAPPQQYIMSTQTPKPPPKPVAAFLSPILWTMEKPDRFDMYSCGITLLQMVFGHLRNDNALIAFNKRLQELKWDLPAWRREEEAKLPSAKGALAESLEAGFEALDADGGAGWDLLMRLLAYKPTDRPSAAAVLAHPWLTSAPGRTASLQHSLSGSFEATVSTAAAATSTALTAAGKSLGQAAKDAGLASMEEAILKVNQGALTEAQLMEELGLQEPAPVAPREGSQTIAWWQERQNELKARLVERREAMSESDPYGAAPSAMQVGSAINNARGGKGAKPTTPVKPTGPMAAAGAAAAAAAAAARVEAKVKVPNILGVKKPASGGGSNGRANGNGNGKAAPAKAANGNGSGNGNTNGNGNGAKQQLFGGLLGRKQQPVEEVQEEPEEEVEPEQETASKKERAFNLLGVFRR", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNTSSSFKALASPPLISTSRPTTKSFPNPRFTSRFSPKPITCMRDSLNLGSNPKAPSPFSLATVSVDAPLGTKTSDKLRILVSEFRSLTEPIDRVKRLLNYAATLAPLDESARISENRVTGCTTQVWLEIKMDEFGRMRFKADSDSEISKGFCSCLIWILDGAKPEEVMGVRSEDLSEMNVGVHGKEQSRVNTWHNVLMSMQKRTMTLVATDVAHQRGQRPPHQHDLLFKYVNGSYMESSKVHDYSISLLPLYYDFII", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAASETVLRVPLGSVSQSCYLASFFVNSTPNLSFKPVSRNRKTVRCTNSHEVSSVPKHSFHSSNSVLKGKKFVSTICKCQKHDVEESIRSTLLPSDGLSSELKSDLDEMPLPVNGSVSSNGNAQSVGTKSIEDEAWDLLRQSVVFYCGSPIGTIAANDPNSTSVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILYTLQLQSWEKTMDCHSPGQGLMPCSFKVKTVPLDGDDSMTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCTGDLSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALVCAREMLTPEDGSADLIRALNNRLVALNFHIREYYWLDLKKINEIYRYQTEEYSYDAVNKFNIYPDQIPSWLVDFMPNRGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLASNDQSHAILDFIEAKWAELVADMPLKICYPAMEGEEWRIITGSDPKNTPWSYHNGGAWPTLLWQLTVASIKMGRPEIAEKAVELAERRISLDKWPEYYDTKRARFIGKQARLYQTWSIAGYLVAKLLLANPAAAKFLTSEEDSDLRNAFSCMLSANPRRTRGPKKAQQPFIV", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAALQTLREWIGIQQFPPATQSKLLEILGKYKEEDVSSLTVLVMGKGGVGKSSTVNSVIGEKAAAVSTFQSEGLRPTLVSRTRSGFTLNIIDTPGLIEGGYVNDQAINIIKRFLLNMTIDVLLYVDRLDVYRVDDLDRQVVGAITDAFGKEIWKKSALVLTHAQFSPPDGLNYNHFVSKRSNALLKVIQTGAQLKKQDLQGFSIPVILVENSGRCHKNESDEKILPCGTSWIPNLFNKITEISFNGNKAIHVDKKLVEGPNPNERGKKLIPLMFAFQYLLVMKPLVRAIKSDVSRESKPAWELRDSGLASRRS", - "output": "Plastid" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVSNCLSLSLHLNLHPHKHNRHSLSSLRSRTKAKLYQHVSFTDSSHKSSYTSCVSTFDIQRKSSKHYELGKHSFSPILPGDNLVLSRSGVIRPRLSAMTGSEINDHGYDESQFDPSLTNDDLKPTTPSQRTFSWLDMSSLWIGLVVGVPTYYLAGSLVDLGMAWWQGIATVVTANLILLVPLVLTAQPGTLYGISFPVLARSSFGIRGAHIPTLLRALVGCGWYGIETWIGGEAIFLLLPGHIKKSALSHTLPWLGTSPLEFSCFIVFWLAQLCIVWRGMDGIRKLEKYSAPILISLTSCLLAWSYLKAGGFGHMLSLSSKLTSAQFWTLFFPSLTANISFWATLALNIPDFSRFAKSQTDQIIGQVGLPVFMGLFTFVGVAVTSSTSIIFGRVISNPIELLGQIGGLATTLLAIVGISLATLTTNIAANVVAPANALVNLNPKFFTFGRGAFLTAVLGIVFQPWRLLKSSESFVYTWLIGYSALLGPIGGIILVDYYLIKKMKLNIGDLYSLSPSGEYYFSKGYNVAAVVALVAGIIPVVPGFLHKISALSKISNGFVVVYDNALFFSFIIAGFVYWIIMSRLGRKQSSLSSSSHPLL", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MALVHYMNVSRSTFPLSRSSKINLSSSFASLPLQFHKNIKRLESSVPPSASASASPAFPIDVEYLRREFSGHGATFEDIGETCIARLKLDNGSSANVMLTRGMITSYKVRVWHGGKVELLHTWVEQEEEEVVIRGGVSSAFRSSDSDEISDWRLQGISGDSKDCVQMELRRSDKKIKEIELKQIISLRENTLSIELSMTNKGISPIKLEGCSLVSYLTVSTPEATYAVGLEGSDFVETTPFLPRFGVVQGEKEEEKPGFGGEEESNYKQLNREMSRIYTCAPKSFTVIDRGRRNSVVVGREGFEEVYMYSPGSRLESYTKSAYVCIGPSSLLSPISLESGCVWRGVLHLHNPNS", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MGSKMLFSLTSPRLFSAVSRKPSSSFSPSPPSPSSRTQWTQLSPGKSISLRRRVFLLPAKATTEQSGPVGGDNVDSNVLPYCSINKAEKKTIGEMEQEFLQALQSFYYDGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQRFLEASMAYVSGNPILNDEEYDKLKLKLKIDGSDIVSEGPRCSLRSKKVYSDLAVDYFKMLLLNVPATVVALGLFFFLDDITGFEITYIMELPEPYSFIFTWFAAVPVIVYLALSITKLIIKDFLILKGPCPNCGTENTSFFGTILSISSGGKTNTVKCTNCGTAMVYDSGSRLITLPEGSQA", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAVSLPTKYPLRPITNIPKSHRPSLLRVRVTCSVTTTKPQPNREKLLVEQRTVNLPLSNDQSLQSTKPRPNREKLVVEQRLASPPLSNDPTLKSTWTHRLWVAAGCTTLFVSLAKSVIGGFDSHLCLEPALAGYAGYILADLGSGVYHWAIDNYGDESTPVVGTQIEAFQGHHKWPWTITRRQFANNLHALAQVITFTVLPLDLAFNDPVFHGFVCTFAFCILFSQQFHAWAHGTKSKLPPLVVALQDMGLLVSRRQHAEHHRAPYNNNYCIVSGAWNNVLDESKVFEALEMVFYFQLGVRPRSWSEPNSDWIEETEISNNQA", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDVASARSISSHPSYYGKPICSSQSSLIRISRDKVCCFGRISNGMTSFTTSLHAVPSEKFMGETRRTGIQWSNRSLRHDPYRFLDKKSPRSSQLARDITVRADLSGAATPDSSFPEPEIKLSSRLRGIFFCVVAGISATFLIVLMIIGHPFVLLFDPYRRKFHHFIAKLWASISIYPFYKINIEGLENLPSSDTPAVYVSNHQSFLDIYTLLSLGKSFKFISKTGIFVIPIIGWAMSMMGVVPLKRMDPRSQVDCLKRCMELLKKGASVFFFPEGTRSKDGRLGSFKKGAFTVAAKTGVAVVPITLMGTGKIMPTGSEGILNHGNVRVIIHKPIHGSKADVLCNEARSKIAESMDL", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATAAVIGLNTGKRLLSSSFYHSDVTEKFLSVNDHCSSQYHIASTKSGITAKKASNYSPSFPSSNRHTQSAKALKESVDVASTEKPWLPNGTDKELEEECYDDDDLISHSVEAILLLQKSMLEKSWNLSFEKAVSSEYPGKGTIRKKKIPVITCSGISARQRRIGAKKKTNMTHVKAVSDVSSGKQVRGYVKGVISEDVLSHVEVVRLSKKIKSGLRLDDHKSRLKDRLGCEPSDEQLAVSLKISRAELQAWLMECHLAREKLAMSNVRLVMSIAQRYDNLGAEMSDLVQGGLIGLLRGIEKFDSSKGFRISTYVYWWIRQGVSRALVDNSRTLRLPTHLHERLGLIRNAKLRLQEKGITPSIDRIAESLNMSQKKVRNATEAVSKVFSLDRDAFPSLNGLPGETHHSYIADTRLENNPWHGYDDLALKEEVSKLISATLGEREKEIIRLYYGLDKECLTWEDISKRIGLSRERVRQVGLVALEKLKHAARKRKMEAMILKN", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASTFTSSSSVLTPTTFLGQTKASSFNPLRDVVSLGSPKYTMGNDLWYGPDRVKYLGPFSVQTPSYLTGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGAFGCITPEVLQKWVRVDFKEPVWFKAGSQIFSEGGLDYLGNPNLVHAQSILAVLGFQVILMGLVEGFRINGLDGVGEGNDLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWAFATKFAPGA", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASFNSFPIPKQIVGSSSSSSSSTSRPRILVRSSLTSSMTSTNSMLVFVHPHPLIKHWLSLLRSDQTSFPIFVRIPMTSVVATRWSFLSSVKEESRIYQNDSLKACGCASVSPYTAQNNVYVELKDPKENIGVGSAERSYSSRSMLQYNLLAKNLLALEETFVALDSVRMERDIMLQMGKLGAAELFKTCLSRYRGSSITSCLSDTTELVDTTPNQQVFVSSRRKVKKKARRSSVTAENGDQSSLPIGLRTTWNNIDVPRVRRPPKYRKKRERISRNETEMSTGVKIVADMERIRTQLEEESGKVASLSCWAAAAGMNEKLLMRNLHYGWYCRDELVKSTRSLVLFLARNYRGLGIAHEDLIQAGYVGVLQGAERFDHTRGYKFSTYVQYWIRKSMSTMVSRHARGVHIPSSIIRTINHIQKARKTLKTSHGIKYAADEEIAKLTGHSVKKIRAANQCLKVVGSIDKKVGDCFTTKFLEFTPDTTMESPEEAVMRQSARRDIHDLLEGLEPREKQVMVLRYGLQDYRPKSLEEIGKLLKVSKEWIRKIERRAMAKLRDQPNAEDLRYYLNQ", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MSMLMAVKTTSLCCSSLNLTASPTFRRNPRAARLVNPTARIQTRFHRLIEEQGIVLMPGCYDALSAAIVQQTGFSAGFISGYALSASLLGKPDFGLLTPPEMAATARSVCASAPNIPIIADADTGGGNALNIQRTVKDLIAAGAAGCFLEDQAWPKKCGHMRGKQVIPAEEHAAKIASARDAIGDSDFFLVARTDVRATSAKSGLEDAIARVNLYMEAGADASFVEAPRDDDELKEIGKRTKGYRVCNMIEGGVTPLHTPDELKEMGFHLIVHPLTALYASTRALVDVLKTLKENGSTRDHLQKMATFEEFNSLVDLDSWFELEARYSNLRNALGTTKS", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MQSLSTPHTISLLLPRTSPSRLSPSLHSLAFPTRLRSLSYSSQTSILPDAGDDFIVGDCLVYEDGVFEDPYLDKEVTQVAKQERKKNRRGGAKRLDESEIEPENLVPEEWRDIQAEVNLTKKDKRKIAQEMEFGVRVEKKRQGLIPLRKVDLNDFLTYKEAKLAQLRPVILDKPGNFSDDSGASSDGETAVSSPSERVAPKNPRWAVYGKGFDHVAKFFNSDKYDPSDKKSDGPRKLLSKEEKFMLNSRNPDLAVATSKKWLPLHTLAACGEFYLVDSLLKHNLDINATDVGGLTVLHRAIIGKKQAITNYLLRESANPFVLDDEGATLMHYAVQTASAPTIKLLLLYNADINAQDRDGWTPLHVAVQARRSDIVKLLLIKGADIEVKNKDGLTPLGLCLYLGREIRTYEVMKLLKEFPLSRHKKRLVTTDEDIE", - "output": "Plastid" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MENLTLVSCSASSPKLLIGCNFTSSLKNPTGFSRRTPNIVLRCSKISASAQSQSPSSRPENTGEIVVVKQRSKAFASIFSSSRDQQTTSVASPSVPVPPPSSSTIGSPLFWIGVGVGLSALFSYVTSNLKKYAMQTAMKTMMNQMNTQNSQFNNSGFPSGSPFPFPFPPQTSPASSPFQSQSQSSGATVDVTATKVETPPSTKPKPTPAKDIEVDKPSVVLEASKEKKEEKNYAFEDISPEETTKESPFSNYAEVSETNSPKETRLFEDVLQNGAGPANGATASEVFQSLGGGKGGPGLSVEALEKMMEDPTVQKMVYPYLPEEMRNPETFKWMLKNPQYRQQLQDMLNNMSGSGEWDKRMTDTLKNFDLNSPEVKQQFNQIGLTPEEVISKIMENPDVAMAFQNPRVQAALMECSENPMNIMKYQNDKEVMDVFNKISQLFPGMTG", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MEGLAIRASRPSVFCSIPGLGGDSHRKPPSDGFLKLPASSIPADSRKLVANSTSFHPISAVNVSAQASLTADFPALSETILKEGRNNGKEKAENIVWHESSICRCDRQQLLQQKGCVVWITGLSGSGKSTVACALSKALFERGKLTYTLDGDNVRHGLNRDLTFKAEHRTENIRRIGEVAKLFADVGVICIASLISPYRRDRDACRSLLPDGDFVEVFMDVPLHVCESRDPKGLYKLARAGKIKGFTGIDDPYEAPVNCEVVLKHTGDDESCSPRQMAENIISYLQNKGYLEG", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAFSSLSPLPMKSLDISRSSSSVSRSPYHFQRYLLRRLQLSSRSNLEIKDSSNTREGCCSSAESNTWKRILSAAMAAAVIASSSGVPAMAELNRFEADTRGEFGIGSAAQYGSADLSKTVHSNENFRRANFTSADMRESDFSGSTFNGAYLEKAVAYKANFSGADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGAKIEGADFSDAVIDLLQKQALCKYATGTNPLTGVDTRKSLGCGNSRRNAYGSPSSPLLSAPPQRLLGRDGFCDEKTGLCDVK", - "output": "Plastid" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASSSSMQMVHTSRSIAQIGFGVKSQLVSANRTTQSVCFGARSSGIALSSRLHYASPIKQFSGVYATTKHQRTACVKSMAAEEEEVIEPQAKVTNKVYFDVEIGGEVAGRIVMGLFGEVVPKTVENFRALCTGEKKYGYKGSSFHRIIKDFMIQGGDFTEGNGTGGISIYGAKFEDENFTLKHTGPGILSMANAGPNTNGSQFFICTVKTSWLDNKHVVFGQVIEGMKLVRTLESQETRAFDVPKKGCRIYACGELPLDA", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MATASLVPTSKIFSVSPKSSASIKARSRVVVASSQQQQQPRRRELLLKSAVAIPAILQLKEAPISAAREVEVGSYLPLSPSDPSFVLFKAKPSDTPALRAGNVQPYQFVLPPNWKQLRIANILSGNYCQPKCAEPWIEVKFENEKQGKVQVVASPLIRLTNKPNATIEDLGEPEKVIASLGPFVTGNSYDSDELLKTSIEKIGDQTYYKYVLETPFALTGSHNLAKATAKGSTVVLFVVSATEKQWQSSQKTLEAILDSFQL", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAAKVFTQNPIYSQSLVRDKTPQQKHNLDHFSISQHTSKRLVVSSSTMSPPISSSPLSLPSSSSSQAIPPSRAPAVTLPLSRVWREIQGSNNWENLIEPLSPILQQEITRYGNLLSASYKGFDLNPNSKRYLSCKYGKKNLLKESGIHDPDGYQVTKYIYATPDINLNPIKNEPNRARWIGYVAVSSDESVKRLGRRDILVTFRGTVTNHEWLANLKSSLTPARLDPHNPRPDVKVESGFLGLYTSGESESKFGLESCREQLLSEISRLMNKHKGEEISITLAGHSMGSSLAQLLAYDIAELGMNQRRDEKPVPVTVFSFAGPRVGNLGFKKRCEELGVKVLRITNVNDPITKLPGFLFNENFRSLGGVYELPWSCSCYTHVGVELTLDFFDVQNISCVHDLETYITLVNRPRCSKLAVNEDNFGGEFLNRTSELMFSKGRRQALHFTNAATNAAYLLCSISNHMLYYNIF", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASVSATMISTSFMPRKPAVTSLKPIPNVGEALFGLKSANGGKVTCMASYKVKLITPDGPIEFDCPDNVYILDQAEEAGHDLPYSCRAGSCSSCAGKIAGGAVDQTDGNFLDDDQLEEGWVLTCVAYPQSDVTIETHKEAELVG", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVCHVFSSFSSSLIRVLEAPLLLPAASASSSSSSSPASRSGGRRRRAAHVRPSPAIYPGRQELASHSSMLPTDFDIKVLIERHEALTDDVQEMLQHQRRRHQKTASGGRERIATVDHLRRLCMDHYFQDEVDDAMDACLLEELAHGGDLLDATLAFRLMREAGHHVSADEVLGRFTDDNGEFRLDYRKDIRGLLSLQDISHMNIGQEASLCKAKEFSTRNLESAINYLEPNLARYVRQSLDHPYHVSLNQYKARHHLSYLQTLPIRCTAMEELALADFQLNKLLHQMEMQEIKRWWMDLGLAQEIPVARDQVQKWFVWMMTAIQGASLSRCRIELTKIVSFVYIVDDIFDLVGTREELSCFTQAIRMWDLAAADSLPSCMRSCFRALHTVTNDIADMVEREHGVNPINHLKKAWAMLFDGFMTETKWLSAGQVPDSEEYLRNGVVTSGVPLVFVHLLFMLGHDVSQNAAEFVDHIPPVISCPAKILRLWDDLGSAKDEAQEGLDGSYKELYLKENPGLAAGEAEEHVRRLIAGEWEELNRECFSASPSRSSPATTFPAGFTQAALNAARMVGVMYGYDGERRLPVLDDYVRMLLF", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLPASLQRKAAAVGGRGPTNQSRVAVRVSAQPKEAPPASTPIVEDPESKFRRYGKHFGGIHKLSMDWLDSVPRVRVRTKDSRQLDDMLELAVLNERLAGRLEPWQARQKLEYLRKRRKNWERIFEYVTRQDAAATLAMIEEANRKVEESLSEEAREKTAVGDLRDQLESLRAQVAQAQERLAMTQSRVEQNLQRVNELKAEATTLERMRKASDLDIKERERIAISTVAAKGPASSSSSAAAVSAPATSATLTVERPAATTVTQEVPSTSYGTPVDRAPRRSKAAIRRSRGLESSMEIEEGLRNFWYPAEFSARLPKDTLVPFELFGEPWVMFRDEKGQPSCIRDECAHRGCPLSLGKVVEGQVMCPYHGWEFNGDGACTKMPSTPFCRNVGVAALPCAEKDGFIWVWPGDGLPAETLPDFAQPPEGFLIHAEIMVDVPVEHGLLIENLLDLAHAPFTHTSTFARGWPVPDFVKFHANKALSGFWDPYPIDMAFQPPCMTLSTIGLAQPGKIMRGVTASQCKNHLHQLHVCMPSKKGHTRLLYRMSLDFLPWMRHVPFIDRIWKQVAAQVLGEDLVLVLGQQDRMLRGGSNWSNPAPYDKLAVRYRRWRNGVNAEVARVRAGEPPSNPVAMSAGEMFSVDEDDMDN", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAASMTKPISITSLGFYSDRKNIAFSDCISICSGFRHSRPSCLDLVTKSPSNNSRVLPVVSAQISSDYIPDSKFYKVEAIVRPWRIQQVSSALLKIGIRGVTVSDVRGFGAQGGSTERHGGSEFSEDKFVAKVKMEIVVKKDQVESVINTIIEGARTGEIGDGKIFVLPVSDVIRVRTGERGEKAEKMTGDMLSPS", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQSLSQLGPSEIFLVARREKPSTRAQLWFTGRLSFRQETNGIRLKNRVEFSPRPVPPNLIAAEKEEAKAVLTLFFKKQGLSNSLSSRLINKSDLFIDHLVSRLHSVHKARYLVGRELTTLEIRDSLIPYLEQLHEEHGDLLAELVVSFPDPPAEPRLVASSPVSVLPPRGDTDSAADTRKLRAVSRVSELDTEGALRPQTLYLLDLGLNLEQIKTITRKFAAFPYYSLDGKIKPVVEFLLDLGIPKSDIPTILCKRPQICGISLTDNLKPTMAFLETLGIDKNQWAKIISRFPAILTYSRQKLTSTVEFLSQTGLTEEQIGRILTRCPNIMSYSVEDKLRPTMEYFRSLNVDVAVLLHRCPQTFGLSIESNLKPVTEFFLEKGFGLDEIGIMISRYGALYTFSLKENVMPKWDYFQTMDYPKSELVKFPQFFGYSLQERIKPRYELVQRSGVRLLLNQVLSLSGIEFEKVVKKKMMKLVSNNVIAEQSSGGLL", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MITVVTSRLSLLPPVFSVVNSSSSRSKDMNLEPKKKVKLREDWREKSRPIPPGGTYPAKDHCSQCGLCDTYYIAHVKEACAFLGDGMSRIESLEPVVHGRGRKADSLQDTYFGVHQEQLYARKLKPVEGAQWTGIVTTIAIEMLKSNMVEAVVCVQSDPEDRLSPRPVLARTPEEVLAARGVKPTLSPNLNTLELIEASGVKRLLFCGVGCQVQALRSVEQHLNLEKLYVLGTNCVDNGTRDGLDKFLKAASKEPETVLHYEFMQDYKVQLKHLDGHIEEVPYFSLPANDLVDVIAPSCYSCFDYTNALADLVIGYMGVPKYSGLNMTDHPQYITVRNERGKEMLSLVENLLEITPTISSGDRRPFVTETVKADDAAKFGQGPAQPAPLFVGNIIAFILNLVGPKGLEFARYSLDYHTIRNYLYVNRKWGKQRANTHMPSYAKKIVEMYNKNGQIDKMLSKK", - "output": "Plastid" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MATTVHLSSFSLFIQSRGRRDNSISSVKSLKKRTGLSPSSALTSQGGRDMIPPEGKCNDHNSAFDFKLYMIRKAESVNAALDVSVPLREPLTVQEAVRYSLLAGGKRVRPLLCIAVCELVGGDEATAMSAACAVEMIHTSSLIHDDLPCMDNADLRRGKPTNHKVYGEDMAVLAGDALLALAFEHMTVVSSGLVAPERMIRAVVELARAIGTTGLVAGQMIDLASERLNPDKVGLEHLEFIHLHKTAALLEAAAVLGVIMGGGTEEEIEKLRKYARCIGLLFQVVDDILDVTKSTEELGKTAGKDVMAGKLTYPRLIGLERSKEVAEKLRREAEEQLLGFDPSKAAPLVALASYIACRHN", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MATATSASLFSTVSSSYSKASSIPHSRLQSVKFNSVPSFTGLKSTSLISGSDSSSLAKTLRGSVTKAQTSDKKPYGFKINASYKVAVLGAAGGIGQPLSLLIKMSPLVSTLHLYDIANVKGVAADLSHCNTPSQVRDFTGPSELADCLKDVNVVVIPAGVPRKPGMTRDDLFNINANIVKTLVEAVAENCPNAFIHIISNPVNSTVPIAAEVLKKKGVYDPKKLFGVTTLDVVRANTFVSQKKNLKLIDVDVPVIGGHAGITILPLLSKTKPSVNFTDEEIQELTVRIQNAGTEVVDAKAGAGSATLSMAYAAARFVESSLRALDGDGDVYECSFVESTLTDLPFFASRVKIGKNGLEAVIESDLQGLTEYEQKALEALKVELKASIDKGVAFANKPAAAAAN", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAHLLSASCPSVISLSSSSSKNSVKPFVSGQTFFNAQLLSRSSLKGLLFQEKKPRKSCVFRATAVPITQQAPPETSTNNSSSKPKRVMVIGGDGYCGWATALHLSKKNYEVCIVDNLVRRLFDHQLGLESLTPIASIHDRISRWKALTGKSIELYVGDICDFEFLAESFKSFEPDSVVHFGEQRSAPYSMIDRSRAVYTQHNNVIGTLNVLFAIKEFGEECHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVKTDETEMHEELRNRLDYDAVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVEIAIANPAKAGEFRVFNQFTEQFSVNELASLVTKAGSKLGLDVKKMTVPNPRVEAEEHYYNAKHTKLMELGLEPHYLSDSLLDSLLNFAVQFKDRVDTKQIMPSVSWKKIGVKTKSMTT", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAPPPLPLFSPSLKAPPPPPWLHGSSTQSRDSAPPVPPLPAEATPSKFRIDSPKPAPARKNTKTAAKPLTAGVPGGRTHRAVLGIIRRVRSLELSDAPSPNSVHTSNSGAAAAAFHLTIELSPPREPGQYVVEKEKSRAVPWAAARDEGLKVALRREKKPREPTRAETELETHELRRLRRLARGIGRWARAKKAGVTDEVVKEVRREWASGEELAAVRIVEPLRRSMDRAREILEIKTGGLVVWTKGDMHFVYRGSKYQQNAKHSHTFLTNVHKDDAFQENDQSICGQKDEEPVKGTLYEREVNRLLDTLGPRFVDWWWDTPLPVDADLLPEFVPGSKTPYRLCPPGVRPTLADEELTYLRKLARLLPTHFALGRNTRLQGLAAAILKLWEKSLIAKIAVKIGIQNTNNEQMAWNLKHLTGGTVILRNKDFIILYRGKDFLPGGVAQTVIQREAQVHDEQVKEEEARLKAVDSLQMVGELSEESSLGTFREYQGFHAKFVHENTENSNTMIELEAEKYRLEKELKDHEWKLSVLNKKIERSNQALAKLHSSWSPSEQSADREHLTEEEKIMFRRIGRKMDGLVLLGRRGIFDGVIEEIHQHWKHKEVVKVITKQNQTRQIMYAASLLEVETGGILIAVEKLTTSHAIILYRGKNYRRPAKSSFSNLLTKREALRRSIEVQRRGSMKYFVRERQKSILELKRKLRYVTRQIRYRTP", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSSINLSSSSPSTISLSRSRLSQSSTTLLHGLHRVTLPSNHPLSTFSIKTNTGKVKAAVISREDDLLSFTNGNTPLSNGSLIDDRTEEPLEADSVSLGTLAADSAPAPANGFVAEDDDFELDLPTPGFSSIPEAIEDIRQGKLVVVVDDEDRENEGDLVMAAQLATPEAMAFIVRHGTGIVCVSMKEDDLERLHLPLMVNQKENEEKLSTAFTVTVDAKHGTTTGVSARDRATTILSLASRDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLTVLAGLDPVGVLCEIVDDDGSMARLPKLREFAAENNLKVVSIADLIRYRRKRDKLVERASAARIPTMWGPFTAYCYRSILDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLALSMQQIEATGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANEELGLPVDSREYGIGAQIIRDLGVRTMKLMTNNPAKYVGLKGYGLAIVGRVPLLSLITKENKRYLETKRTKMGHMYGLKFKGDVVEKIESESES", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MATVLGSPRAPAFFFSSSSLRAAPAPTAVALPAAKVGIMGRSASSRRRLRAQATYNVKLITPEGEVELQVPDDVYILDQAEEDGIDLPYSCRAGSCSSCAGKVVSGSVDQSDQSYLDDGQIADGWVLTCHAYPTSDVVIETHKEEELTGA", - "output": "Plastid" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "METAICGRLALAPSSLFNSKSGDKHLVSKGPCVNRSILMTLSTSAALGKGGGVLDKPIIEKTTPGRESEFDLRKSKKIAPPYRVILHNDNFNKREYVVQVLMKVIPGMTVDNAVNIMQEAHINGLAVVIVCAQADAEQHCMQLRGNGLLSSVEPDGGGC", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSTGISTDLHVHFGALNFSKTYKSGLSNRTVSFSRVGYAQNRKLSCSVSNTENVAPKDDERGKDRPLVKMCGITSARDAAMAVEAGADFIGMIIWPHSKRSISLSVAKDISKVAREGGAKPVGVFVEDDENTILRAADSSDLELVQLHGNGSRAAFSRLVRKRRVIYVLNANQDGKLLNEVPEEDCHLADWILVDSATGGSGHGFNWAQFKLPSVRSRNGWLLAGGINPTNVSEALSILQPDGIDVSSGICGTDGIQKDKSKISSFITAVRSVHY", - "output": "Plastid" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASSSLCHRYFNKITVTPFFNTKKLHHYSPRRISLRVNRRSFSISATMSSSTKKVLIPVAHGTEPFEAVVMIDVLRRGGADVTVASVENQVGVDACHGIKMVADTLLSDITDSVFDLIMLPGGLPGGETLKNCKPLEKMVKKQDTDGRLNAAICCAPALAFGTWGLLEGKKATCYPVFMEKLAACATAVESRVEIDGKIVTSRGPGTTMEFSVTLVEQLLGKEKAVEVSGPLVMRPNPGDEYTITELNQVSWSFEGTPQILVPIADGSEEMEAVAIIDVLKRAKANVVVAALGNSLEVVASRKVKLVADVLLDEAEKNSYDLIVLPGGLGGAEAFASSEKLVNMLKKQAESNKPYGAICASPALVFEPHGLLKGKKATAFPAMCSKLTDQSHIEHRVLVDGNLITSRGPGTSLEFALAIVEKFYGREKGLQLSKATLV", - "output": "Plastid" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPLPQPLLGGASPAPARAASSFLHPLLHTRHRVSTAPAAASSFVPASHSSHANDAMLLRRAADVADRSAGLTSPHPNFGCVIARPQLNTDSADSWVVGEGFLYAQGTPCAELLASQEAGEHARGGTAYLNLEPGDCFGDNTAVGSLVQAGITRVVVGLRHPLKHLRGKAIQALRNEGIQVDVVGEDLQSKLFKEALKSCLTVNAPLLYRAAFHVPFSVLKYAMTADGKIAASSGHASWISGKASRGRVFELRGRSDAVIVGGNTVRFDDPRLTARHVKGHVPVRIVMSQSLNLPEEANLWNLNDAYTIVATQRGARRDFQRKLAMKGVEVVEFDMLNPRAVMSYCYDRGYLAVLWECGGTLAASAISASVIHKVYAFWAPKIIGGLNAPTPVGELGMSQMTQAINLIDVSYEQIDRDMLMSGFIEPIPDLSPVIPSVEEIPSIDPEVSPYETNIISFYKTWDIFGAFSNFSPHSIQMPDENGDYFTWPTVEHYYQAHKFVGVDNPQARDIVQEIKLAKSPEEAARIGRTRQKGFPELVRTDWESTKIDVMYRAIKCKFSTYPHLTNMLLSTAGSVLVEASPHDLFWGGGREGEGLNYLGRLLMQLRSEILGTVPASAEVGEAD", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASLMRKAAVAPAKATRTTVKASASLQRVAQAAGVAVAGFSLALSANAANVKLGADSGALVFEPATVTIKAGDSVTWTNNAGFPHNIVFDEDAVPAGVNADALSHDDYLNAPGESYTAKFDTAGEYGYFCEPHQGAGMVGKVIVQ", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASSSWAFFKVFNPQIAPKSISHIGQSDLMQLTHKKQLPTFQRRGIAEDSLLPSSTTPIKPMHVETKHTRTMGDIFVQHSQKLELFRNVLRNAAELDALEGLNMIDAVQRLGIDYHFQREIDEILHKQMGIVSACDDLYEVALRFRLLRQHGYFVPEDVFNNFKDSKGTFKQVLGEDIKGLMSLYEASQLGTEGEDTLVEAEKFSGHLLKTSLSHLDRHRARIVGNTLRNPHRKSLASFMARNFFVTSQATNSWLNLLKEVAKTDFNMVRSVHQKEIVQISKWWKELGLVKELKFARDQPLKWYTWSMAGLTDPKLSEERVELTKPISFVYLIDDIFDVYGTLDDLILFTEAVNRWEITAIDHLPDYMKICFKALYDMTNEFSCKVYQKHGWNPLRSLKISWASLCNAFLVEAKWFASGQLPKSEEYLKNGIVSSGVNVGLVHMFFLLGQNITRKSVELLNETPAMISSSAAILRLWDDLGSAKDENQDGNDGSYVRCYLEEHEGCSIEEAREKTINMISDEWKKLNRELLSPNPFPATFTSASLNLARMIPLMYSYDGNQSLPSLKEYMKLMLYETVSM", - "output": "Plastid" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASTASYSPSPTSQWRTQKLPKRFNFYVIHNQEFGKLSQSSSLSTSSFPKTLKLPVIHMPINNIQSQTTVCVSTDENLEELVNLQKIPNGFLNKESNKRVFIQDPPWVSSLFMNSLFVRAKQVQGVRREFREIERRRRYAMLRRRQIKAETEAWEQMVEEYRELEREMCEKKLAPNLPYVKKLLLGWFEPLRQAIEKEQNAETTVKHRAAFAPHIDSLPADKMAVIVMHKLMGLLMMGGKEERCVQVVQAAVQIGMAVENEVRIHNFLEKTKKLQKHMTGAQSQEDMSKETMILRKRVKSLIKRNRVVEVRKLMKSEEPESWGRDTQAKLGCRLLELLTETAYVQRPVDQSADTPPDIRPAFRHVFKIATRDPGKNIVKKYGVIECDPLVVAGVDRTVKQMMIPYVPMLVPPKKWRGYDKGGYLFLPSYLMRTHGSRRQQDAVRGVPTKQMQQVYEALDTLGSTKWRVNKRILNVVESIWAGGGNIAGLVDRKDVPIPELSNSDDIMEVKKWKWKVRKSKKINQELHSQRCDTELKLSVARKLKDEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGILEFAEGRPLGKSGLRWLKIHLASLYAGGVEKLCYDARLAFVENHIHDILDSANNPLNGNRWWLNAEDPFQCLAACINLSEALKSSSPHTVISHLPIHQDGSCNGLQHYAALGRDSMEAAAVNLVAGEKPADVYTEIALRVDHIIRGDSIKDPAIDPNALLAKLLIDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLEEKGLIDDDRLLFTASCYAAKVTLAALGELFQAARGTMTWLGDCAKVIALENQPVRWTTPLGLPVVQPYFKTQRHVIRTSLQILALQREGDAVEVRKQRTAFPPNFVHSLDGSHMMMTAVACRDAGLQFAGVHDSFWTHACDVDQMNRILREKFVELYSLPILEDLLENFQKSYPALTFPPLPKRGDFNLREVLESPYFFN", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MATTVTLKSFTGLRQSSTEQTNFVSHVPSSLSLPQRRTSLRVTAARATPKLSNRKLRVAVIGGGPAGGAAAETLAQGGIETILIERKMDNCKPCGGAIPLCMVGEFNLPLDIIDRRVTKMKMISPSNIAVDIGRTLKEHEYIGMVRREVLDAYLRERAEKSGATVINGLFLKMDHPENWDSPYTLHYTEYDGKTGATGTKKTMEVDAVIGADGANSRVAKSIDAGDYDYAIAFQERIRIPDEKMTYYEDLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKGDIKKFQLATRNRAKDKILGGKIIRVEAHPIPEHPRPRRLSKRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSQNGKKMIDEGDLRKYLEKWDKTYLPTYRVLDVLQKVFYRSNPAREAFVEMCNDEYVQKMTFDSYLYKRVAPGSPLEDIKLAVNTIGSLVRANALRREIEKLSV", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSNSLGNNLLYQGFLTSTVLEHKSRISPPCVGGNSLFQQQVISKSPLSTEFRGNRLKVQKKKIPMEKKRAFSSSPHAVLTTDTSSELAEKFSLGGNIELQVDVRPPTSGDVSFVDFQVTNGSDKLFLHWGAVKFGKETWSLPNDRPDGTKVYKNKALRTPFVKSGSNSILRLEIRDTAIEAIEFLIYDEAHDKWIKNNGGNFRVKLSRKEIRGPDVSVPEELVQIQSYLRWERKGKQNYPPEKEKEEYEAARTVLQEEIARGASIQDIRARLTKTNDKSQSKEEPLHVTKSDIPDDLAQAQAYIRWEKAGKPNYPPEKQIEELEEARRELQLELEKGITLDELRKTITKGEIKTKVEKHLKRSSFAVERIQRKKRDFGHLINKYTSSPAVQVQKVLEEPPALSKIKLYAKEKEEQIDDPILNKKIFKVDDGELLVLVAKSSGKTKVHLATDLNQPITLHWALSKSPGEWMVPPSSILPPGSIILDKAAETPFSASSSDGLTSKVQSLDIVIEDGNFVGMPFVLLSGEKWIKNQGSDFYVGFSAASKLALKAAGDGSGTAKSLLDKIADMESEAQKSFMHRFNIAADLIEDATSAGELGFAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLQNAFTSHPQYREILRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMQEWHQKLHNNTSPDDVVICQALIDYIKSDFDLGVYWKTLNENGITKERLLSYDRAIHSEPNFRGDQKGGLLRDLGHYMRTLKAVHSGADLESAIANCMGYKTEGEGFMVGVQINPVSGLPSGFQDLLHFVLDHVEDKNVETLLERLLEAREELRPLLLKPNNRLKDLLFLDIALDSTVRTAVERGYEELNNANPEKIMYFISLVLENLALSVDDNEDLVYCLKGWNQALSMSNGGDNHWALFAKAVLDRTRLALASKAEWYHHLLQPSAEYLGSILGVDQWALNIFTEEIIRAGSAASLSSLLNRLDPVLRKTANLGSWQIISPVEAVGYVVVVDELLSVQNEIYEKPTILVAKSVKGEEEIPDGAVALITPDMPDVLSHVSVRARNGKVCFATCFDPNILADLQAKEGRILLLKPTPSDIIYSEVNEIELQSSSNLVEAETSATLRLVKKQFGGCYAISADEFTSEMVGAKSRNIAYLKGKVPSSVGIPTSVALPFGVFEKVLSDDINQGVAKELQILMKKLSEGDFSALGEIRTTVLDLSAPAQLVKELKEKMQGSGMPWPGDEGPKRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSSGDDSEIYAEVVRGLGETLVGAYPGRALSFICKKKDLNSPQVLGYPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVIDYSSDPLITDGNFRQTILSNIARAGHAIEELYGSPQDIEGVVRDGKIYVVQTRPQM", - "output": "Plastid" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MATSLSVSRFMSSSATVISVAKPLLSPTVSFTAPLSFTRSLAPNLSLKFRNRRTNSASATTRSFATTPVTASISVGDKLPDSTLSYLDPSTGDVKTVTVSSLTAGKKTILFAVPGAFTPTCSQKHVPGFVSKAGELRSKGIDVIACISVNDAFVMEAWRKDLGINDEVMLLSDGNGEFTGKLGVELDLRDKPVGLGVRSRRYAILADDGVVKVLNLEEGGAFTNSSAEDMLKAL", - "output": "Plastid" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAFVSQFNQLPCKTLALNPPQPQLTSKPSVFPIASIGATARAAAGKSLISVRPAFKVRAVLNDDEWGEDKDEKYGDDSSVAVAEKEEEKPLEPSEIYKLKKALVDSFYGTDRGLRVSRDTRAEIVELITQLESKNPTPAPTEALTLLNGKWILAYTTFAGLFPLLSRNLPLVKVEEISQTIDSENLTVQNSVQFSGPLATTSITTNAKFEVRSPLRVHIKFEEGVIGTPQLTDSIVIPDNVDFLGQKIDFTPFNGIISSLQDTASNVAKTISSQPPIKFSISNTRVESWLLTTYLDEDLRISRGDGGSVFVLLKEGSSFLSL", - "output": "Plastid" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASSIVTSSLKPLAMADSSSSTIFSHPSISSTISSSRIRSSSVSLLTGRINLPLSFSRVSLSLKTKTHLKKSPFVSFVAQTSDWAEEGGEGSVAVEETENSLESQDVSEGDESEGDASEGDVSEGDESEGDVSEGAVSERAEFPEPSEEAKLFVGNLAYDVNSQALAMLFEQAGTVEIAEVIYNRETDQSRGFGFVTMSSVDEAETAVEKFNRYDLNGRLLTVNKAAPRGSRPERAPRVYEPAFRVYVGNLPWDVDNGRLEQLFSEHGKVVEARVVYDRETGRSRGFGFVTMSDVDELNEAISALDGQNLEGRAIRVNVAEERPPRRGY", - "output": "Plastid" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNFLKKSLFLVLFLGFVSISFCDEEKRQDDDEGNEREEKKEIQEDGNQEERRDKPPAWVPGK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "LTCVTSKSIFGITTENCPDGQNLCFKKWYYIVPRYSDITWGCAATCPKPTNVRETIHCCETDKCNE", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "LECHDQQSSQTPTTTGCSGGETNCYKKRWRDHRGYRTERGCGCPSVKNGIEINCCTTDRCNN", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "DDTPSSRCGSGGWGPCLPIVDLLCIVHVTVGCSGGFGCCRIG", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKGMILFISCLLLIGIVVECKEGYLMDHEGCKLSCFIRPSGYCGRECGIKKGSSGYCAWPACYCYGLPNWVKVWDRATNKCGKK", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVVFSQVTVALTCFSAIASAAAVRQEPPQGFTVNQVQKAVPGTRTVNLPGLYANALVKYGATVPATVHAAAVSGSAITTPEADDVEYLTPVTIGSSTLNLDFDTGSADLWVFSSELTSSQQSGHDVYNVGSLGTKLSGASWSISYGDGSSASGDVYKDTVTVGGVKATGQAVEAAKKISSQFLQDKNNDGLLGMAFSSINTVSPTPQKTFFDTVKSSLGEPLFAVTLQGTGRPWHLRFGYIDSDKYTGTLAYADVDDSDGFWSFTADSYKIGTGAAGKSITGIADTGTTLLLLDSSIVTGLLQEGYPGSQNSSSAGGYIFPCSATLPDFTVTINGYDAVVPGKYINFAPVSTGSSSCYGGIQSNSGIGFSIFGDIFLKSQYVVFDSEGPRLGFAAQA", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MMKLVLFGIIVILFSLIGSIHGISGNYPLNPYGGYYYCTILGENEYCKKICRIHGVRYGYCYDSACWCETLKDEDVSVWNAVKKHCKNPYL", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRINFKLILIILTSFYGIINCQSTCPYSKTVINGASATFYSAMDNGNCGFGKLTGPTGPGNYMIAALGTKLYQNGAQCGQCFKISNSKNASVTVMATDSCNDAGYCQRDNHFDLSPTAFSILGAQSQGVLDGLSYVKVPCRVSGNVKVMLKDGSNAYWTSFLVFNNAIDVKQVSIKLSGSSTYVPLTQTTYNYWPSSITAGSFQVRIESIGGEFIYVTIPSVVSSKIYDTGSQFSSSC", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSKIILAIFLIVLCGLIFVTVDAMIDAPCKDNDDCDRFTEYCAIYADENGNEAGKRCEDAIGLLV", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MANCIRRNALFFLTLLFLLSVSNLVQAARGGGKLKPQQCNSKCSFRCSATSHKKPCMFFCLKCCKKCLCVPPGTFGNKQTCPCYNNWKTKEGRPKCP", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MICYKQQSLQFPITTVCPGEKNCYKKQWSGHRGTIIERGCGCPSVKKGIEINCCTTDKCNR", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKFVLFAQLAAVAAPAIAIDLAVQAGQHVMYSYPGLTPPESLYKLTSEGKVGGLIIFKENVNSNLPAIMDKFQALYKASPAYNGHPMIITTDQEGGNVRRVPGGPSQSARQIGDSSTPMQAASQAGRDAAAALKAQKINGNLAPVLDIYREEGNFIDEFGRSFGNNTEIVTSCGSAFAISQSRSGVLSTVKHFPGLGAAKKGENTDLVPIKIDLSLDEIRTFDEVPYRTAIRNGVDLIMTSWAVYPSLDAKYPAGLSRKWTTDELRTRLGYKGVIITDAIEAGSLKSFGNDGQRGVLAAKAGVDILLASGRNATQGEAIVNEIVAALKKGTLSMTEFQESSKRIQALQSRLSA", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSFLKKSLFLVLFLGLVSFSICEEEKRETEEEENKDETEEQSEEKKRFEPVPPGFTPFRLT", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNFKYIIAVSFLIASAYARSVQNDEQSLSQRDVLEEESLREIRGIGGVLLSAGKAALKGLAKVLAEKYANGKRTAEEHEVMKRLEAVMRDLDSLDHPEEASERETRGFNQDEIAKEKRILGPVLGLVGNALGGLIKKIG", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "HLLQFGDLIDKIAGRSGFWYYGFYGCYCGLGGRGRPQDATDRCCFVHDCCYGKVTGCDPKKDIYTYSEENGAIVCGGDNPCKKEICECDRDAAICFRDNLDTYDNKYWLFPNKYCKEESEPC", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRAWLLLLAVLATFQTIVRVASTEDISQRFIAAIAPVAAHIPLASASGSGSGRSGSRSVGASTSTALAKAFNPFSEPASFSDSDKSHRSKTNKKPSKSDANRQFNEVHKPRTDQLENSKNKSKQLVNKPNHNKMAVKEQRSHHKKSHHHRSHQPKQASASTESHQSSSIESIFVEEPTLVLDREVASINVPANAKAIIAEQGPSTYSKEALIKDKLKPDPSTLVEIEKSLLSLFNMKRPPKIDRSKIIIPEPMKKLYAEIMGHELDSVNIPKPGLLTKSANTVRSFTHKDSKIDDRFPHHHRFRLHFDVKSIPADEKLKAAELQLTRDALSQQVVASRSSANRTRYQVLVYDITRVGVRGQREPSYLLLDTKTVRLNSTDTVSLDVQPAVDRWLASPQRNYGLLVEVRTVRSLKPAPHHHVRLRRSADEAHERWQHKQPLLFTYTDDGRHKARSIRDVSGGEGGGKGGRNKRQPRRPTRRKNHDDTCRRHSLYVDFSDVGWDDWIVAPLGYDAYYCHGKCPFPLADHFNSTNHAVVQTLVNNMNPGKVPKACCVPTQLDSVAMLYLNDQSTVVLKNYQEMTVVGCGCR", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKSWISISFLCMLFPLSNGQLGSSGQVTLQGAQISQATQSLQGTAPTSQYPQGGTQISQGGAQATQNYQGVAQGTQISQGLTQGAQISQGGGQGISQGATQGTQFSQGTVPSGQFFQNIVQGTQAVLSGAQHSQAGAQGSQFPQSAAHTAQHHQGTAQPAQSGTHAILKEMEKSLAEFKAYVEYLENMVYKERMKYPSPYIQNFTASPSNFTYTTFENDVDMRLSSMERISSELVKQMVNCPRGPVPPPPPQSVMVQSDTVDNSSNIYVSWDPPYFEGKPLTGENMHYKVYFSPSDQYGKATGGEFIFRICDANFTQASVTDLNPRSFYSIQVAATLCEAIESEGTSTSVKTPDLIPSAPLNLKLEGTKPNAFAVSWDPPTVKGTLTNYTIYATEESGKATMVTIDPKLTSYALYNLYEGTMYTIRIAASSDNGMSPKSEPLEVTTDKFIPMAPRNVRAIDNNLTSVTLEWDAPLPGRGMIRGYRINYTLDFTDYEEMLISDPSITTATITNLTPATEYYFQVFARTMKRLGYGSHLIMNKTKMDVPSEPMSVVHRIMDNGLQRIQVSWQPPENTYGPIIDYIIHWGVRGGATRKEFLTPYVLSWTSDFLDDNANHDFKLFAQNVVGIGKPVAFSVKTLPKPQILVPNVRVKRETSKNNITSLTVTWGSPKVPVDGFFVLYRKYEGVYSDRWKFIEIPKPNARGTTITVTQENVPYVVVCKGFKRQKKPTSNLSSQQFSFPGQQVGQQQSNPWI", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKWVKSGVGILGILLTICHAVTSQGHILDITDSLKITFKTLSNFGPRAQSIQNVTIENVGIKDIPDSGWRCYFCHDQLLFPGTFNLARNQYFLRPILDNYVVLSDGFLLEFIKGCMYRITPIPRNAPIKTRDKREFTLLAEQFSVSKYDSFPKWYCETISGGNTEVGIIRNTENLKYVEDFDSSYNWFRIPHDFRSVPLQPQDRFSANHKASSVDECKYKVIPTPVKASVSKVQRNFGTTVYYGTTDTSIRGREKLFKVAEQLALKHNLGLVEITPGLTVNNGISLVVTGNYVERNIPSPDEAYSLTVTADLISIEAPALPGLINGIETIHSLSAWDMALPYGGIKDFPRFPFRGIFLDIASNFPGYNYIKKFLTVMAQYKLNKLVLPMYNNEGFRLQLNDSPRYEFQALHMIGGKRCHDLKEEKCLFSQLGSGPDSSGGYLTKAQMTDLIKTADLLNIEIIMSMNIGESARGAIVPLKQSPHSRLLYDPDDTDFVDRFYPQKDTSMNPCREETTYFYDHMLKQLKDIYNAASVPLKTIMIGSKVNFDQVLNSKYCYASNLNSTQRLMARGNLERNINGFKLNFTKRLVKTAHDNGIKEVMAIDDVFTTEFDAEGNTPNTVYDTKNSDNSTRFNATVTAVHSRYDTVRDERLWKRGDRFAELGYKVILSPPILDFNYAVEPDPDRPGDYDSVIRNISFSKLFRFVPDSRCCNIPNAIQHDCALESDCTTAGAKDSYIGTLGKLDTRKLRSLKDWNELLFPRLLIFAERSWHKSSWEDSFGPHRASVNNITRQLITNYTVPNWNDINNEESKVLGCISRKEKLRLMHEDGLKPYVEPPGARLLGGNTMRIAASTTEDSFWVQASVNGNPWTDNVKILDVNPTDSVRLRTVHPAKAELRSKEVKLNLTSLPTPRERFRKIAQDALSRRIGIDIQRARMPPMPVNPAYRPPVPLPSFDPADDRAPDLAAIAAAHPPPLPPGMPSHMMPNMPPPFPPRPPFGPPMLPPGQMRALGQQAGQALRGQGTALGPQTGQQPMPAQPRGPLTGQAAGTGVAGQTGQQPSTAGQGTQQGLPGQQRGGVLPGQWPFFPGMPAAQFPPMFNPQMQRALQMRGQGQIPQRTQGAAAGAGQSRIPQQAG", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MYLTIWLVPLLAVGTWGQKFNRFCHYNSWALSRNPQHGLVPEDIDPFLCTHMILGFAEIDESGLRLKDPNHYQQQYLYQRIVRLRRINPRLNMILSVGGWDKSQEGYSKLVSSRENIIFFTKWIITYLRRHDFDGLDLDWEYPTFKGSPMVDKKKFVDLVENLAYEFDIEEIPDIKWKLTLTWTADPLESVRTSAYDIKGIASKVHYVNLKMYDFHGHWDDPLRVNHHSPLTSSNSPRNVNELAKTWVKAGVRIEKLILGIPFFGRSFTLKTANMSAPGSPAVGPGSDFGDGIPIHNLCHIIRGGTKELYLPEKKVPYIVSGSEWIGYDNPRSVMEKAQLVFNNALAGVMIYSLDMDDHHGTCGKKWPMMMAVIHGLNAYMEYIDSKHKSLELTYNKKILRARVSLRNYRRRNQQGKVAEMEQRIRNLEQELQQSMGNMAYERQQAQAMLNRGVSLPPIEQQSWSW", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MDFYSSLLPFLILIYLEFCSGFNRVCYYNGWALYRDSEHALKPENIDAFLCTHLVFAFGAIDETGTRIYVPEVFEDMHLFERMNELRHRNEDLNLVLSVGGWDMGSEAWSEVLASKDNMQTFVKEAIVYLRLHDFDGIDLDWEYPTFRGSKPIDREKFTQLIEIFRHEMDIEETPDDKWDLCLSVAVDPSEYMSSSSYEIDKITKNIDFYNLKMYDFHGHWNDPVLVKHHSALTSSSSLPSVNELAKMWVQRGVPKRKINIGIPFFGRSYRTAQPNATIGDPALGPGSDGGIGIPVSNICHLIRGGTKEHYLKEENVPFIVNGDEWVGFDNPRSVREKAALVRNNRLGGIMVWAIDMDDHSGWCGEKFPLMMSIIHGLGEYVDYMSDTLEAEREMINKKIRKAAREISYYSDKGNSTMAKKMEDKLNQLKDHLSAVQAHQSVQWANVQYSAGLGGKPLPSKDTPSWSW", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPPFISHFFLLSTFASLALCSFYCKNPGYPCLNGGTCLYNGECNCTSGFRGFNCGLDSSTISAACTVECHNKGICYNGDKCYCTKDYMGPTCQQAYDFADCNKSSMKIKAYRPTEFNGEMFLMQSMFGCKLAEVTSTIAGYKQYELDVPHDSTGPCKLKKTIDATTGDVHFEVNVSTIHHAGQFGMYDGLKTVSCHYSSRDQAIVKDVTNQDLIVSVTASDGSTPNLQEIPSNDVIHLTFKPVNLPGGYKAVKILDLEMYSVVEQWNEINSMVLLKDQCMTQKADELGYSVSNEVDGTSGRAILKAIPLFENVPAPVHFNYRLRFCRNRCILKSCASPSLKPMPKGEIFKHQGQGIRIV", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MFYLSTFMTIVISLSLVSCSYDCNNPGFTCHGECHYYGSCICNERLTGYDCSVLKSSLSTGSDCKVTCQNNGRCYDGNKCLCSSDYTGHLCEKQTTGARCTLDGVVFEAYRPIGFDGETYLSQSRSCKLLQSESDVPGMIKFERKIFHGDTSMCGLKKHMDMPNAGDITYEADIYSTFVYNSWGTRDFVDNVKCQYKPTRVGLSMDAPDSLFPIKMSARDGASSNVQATTQSAPISLLFSPQNIPDVKGAMVDYMEVYSINSTSKEYKSVVAVKNGCAQKTEYNVAFDNLDELDPVTSTWIGLVKMQAFIIFENEPLLFNYRLRFCPDRCSKPTCAAPAVSQAPSTAV", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNTMALFGKVILLQFLIGVGFCMLMQDPKRNDTKSTYATCFRSQPQGNEPASPDCVKAFMAYAEDMKNIFHFTKEQINYLWSLERETQSLFHNHRRRKRQAVFLPVRKECRLLSEFERQNLFYTIRSLKMDTSNPNEYDTLANLHRGAVQPHAHDGSNFLGWHRVYLMYYERALRRIRGDVTLCFWDTTMDFNLGMDNWEYTAVFSSDFFGNRRGQVITGPFRDWPLPPGLTESDYLYRNMTRGRGMPFDSRAASSIFYNPNTIIHSTVTWEGFGFDTITNSQGQTRNITIEGEHNNVHNWVGGAMEFLDPAPQDPVFFFHHCYIDYVWERFREKMRRYFRDPTTDYPGHGNETLHDANYPMIGFEWFRNIDGYSDYFIQNVYRYESPTCQACYYSPYTVCGQGNQCIARMNYPGTEIEEGPQVPNSPVVAFSVAGGTMLMSAFNGRGFIATSNSE", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDKMRTLQSLIVKLTLLYGALCMLQTENVKEINKQECIQNAVYNFNTTDSKYLDPKCVTIFMDQYRGLKNLLNYTEDQMNYIFSLERAMMRKHHINDKRHKRQAMTRPRQECRTLTDDARNNLFNTIVDLKAPSNGMSRYDTIAGLHRQAIANAHMGANFLGWHRLYLDMFEMALQETRSDVVLCYWDSTLDFLMPGTSQVNTVSFSAELFGNGRGVVINGPFRFWRLPGGRTLQRFIARPGSSLTRPGVVDLIATDPRINTNSQIVFRGQGFPDPDTGRPGHSWEDEHNNTHVWVGGVMQNVVSSPQDPVFWFHHTYVDYVWELFRQKIGPGAREQYPADASGPHAPDAPMIGFDMLQNRDGYSDEHSRMYAMHPRCSNNCGNSRFLLCPNNGPMADPNRRCVSRAVNSDMVPAAAISAPEAAGFSAMSPMGAFGPAAVGPSSVGRMASRSGAARVSLQATDTVAIRAAMSEPPLQLEGPSFTSSFDDPRI", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MQLSFILTSSVFILLLEFVKASVISNPFKPNGNLKFKRGGGHNVAWDYDNNVIRGVNLGGWFVLEPYMTPSLFEPFQNGNDQSGVPVDEYHWTQTLGKEAASRILQKHWSTWITEQDFKQISNLGLNFVRIPIGYWAFQLLDNDPYVQGQVQYLEKALGWARKNNIRVWIDLHGAPGSQNGFDNSGLRDSYNFQNGDNTQVTLNVLNTIFKKYGGNEYSDVVIGIELLNEPLGPVLNMDKLKQFFLDGYNSLRQTGSVTPVIIHDAFQVFGYWNNFLTVAEGQWNVVVDHHHYQVFSGGELSRNINDHISVACNWGWDAKKESHWNVAGEWSAALTDCAKWLNGVNRGARYEGAYDNAPYIGSCQPLLDISQWSDEHKTDTRRYIEAQLDAFEYTGGWVFWSWKTENAPEWSFQTLTYNGLFPQPVTDRQFPNQCGFH", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MREQFSVLVISLLFSSSYGQVGQMGPPPGQSGQPWTLAEFDAIDTHLKNIQMYARSLQDIVYQERMKQYPFMPNSTAGQPNMGYSTFANDVINRLTKIEFETGELVTQYPLCPSGGTGGNPYPVIPPNAPPPQNVMIQSETIGNSSSVIVSWDRPNVVGTDVRLDDLQYKVYFAPLDEYGQQTAEAIVFSICSVNQTVASITDLYPRSFYKVSVGTVICSTSESSSGAKSLKTPDIIPSEPTNLRVDGTKPNAIALRWDLPLLMGTLANYTIYVTSENGTGFEVAVDPTQVNAILYDLIEGTRYVISVSAFSDNGESPKSSSIEVMTDVFVPDMPRFFQVIFVNTTSVHLVWEPPNPGAGMIRYYSINYTDSLYSQFFNFKTPNAKITTAIITGLQPATTYYFRAFAHTGRRAGAGSAVIMQDTDITVPTVPRELYAQKAKNDPPRARLQWLPPAKTYGSLKNYSIHWGVKNGATRKEEIEPGLLEWYSDFLDDNTEHEFKLYAQNEKGYGPAATVTHRTPKRDTVVPPNVKVDRKKGKNNETVLVVSWNPITQPGKQVSGFRILYRKFEWVYTGRWSLKEIPDPNARSATIGVENSNYSFIVVVRGYRNPRPNMQVNPPWPGR", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "QAEESCLQNLASRYLQTVTDYGKDLVEKALAPELQAQAKAYFEKTQEQLTPLVKKIGNDLLNFFSHFIELKTQPAT", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MIKYSFLLTALVLFLRALKLEAGDIVIYWGQNGNEGSLADTCATNNYAIVNIAFLVVFGNGQNPVLNLAGHCDPNAGACTGLSNDIRACQNQGIKVMLSLGGGAGSYFLSSADDARNVANYLWNNYLGGQSNTRPLGDAVLDGIDFDIEGGTTQHWDELAKTLSQFSQQRKVYLTAAPQCPFPDTWLNGALSTGLFDYVWVQFYNNPPCQYSGGSADNLKNYWNQWNAIQAGKIFLGLPAAQGAAGSGFIPSDVLVSQVLPLINGSPKYGGVMLWSKFYDNGYSSAIKANV", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVSFTSIVTAVVALAGSALAIPAPDGNMTGFPFEQLMRRQSTPSSTGRHNGYYYSWWTDGASPVQYQNGNGGSYSVQWQSGGNFVGGKGWMPGGSKSITYSGTFNPVNNGNAYLCIYGWTQNPLVEYYILENYGEYNPGNSAQSRGTLQAAGGTYTLHESTRVNQPSIEGTRTFQQYWAIRQQKRNSGTVNTGEFFQAWERAGMRMGNHNYMIVATEGYRSAGNSNINVQTPA", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASLRLFLLCLAGLIFASEAGPGGAGESKCPLMVKVLDAVRGSPAVDVAVKVFKKTADGSWEPFASGKTAESGELHGLTTDEKFTEGVYRVELDTKSYWKALGISPFHEYAEVVFTANDSGHRHYTIAALLSPYSYSTTAVVSNPQN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNSFMSLVRTATIALLLIAFLQNANAVKNLQSIVAYHGAVATDDGRCSAIGTNVLRQGGNAIDASVAAALCLGVVSPASSGIGGGAFTMIKLANGTEVAYDSRETAPLSATEDMYGDNPERKKKGSLSVGVPGEVAGLYTAWTQHGKLPWKQLVEPAEKLAAEGFKISKYLYMQMNATRSDILADKGLSELFVSNGELKKPGAICRNPKLADTLSQIAEYGPKAFYNGTVGFNLVSDIQKAGGIITLKDLQNYNVKVKEPLSTEILGYRLLGMPPPSSGGPAMMLILNILAQYGIPSGVSGPLGVHRLVEALKHAFAVRMNLGDPDFVPEVTNVVADMLSPKFAQDLKSKINDEKTFDPKYYGGKWGQIKDHGTSHLSIIDSERNAVSMTSTINGYFGAIMLSPSTGIVLNNEMDDFSIPTKSGGDPDVPPPAPANFIRPGKRPLSSMTPTIVLKDGKVKAALGASGGMYIIAGTTQVYLNHFFLNMDPLSSVVAPRIYHQLIPNKASYENWTTVYSDHFEIPEEIRLVLEKKGQVLTPIAGGTISQLIVEQSDGKSGGISKLVAVSDPRKGGFPSGY", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNSLLMITACLFLIGTVWAKEGYLVNKSTGCKYGCLKLGENEGCDKECKAKNQGGSYGYCYAFACWCEGLPESTPTYPLPNKSCGKK", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MNTSTAISFVAALVLCCLALSANALQIEPRSSWGAVSARSPSRISGAVDYVIIHHSDNPNGCSTSEQCKRMIKNIQSDHKGRRNFSDIGYNFIVAGDGKVYEGRGFGLQGSHSPNYNRKSIGIVFIGNFERSAPSAQMLQNAKDLIELAKQRGYLKDNYTLFGHRQTKATSCPGDALYNEIKTWPHWRQN", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MELASAHLHKGQVPWVGLLLTASLLTYWSPATTAQVTVEAVPPNVTADNNVLLLVHNLPQTLRVFYWYKGNSGAGHNEIGRFVTSINRSKMGLAHSGRETIYSNGSLFFQSVTKNDEGVYTLYMLDQNFEITPISVRFHVHPSLLPSLSPPTTGQVTVEAVPPNVAEGENVLLLVHNLPRTLRAIYWYRGTTAGERNEIARFITASNKIILGPAHSDREIIYNNGSLFFQGVTKNDEGAYALDMLFQNFDHTLMPVQFNVHAKKQ", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRCLPVFVILLLLIASVPSVDAELKAKDDMPQASFHDNAERDQQKKTSDCCFYHNCCC", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPYFTIDTNIPQNSISSAFLKKASNVVAKALGKPESYVSIHVNGGQAMVFGGSEDPCAVCVLKSIGCVGPKVNNSHAEKLYKLLADELKIPKNRCYIEFVDIEASSMAFNGSTFG", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKTLGVLFIAAWFIACTHSFPGAHDEDSKEERKNVDTVLVLPSIERDQMMAATFDFPSLSFEDSDEGSNWNWNTLLRPNFLDGWYQTLQSAISAHMKKVREQMAGILSRIPEQGVVNWNKIPEGANTTSTTKIIDGHVVTINETTYTDGSDDYSTLIRVRVIDVRPQNETILTTVSSEADSDVTTLPTLIGKNETSTQSSRSVESVEDFDNEIPKNQGDVLTA", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNPRLACSTWLPLLLVLFTLDQGRANPVERGQEYRSLSKRFDDDSTELILEPRASEENGPPYQPLVPRASDENVPPAYVPLVPRASDENVPPPPLQMPLIPRASEQKGPPFNPPPFVDYEPRAANENALRKLIKRSFERSPGRNKRLSPGDGCFGQKIDRIGAVSGMGCNSVSSQGKK", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKILSIVLIALIICSISICTEAFGLIDVKCSASRECWVACKKVTGSGQGKCQNNQCRCY", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "RICHSQMSSQPPTTTFCRVNSCYRRTLRDPHDPRGTIIVRGCGCPRMKPGTKLECCTSDKCNV", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVSAAWLRYPSLLTLGILVSRVAAQYEGDVLRYVDQLVGTANGGHAFPGASLPYGMAKAVADVDGSENYGGFTTEGSNVTGFSHMHDSGTGGKPSMGNFPLVPQICQDDDINKCKFSKEDRAVHYIADSVKARPGYFAIKLNNGIAAEMTVTEHAALYHFDFPHNNAESNGKLPVILVDLTDIQDSRQNAAISLDEDTLRVKANGTFLPSFGIGTYKSFVCVDFSGAEAKDTGIYISNRAGTQPKAISVGRGFNLFYIKAGTYLQFQASSNGPTRVSARVGLSFINEDQACKNAEKEIPGSNWDFEKVRTDAESAWKEKLGLISLKSGGVSDIFQRTFWSAIYRSMISPQDYTGENPLWQSKEPYFDSFYCLLDTYKHQGWLPDCHMSLCKGFTQSGSNADVVIADAYVKSISDNIDWDLAYEAVVKDAEVEPPDWSVEGRGGLMSWKSVGYIPAQDYDYLGTGITTRSISRTVEYSYNDYCVGVLGKGLGKEHEKYFQRSGNWQNLFKADQTSFIDGKDTGFVGFFQPRYYNGTWGYQDPILCSNIAAFCSLTSNSQETYESGIWENQFFVPHDMSTLINLLGGRSKFVARLDYLHDSNILYIGNEPSFLATFLYHYAGRPALSAKRAHTYIPSRFNDTTTGVPGNDDSGAMGSFTVFAMMGLFPNPGQNVYFIMPPFFEAVSIKHPVTGKTATVRNVNFDSKYENVYIQRATLNGKEYTRNWIGHEFFLNGGTLELTLGKEESSWGTGQNDVPPSLGAGIKRDGLRFT", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAFHSTLLVFLAGLVFLSEAAPLVSHGSVDSKCPLMVKVLDAVRGSPAANVAVKVFKKAADGTWQDFATGKTTEFGEIHELTTEEQFVEGVYRVEFDTSSYWKGLGLSPFHEYADVVFTANDSGHRHYTIAALLSPFSYSTTAVVSDPQE", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MESYLRKWCLVSVWVLLLGLGFKVKAEPQVPCYFIFGDSLVDNGNNNRLRSIARADYFPYGIDFGGPTGRFSNGRTTVDVLTELLGFDNYIPAYSTVSGQEILQGVNYASAAAGIREETGAQLGQRITFSGQVENYKNTVAQVVEILGDEYTAADYLKRCIYSVGMGSNDYLNNYFMPQFYSTSRQYTPEQYADDLISRYRDQLNALYNYGARKFALVGIGAIGCSPNALAQGSQDGTTCVERINSANRIFNNRLISMVQQLNNAHSDASFTYINAYGAFQDIIANPSAYGFTNTNTACCGIGRNGGQLTCLPGEPPCLNRDEYVFWDAFHPSAAANTAIAKRSYNAQRSSDVYPIDISQLAQL", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MDSISVTNAKFCFDVFNEMKVHHVNENILYCPLSILTALAMVYLGARGNTESQMKKVLHFDSITGAGSTTDSQCGSSEYVHNLFKELLSEITRPNATYSLEIADKLYVDKTFSVLPEYLSCARKFYTGGVEEVNFKTAAEEARQLINSWVEKETNGQIKDLLVSSSIDFGTTMVFINTIYFKGIWKIAFNTEDTREMPFSMTKEESKPVQMMCMNNSFNVATLPAEKMKILELPYASGDLSMLVLLPDEVSGLERIEKTINFDKLREWTSTNAMAKKSMKVYLPRMKIEEKYNLTSILMALGMTDLFSRSANLTGISSVDNLMISDAVHGVFMEVNEEGTEATGSTGAIGNIKHSLELEEFRADHPFLFFIRYNPTNAILFFGRYWSP", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGSIGAASMEFCFDVFKELKVHHANENIFYCPIAIMSALAMVYLGAKDSTRTQINKVVRFDKLPGFGDSIEAQCGTSVNVHSSLRDILNQITKPNDVYSFSLASRLYAEERYPILPEYLQCVKELYRGGLEPINFQTAADQARELINSWVESQTNGIIRNVLQPSSVDSQTAMVLVNAIVFKGLWEKAFKDEDTQAMPFRVTEQESKPVQMMYQIGLFRVASMASEKMKILELPFASGTMSMLVLLPDEVSGLEQLESIINFEKLTEWTSSNVMEERKIKVYLPRMKMEEKYNLTSVLMAMGITDVFSSSANLSGISSAESLKISQAVHAAHAEINEAGREVVGSAEAGVDAASVSEEFRADHPFLFCIKHIATNAVLFFGRCVSP", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPRPGTSGRRPLLLVLLLPLFAAATSAASPSPSPSQVVEVPGVPSRPASVAVCRCCPGQTSRRSRCIRAFCRVRSCQPKKCAGPQRCLNPVPAVPSPSPSVRKRQVSLNWQPLTLQEARALLKRRRPRGPGGRGLLRRRPPQRAPAGKAPVLCPLICHNGGVCVKPDRCLCPPDFAGKFCQLHSSGARPPAPAVPGLTRSVYTMPLANHRDDEHGVASMVSVHVEHPQEASVVVHQVERVSGPWEEADAEAVARAEAAARAEAAAPYTVLAQSAPREDGYSDASGFGYCFRELRGGECASPLPGLRTQEVCCRGAGLAWGVHDCQLCSERLGNSERVSAPDGPCPTGFERVNGSCEDVDECATGGRCQHGECANTRGGYTCVCPDGFLLDSSRSSCISQHVISEAKGPCFRVLRDGGCSLPILRNITKQICCCSRVGKAWGRGCQLCPPFGSEGFREICPAGPGYHYSASDLRYNTRPLGQEPPRVSLSQPRTLPATSRPSAGFLPTHRLEPRPEPRPDPRPGPELPLPSIPAWTGPEIPESGPSSGMCQRNPQVCGPGRCISRPSGYTCACDSGFRLSPQGTRCIDVDECRRVPPPCAPGRCENSPGSFRCVCGPGFRAGPRAAECLDVDECHRVPPPCDLGRCENTPGSFLCVCPAGYQAAPHGASCQDVDECTQSPGLCGRGACKNLPGSFRCVCPAGFRGSACEEDVDECAQEPPPCGPGRCDNTAGSFHCACPAGFRSRGPGAPCQDVDECARSPPPCTYGRCENTEGSFQCVCPMGFQPNTAGSECEDVDECENHLACPGQECVNSPGSFQCRTCPSGHHLHRGRCTDVDECSSGAPPCGPHGHCTNTEGSFRCSCAPGYRAPSGRPGPCADVNECLEGDFCFPHGECLNTDGSFACTCAPGYRPGPRGASCLDVDECSEEDLCQSGICTNTDGSFECICPPGHRAGPDLASCLDVDECRERGPALCGSQRCENSPGSYRCVRDCDPGYHAGPEGTCDDVDECQEYGPEICGAQRCENTPGSYRCTPACDPGYQPTPGGGCQDVDECRNRSFCGAHAVCQNLPGSFQCLCDQGYEGARDGRHCVDVNECETLQGVCGAALCENVEGSFLCVCPNSPEEFDPMTGRCVPPRTSAGTFPGSQPQAPASPVLPARPPPPPLPRRPSTPRQGPVGSGRRECYFDTAAPDACDNILARNVTWQECCCTVGEGWGSGCRIQQCPGTETAEYQSLCPHGRGYLAPSGDLSLRRDVDECQLFRDQVCKSGVCVNTAPGYSCYCSNGYYYHTQRLECIDNDECADEEPACEGGRCVNTVGSYHCTCEPPLVLDGSQRRCVSNESQSLDDNLGVCWQEVGADLVCSHPRLDRQATYTECCCLYGEAWGMDCALCPAQDSDDFEALCNVLRPPAYSPPRPGGFGLPYEYGPDLGPPYQGLPYGPELYPPPALPYDPYPPPPGPFARREAPYGAPRFDMPDFEDDGGPYGESEAPAPPGPGTRWPYRSRDTRRSFPEPEEPPEGGSYAGSLAEPYEELEAEECGILDGCTNGRCVRVPEGFTCRCFDGYRLDMTRMACVDINECDEAEAASPLCVNARCLNTDGSFRCICRPGFAPTHQPHHCAPARPRA", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGGRNWLFRSAVLVSTLLTCISIAQELLPSIEVESLAQDLQIQEWMRTLRRVKRAPTRNNRPEPVVVGRNGTGKCVISADRASHFCGMEEEVSAPPIPPPDEGKCIISKASGREICYPSYSQLDTSCTDVTGQSSNGLVVPPVVPHATVRAMAFVPPDNLRRLIIQYYRQQGKHQPKNATFSPKSFLFVKYHCDYGYEMVDEVDTMFCQDKKWVMTPPMCRGQGLCAADNGGCSHTCISYNDEKIECKCPRGMTLDVDEKTCIKPIPKSLCRSLSGCTCNGITETQFACSCGDNKQKCLLIAGPPRIYIEPQGPYEVAPGGNINISCTSVAYPFPDIYWFKNQKVNTDGPDQNTLRASQILIIKEIYRNEEFTCVSDNIHGSANRTVSIVVTGPGSAPHLKSASAGRTSLTVRWEPPSIINRPITTYTLYYTNNPQQPVKNWKKLEVKEPTREVAIPDLRPDTAYYIRVRANDPLGPGKLGNQVQIKTLKPAVRPYVNIVEGDEIRVPPMTAFEIDCNVTRADPVPVLVWLHKGRPLNKGSKTQHIKMKNGGVLESTQFSCVAENEAGKSTKKINVTVTGPSAPERIRYQIDGDKVTLQWEPPQITNGPMAGYDVFYTEDPSLPRDQWKVHHIDDPNARTTTVLRLNEKTPYTFVIVGRNRLGPGLPSAPFTATTWLAAKPPVVQLEPSEEMTKEPSNDEMIIECGAQGVPKPKIIWLWSGTLIEDGKEEFRVYDTTPTDAQDRTRSKLIAQSTTRSGVATCQAVNSEGSDEKKVPVKILGPGSAPLGITPTPMHTGFDVAWKPPKVTNGRITDYVVYYSKDPDAPLSDWESKTVPADTRNLTVNVDDEDTPYVVKVQARTDDGPGIISEAYEVTTGRKQVPLSVRLEIADPSVDPSTGETIVEPTQPIHFRCVADGRPMPSVSYSWLPINASESGDEPVPIPIHSDDSQPHHYNSIQVYSTTATKRILLCQARNPDGTVDDRHVFIVNKPGSAPQNPEVIVDPDNRVTITWQPPKYPNGEITSYNVYITGDPSLPVDQWQVFPVDDVTDPKLVLQRGALQPETPYFVKIAAVNPHGEGIHTDPKHFDTVSGAPIDAPTDVLPSVSIDNTVNITWSPPTQPLGPIKSYTVYFAPEYDDSDFKTWQRISVDAPDGADHGEVTLPKEQFNPNTPYKIRISATNDLSEGPASDPVRFETGSGEIPPTITLDPSNSTYTVEPLGAATITCTATGVPQPKVHWIKANGETVDSATLQLYDLVKDTSATCVAENNAGKTQEAVSIQVTGPGTAPNEIVLLPMPNQEINVEWTSPDEVNGQITNYIIHYGEISEDGSEPATWDQVTIARDDVNHKLANLEPKKTYAIRVQAVSDRGPGVISAPQVIKTLPLAPQAITNPIIQVHPNNSVTIEFTPPDDPENPGKKVKDFVIQYTTDEEPDDESVWKELKFTDPDDTDDTTIVSIDGENFNPDTKYNTRIIARGEIDSQPNEPTLFATGDGVIAPSQPSFNVDTEDGVIRVPAGTDYTIKCVSEGYPAPDVRWVDSHGNQLSDGPLLRIIDIRKTLNAKCLAENRGGLKETDLTIFVAGPGTAPENIQLTANKPTTISVQYEVPSIPNGNISKYIIYYTPLDDQDPDHQLGQVQTKPISDWQNVHDMNDGVEGPRKVDIKDFVSTDTAYAVVVQAINDDGPGPYSNQYTIRTMSRAREGPPVELRVEPDGQRSAVAQWKEPVTSDVPPIGYEIYYVRGDKSVEEDDSAGLNDWIKISIDDPTKLTHKIQNLLLPDTDYVFKMRAIYPDGPSVFSEPCIMKTLPDGNAPYIQISTGDNGVEGSTTIQILPGSQMTIACNATGIPLPQVKWIKAGNYEIDPSRVDADGNHAQFSLQVANITEDTTFNCVAQNPLGHANWTINVNLIEGLEPNWRDDFVTSKSDGGQIVLVFNDELPEYLKPPNEWTIQYTDDAEQPKDQWESIPSGGAPLTRVEVPNMNPGTFYYLVVDNPEKGIQTPTLVVMTPKPPSDIRFGKNNDDEQIVDFKPAVASEPIKEYTISVWPSTDPSNVKKFTTPADVTSGVVVDGLEPDTEYNVQVAAEFYEGEELASEPVTVKTPPRDVSCECDHGCAFEMNEDAGTMEPKCYCHGGFHLTSDGKSCERDEEDDATSQAVLQVTPPSITTKVAPEELLTGSSGEVDSTPETLSPVVGPDGKPLVLDKKGKPIDSSGKPVKFDENGDPIAPEGTKLEKNDNGEWVYPLVDRNGKPLPVDENNKPIITVIDKDGRVVTETDDGTFVTSDGKQVEVDDLGRPLDEDGNPYKTNENGQFVISDVDGAVEGDDEEEQPQVIPLYVVDVDDDGKYLDEDGNEIPVNEDGDPIDVNGKPLEKNEDGKFVKPKESTQETPQPTKITIVSPDGTPLPTDASGSPIGLDGQPVPTDASGKPLAKDGSPLPTDNNGNYVILPSSKNSVDSQPTDDAGRVIYPVVLPDGSPLATDSTGNFVNRHGDIVERDDEGKPMGPDGQLLPTDASGNYIYPVTGPNDEVLPTDANGNPIYPVVGPDGTPLPTDASGAIVGPDGQPIPTDSNGKPLSKEGYPLPVDNQGNYILLPTEIDAAQSLPTDDAGMPVYPIVKPDGTPLATDSTGSFINDNGEIIEKDDEGRPFGPDGLILPTDASGNYIYPAMGLDGQPLATDASGNYVLVSTEQTVTKSYPVDDSDITIHPIVNPDGTPLATDSTGSYVTEDGQIIEKDDEGRPLGPDGQVLPTDDSGNYIYPVAESGEETKPTDASGKTVYPVRGPDGTPLPTDASGAVIGPDGEVIPTDENGIPLSQDGSPLPTDNQGNYILVLTSETPTKTLPIDESGNVVYPITKPDGTPLATDSTGSFVTEDGTIIAKDDEGKPLGPDGEVLPTDASGNYVYPVTVSDEQTLPTDDTGKTVYPIRGPDGTPLPTDASGPVIGPDGEIMPTDENGIPLSKDGTPLPTDNDGNYVIVPSDEETSKELPIDDSGNVIYPITKPDGTPLATDSTGSFVTEDGTIIEKDDEGKPLGPDGQILSTDASGNYVYPDPGLDSQILTTDVYGKPIYTVIGPDGTALPTDASGAAIGPDGTPISTDETGEPLDKDGSILPTDDYGNFVFVVSQELPTDAEVQTPITKPDGTLLATDSSGNYVNDNGDIIEKDDEGKPLGPDGEVLPTDGTGNFIYPATTSDGEVIPTDDSGKPLYTIRGPDGTPLPTDETGSALGPDGEPISTDSSGKPLSKDGSPLPTDNNGNYVLVPTDESTTKALPTDESGNVVIPITNPDGTSLATDSTGSFVTDDGQIIEKDDEGKPLGPDGAILPTDASGNYIYPVVGPDGQALPTDETGKTVYPVRGPDGTPLPTDASGAVMGPDGEPIPTDANGKPLSKDGSPLPTDASGNYVLVPSDEVTAKELPTDESGTIVYPVTRADGTPLATDSTGSFVTDDGQIIGKDDEGKPLGPDGQVLPTDDSGNYIYPAVGPDGQAFPTDKSGKPLYPVRGPDGTSLPTDASGAAIGPDGEVIPTDENGIPLDKDGSPLPTDASGNYIIVPSGELTMASHPTDDTGNVIYPITKPDGTLLSTDSTGSFVTEDGQIIEKDDEGKPLGPNGEALPTDDLGNYIYPITDSDEQTSPTEDVGTSVHLVRGPDGTPLPTDASGSAIGPDGEVIPTDENGVPLDKDGSPLPTDNNGNYVLVPTKESVTKILPTDDSEAVVHPITRQDGTPLSTDSTGNFVTDNGEIIEKDDEGRPVGPDGQVLSTDVSGNFVYPVTESPNDGEKPIHPVLGPDGSPLPTDDSGAVIGPDGEVIPTDASGVPLSKLGLPLPTDSDGNYIILSSDTDVTKELPTDDTGNVIYPITKPDGTPLGTDTSGSFVSDDGQIIEKDDDGKPLGPDGQVLPTDATGNFIYPVLGPDGQALPTDESGKTVYPVRRPDGNPLPTDASGAVIGPGGEPIPTDSSGKPLSADGSPLPTDASGNYVLVPSDEVTAKELSTDESGTIVYPVTRADGTPLATDSTGSFVTDEGQTIEKDDEGKPLGPDGQVLPTYASGNYIYPVIGPDGQALPTDESGKTVYPVRGPDGTPLPTDVSGAVIGPDGEVIPTDSNGIPLSQDGTPLPTDNQGNYILVPTSETATKALPTDESGNVIYPITKADGTPLATDSTGTFVTDDGQIIEKDDEGKPLGPDGQVLPTDDSGNYIYPVVGPDGQTDESGKTVYPVRGSHPTDDTGNVIYPITKPDGTLLATDSTGSFVTEDGQIIEKDDEGKPLGPDGQVLPTDESGNYVYPEVKSDEQLLPTDHTGKTVYPVHGPDGTPLPTDDSGAIIGPDGEVIPTDENGIPLSKDGSQLPTDNNGNYVLVPSDEGATKTHPTDETSDAVHPITKPDGTPLATDSTGNFVTENGDVITKDEEGKPLGPNGQILPTDASGNYIYPVIGPDGQALPTDESGKTVYPVRGPDGTPLPTDASGAVISPDGEVIPTDANGIPLDKDGSPLPTDASGNYILVPSEQDITKTLPTDDSGNVIYPITKPDGTSLATDSTGSFVTEGGEIVERDEDGKPLGPDGQVLPTDASGNYIYPVVGPDGQVLPTDDTGKTVYPVYGPDGIPLSTDASGAVIGPDGEPIPTDASGRPLDKDGSFLSTDASGNYILVPSDAPTNEAGPVVVQHQITRPDGTPLATDSSGHFVTEDGVIIENDKEGRPIGADGQVLPTDASNNYIFTDVPTQGYAVFIPTDVVPIELEAPNCDQVDGRVDTLLFVVESSHTSAPYLDTLKKLIENLLLTTPRDFLPKIGTLIYSATTEITIDIGSYGDFKELFDSTNEIREIGGIPDVTNALRTAKMILEETSRGDTLVLHLLASPMRTSSKVYTERIRALPNTRLIHLNEKQWAEDPNAVELLRSHLCIPSEVPLPSMMPTDASGNLLSIPTDEVVTDGTPTDESGFVIYPITKPDGTPLATDSTGSFVTEDGQIIEKNEDGKPLGPDGQVLPTDNSGNYIYPIVGPDGQALPTDASGKPIYPVRGPDGTPLPTDASGAVIGPDGEPIPTDASGKPLAQDGSPLPVDNEGNYIILPTQQVDTKEYPTDETGNVIVPITKPDGTLLPTDSTGSFVTENGDRIEFNEEGKPLGPDGEVLATDASGNYVYPGSVVEPTAEPQEVTHGPDGQVLPTDASGKPIYPVRGPDGIPLPTDASGAAIGPDGETIATDENGIPLSKDGSPLPTDNTGNYVLVPSDEGATEEKPTQGSESIVHPITKPDGTPLATDSTGSFVTDDDQVIAKDEDGKPIGPDGQVLPTDSSGNYIYPVIGPDGQALPTDESGKTVYPVRGPDGTPLSTDASGAVIGSDGKPIPTDETGLPLNKDGSPLPTDNDGNYILIPADESVVKALPTDEAKEVYPIVQPDGTPLATDSSGNFVTSSGDIIDIDDEGKPLGPDGQALPTDDSGNYIYPVIGPDGQALPTDESGKTVYPIRGPDGTPLPTDASGAVIGPDGEPIPTDASGKPLSQDGSPLPTDASGNYILVPSDGEVTKTLPTDDVGNVIYPITKPDGTPLATDSTGSFVTDDGQIIEKDDEGKPLGPDGQVLSTDDSGNYIYPAVGPNGQTIPTDDTGRTVYPVRGPDGTPLPTDASGAVIGPDGEPIPTDASGKPLSADGSPLPTDNNGNYVIVPTDGSTVKSHPTDDSGNTIYPVVNEDGTPLSTDLSGNFLTNSGEIVDRDDEGKPLGPDGQTLPTDASGNYVYLQKVEETTKPLPTDESGNIVYPITKPDGTPLATDSTGSFVTEDGTVIEKDDEGKPVGPDGQVLPTDESGNYIYPDVTPDGQVQPTDVSGKPVYPVRGPDGSTLPTDASGAALGPDGKPIPTDSNGVPLSEDGSPLPTDNQGNYVLVPTSETVTKSMPTDDNRNVIYPITMSDGSLLSTDSTGSFVTEDGKVIEKDDEGKPLGPDGQVLPTDASGNYIYPVHGQDGTPLPTDASGAVIGPDGSPLPTDDSGAVIGPDGEVIPTDSNGIPLNKDGLPLSTDASGNYIVVSAEQPGEEIKEIPITKPDGTLLSTDSTGNFITENGEIIERDDEGKPIGPDGQILPTDASGNYVYPVIGPDGQGLPTDESGKTIYPVRGPDGTPLPTDASGAVIGPDGEPIPTDASGKPLSQDGSLLPTDNNGNYVLLPSNEETTQGLTTDESVNVIYPITKPDGTPLATDSTGNFVTDNGETIEKDEEGKPIGPDGQTLPTDDSGNYIYPVVGPDGQALPTDESGKTIYPVHGPDGTPLPTDASGASIGPDGEPIPTDTSGKPLFKDGSPLPTDSNGNFIIVPSEKRMDEELPTDDSGKIIYPITKPDGTPLASDSTGVFVTEDGTIIEKDDDGKPLGPDGQVLPTDASGNYIYPIVGPDGKTQPTDESEKTPYPVHGPDGTPLPTDASGAVIGPDGEPIPTDASGKPLSADGSPLPTDNNGKYVLVPADEVTTKVLPTDDSGNVVHPITRPDGTPLGTDASGSFITDDGQAIEKDDEGKPIGPDGQILPIDASGNYIYPVIGPDGQALPTDESGKTVYPVRGPDGTPLPTDASGAVIGLDGEPIPTDASGKPLSRNGSPLSTDSSGNYIFVPTDDEKKDSKKCDISSSLSDIIFVLVNDGDGAQNYDQFKKAVVGFSRKVDMSPDIIRLAVLSVGSEIAVPLPLGGYQEKEHLSSILNSFEIPPIVGTEILSPVQAANQQFTSFPRTGISKMVVIFADNEEKSTFIGGATYITVKYGTTPKDIINTLIEACEKGLVEIVPDDTKHVIDETVPTISSTPVIVDQSGKPLPTDASGNYIDNNGKPIVIEGEEPTGPEDQKLSKNKKGEWVYPLVDKFGKPVETDDNDKPVITVVDNDGNELSKNDDGNWIDLSGNEIDTDELGRPLDSEGNPYKFDDNGHVVIAPQIEEEEETTPAIPFIIIDGEPINEDDGVYTDKDGNVIPTNSEGKPIDENGQVLPKNEDGEFVKPKEADTTQSTIVSPDGSPLPTDASGAAIGPDGEPIPTDSNGRPLAKDGSPLPTDNNGRYVILPSGRYSGDTETTDESGNVIYPIINPDGTPLGTDSTGNYITSIGDIIERDDEGKPIGPDGQVLTTDASGNYIYPVVGPDGLILPTDATGKPIYPVRGPDGTPLPTDASGAVIGPNGEPIPTDASGKPLSQDGSPLPTDVNGNYIMLPSDEVTSQSLPTDESGNVIYPITKPDGTPLGTDSSGSFITEDGQIIEKDDEGKPIGPDGQILSTDASGNYIYPDVGPDVQTLPTDGDMISVPTVEATVEFTSDKTPEVIHSITKPDGTPLSTDSTGEFVTEDGQIIEKDDEGKPIGPDGQVLPTDASGNYIYPVIGLDGQALPTDKSGKTVYPVRGPNGTPLPTDASGAVIGLDGEPIPTDASGKPLSADGSPLPTDAVGNYILVPSDDGVIRTHPTDESGNTIYPITKPDGTPLATDSTGAFVTDDGQVIEKDDEGKPIGPDGQVLPTDASGNYIYPVTSSDGQVLPTDAEKPVIVDQSGKPLPTDASGNYIDNNGKPIVIEGEEPTGPEDQKLSKNKKGEWVYPLVDKFGKPVETDDNDKPVITVVDNDGNELSKNDDGNWIDLSGNEIDTDELGRPLDSEGNPYKFDDNGHVVIAPQIEEEEEATPAIPFIIIDGEPINEDDGVYTDKDGNVIPTNSEGKPIDENGQVLPKNEYGEFVKPKEADTTQSTIVSPDGSPLPTDASGAAIGPDGEPIPTDSSGRPISKDGSPLPTDASGNYILVPSGEGVTDSLPTDEAGNIIYPITKPDGTLLATDSTGSFVADDGQIIEKDDEGKPIGPDGQVLPTDASGNYIYPVIGPDGQALPTDESGKTVYPVRGPDGTPLPTDASGAVIGPDGEPIPTDPSGKPLSADGSPLPTDINGNYVLVPSDESAAKVLPTDESGSVVYPITKPDGTPLGTDASGSFVTDDGQAIGKDDEGKPIGPDGQTLPIDDSGNYIYPVVGPDGQALPTDESGKTVYPVLGPDGIPLPTDASGAVIGPDGEIIPTDASGKPLSADGSPLPTDNNGNYVLVPADEVTTKVLPTDDSGNVVHPITRPDGTPLGTDASGSFVTDDGQAIEKDDEGKPIGPDGQVLPTDASGNYIYPVIGPDGQALPTDKSGKTVYPVRGPDGTPLSTDASGALIGLDGEPIPTDASGKPLSADGSPLPTDAVGNYILVPSDDGVIRTHPTDESGNTIYPITKPDGTPLATDSTGAFVTDDGQVIEKDDEGKPIGPDGQVLPTDASGNYIYPVTSSDGQVLPTDAEKPVIVDQSGKPLPTDASGNYIDNNGKPIVIEGEEPTGPEDQKLSKNEKGEWVYPLVDKFGKPVETDDNDKPVITVVDNDGNELSKNDDGNWIDLSGNEIDTDELGRPLDSEGNPYKFDDNGHVVIAPQIEEEEETTPAIPFIIIDGEPINEDDGVYTDKDGNVIPTNSEGKPIDENGQVLPKNEDGEFVKPKEADTTQSTIVSPDGSPLPTDASGAAIGPDGEPIPTDSSGRPISKDGSPLPTDASGNYILVPSGEGVTDSLPTDEAGNIIYPITKPDGTLLATDSTGSFVADDGQIIEKDDEGKPIGPDGQVLPTDASGNYIYPVIGPDGQALPTDESGKTVYPVRGPDGTPLPTDASGAVIGPDGEPIPTDPSGKPLSADGSKLPTDINGNYVLVPADEVTTKVLPTDDSGNVVHPITRPDGTPLGTDASGSFITEDGQIVEKNDDGKPIGPDGQVLPTDSSDNYIYPSIGSDEQAMPTDTTGSVIYPLVSPDGTVIEGPPKVAKPVGPDGKVLPTDASGHFIGPDGPIPTDYGVTYSDTVTTPDGIPLSNDSTGAFITEDGTVIENNEDGKPIGPDGQVLPTDAYGNYIYPAIGPDGQALPTDESGNPVYPVRGPDGTPLPTDVSGAVIGPDGEPIPTDASGKPLSADGGSPLPTDNNGNYVLVPADEVTTKVLPTDDSGNVVHPITRPDGTPLGTDASGSFVRDDGQAIEKDDEGKPIGPDGQVLPTDASGNYIYPVIGPDGQALPTDESGKTVYPVRGPDGTPLPTDASGAVIGLDGEPIPTDASGKPLSAEGSPLPTDNNGNYVLVPADEVTTKVLPTDDSGNVVHPITRPDGTPLGTDASGSFVRDDGQAIEKDDEGKPIGPDGQVLPTDASGNYIYPVIGPDGQALPTDESGKTVYPVRGPDGTPLPTDASGAVIGLDGEPIPTDASGKPLSAEGSPLPTDNNGNYVLVPAHEVTTKVLPTDDSGNVVHPITRPDGTPLGTDASGSFVTDDGQAIEKDDEGKPIGPDGQVLPTDASGNYIYPVTSSDGQVLPTDAEKPVIVDQSGKPLPTDASGNYIDNNGKPIVIEGEEPTGPEDQKLSKNEKGEWVYPLVDKFGKPVETDDNDKPVITVVDNDGNELSKNDDGNWIDLSGNEIDTDELGRPLDSEGNPYKFDDNGHVVIAPQIEEEEEATPAIPFIIIDGEPINEDDGVYTDKDGNVIPTNSEGKPIDENGQVLPKNEDGEFVKPKEADTTQSTIVSPDGSPLPTDASGAAIGPDGEPIPTDSNGRPLAKDGSPLPTDNNGRYVILPSGRYSGDTETTDESGNVIYPIINPDGTPLGTDSTGNYITSIGDIIERDDEGKPIGPDGQVLTTDASGNYIYPVVGPDGLILPTDATGKPIYPVRGPDGTPLPTDASGAVIGPNGEPIPTDASGKPLSQDGSPLPTDVNGNYIMLPSDEVTSQSLPTDESGNVIYPITKPDGTPLGTDSSGSFITEDGQIIEKDDEGKPIGPDGQILSTDASGNYIYPDVGPDVQTLPTDGDMISVPTVEATVEFTSDKTPEVIHSITKPDGTPLSTDSTGEFVTEDGQIIEKDDEGKPIGPDGQVLPTDASGNYIYPVIGLDGQALPTDKSGKTVYPVRGPNGTPLPTDASGAVIGLDGEPIPTDASGKPLSADGSPLPTDAVGNYILVPSDDGVIRTHPTDESGNTIYPITKPDGTPLATDSTGAFVTDDGQVIEKDDEGKPIGPDGQVLPTDASGNYIYPVTSSDGQVLPTDAEKPVIVDQSGKPLPTDASGNYIDNNGKPIVIEGEEPTGPEDQKLSKNKKGEWVYPLVDKFGKPVETDDNDKPVITVVDNDGNELSKNDDGNWIDLSGNEIDTDELGRPLDSEGNPYKFDDNGHVVIAPQIEEEEEATPAIPFIIIDGEPINEDDGVYTDKDGNVIPTNSEGKPIDENGQVLPKNEDGEFVKPKEADTTQSTIVSPDGSPLPTDASGAAIGPDGEPIPTDSSGRPISKDGSPLPTDASGNYILVPSGEGVTDSLPTDEAGNIIYPITKPDGTLLATDSTGSFVADDGQIIEKDDEGKPIGPDGQVLPTDASGNYIYPVIGPDGQALPTDESGKTVFPVRGPDGTPLPTDASGAVIGPDGEPIPTDPSGKPLSADGSPLPTDINGNYVLVPSDESAAKVLPTDESGSVVYPITKPDGTPLGTDSSGSYITEDGQLVGKDEEGKPVGPDGQVLPTDSAGHYVYPITGADRQILTTDAAGKPIYSVFNEDGIQLPTDSSGYAIGHDGELVPTESTNGVPLNKDGTPLPTNDSGHFVLVLPGATVNDSKPTDEVIVSITNPDGTLLGTDSTGAFVTEDGPIIENDDEGKPVGPDGQVLPTDDSGNYIYPVIGPDGQALPTDESGKTVYPIRGPDGTPLPTDASGASIGPDGEPIPTDASGKPLSKDGSPLPTDNDGHYVLVPVDDSTIKAFPTDESGNVAYPITRPDGTPLGTDSSGSFVTDDGTIIENDDEGKPIGPDGQVLPTDASGNYIYPVIGPDGQALPTDESGKTVYPVHGPDGTPLPTDASGAAIGPDGEPIPTDASGKPLSQDGSALPTDNNGNFILVPSDKSTTKTLPTDESGNFIYPITKPDGVLFATDSTGNYVTDEGELIEKDDNGYPLGPDKRVLPTDGSGNYIYPAVGSDEKILPTDNLGKVVYPITRPDGSPLATDSTGVFVTGDGTIVERNEEGKPIGPDGQVLTTDNSGNYIYPVIGPDGEPLGTDASGKTVYPVRGPDGTPLATDAFGAVIGPDGEPIPTDASGKPLDQSGFPLPTDNNGNYILVPSDEALGKILPTDENGNVVYSVTNPDGTPLATDSTGSFIASNGLIVEKDDEGKPIGPDGQVLPTDASGNYIYPVIGPDGQALPTDESGKPIYPVFTEDGTQLPTDSTGFAIGPDGELVPTDSANGVPLSKDGSPLPTDASGNYILPDSGVTTANPTDENGYAIYPITKPDGTLLATDSTGSYITQGGQLIEKDNTGKPIGPDGQVLPTDGSGNYVYPVVGPDGQALPTDDTGNVVYPVINADGSLLATDSSGSFITENGKIVAKDDEGKPISPDGQVLPTDASGNYIYPALGPDGSILPTDSNGKSIYPVRGPDGTPLPTDEFGFAIGPDGKPIPTDTSGKPLSADGSPLPTDNNGNYILVLSEGVTEHAPTDENGNVIYPVTNPDGTPLGTDSSGAFITQDGTVVKKDEDGKPIGPDGQVLPTDNSGNYIYPVIGPDGQVLPTDASGKTVHSVYGPDGTQLPTDASGSAIGPDGELVPTDVSGRPLSQDGSPLPTDNNGNYALVVSDEATTKVLPTDEGGNVIYHITKPDGSLLGTDASGDFITDHGKAVQKDDEGKPIGPDGSVLPTDTSGNYIYPITGPDGNVLPTDSNGKPVYPVFNEDGTQLPTDSTGSAIDQDGELVSTDSTSGVPLAKDGSPLPTNSAGNYVLVSSGKSQPTDEHGNVIYPITKPDGTLLATDSTGSYLTEDGQLVEIDDSGKPLGSDGQVLPIDASGNYIYPALGPDGQALPTDDAGNLVYPIVYPDGTPLATESTGNYVTENGEVVGKNTDGKPISPDGQVLPTDASGNYIYPAVGPDGQVLPTDASGKLIYPVFHPDGTQLPTDASGYAVAPDGSLIPTEFSGKPLGKDGSVLPTDNSGRYVLVHDDREVTQTIPTDESGNTIYPITRPDGTLLSTDSTGIYLTDEGNVIDRDNEGKPLGPDGQVLPTDGYGNFVYPADSDIGGAKLLPTDEYGHTLYPVIRPDGSLLSTESSGSFVTDDGTVVSKDSDGKPLGPAGQVLPTDASGNYIYPSIGPDGSPLPTDINGKPAYTVIGRYGDVLPTDSLGRAVNIDGSVVPTDDEGLPIDQYGVVLPTDTTRKLHTLVPTRRPSSFCYVTSHIDLLLVIDSSNNIKVLDYRVMKELIKNFLTEHFNLRKHQVRVGLVKYGDGAEIPVSLGDYDNEDDLVHRISESRRLKGRAQLGAGLREALDELSISGVDGVPQIVLIVKNGKASDDYSSAVKSLKAERNVTVFVVDAGDDESQQQNSELTEEDKTIVISQWRGADSEVLGPIADYICKIVPNVETSRTWPTPRTKATTTSGTGRSCSSIDYESDVIIVLDSSENFTPDEFVSMKDAVASIVDTGFDLAPDVSKIGFVIYSDKVAVPVALGHYEDKIELLEKITDAEKINDGVAIALYGLNAARQQFQLHGRENATKVVILITNGKNRGNAAAAAEDLRDMYGVQLFAVAVGSNPEELATIKRLVGNSNTENVIEVAQSTEIDDDAAALLKAVCGNTSPKNSEMPAHLTTKRDVLAQKFTTAPMLRTTRAVAGGLCNDGIRRPYHFNILVDITSRASADEFRRVLDHLINFFNDRMRDEQHMITINIITVNSDKVQNILSNLRADQLSEQLNAITQQSDDTVSPKLGAGIDALAELSKENYINGAIKLMLIVGSDGTSSDDALPAAEYANSDFQHNIIAVSVRKPATDLLSKIAGLPTRVVHLDQWSAPNELFDSWIAYITCDYATASTTRKSTTPKMTTLRPYDRKASKEDATNIELIPLSPSSLSVSWTCCTNNKSNYTILYTHDTSITKEKWIRKEVTCRDSFGTHLNELPSDHTYTVCVMTNERVDNSTALAIDKNCDSLHIDQNTTAPEDYVKPSPSSCNCQCSEGKAVLRATCEMVIDTNRPIATLPPATVDECPCKVKAHGGRCPKGYIAKDGQCYDIDECETNNGQCSEGCVNTPGSYYCACPHGMMRDPLDPFNCVNTANSFDKIAALLANYLEANTKNSGSEVTSEKSDGGRVNYKATIKSADDKTITFEWSHVPEVVRRAFKWLF", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAKGRGSASWSARAIVTLMAVSVLLLQADYVQAATYTVGDSGIWTFNAVGWPKGKHFRAGDVLVFNYNPRMHNVVKVDSGSYNNCKTPTGAKPYTSGKDRITLSKGQNFFICNFPNHCESDMKIAVTAV", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKFFVFALILALMLSMTGADSHAKRHHGYKRKFHEKHHSHRGYRSNYLYDN", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MCFPKVLSDDMKKLKARMVMLLPTSAQGLGAWVSACDTEDTVGHLGPWRDKDPALWCQLCLSSQHQAIERFYDKMQNAESGRGQVMSSLAELEDDFKEGYLETVAAYYEEQHPELTPLLEKERDGLRCRGNRSPVPDVEDPATEEPGESFCDKVMRWFQAMLQRLQTWWHGVLAWVKEKVVALVHAVQALWKQFQSFCCSLSELFMSSFQSYGAPRGDKEELTPQKCSEPQSSK", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSKVMIIMLVGMIFAIISTVSGGAGCSSMGASCQIGSATCCGVCNVHTLRCEARIGPPINTQPTRRTQPTRRTRGPKVTRSSRPTNRTRRPKPTNRSRRPKPTHRRKPTNRPRSH", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNAKIVALLIVVGFVGMFNVATAADPLCSLEPAVGLCKASIPRFASVGGKCQEFIYGGCGGNANNFQTQAECEAKCG", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRGSQEVLLMWLLVLAVGGTEHAYRPGRRVCAVRAHGDPVSESFVQRVYQPFLTTCDGHRACSTYRTIYRTAYRRSPGLAPARPRYACCPGWKRTSGLPGACGAAICQPPCRNGGSCVQPGRCRCPAGWRGDTCQSDVDECSARRGGCPQRCVNTAGSYWCQCWEGHSLSADGTLCVPKGGPPRVAPNPTGVDSAMKEEVQRLQSRVDLLEEKLQLVLAPLHSLASQALEHGLPDPGSLLVHSFQQLGRIDSLSEQISFLEEQLGSCSCKKDS", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGFIGKSVLVSLVALWCFTSSVFTEEVNHKTQTPSLAPAPAPYHHGHHHPHPPHHHHPHPHPHPHPPAKSPVKPPVKAPVSPPAKPPVKPPVYPPTKAPVKPPTKPPVKPPVSPPAKPPVKPPVYPPTKAPVKPPTKPPVKPPVYPPTKAPVKPPTKPPVKPPVYPPTKAPVKPPTKPPVKPPVSPPAKPPVKPPVYPPTKAPVKPPVSPPTKPPVTPPVYPPKFNRSLVAVRGTVYCKSCKYAAFNTLLGAKPIEGATVKLVCKSKKNITAETTTDKNGYFLLLAPKTVTNFGFRGCRVYLVKSKDYKCSKVSKLFGGDVGAELKPEKKLGKSTVVVNKLVYGLFNVGPFAFNPSCPK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MQFSLVMSYYCLACFLVMFALGRAIKAHTSKLPPEGVGTIRLQSDPLSLHMAKLYEKYSREGSRLQDGNTVRSFRAQPDSQFGSPLYRFNLSSLQQTEEVLAATLHFAPVKGSRSSRDSYCKRSKKSSCRLLLPTQHQKISLVFKSVIQNKTLGSEKWNTTNIFHKRAAWHVKDITNIIKDAQHERDLFISMEISFGEKFATVFENNPSELPYILVFADDRAISDPNSIALTLQRYDPFQPNGGNSKQAPNTFPESRVKRDTSDLASSHDNELPDIKYMRYSKEDLWESTYKSLKHKSPRKEKKKKGQENEETLPKSPVLHFDERTMKKARRRQWDEPRVCSRRYLKVDFADIGWSEWIISPKSFDAYYCSGACEFPMAKVVRPSNHATIQSIVKAVGIIPGVPEPCCTPDMMNSLSVLFLDEGRNMVLKVYPNMSVESCSCR", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAECRPWLVLWVGCCGCLCLALGELLNDGLLAVGMGTGDAARQEEAALPLGDTVSEHMLRLYDKYRSGGGRAKESLRYRQALPDGNTVRSFRAMNGDEHKKCHYMFNLTSLTSSENILSATLHYYLGDLLNSSHRCPHSLFCTQHGHAKPEFTLYLTLWSFNALQNPTHVISNFLINVSASQRDHPLWQWKDITQAVRKAKEYGEAVLGFNLTIEYPNHRSIDILGLKPYILVYANDSAISEPDSVVSSLHGPHTPLALKPNRKTEKAEQRKKRSTEILLPLQNNELPGAEYQYSVDEEGWEERKPYKNLQGRQNEKDKNKKKLRKGSRQKSQTLQFDEQTLKKARRKQWNEPRNCARRYLKVDFADIGWSEWIISPKSFDAYYCSGACQFPMPKSLKPSNHATIQSIVRAVGVVPGIPEPCCVPEKMSSLSILFLDENKNVVLKVYPNMTVESCACR", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MIQVLLVTICLAVFPYQGSSIILESGNVNDYEVVYPRKVTALPKGAVQQKYEDAMQYEFTVNGEPVVLHLEKNKGLFSEDYSETHYSPDGREITTNPPVEDHCYYHGRIQNDADLTASISACDGLKGHFKLQGETYIIEPLKLPDSEAHAVFKYENVEKEDEAPKMCGVTQSNWESDESIKEDSQSNLTPAQQKYLNAKKYVKFFLVADHIMYLKYGRNLTTLRTRMFDTVNIVNQILQRINIHVALIGIEIWSKEDKIIVQSVPDVTLKLFATWRESVLLKRKNHDNAHLLTGINFNGPTAGLAYLGGICKPMYSAGIVQDHNKIHHLVAIAMAHEMGHNLGMDHDKDTCTCRAKACVMAGTLSCDASYLFSDCSRQEHRAFLIKNMPQCILKKPLKTDVVSPPVCGNYFVEVGEDCDCGSPATCRDPCCDAATCKLRQGAQCAEGLCCDQCRFKAAGTECRAATDECDMADLCTGRSAECTDRFQRNGQPCQNNNGYCYNRTCPTMNNQCIALFGPNAAVSQDACFQFNRQGNYYGYCRKEQNTKIACEPQNVKCGRLYCIDSSPAKKNPCNIIYSPNDEDKGMVLPGTKCADGMACNSNGQCVDVNRTY", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "VRDGYIADDKNCAYFCGRNAYCDEECKKKGAESGYCQWAGQYGNACWCYKLPDKVPIKVSGKCN", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGRIGTPVFLAFLSALTCSLQVHAQVRDLKQCSNDPEFGRYCPTTCGVADVLSKYAKGVDEDSSFIDSVLTQLAAKHGIVEGNVNIVNEDVRITRDEAQIIKDSGQKTVQKILEEVRILEQIGVSHDAQIQELSEMWRVNQQFVTRLQQQLVDIRQTCSRSCQDTTANKISPITGKDCQQVVDNGGKDSGLYYIKPLKAKQPFLVFCEIENGNGWTVIQHRHDGSVNFTRDWVSYREGFGYLAPTLTTEFWLGNEKIHLLTGQQAYRLRIDLTDWENTHRYADYGHFKLTPESDEYRLFYSMYLDGDAGNAFDGFDFGDDPQDKFYTTHLGMLFSTPERDNDKYEGSCAEQDGSGWWMNRCHAGHLNGKYYFGGNYRKTDVEFPYDDGIIWATWHDRWYSLKMTTMKLLPMGRDLSGHGGQQQSKGNSRGDN", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "ARDAYIANDRNCVYTCALNPYCDSECKKNGADSGYCQWFGRFGNACWCKNLPDKVPIRIPGECR", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "ARDAYIAKPHNCVYECFDAFSSYCNGVCTKNGAKSGYCQILGIYGNGCWCIALPDNVPIRIPGKCH", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "ARDAYIAKPHNCVYECFDAFSSYCNGVCTKNGAKSGYCQILGTYGNGCWCIALPDNVPIRIPGKCH", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MHHLRALVGVGLAGLAAGVPLTDKISVKPRQAPGAQNVVYWGQNGGGTIENNDLAAYCQPNSGIDVLVLAFLYQFGNGGNIPSGTIGQSCYISTSGQGQNCEALTAAIHTCQSAGVKIVLSLGGATSSYSLQTQAQAEQIGQYLWDSYGNSGNKTVQRPFGSNFVNGFDFDIEVNGGSSQYYQYMIAKLRANFASDKSNTYLITGAPQCPIPEPNMGVIISNSVFDHLYVQFYNNNNYTVPCALGINGNAPFNYNNWTSFIADTPSAGAKIFIGVPASPLASTGTPSGAQYYAAPEQLAAIVGEYRSDAHFGGIMMWSAGFSDANVNDGCTYAQQAKSILVNGAPCPSSGPPSSTPATAPAPTATTMPSSTSVSSPTASPTGGTVPQWGQCGGEGYSGPTQCVPPYQCVKQGDWWSSCR", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNKKILLVIFIVTMLIVDEVNSFKFGSFIKRMWRSKLAKKLRAKGKELLRDYANRVLSPEEEAAAPAPVPAKRRR", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGNTANTLFCDNSNVPSIRTRKRCLKNQKLCYKMTFFTPGFGWTQIKGCIHRCPESTPNEKYQCCSTDNCI", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKTLLLTLVVVTIMCLDLGYTLVCYTNVLEPPGTLETCPDDFTCVKKWEGGGRRVTQYCSHACAIPASYEFVHCCQTDKCNG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGNSLICYNTMMQKVTCPEGKDKCEKYAVPVMRGKFYFSYQCTSKCHEGAYDVCCSTDLCNKSSTSG", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRLAYLLLLLVAVLFQAGGGSAKPIMFFEMQACWSHSGVCRDKSERNCKPMAWTYCENRNQKCCEY", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MIVKSLSLLALAAATVEGCVRERDVGSVDILSVLSKRGHGHPHLPHLSKYESMLINSFDNTTVDSWAYYYTHGIHIAGTNQSMAQWTADKWTEFGIPSSLVSYDVYLNYPVSHSLSLTHPDGTTWEASLVEDVLKEDDTTSYPDRIPTFHGYSASGEATAEYVYVGRGQKVDFERLIQLGVDLKGKIAIARYGGPFRGLKVKNAQDQGMIGCIIFTDPADDGNVTVANGLKAYPNGPARNPSAVQRGSVQFLSMFPGDPTTPGYPSRPDSPRKDKSPVVPKIPSIPISQLDAQPILAALDGHGTPGKEVNRTRWVGALNATYATGPAPGAKLSMSNVMRDTYTPIWNSIGIINGTEQDEVVIIGNHRDAWIIGGAGDPNSGSSIMVELAKAFGKLQKAGWKPKRTIVMCSWDAEEYGLVGSTEWVEEYLPWLKASAVAYLNIDVAVSGPVPDLSATPELHKLALESMKKVIWPYKGRQDTTMYDVWNTASGGEVGVLGSGSDYTAFVHNGIASLDTGAGGDGNTDPVYHYHSNYDSYHWMATYGDPGFHTHVAMGQFLGLLGYHLATDDIIPFDVTNYGVQMTKYLDVLKKYIAASKFPDLDVSKIESAICSFNVSANAVAKLQKKAEHNVHDQQLRKHLNTIYRDFGRGFVSQGGLPDREFYRHMLYAPGLDTGYAPTTFPGVTESLDAGNRTRAVEYIERASNAIYVAAGILSSCHDCNQFVAQE", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNSLLMITACLFLIGTVWAKEGYLVNKSTGCKYGCLLLGKNEGCDKECKAKNQGGSYGYCYAFGCWCEGLPESTPTYPLPNKSCSKK", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MHGLLLAGLLALPMNVLAHPAEQHASNVLSRRGVDIESFRLPLKAKYMDSDATAQKIQAMSFSKDDDYVSTATKLVKSTFPKSTFRVVDDHYIGTNGIGHVHFKQTAHGLDIDNSDFNVNIGRDGKVFSFGNSFFTGEIPKENPMVKRAFSDPVKALKGAVKALNLPVKSDNAKAKTAAGKESFEFMGTTGALSAPKANLVYLQKEDGSLALTWKVETDVGDNWLLTYVDAHNSETVHNVVDYVASAEYKVFAWGLNDPTEGNPTSIRDPWTDASPYTWNSDGMTKYPTTRGNNAIAQDNPTGGSTYINNYRPQSPNLIFSYPWSPTATPPSSYKDFSITQLFYTTNRYHDLLYSFGFNEAAGNFQVNNGNKGGKGNDFAIVNAQDGSGTNNANFATPPDGSPGRMRMYNWTTARPNRDGCLEAGIVIHEYTHGLSNRLCGGPANSACLNALESGGMGEGWGDFYATAIRLKPRDTKDTNYSMGAWAANNPKGIRAYLYSTNLQTNPYMYTSVNSLREVHQIGTVWASMLYDLMWALIEAHGGTYSADPVFRNGVPQDGRHLTMKLVMDGMALQPCNPNFVQARDAILDADRALTNSANKCTIWKAFAKRGLGYGAKYDARNRTGSNKLPPGC", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSLIHLFLLLGLLSLEAAASFSPGSRSILRDIGSNVADQKDNAIELNATNFDSVFQDSPAKYAVLEFFAHWCPACRNYKPHYEKVARLFNGADAVYPGVVLMTRVDCAIKMNVKLCDKFSINHYPMLFWAPPKRFVGGSWGPKQEKNEISVVNEWRTADLLLNWINKQIGSSYGLDDQKLGNLLSNISDQEQISQAIFDIEEATEEAFDIILAHKAIKSSETSASFIRFLQLLVAHHPSRRCRTGSAEILVNFDDICPSGECSYDQESGAKDSLRNFHICGKDVPRGYYRFCRGSKNETRGFSCGLWVLMHSLSVRIEDGESQFAFTAICDFINNFFMCDDCRRHFHDMCLSVKTPFKKARDIALWLWSTHNKVNERLKKDEDSLGTGDPKFPKMIWPPKQLCPSCYLSSTEKNIDWDHDQVYKFLKKYYGQKLVSVYKKNGESVSKEEVIAAAEEMAVPTNALVVPVGAALAIALASCAFGALACYWRTQQKNRKYNYNPHYLKRYNSNYMVMNTFSNTESEREKER", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKTLLLTLVVVTIVCLDLGYTIVCHTTATSPISAVTCPPGENLCYRKMWCDAFCSSRGKVVELGCAATCPSKKPYEEVTCCSTDKCNPHPKQRPG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRSEKHLLPLPLLLAICCLGTLHLSSGFPQSVPSYLEGLDIPESERHAFCFSQWTALQDQEQIPSFVMDLCSSIYNRMKVNEENNHEIYKRFLFQFSRAKDPSLKIGESQIATAEYTKRDSSGIVGRPFFLFRPRNGRKVSINEH", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDFGYTIVCYKRHASDSQTTTCLSGICYKKITRGSSRPEMGCGCPQSSRGVKVDCCMRDKCNG", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKISFLLLLAIVICSIGWTEAQFTNVSCSASSQCWPVCKKLFGTYRGKCMNSKCRCYS", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKISFLLLALVICSIGWSEAQFTDVKCTGSKQCWPVCKQMFGKPNGKCMNGKCRCYS", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKVFFAVLITLFICSMIIGIHGVGINVKCKHSGQCLKPCKDAGMRFGKCINGKCDCTPKG", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "LTCVTSKSIFGITTEDCPDGQNLCFKRRHYVVPKIYDITRGCVATCPIPENYDSIHCCKTEKCNN", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAAKYVFVVAACSALAQAGIVRRDASTPLQDLEKHAAEFQKTFSEQLNAFTNSKDTKEFNTALKEGSDSVLQQLNALASSLQKALNDANGKAKEALEQTRTNLERTAEELRRAHPDVERQAGALRDRLQTAVQATVQETQKLAKTVGANLEETNKKLAPQIKSAYDDFVKQAQEVQKKLHEAASKQ", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MFAFYFLTACISLKGVFGVSPSYNGLGLTPQMGWDNWNTFACDVSEQLLLDTADRISDLGLKDMGYKYIILDDCWSSGRDSDGFLVADEQKFPNGMGHVADHLHNNSFLFGMYSSAGEYTCAGYPGSLGREEEDAQFFANNRVDYLKYDNCYNKGQFGTPEISYHRYKAMSDALNKTGRPIFYSLCNWGQDLTFYWGSGIANSWRMSGDVTAEFTRPDSRCPCDGDEYDCKYAGFHCSIMNILNKAAPMGQNAGVGGWNDLDNLEVGVGNLTDDEEKAHFSMWAMVKSPLIIGANVNNLKASSYSIYSQASVIAINQDSNGIPATRVWRYYVSDTDEYGQGEIQMWSGPLDNGDQVVALLNGGSVSRPMNTTLEEIFFDSNLGSKKLTSTWDIYDLWANRVDNSTASAILGRNKTATGILYNATEQSYKDGLSKNDTRLFGQKIGSLSPNAILNTTVPAHGIAFYRLRPSS", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLITWLLDVLLLAPPVAAGYPPKPKNLITIESKALPGATITYKEVPKGVCGNVRSYSGYINFPPNSMREAPQDFPVHIYFWYFESQVKPETDPLAIYINGGPGAGSMVGVFVESGPCRMSEDAQSTVLNEHSWNKEANLLYIDQPVQTGFSYDVLTNATFDFKTNILSPEGPDHDPSKDGTLLAGTFGSGDPSKTANTTLNAARHMWNIVQVWSQDFSPYADNRENDKISLWSESYGGRYAPGFMAYFLQQNNRIKAGLLTGSVLHLDTVGIINGCVDLISQQKSNIDFPYNKNTYGIQAIDDAGYDKAMHAYGKRGGCLDQILECHALAKRYDPNAYGHVDEVNYVCERANSYCNTEVDGIYVDGAKRGLFDIAQCHLDPFPSNSFLGYLAKTEVQEALGVPANHTDPSYTVEHVFNVTGDYVRSDRGGHLLDIANLLDARVKVAMVYGDRDFICNWVGAENVSLSVDYKDAKNFRRAGYADVYTDDSGVPKAQVRQHGLFSFTRVYQAGHMMLAYQPQVGYEIFRRAMFNMDIATGTVTDDIEFYSTQGEVNSTHAEPPLPTVPPTCNFWGMAMSCAKNQIEAIQKGEASIVNNIIVSPTQARGECPTPQPTRKSWFYNNEQQSFII", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAARSALCFLAIITLFVYACGRPALNFNSIYKDSRSSVKHFPRVTDSRYAYTKIDSKLDSILSELQKEQNDRDDDDDDDDEDDLFDEINDIFDDVMDDDIEEDGDDIHEVEKIEEAVDDVIDMIDDIIDDDADDDSDDVPEDLNDAQEDILELIKDSNDDKNDDETSDIIDDILDIVEDAKDADDHRPIADIRVVESLSKPGAVAEDDKESKKIDETVQELLDEIKDVVEDANDDVNDILDTDDEDEDEDVQEEKDEDIHEDVGNVMVNLMHGVHGVTGGGVNHDIYEEIEEKMDEVDDFIDDAIDEHNDDDVNDDENDDVYDEHDDLVDDVNDDADDDNDDADDDNDDADDDNDDSDDNDDSDDDNDDDDIDDVADDVLEVIVDAVEAMNTPTNV", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNFLLSWVHWSLALLLYLHHAKWSQAAPMAEGGGQNHHEVVKFMDVYQRSYCHPIETLVDIFQEYPDEIEYIFKPSCVPLMRCGGCCNDEGLECVPTEESNITMQIMRIKPHQGQHIGEMSFLQHNKCECRPKKDRARQEKKSVRGKGKGQKRKRKKSRYKSWSVYVGARCCLMPWSLPGPHPCGPCSERRKHLFVQDPQTCKCSCKNTDSRCKARQLELNERTCRCDKPRR", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKNFGLLVVCLSLATLVIPSDGVHIDGDAAPFFVVSQIQHTAGNPAVVGSSYTGRTAVAPPLNMRTNVAVPSTLGINSVNIGTNLPTFYQPTPQPVRSFKNTIDPSLLYSLMAGGLRGDGFLNQLNTIEFSSPAEVIDAVENAVENRADAIKDVIETVSGAVQNGDDEVEGIYDVFAEDDSENPVENLDDSDGVYDVFADAMEKKAEALENAAEAAAEYISDQSEEVDDLSEEVLDDDSDENDSTSSESEVEDSDVDLEVDVGIDLGGDLDLGGGVDLGGGMGMGGALNMNGGLDMGGRYGR", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKVSLLLLALVLVCLVQGSESWRLRRTLRRIGRGIRRVGRVVKNVACNHACPRLCRQGVCKLACNLGCRGKRDVTQQLNQQGHVTPIPNSFAAYDMNEDGIISRKELALAIGEDIAHPDFMKAYSIADVDGDGELSPKEFYNGPYVFEMDLNDDDLAYCRYRLDIDDDLIDVIEGELVNQAPNFIEGNQVKETGKPHEIISKTGQPETKPIDKYVKLAEKTKTQ", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "LTCVTSKSIFGITTENCPDGQNLCFKKWYYIVPRYSDITWGCAATCPKPTNVRETIRCCETDKCNE", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "LTCVTKNTIFGITTENCPAGQNLCFKRWHYVIPRYTEITRGCAATCPIPENYDSIHCCKTDKCNE", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKFSSIILLTLLICSMSIFGNCQIETNKKCQGGSCASVCRRVIGVAAGKCINGRCVCYP", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRRMWATQGLAVALALSVLPGSRALRPGDCEVCISYLGRFYQDLKDRDVTFSPATIENELIKFCREARGKENRLCYYIGATDDAATKIINEVSKPLAHHIPVEKICEKLKKKDSQICELKYDKQIDLSTVDLKKLRVKELKKILDDWGETCKGCAEKSDYIRKINELMPKYAPKAASARTDL", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASYRLLLLCLAGLVFVSEAGPAGAGESKCPLMVKVLDAVRGSPAVNVGVKVFKKAADGTWEPFALGKTSEFGELHGLTTDEKFVEGIYKVELDTKSYWKALGISPFHEYAEVVFTANDSGRRHYTIAALLSPYSYSTTALVSSPKEGAL", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRAWIFFLLCLAGRALAAPQQEALPDETEVVEETVAEVAEVPVGANPVQVEVGEFDDGAEETEEEVVAENPCQNHHCKHGKVCELDENNTPMCVCQDPTSCPAPIGEFEKVCSNDNKTFDSSCHFFATKCTLEGTKKGHKLHLDYIGPCKYIPPCLDSELTEFPLRMRDWLKNVLVTLYERDEDNNLLTEKQKLRVKKIHENEKRLEAGDHPVELLARDFEKNYNMYIFPVHWQFGQLDQHPIDGYLSHTELAPLRAPLIPMEHCTTRFFETCDLDNDKYIALDEWAGCFGIKEKDIDKDLVI", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKFQVVLSALLACSSAVVASPIENLFKYRAVKASHSKNINSTLPAWNGSNSSNVTYANGTNSTTNTTTAESSQLQIIVTGGQVPITNSSLTHTNYTRLFNSSSALNITELYNVARVVNETIQDKSSAGAVVVANAKSLEAVSFFFSIIFDTEKPIVVTEDSAYAIPVANNKNATKRGVLSVTSDKLVYSGVFTPPTACSYGAGLPVAIVDDQDEVKWFFDASKPTLISSDSIIRKEYSNFTTPYGLLENGVPIVPIVYDGGYSSSLIDSLSSAVQGLVVVSSGSTNSTSSTIESTEIPVVYAQANTPLNFIDNKDVPKNAVGAGYLSPIKAQILLSIAAVNGVTSKSALESIFP", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDFVEVKNLIMKSGMELKVNGVFNANPERFSINVGHSTEEIAVHVDVRFSYLSDKRQLIINHKTGDAWQEEQRDARFPFTAGQAFQVSVVFNFDTFDIYLPDGQVAHFTNHLGAQEYKYIFFVGDATVKNISVNVADKPTKR", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "TKSVVASQLIPINTALTPAMMKAKEVSPKGIPAEEMSKIVGMQVNRAVNLDETLMPDMVKTYQ", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTCCNQQSSQPKTTTNCAESSCYKKTWSDHRGTRIERGCGCPQVKSGIKLECCHTNECNN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MHGLLLAGLLALPMNVLAHPAEQHASNVLSRRGVDIESFRLPLKAKYMDSDAAAQKIQAMSFSKDDDYVSTATKLVKSTFPKSTFRVVDDHYIGTNGIGHVHFKQTAHGLDIDNSDFNVNIDRDGKVFSFGNSFFTGEIPKENPMVKRAFSDPVKALKGAVKALNLPVKSDNAKAKTTAGKESFEFMGTTGALSAPKANLVYLQKEDGTLALTWRVETDVGDNWLLTYVDAHNSETVHNVVDYVASAEFKVFAWGLNDPTEGNPTSIRDPWTDSSPYTWHSDGMTKYPTTRGNNAIAQDNPTGGSTYINNYRPQSPNLIFNYPWSPTATPPSSYKDFSITQLFYTTNRFHDLLYSFGFNEAAGNFQVNNGNKGGRGNDFAIVNAQDGSGTNNANFATPPDGSPGRMRMYNWTTARPNRDGCLEAGIVIHEYAHGLSNRLCGGPANSGCLNALESGGMGEGWGDFYATAIRLKPRDTKDTNYSMGAWAANNPKGIRAYLYSTNLQTNPYMYTSVNSLREVHQIGTVWATMLYDLMWALIEAHGGTYSANPVFRNGVPQDGRHLAMKLVMDGMALQPCNPNFVQARDAILDADRALTNSANKCTIWKAFAKRGLGYGAKYDARNRTGSNRLPPGC", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAPQLLLCLILTFLWSLPEAESNVFLKSKVANRFLQRTKRANSLVEEFKSGNIERECIEERCSKEEAREVFEDDEKTETFWNVYVDGDQCSSNPCHYRGICKDGIGSYTCTCLSGYEGKNCERVLYKSCRVDNGNCWHFCKSVQNDIQCSCAEGYLLGEDGHSCVAGGNFSCGRNIKTRNKREASLPDFVQSHNATLLKKSDNPSPDIRIVNGMDCKLGECPWQAALVDDKKGVFCGGTILSPIYVLTAAHCINETETISVVVGEIDRSRAETGPLLSVDKVYVHKKFVPPKKSQEFYEKFDLVSYDYDIAIIQMKTPIQFSENVVPACLPTADFANQVLMKQDFGIVSGFGGIFERGPNSKTLKVLKVPYVDRHTCMLSSNFPITPTMFCAGYDTLPQDACQGDSGGPHITAYRDTHFITGIVSWGEGCARKGRYGIYTKLSKFIPWIKRIMRQKLPSTESSTGRL", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKCLTKYSRVSETSQTCHVWQNLCFKKWQKGKKVSRGCTATCPKPKKDEVIQCCAKDKCNK", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MHLSHLLAWALLLTLLSLRAEAKPPSPQPQVPRSPGDEASEAVAANGGGKKGDKEPKGDRPRLLRELRLDTRSRGSRGVWTRLLHDHPNPRKYKPANKKGLSKGCFGLKLDRIGSTSGLGC", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "DCSSGWTAYGKHCYKVFDEPKTWEDAEKFCSEQANGGHLVSFRSSKEADFVVTLTAQTKESEIVWMGLSKIWNQCDWGWTNGAKLNYEAWAEAESYCVWFSSTNKEWKSRPCSLFGHFVCKSPAW", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "DCLPGWSSHEGHCYKVFNEYKTWKDAEKFCKKQGKSGHLVSVESSEEGDFVAKLISENLEKSHSIDFVWTGLTYKGRWKQCSSEWSDGSKIKYQKWGKQQPRKCLGLEKQTEFRKWVNLYCEEPQRFTCEI", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "NCLPDWSVYEGYCYKVFKERMNWADAEKFCMKQVKDGHLVSFRNSKEVDFMISLAFPMLKMELVWIGLSDYWRDCYWEWSDGAQLDYKAWDNERHCFAAKTTDNQWMRRKCSGEFYFVCKCPA", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MWSLLTAAVLFARLSIAVPTTAAPAIEKRAAPTVQLDYATVVGSSALGIDSFKGIPYAQPPVGKLRLKPPQPITGDLGTVQATGLPRACPQMYLKSDDIPDDILGRFINTPVFQKITHAGEDCLTINVQKPSSATPESKLPVLFWIFGGGFEFGSTQLYDGTSLILRSMAQNRDIIFVAVNYRVGGFGFLPGADIKKDGSANLGLLDQRLGLQWVAENIEKFGGDPEKVTIWGESAGAISVFDQMALYDGDNTYKGKPLFRGAIMNSGSVIPADPVDCPKGEVVYEKVVEEAGCSKATDKLDCLRSVDYTTFLNAANSVPGILSYNSVALSYLPRPDGKALTASPDKLGRSGLLAKVPLIIGDQEDEGTLFSLVQNNITTTEHLVDYFSTYFFHGATKEQLRALVDTYPNDPSAGSPFRTGNLNQLYPQYKRLAAMLGDLVFTLSRRVFLDIANSKFPEIPTYSYLGTYGHIIPILGTSHGSDVLTSFGYTPGIPSTSIQNYYLSFVNNLDPNKGTPLGFPKWPRWSEGKMLLNFEAVKNSLLKDDFRGESAKYLEEHTDILHI", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNFKYSILFICFGTLDRGLIPECPFNEYDILFFVYTRQQRDGIVLTEETLQNYDLFKKSTISRQVVFIDHGFLSNGNNENFIAMAKALIEKDNFLVISVDWKKGACNAFASTLDYLGYSTAVGNTRHVGKYVADFTKLLVEQYKVSMSNIRLIGHSLGAHTSGFAGKEVQELKLNKYSNIDGLDPAGPSFDSNDCPERLCETDAEYVQIIHTSNILGVYSKIGTVDFYMNYGSHQPGCGRFFSPSCSHTKAVKYLTECIKHECCLIGTPWKKYFSTPKPISQCTKDTCVCVGLNAKSYPARGSFYVPVEATAPYCHNEGIKL", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MATNITMFLIVITLTSVAAQTFQYSRGWTNGKRDGHKTEDIRDLTNNLERILSPCQMNKLKYVLEGKPLNERLLGPCDTSKTRSTTNPSDTNTSAVKTPCSTHFNKHCYSFSY", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKFSTILAIPFAIAFANAAAAPAVTAAPAPAADNPYTIYPPVPKTASINGFADRIYDQIPKCAQECVKQSTSSTPCPYWDTGCLCVIPNFTGAVGNCVASKCRGADVTNFRKLAVGACAAAGVWDPYWIIPASVSSALDAAATATGN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MMKKSMLLLFFLGMVSFSLADDKREDEGEEKRADEGEEKRAAEEKRFIKELLPHLSGIIDSVANAIKG", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MANSKMAFLAFLAVSFLCGLVSATYKVGVGRADITGPPVEINFMGYANIKQVGRGIHTRVFARAFVVEDEKGNRVAFVSADAGMMGYGLKREVIKRLQARYGNIYHNDNVAISGTHTHGAPGGFLMHLLYDISILGFVPQTFEVMAQGLYLCIKRATDNLVDGRILLSKTTVLNVNINRSPSSYLRNPAEERAQYEHDTDKTLTQLRFVDLENNLLGAFNWYAVHATSMNNTNRLVTSDNVGYAALLLEKEYNPNKMPGKGKFVGAFCSSNLGDVSPNIMGPKCSISGNECDLLTSRCPTGEGDCFASGPGKDMFESTQILGQRLADAALGLLNEQSQESTAREVTGDVRFIHQFVDMPNYNGSTYNPLSRKVDKIRGCQPAMGYSFAAGTTDGPGAFSFEQGTTTDNPMWNFVRDFIAAPTQEDIKCHEPKPILLATGRATFPYEWQPKIVSDQLLKIGDVIIAAVPCEFTTMAGRRLRNQIRAAASAVGGIDTEVIIAGLTNIYTSYTVTPEEYQAQRYEAASTIFGPHTHSIYMDVFERLTKAMMRNETVDAGPSPPYMNDVMLSLNTGVLFDGHPINTDFGYVKSQPNKEYGINETVKVTYISGNPRNNLFTEKTYFTIERKINEDRWKVAYTDASWETKMVWHRTNTILGFSEMDIYWDISPQTLPGEYRIRHSGEYKYILGGKYPYEGLTHSFTVKED", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MARGLVSSLLLGQLLLVLVGLFSPAGAVPTPQYQTPNTQASSYWLSSIKRQGIAPFNGGGAGYKVFRNVKDFGAKGDGSSDDTAAINMAISSGSRCGKGCDSSTTTPALVYFPPGTYVVSKPIIQYYYTQIVGDALNMPVIKAAPSFEGIAVIDSDPYENDGSNWYTNQNNFFRGIRNLVIDLTGLDKSKGACIHWQVAQASSLQNIRFEMVKGGGDANKQIGIFMDNGSGGFMTDLVFNGGNYGAFFGNQQFTTRNLTFNNCNTAIFMNWNWAWTFKSLSVNDCGVALNMSNGGFNQTVGSVMILDSKIKNTPKGVVTSFNAESVPESGGTLILDNVDFTGSTDAVTSLQGSSIVGGGSVIKHWVQGNAWTAGSGSKAKRLPPQVQAKPDVARRDDCPAPAPQPPAQSTAPPYPIPETGEPTRVPTTEPSNVPTRVPTGGVPSGTTGTAPSTPSPSPTGGPTACPSAPVTKARVQTALPQPSKPAILLDKSGKVFERAKPQYENVSADKFLSVKSAGAKGDGKTDDTKAIQAVLDKATADQIVYFDHGAYLITSTIKVPKNIKITGEIWPMLMATGKAFSDMKNPIPMLQVGQPGDKGNVELSELIVTTQGSAPGCILVEWNVAEETQGSVGMWDVHFRVGGFAGTQLQSNTCAKTPNTTTTPDPKCFGAFMLLHITKTASAYLENTWLWVSDHELDLADHGQINIYNGRGALIESSGAVWMYGTASEHNTLYNYQIQNAKNVYMALIQTETPYYQSNPDALVPFAPDTKYNDPTFGDCTTAACKKAWGLRILNSTDVFLFGGGLYSFFENYKQECLKTESCQLNMIEVLCSETYLYGVSTKASTNMITSGGKGLVPQKENRSNFCSTIALFHQGNL", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MIQVLLVTICLAAFPYQGSSIILESGNVNDYEVIYPRKVTALPKGAVQPKYEDAMQYELKVNGEPVVLHLGKNKGLFSKDYSETHYSPDGREITTYPLVEDHCYYHGRIENDADSTASISACNGLKGHFKLQGEMYLIEPLKLPDSEAHAVYKYENVEKEDEALKMCGVTQNWESYEPIKKASQLVVTAEHQKYNPFRFVELFLVVDKAMVTKNNGDLDKIKTRMYEIVNTVNEIYRYMYIHVALVGLEIWSNEDKITVKPEAGYTLNAFGEWRKTDLLTRKKHDNAQLLTAIDLDRVIGLAYVGSMCHPKRSTGIIQDYSEINLVVAVIMAHEMGHNLGINHDSGYCSCGDYACIMRPEISPEPSTFFSNCSYFECWDFIMNHNPECILNEPLGTDIISPPVCGNELLEVGEECDCGTPENCQNECCDAATCKLKSGSQCGHGDCCEQCKFSKSGTECRASMSECDPAEHCTGQSSECPADVFHKNGQPCLDNYGYCYNGNCPIMYHQCYDLFGADVYEAEDSCFERNQKGNYYGYCRKENGNKIPCAPEDVKCGRLYCKDNSPGQNNPCKMFYSNEDEHKGMVLPGTKCADGKVCSNGHCVDVATAY", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLDWRLASAHFILAVTLTLWSSGKVLSVDVTTTEAFDSGVIDVQSTPTVREEKSATDLTAKLLLLDELVSLENDVIETKKKRSFSGFGSPLDRLSAGSVDHKGKQRKVVDHPKRRFGIPMDRIGRNRLSNSRG", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSFLKKSLFLVLFLGLVSSSICEEEKRETEEEENEDEIEEESEEKKREDPERPPGFTPFRVY", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSFLKKSLFLVLFLGLVSFSICEEEKRETEEEENEDEIEEQSEEKKRFEPVPPGFTPFRQT", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLFTSTAVAALSGALLIQPALAAPNGLPSHGGSHHGPKDPFEVLDPQNWVNPDNMTWADFKSPPGTKWNDPSRKGSIRNFNIALVNVDYPDKPFTITMAPGSDVFKNPQPGSPNVTRSQVPAFYRDFLNKPGKLNRGHTLHEYWMEDSNGRFGVDLTTFGVYKMPLKSYQYGIGESMNAGACPIGETCYYEIRDDALGAWRKDIGEEKAKSFELVFILSAGQDESSTWQEFGEIMFQNKEDVTSAFGPPPGNGTGNMTLPNYAKTRYVEWTSWASASAIWPNAGDGSSTQAESSGMGTFAHELSHLLNVGDNYNNPYGKPLRRSYTGPWSMMSRGSFNGPGGPHTRWQVPPLQGGSMGSQHTFHDKIRLGLTTKDSALNISREALANSGLIVARVTARVIAPKPGDLIGIHVAMDKDKSPKCDVNTDPYCDGNGYQNYNVEVIDRMGADSFCPDSGVMLSKTRDKAFSNYQWTIDANPQDIKQVDFHRPDGTPAMISLGDYRQLADALFHAGTRSGSQYEYTDKANNLQFYIIEPHRDEAGVLSYTTAVRYVGGKDPHKRGVKLDKNAKITSSNTKPTDKGVTCSFTLHNTGTYNPAAGKAKHPQDVTAYLKSDVYRLKATVEGRGWRVEVPNALATAEFGKTVTVSVAVGAENSAQDKAKVTLTATSEADPSKFATAECKVNKFRN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MQTILVLTTFLSAWFLAVGFDVFWNVPSQQCKKYGMKFVPLLEQYSILVNKEDNFKGDKITIFYESQLGLYPHIGANDESFNGGIPQLGDLKAHLEKSAVDIRRDILDKSATGLRIIDWEAWRPIWEFNWSSLRKYQDKMKKVVRQFNPTAHESTVAKLAHNEWENSSKSWMLSTLQLGKQLRPNSVWCYYLFPDCYNYDGNSVQEFQCSEAIRKGNDRLKWLWEESTAVCPSIYIKEGQLTNYTLQKRIWFTNGRLQEALRVAQPKARIYPYINYSIKPGMMVPEVEFWRLIAQIASLGMDGAVIWGSSASVGSKNHCAQLMKYIADVLGPATLRIKENVARCSKQACSGRGRCTWPKDTSVIAWKFLVEKEDYDFYLGDIECKCVEGYEGRYCEQKTK", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MARRSSFQSCQIISLFTFAVGVNICLGFTAHRIKRAEGWEEGPPTVLSDSPWTNISGSCKGRCFELQEAGPPDCRCDNLCKSYTSCCHDFDELCLKTARGWECTKDRCGEVRNEENACHCSEDCLARGDCCTNYQVVCKGESHWVDDDCEEIKAAECPAGFVRPPLIIFSVDGFRASYMKKGSKVMPNIEKLRSCGTHSPYMRPVYPTKTFPNLYTLATGLYPESHGIVGNSMYDPVFDATFHLRGREKFNHRWWGGQPLWITATKQGVKAGTFFWSVVIPHERRILTILQWLTLPDHERPSVYAFYSEQPDFSGHKYGPFGPEMTNPLREIDKIVGQLMDGLKQLKLHRCVNVIFVGDHGMEDVTCDRTEFLSNYLTNVDDITLVPGTLGRIRSKFSNNAKYDPKAIIANLTCKKPDQHFKPYLKQHLPKRLHYANNRRIEDIHLLVERRWHVARKPLDVYKKPSGKCFFQGDHGFDNKVNSMQTVFVGYGSTFKYKTKVPPFENIELYNVMCDLLGLKPAPNNGTHGSLNHLLRTNTFRPTMPEEVTRPNYPGIMYLQSDFDLGCTCDDKVEPKNKLDELNKRLHTKGSTEERHLLYGRPAVLYRTRYDILYHTDFESGYSEIFLMPLWTSYTVSKQAEVSSVPDHLTSCVRPDVRVSPSFSQNCLAYKNDKQMSYGFLFPPYLSSSPEAKYDAFLVTNMVPMYPAFKRVWNYFQRVLVKKYASERNGVNVISGPIFDYDYDGLHDTEDKIKQYVEGSSIPVPTHYYSIITSCLDFTQPADKCDGPLSVSSFILPHRPDNEESCNSSEDESKWVEELMKMHTARVRDIEHLTSLDFFRKTSRSYPEILTLKTYLHTYESEI", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAGKPGIQLFVIFLLLSSFAAVVWAMDNMPADKDVSKLFPLTLIHINDLHARFDETNMKSNACTAKDQCIAGIARVYQKIQDLLKEYKSKNAIYLNAGDNFQGTLWYNLLRWQVTADFITKLKPTAMTLGNHEFDHTPKGLAPYLAELDKAGIPTLVANLVMNDDPDLKSSKIQKSIKVTVGGKTIGIIGVLYDKTHEIAQTGKVTLSNAVETVKREAAALKKDKVDIIVVLSHCSYDEDKKIAKEAGQDIDVIVGAHSHSFLYSKESNKPYDQKDKIEGPYPTIVESNNKRKIPIVQAKSFGKYVGRLTLYFDNEGEVKHWEGYPEFIDNKVKQDPKILEALIPWRKKVQEIGSTKVGETTIELDRDSCRDKECTLGVLYADAFADHYTNSSFRPFAIIQAGNFRNPIKVGKITNGDIIEAAPFGSTADLIRLKGDNLWAVAEHSLALDDENRTNCLQVSGLRIVIDPSKSVGSRVVKIDVMDNRNPKSEDLKPLDRNAEYFIALPSYLADGKDGFSAMKEATARWTGPLDSDVFKSYVEKIKKVDKLKLDRVIVCKAGSPCT", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLAVLLFAALVATAYSQSNYGPGKPDVKIIPHPKTVVHEDARHVHKQVHLVKQVPVHRTRVQTIINEVPRIVTKPKKIKRTRIFRQYYPVDVPVIRRVTYLQPVHLERKVPVARMVVKDVPHHVVRTKKVDVPIDVPIKKIVEKKVVRYVENKIFRPRPVVQEKVRVVHVPKPFPVDRVIVQKNPRPRIIVEKKAVPVIRHIHTHKKQAVAVPRVKTVAEVVPNVVHQKVTYPVGKGGGSVQIPGGPLPVPEKF", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "NPEDWFTPDTCAYGDSNTAWTTCTTPGQTCYTCCSSCFDVVGEQACQMSAQC", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLKLVCAVFLIATVSAKGYDTGSRYSIGNYLSGYSGYGIGNRYSIGNYLSGYNGYDRGSRYSIGNYLPGYSGYGTGSRYSIGNYLPGYSGYGTGSRYSIGNYLPEYSGYGTGNRYSIGNYLPEYSGYGIGSRYSIGNYLPGYSGYGTGNRYSIGNYLPEYSGYGTSSRYSIGNYLSGYSGYGTGSRYSIGNYLSGYSRYGTGSRYSIGSYLSRYSGYGTGSRYSIGNYLSGYSGYGIGNRYSIGNYLPGYSGYYGGSYPSYRSTLTGVSQSLSFGRAVMSGQAFGAGVPAFGSVNFGNFGVGTGGIGILGGGVIGGGGVIGGGGVVGGGAVIGGGGGIPIGGIIRKKKY", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTRLLDASFLLLPVIASTLFGTASAQSTCATKGKPAGKVLQGYWENWDGSANGVHPGFGWTPIENPVIAQNGYNVINAAFPVILSDGTALWEDGMDATVKVATPAEMCQAKAAGATILMSIGGATAGIDLSSSTVADKFISTIVPILKQYNFDGIDIDIETGLVGSGSIGTLSTSQANLIRIIDGVLAQMPANFGLTMAPETAYVTGGSVVYGSIWGSYLPIIKKYVDNGRLWWLNMQYYNGDMYGCSGDSYAAGTVQGFTAQTDCLNNGITIQGTTIKVPYNMQVPGLPAQSGAGGGYMTPALVGQAWDHYNGALKGLMTWSINWDGSKNWTFGDN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MYHQLILMALIGVIMANVVPFSMSNIPEEYKEFIPEEVKNFYKNLTQEDRQILRELASKHATFTNEDAALEALKNKSDKLYQKAVELRNFVKAKIDSLKPDAKAFVDEIIAKVRSLRPEDGQKLDVEKLKQAARDIIAKYEALNEETKEELKAPFPNTTKIITNEKFTRIANSFLQKN", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "VICYRKYTNNVKTCPDGENVCYTKMWCDGFCTSRGKVVELGCAATCPIRKPGNEVKCCSTNKCNHPPKRKKRRP", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "IRCFITPRVSSQACPDGHVCYTKTWCDNFCGINGKRVDLGCAATCPTVKPGVDIKCCSTDNCNPFPTRKRP", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "KICYNQPSSQHPTTKACPGEKNCYRKQWSDHRGTIIERGCGCPTVKPGVKLHCCTTEKCNN", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MECHNQQSSQPPTTTHCSGGETNCYEKRWHDHRGTIIERGCGCPTVKPGVKLNCCTTDKCNN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVLLLLVAIPLLVHSSRGPTHYEMLGRCRMVCDPHASRGQGPDGAPASVPSLPPGAKGEVGRRGKAGLRGPPGPPGPRGPPGEPGRPGPPGPPGPGPGGAAPPAGYVPRIAFYAGLRRPHEGYEVLRFDDVVTNVGNAYEAASGKFTCPMPGVYFFAYHVLMRGGDGTSMWADLMKNGQVRASAIAQDADQNYDYASNSVILHLDVGDEVFIKLDGGKVHGGNTNKYSTFSGFIIYPD", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTRTCFITPDVKSKPCPPGQEVCYTKTWCDGFCGIRGKRVDLGCAATCPTPKKTGIDIICCSTDDCNTFPLRPRGRLSSIKDHP", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "LTCLNCPEVYCRRFQKCRNGEKICFKKFDQRNLLGKRYEIGCAATCPEAKPREIVQCCSTDKCNR", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "LTCLICPEKYCNKVHTCLNGEKICFKRYSERKLLGKRYIRGCADTCPVRKPREIVQCCSTDKCNH", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MWCASPVAVVAFCAGLLVSHPVLTQGQEAGGRPGADCEVCKEFLNRFYKSLIDRGVNFSLDTIEKELISFCLDTKGKENRLCYYLGATKDAATKILSEVTRPMSVHMPAMKICEKLKKLDSQICELKYEKTLDLASVDLRKMRVAELKQILHSWGEECRACAEKTDYVNLIQELAPKYAATHPKTEL", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "RRCFNQQSSQPQTNKSCPPGENSCYRKQWRDHRGTIIERGCGCPTVKPGVKLRCCQSEDCNN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKLTLVILALVACVTAFSVPTQKVKIADKNFLEKQKFLFEIVHRIDEPLMFEEWIKMGQKLITDKAQYETFDFYMEKLWESYKLGALLPKGEFFGALVKTHHKQAYGLFNFFYYAKDWETFVRNVAWARIHVNEGMFVYALTLAVIHKPEFEGLILPQIYEIFPQYFFNSKFVYAAEKFDYEVFSKLTMYEKEYKDILYKDYSEFTGNFYFYTKDWKTWQWYKMMGLDQEWYVEDKYFLRENLSQFVNDPKYVDVVKGLKKFYMPVDYTRDIDFFNDETKMTYFTEDLGWNAYWYYLNMDYAFFLNGKQFGLDKDRRGEYWIYNVQQILARYYQERLANGFGEIPEFFWYKQIEYGYDPQLIYYNGIGYSYRKNYYDFYTYGKFEMYSQIQNFFSRVYKVLETGFYKTADGQVFDLHKPEAIKIVGNYLQGNADTFDKYFFNYYYLLAHMYFADVDYNDMEVFPNVFLNFETMLRDPFFYTFYKKFTDVFYTFKYYLKPYTQKDLFYEGITIKDVSVSKLVTYYDIVDFDVTNLLNDKMTFVDGQYIWDKALLARQARLNHKPFNFEFTIDSDKVQKGVVRVFLGPKFDEYGRVIPLDYNRKNFVQIDSFVYPFIAGTNTIKRSSKEFSWTAEDRITYTELYKYVMLASEGKYDFPLDISEPHNAFPDRLVLPKGWEQGMPMQFYFFVSPFAETYEQFSNFDYTYSSGVGSGTRFVDTKPFGYPFDRQIDESDFFVPNGFFKDVKVYYVDTFAKYFEKKYTQFGTFDYSIEY", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKFAIAFLACVAVVTATAYHKTHDIKVADKAFLMKQKFLFEIVYRVEDPLMFEEYIAMGKQFYFDKEHYTHFDLYMEKFFEAHKAHALLPKGEFFGALVKHHAKQARGLFNFFYYAKDWETFMTNVAFARMHFNEGMFVYALTLAVIHRDDFHGLVLPAIHEIFPQFFFNSKFVMEAEKFDYEMWMKTSLYEKEYMDVYHKIPTFSSYEHGYKQGMAYGYGKTHGHGQTYEHEFGSMYQTSDYMYMKDFKTWQWWKLMGLGEHWYSESNYILRENIYEYNQESNWLTMMKDVKKFYMPVDYSRDLYLYNEESKLSYFTEDLGWNSYWYYLNMDYSFFLDGKTFGLQNDRRGEWWLYNVHQLLSRYHMERLSHGLGEIPQFSWFHQIEMGYDPQLIYYNGIGYSYRKNYYELETYGNFEMLDKITGFQQRIQNIVELGYYQTTDGHMIDLRKPESIEIIGNMLQGNVDAIDNIFFQFWYMLAHMYFADTHYYQMEVYPNVMLNFETMMRDPMFYMFYKSIAQVYFQFMHHLPKYTKEQLLMPGVTLKHVEVSELVTYFDLVDFDVTNMLNGKMVFHEGQFLWDKSLFARQMRLNHKPFSYTYTIDSARDEKVVIRAFLGPKFDEYGRMISLTDNRMNFMEIDEFTYTLKTGSNLITRKSTDFAWTVKDRTTYTELYYYTMMAFDGKYDYPLDLTEPHCGFPDRLVLPMGWKKGMPMQMFFMVVPYMAPQHEQFSTFDYTYSCGIGSGARHVDSLPFGYPFDREINEYEFHVPNMYFKDVTIYHADTMEKYYNYKEYTNYGHFDYSFFNDYYTKYFKL", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLRHLLRHENNKVFVLILLYCVLVSILKLCTAQPDSSVAATDNDITHLGDDCQVTPVIHVLQYPGCVPKPIPSFACVGRCASYIQVSGSKIWQMERSCMCCQESGEREAAVSLFCPKVKPGERKFKKVLTKAPLECMCRPCTSIEESGIIPQEIAGYSDEGPLNNHFRRIALQ", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MTHQGIGCLVKWLYLVLIVHTLLCIGQLECRQQHHNRNNNNNNRRADSSSSEEGHGNTSDGLDNFADQDASFVGHGHQPRRGQRKKQQGGGGGGSGGGGGNGGGGGSRHNRNEESGISLWINEQQLKMLTALYFPQGYSERLYAIHNSRVTNDLRDTTLYNFLVIPSEVNYVNFTWKSGRRKYFYDFDRLQTMDESILKAPTLSIRKSGRIPQEQKNFSIFLPCTGNSSGTASFNVGLKIQTRHNKPLSGTPIRLNFKKECAHRGVYDIDASNPTSLTTLQECSLKCGKNGYCNEHHICKCNVGYTGQYCETAFCFPQCLNGGNCTAPSVCTCPEGYQGTQCEGGICKDKCLNGGKCIQKDKCQCSKGYYGLRCEYSKCVIPCKNEGRCIGNNLCRCPNGLRGDHCEIGRKQRSICKCRNGTCVSHKHCKCHPGFYGRHCNGRKRRHVHRNDDSKF", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLKMGVVLFIFLVLFPLATLQLDADQPVERYAENKQLLNTDERREIILSALRTRVCCPFGGCHELCQCCEG", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAGSRGLPLLLLVLQLFLGPVLPVRAPVFGRSDTPTLSPEENEFVEEENQPVLVLSSEEPEPGPATVDCPRDCACSQEGVVDCGGIDLREFPGDLPEHTNHLSLQNNQLEKIYPEELSRLQRLETLNLQNNRLTSRGLPEEAFEHLTSLNYLYLANNKLTLAPRFLPNALISVDFAANYLTKIYGLTFGQKPNLRSVYLHNNKLADAGLPDHMFNGSSNVEILILSSNFLRHVPKHLPPALYKLHLKNNKLEKIPPGAFSELSNLRELYLQNNYLTDEGLDNETFWKLSSLEYLDLSSNNLSRVPAGLPRSLVLLHLEKNAIQSVEADVLTPIRNLEYLLLHSNQLQAKGIHPLAFQGLKKLHTVHLYNNALERVPSGLPRRVRTLMILHNQITGIGREDFATTYFLEELNLSYNRITSPQMHRDAFRKLRLLRSLDLSGNRLQTLPPGLPKNVHVLKVKRNELAALARGALAGMAQLRELYLTGNRLRSRALGPRAWVDLAGLQLLDIAGNQLTEVPEGLPPSLEYLYLQNNKISAVPANAFDSTPNLKGIFLRFNKLAVGSVVESAFRRLKHLQVLDIEGNFEFGNGSKDKDEEEEEEEEEEDEEEETR", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLLFPSTSLRLFFFLFLLFSSCFLQIRGDDDDDDISDDNIKVDPSLKFENPSLRQAYIALQSWKQAIFSDPFNFTANWNGSDVCSYNGIFCAPSPSSPKTRVVAGIDLNHADMAGYLPRELGLLTDLALFHLNSNRFCGEVPLTFKHMKLLFELDLSNNRFVGKFPNVVLSLPSLKFLDLRYNEFEGSIPSKLFDKELDAIFLNHNRFMFGIPENMGNSPVSALVLADNDLGGCIPGSIGLMGKTLNEIILSNDNLTGCLPPQIGNLKNVTVFDISFNRLSGPLPSSIGNMKSLEQLNVANNRFTGVIPSSICQLSNLENFTYSSNFFTGDAPRCVALLGDNVVVNGSMNCIDGKEDQRSSKECSSPASRSVDCSKFGCNNFFSPPPPSFKMSPTVRVLPPPPPSSKMSPTFRATPPPPSSKMSPSFRATPPPPSSKMSPSFRATPPPPSSKMSPSVKAYPPPPPPPEYEPSPPPPSSEMSPSVRAYPPPPPLSPPPPSPPPPYIYSSPPPPSPSPPPPYIYSSPPPVVNCPPTTQSPPPPKYEQTPSPREYYPSPSPPYYQYTSSPPPPTYYATQSPPPPPPPTYYAVQSPPPPPPVYYPPVTASPPPPPVYYTPVIQSPPPPPVYYSPVTQSPPPPPPVYYPPVTQSPPPSPVYYPPVTQSPPPPPVYYLPVTQSPPPPSPVYYPPVAKSPPPPSPVYYPPVTQSPPPPSTPVEYHPPASPNQSPPPEYQSPPPKGCNDSPSNDHHYQTPTPPSLPPPYYEDTPLPPIRGVSYASPPPPSIPYY", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKASMFLALAGLALLFVVCYASESEEKEFPIELLSKIFAVDVFKGEERGCKGFGDSCTPGKNECCPNYACSSKHKWCKVYLGK", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKASMFLALAGLVLLFVVGYASESEEKDFPRELLSKIFAVDDFKGEERGCKGFGDSCTPGKNECCPNYACSSKHKWCKVYLGK", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKASMFLALAGLVRLFVVGYASESEEKEFPRELLSKIFAVDDFKGEERGCKGFGDSCTPGKNECCPNYACSSKHKWCKVYLGK", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKASMFLALTGLALLFVVCYASESEEKEFSNELLSSVLAVDDNSKGEERECLGFGKGCNPSNDQCCKSSNLVCSRKHRWCKYEIGK", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGTWARALLGSTLLSLLLAAAPGALGTNPGLITRITDKGLEYAAREGLLALQRKLLEVTLPDSDGDFRIKHFGRAQYKFYSLKIPRFELLRGTLRPLPGQGLSLDISDAYIHVRGSWKVRKAFLRLKNSFDLYVKGLTISVHLVLGSESSGRPTVTTSSCSSDIQNVELDIEGDLEELLNLLQSQIDARLREVLESKICRQIEEAVTAHLQPYLQTLPVTTQIDSFAGIDYSLMEAPRATAGMLDVMFKGEIFPLDHRSPVDFLAPAMNLPEAHSRMVYFSISDYVFNTASLAYHKSGYWNFSITDAMVPADLNIRRTTKSFRPFVPLLANLYPNMNLELQGTVNSEQLVNLSTENLLEEPEMDIEALVVLPSSAREPVFRLGVATNVSATLTLNTRKITGFLKPGRLQVELKESKVGGFNVELLEALLNYYILNNLYPKVNEKLAHRFPLPLLRHIQLYDLLLQTHENFLLVGANIQYRRV", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTRSAMQMGRLTLVLCLLLLLLLTTQACFIRNCPKGGKRDVDERYLFKACMSCSFGQCVGPRICCGPRGCEMGTAEANRCIEEDEDPIPCQVVGQHCDLNNPGNIHGNCVANGICCVDDTCTIHTGCL", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSCIAVEAVLLGILLYIPIVLSDDRAPIPSNSAQLNSWFDGIIQPVAVRKATMDPALVTAEGQTKVIKLKSDGSGDFKSINEAIKSIPDDNTKRVILSLAPGNYSEKVKIGMYKHYITFYGEDPNNMPILVFGGTAAEYGTVDSATLIVESNYFSAVNLKIVNSAPRPDGKRVGAQAAALRISGDKASFYNVKIYGFQDTLCDDKGKHFYKDCYIEGTVDFIFGSGKSIFLNTELHAVPGDQPAIITAQARKTDSEDTGYYFVNCRVTGGGAFLGRSWMPAAKVVFAYTEMVDAIHPEGWILVKPEHESTVRFSEYNNKGPGANMEKRAKFVKRLSDAEAKQSISLGSIEASKWLLPPRVVGLP", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "QWIPGQSCTNADCGEGQCCTGGSYNRHCQSLSDDGKPCQRPNKYDEYKFGCPCKEGLMCQVINYCQKK", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKYFVVALALVAAFACIAESKPAESEHELAEVEEENELADLEDAVWLEDLADLSDLEETRGFFGNAWKKIKGKAEKFFRKKAAKIIAKKEGITKEEAEAKVDTMSKKQIKVYLLKHYGKKALQKASEKL", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MMPKHCFLGFLISFFLTGVAGTQSTHESLKPQRVQFQSRNFHNILQWQPGRALTGNSSVYFVQYKIMFSCSMKSSHQKPSGCWQHISCNFPGCRTLAKYGQRQWKNKEDCWGTQELSCDLTSETSDIQEPYYGRVRAASAGSYSEWSMTPRFTPWWETKIDPPVMNITQVNGSLLVILHAPNLPYRYQKEKNVSIEDYYELLYRVFIINNSLEKEQKVYEGAHRAVEIEALTPHSSYCVVAEIYQPMLDRRSQRSEERCVEIP", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNYLVMISFALLLMKGVESVRDAYIAKPENCVYECGITQDCNKLCTENGAESGYCQWGGKYGNACWCIKLPDSVPIRVPGKCQR", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTPGAQLLPLLVAISTAVAAVVTSDAPTKTLSPATGDATLAFVFDVTGSMWDDLMQVIDGASRILERSLSSRSRVIANYALVPFHDPDIGPVTLTADPVVFQRELRELYVQGGGDCPEMSVGAIKAAVEVANPGSFIYVFSDARAKDYHKKKELLQLLQLKQSQVVFVLTGDCGDRTHPGYLVFEEIASTSSGQVFQLDKQQVSEVLKWVESAIQASKVHLLSADHEEEGEHTWRIPFDPSLKEVTIALSGPGPEIEVRDPLGRVLQTDEGLNVLLNIPDSAKVVAFKPEHPGLWAIKVYSSGRHSVRISGISNINFRAGFSMQPSLDLNHTIEWPLQGVPISLVINSTGLQAPGHLESVELSHSSGRSLLTLPTQLLSNGSTHQLWAGPPFHVPKERFYLKVKGKDHEGNPLLRVSGVSYSAVAPGVPLVSMAPKIHGYLQQPLLVSCSVYSTLPFQLQLQRDGERLGEERYFQESGNSSWEIPRASKAEEGTYQCIAVSRAGSGRASAQIVITDPPPQLVPGPNVTVSPGETAILSCQVLGETPYNLTWVRDWRALPATTGRISQLSDLSLEVRSIIPTDGGQYQCVASNPNGVTRATTWLLVREAPQVSINARSQRFSQGVEVRVSCSASGYPTPHISWSREGLALPEDSRIHVDAQGTLIIQGLAPEDAGNYSCQATNEVGTDEETVTLYYTDPPSVSAVNAVVLTAVGEEAVLLCAASGVPPPRVIWYRGGLEVILAPGDSRSGTLRIPEAQERDAGLYTCKAVNELGDASAEIQLVVGNAPRLTDPPQDVTVELGKSVFLTCRATGRPPPIVTWRRGDGQALEPGRGSRTGQRDSGVLVFERVSLEDQAPYVCEARNVFGKAQAEARLVVTGHAPPQIANSASVVRVLEGQPVSLTCVILAGRPLPERRWLKAGSPLPPGNRHAVRADGSLHLDRALQEDAGRYSCVATNVAGSQHRDVELVVQVPPRIHPTSTHHVTNEGVPASLPCIASGVPTPKITWTKETNALTTSGHYSVSRNGTLVIVQPSPQDAGAYVCTATNSVGFSSQEMWLSVNTKPMIKMNGSQAVDVPLRVTVKAGEEVTLDCEAQGSPTPLLTWTKDANPLLPVTNRYELLPSGSLRLAQAQVGDNGLYGCTASNPAGATSRRYVLRVQVPPQVQPGPRVLKVLAGEALDLNCVAEGNPQPQLNWFKDGMALMGEGAQGSVHFAAVKTSDAGLYRCEASNSAGTDTWKLELLVLEPPHWGTDETKSLLERVAGENASLPCPAQGTPKPRITWRRGPSSEPLNGRPDVAVLDEGSLFLSSVSLADSGEYECQATNEVGSASRRAKLVVYVPPSIREEGHITNVSGLAGQPLTLECDINGFPAPEVAWLKDGQLVGDSGGGWDGEEASGHRLLDGSRSLHFPRIQESHSGLYSCQAENQAGSAQRDFNLAVFIPPSLLGAGAAQEVLGLAGADVTLECQTSGVPTPQVEWTKDGQPILPGDPHILLQEDGQVLRIISSHLGDEGQYQCVAFSPAGQQAKDFQLSIHSPPTIWGSNETGEVTVLEGHTAQLLCEARGMPSPAITWYKDGTLLAPSSEVVYSKGGRQLQLVKAQPSDAGLYTCQASNPAGITKKSTSLEVYVPPTIEGADGGPYLVQAVAGRPVALECVARGHPPPTISWQHEGLPVVDSNGTWLEAGGALQLENPGEASGGLYSCVASSPAGEAVLQYSVEMQVPPQLLVAEGMGQVTATVGQSLDLPCQASGSPVPTIQWLQNGRPAEELAGVQLASQGTILHISHVELNHSGLFACQATNEAGTAGAEVEVSVHGKQVSVNLGASFSAHHWWGEPHSPFPATCNPPVCRHWSAYPKPSLVERWRGRGNLRGQPSGTVREPGLTLLSQIEKADLRDEGVYTCSATNLAGESKKDVTLKVLVPPNIEPGPVNKVVLENASVTLECLASGVPPPDVSWFKGRQPISTQRRVIVSADGRVLHIERVQLSDAGSYRCVATNVAGSAGLKYGLRVNVPPRITLPPNLPGPVLLGTPFRLTCNATGTPRPTLIWLKDGNPVSPEGIPGLKVFPGGQVLTVASARASDSGSYSCVAVSAVGEDRRDVILQVHMPPSILGEELNMSVVVNESVTLECQSHAVPPPVLRWQKDGRPLEPHPGIRLSADKALLEVDRAAVWDAGHYTCEAINQAGRSEKHFNLHVWVPPAFPSKEPYTLTVTEGQTARLSCDCQGIPFPKISWRKDGQPLPGEGDSLEQVLAVGRLLYLGQAQSAQEGTYTCECSNAAGTSSQEQSLEVLVPPQVTGLWEPLTTVSVIQDGNTTLACNATGKPLPVVTWQRDGQPVSVEPGLRLQNQNHSLHVERAQASHAGGYSCVAENTAGRAERRFALSVLAPPHLTGDSDSLTNVTATLHGSFTLLCEAAGVPAPTVQWFQEGQPISPREGTYLLAGGWMLKMTQAQEQDRGLYSCLASNEAGEARRNFSVEVLVPPSIENEDLEEVIKVPEGQTAQLECNATGHPPPKVTWFKDGQSLTVEDPYEMSPDGAFLWIPQANLSNAGHYSCIASNAVGEKTKHTQLSVLVVPTILGVPEKNANEEVTVTINNPISLICEALAFPSPNITWMKDGSPFEASKNIQLLPGTHGLQILNAQKEDAGQYTCVVTNELGEATKNYHVEVLIPPSISKDDPLGEVSVKEVKTKVNSSLTLECECWATPPPSISWYKDGRPVTPSHRLSVLGEGRLLQIQPTQVSDSGRYLCVATNVAGEDDQDFNVLIQVPPMFQKMGDVDAGFEPLPHEEEAQGRVTEYREIVENNPAYLYCDTNAIPPPELTWYREGQPLSAADGVSVLQGGRILQLPLVQAEDAGRYSCKAANEVGEDWLHYELLVLTPPVIPGDTQELVEEVTVNASSAVSLECPALGNPAPAVSWFQNGLPVSPSPRLQVLEEGQVLKVATAEVADAASYMCVAENQAGSAEKLFTLKVQVPPQISDWTTSQLTATLNSSVSLPCEVYAHPNPEVTWYKDGQPLSLGQEAFLLPGTHTLRLARAQPADSGTYLCEALNAAGRDQKMVQLNVLVPPSFKQAPGGPQEAIQVRAGDKAILSCETDSLPEPAVTWFKDQQPLALGQRIQGLQGGQTLEILDSQASDKGVYSCKVSNTAGEAIRTFVLAIQVPPTFEKPERETVNQVAGRTLVLACDVSGIPAPTVTWLKDRLPVESSVVHGVVSRGGRLQLSHLQPAQAGTYTCVAENAQAEARKDFVVSVLVPPQIQDSGMAQEHNVLEKQEIRLHCEAEGQPPPDITWLKDGGLLDQHVGPHLRFYLDGSTLVLKGLRTADSGAYTCVAHNPAGEDARLHTVNVLVPPTIKQQAGDTGTLVSRTGELVTMVCPVQGSPPIHVSWLKDGLPLPLSQRTLLHSSGRTLRISQVQLADSGVFTCVAASPAGVADRNFTLLVLVPPILEPVEFQNNVMAAQGSEVVLPCEARGSPLPLVSWMKDGEPLLPQSLEQGPGLKLESVSVGDAGTYSCTAASEAGEARRHFQLTVMDPPHIEESGETSELSLTPGAHLELLCEARGIPPPNITWHKDGQALRRTENDSQAGRVLRVDNAGLYTCLAESPAGEVEKSFRVRVQAPPNVVGPRGPRSVVGLAPGQLILECSVEAEPAPEIEWHRGGVLLQADAHTHFPEQGRFLKLQALSTADGGDYSCTARNRAGSTSVAFRVEIHTAPTIQSGPNTVNVSVNRTTLLPCQTHGVPTPLVSWRKDGIPLHPGSPRLEFLPEGSLRIHPVLAQDAGHYLCLASNSAGSDRKGLDLRVFEPPAIAPGPSNLTLTAYSPASLPCEARGSPKPLVTWWKDGQKLDLRLQQGAYRLLPSNALFLTAPSPQDSAQFECVVSNEVGESRRRYQVTVHVPPTIADDQTHFTVTRMAPVILTCHSTGSPTPAVSWSKAGTQLGARGSGYRILPSGALEIERALPLHAGRYTCTARNSAGVARKHMVLTVQASPVVKPLPSVVQVVASEEVLLPCEASGIPQPMVIWQKEGLSIPEGAHMQVLPSGQLRIMHASPEDAGNYFCIAQNSVGSAMAKTRLVVQVPPVIENGLPDLSTIEGSHALLPCTAKGSPEPAITWEKDGHLVSGAEGKFTLQPSGELLVKNSEGQDAGTYICTAENAVGRARRRVHLTILTLPVLTTLPGDRSLRLGDRLWLRCVARGSPTPRIGWTINDQPVTEGVSEQDGGSTLQRAAVTREDSGTYTCWAENRVGRVQAVSFVHVKEAPVLQGEAFSYLVEPVGGSIQLHCVVRGDPAPDIHWTKDGLPLPISRLHFQLQNGSLTILRTKMDDAGRYQCLAVNEMGTVKKVVTVVLQSAPVFQVEPQDVTVRSGVDVELRCRATGEPVPTIEWLRAGRPLQAGRKLRALPDGSLWLEHVEAGDAGVYECVAHNHLGSVTAKALLAVRGEPRGSRGSMTGVINGQEFGMATLNISVLQQGSSEAPTIWSSISQVPASVGPLMRVLVVTIAPIYWALARESGEALNGYSLTGGSFQQESQMEFSTGELLTMTQVARGLDPDGLLLVDMKINGMIPESLADGDLRVQDFQEHYVQTGPGQLFAGSTQRFLHDSLPASLRCNHSIQYDETRGLQPQLVQHLRASSISSAFDPEAEALNFQLTTALQTEENEVGCPEGFEPDVQGAFCVDKDECSGGPSPCSHTCRNAPGHFSCSCPTGFSLAWDHRNCRDVDECAGNTHLCQEEQRCVNLLGSYNCLASCRPGFRVTADGSNCEDVDECLEQLDECHYNQLCENTPGGHHCGCPRGYRQQGHSLPCLDINECLQLPTPCVYQCQNLQGSYRCLCPPGQTLLRDGRTCIPLERNRQNITIVSHRSPFGPWLRSRVPRPSSSYHTWVSLRPGSGALNSVGRAWCPPGFIRQDGVCADLDECRVRSLCQHACQNTEGSYYCLCPSGYRLLPSGKNCQDINECEEDGIECGPGQMCFNTRGSFQCVDTPCPTTYRQGSSPGTCFRRCSQDCSASGPSTLQYRLLPLPLGVRAHHDVARLAAFSEAGIPANRTELTVLEPDPRSPFALRQLRAGQGAVYTRRALTRAGLYRLTVRAAAPRHQSVYILLIAVSPYPY", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MHGLLLAGLLALPLNVLAHPTESHSSGVSRRAIDITSYRLPQISKYTKSDAVPKQDGESFTTSSTGDDNVSSGDYVTTATNWLKKTLPKATYRLVNDHYIGDSGIGHVHFRQTAHGIDIDNTDFNVNIGRDGKVFSFGNSFYDGEIPKANPMVKRDFSDPVNALHGAIQTLNLPVTAKPENVKAKPVEGKENFKFEGTSGALSDPKAQLVYLQKDGGLVLSWKVETDVGDNWLLTYVDANKNDQVHSVVDYVSAAEYQVYPWGINDPTEGNRTSIHLPWLKTLSTDWHIDGKGWYPTTRGNNAIAQENPTGHPEYENNYRPKSPLFIFKYPYSLAMTPPSSYRDASITQLFYTTNVYHDVLYILGFNEKAGNFQINNWNKGGVGGDFAILNSQDGSGVNNANFATPPDGQPGRMRMYTWNASTPERDGCFEAGIVIHEYTHGVSNRLTGGPENSRCLAALESGGMGEGWSDFFATAIRLKPGDTRATDYTMGEWASNRPNGIRKYRYSTSLTTNPHMYVDADGLTSVHAIGTIWASMLYELLWNLIDKHGKGDVTKIRPVLKNGVPTDGRHLAMKIVLDGMALQPCLPNFVQARDAILDADKNLTQGSNKCEIWKAFAKRGLGVGAAFNQTKRTGSNELPAGC", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "SCSRKGPKFVEYKYMAGSAQYCEHKNMKFMIGSNFIDFDDCTRCTCYNHGLQCCGIGANAGVFGVPGCEAVNDHCELVFLKKNTDQLCFIN", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKTLLLTLVVVTIVCLDFGYTIVCYKRHASDSQTTTCLSGICYKKITRGISRPEMGCGCPQSSRGVKVECCMRDKCNG", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKVLVFAIVCSVLLQVVLSADEEARECIPTKHDCTNDRKNCCPGHECKCYNTQIGGSKKEQCGCKKSLLAKAKNFGGKVITIFKA", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKVAVIFLLSALALLSLAGNTFSAKVTGKEASCHDAVAGCPRIYDPVCGTDGITYANECVLCFENRKRIEPVLIRKGGPC", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "LKCYVSREGKTQTCPEGEKLCEKYAVSYFHDGRWRYRYECTSACHRGPYNVCCSTDLCNK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MASKNSASIALFFALNIIFFTLTAATDCGCNPSPKHKPVPSPKPKPVPSPKPKPVPSPSVPSPSVPSPNPRPVTPPRTPGSSGNCPIDALRLGVCANVLSSLLNIQLGQPSAQPCCSLIQGLVDLDAAICLCTALRANVLGINLNVPISLSVLLNVCNRKVPSGFQCA", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGTRFQSFLLVFLLSCLILISTASCERNGDGTIRIGLKKRKLDRSNRLASQLFLKNRGSHWSPKHYFRLNDENADMVPLKNYLDAQYYGDITIGTPPQKFTVIFDTGSSNLWIPSTKCYLSVACYFHSKYKASQSSSYRKNGKPASIRYGTGAISGYFSNDDVKVGDIVVKEQEFIEATSEPGITFLLAKFDGILGLGFKEISVGNSTPVWYNMVEKGLVKEPIFSFWLNRNPKDPEGGEIVFGGVDPKHFKGEHTFVPVTHKGYWQFDMGDLQIAGKPTGYCAKGCSAIADSGTSLLTGPSTVITMINHAIGAQGIVSRECKAVVDQYGKTMLNSLLAQEDPKKVCSQIGVCAYDGTQSVSMGIQSVVDDGTSGLLNQAMCSACEMAAVWMESELTQNQTQERILAYAAELCDHIPTQNQQSAVDCGRVSSMPIVTFSIGGRSFDLTPQDYIFKIGEGVESQCTSGFTAMDIAPPRGPLWILGDIFMGPYHTVFDYGKGRVGFAKAA", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAVLALCLLWTLASAVRPAPVAPLGGPEPAQYEELTLLFHGALQLGQALNGVYRATEARLTEAGHSLGLYDRALEFLGTEVRQGQDATQELRTSLSEIQVEEDALHLRAEATARSLGEVARAQQALRDTVRRLQVQLRGAWLGQAHQEFETLKARADKQSHLLWALTGHVQRQQREMAEQQQWLRQIQQRLHTAALPA", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNSLLIITACLFLIGTVWAKEGYLVNKSTGCKYGCLKLGENEGCDKECKAKNQGGSYGYCYAFACWCEGLPESTPTYPLPNKSCSRK", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAGLTAAAPRPGVLLLLLSILHPSRPGGVPGAIPGGVPGGVFYPGAGLGALGGGALGPGGKPLKPVPGGLAGAGLGAGLGAFPAVTFPGALVPGGVADAAAAYKAAKAGAGLGGVPGVGGLGVSAGAVVPQPGAGVKPGKVPGVGLPGVYPGGVLPGARFPGVGVLPGVPTGAGVKPKAPGVGGAFAGIPGVGPFGGPQPGVPLGYPIKAPKLPGGYGLPYTTGKLPYGYGPGGVAGAAGKAGYPTGTGVGPQAAAAAAAKAAAKFGAGAAGVLPGVGGAGVPGVPGAIPGIGGIAGVGTPAAAAAAAAAAKAAKYGAAAGLVPGGPGFGPGVVGVPGAGVPGVGVPGAGIPVVPGAGIPGAAVPGVVSPEAAAKAAAKAAKYGARPGVGVGGIPTYGVGAGGFPGFGVGVGGIPGVAGVPGVGGVPGVGGVPGVGISPEAQAAAAAKAAKYGAAGAGVLGGLVPGPQAAVPGVPGTGGVPGVGTPAAAAAKAAAKAAQFGLVPGVGVAPGVGVAPGVGVAPGVGLAPGVGVAPGVGVAPGVGVAPGIGPGGVAAAAKSAAKVAAKAQLRAAAGLGAGIPGLGVGVGVPGLGVGAGVPGLGVGAGVPGFGAGADEGVRRSLSPELREGDPSSSQHLPSTPSSPRVPGALAAAKAAKYGAAVPGVLGGLGALGGVGIPGGVVGAGPAAAAAAAKAAAKAAQFGLVGAAGLGGLGVGGLGVPGVGGLGGIPPAAAAKAAKYGAAGLGGVLGGAGQFPLGGVAARPGFGLSPIFPGGACLGKACGRKRK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSGGLLLLLGLLTLWAELTPVSTRDRPKFCYLPADPGRCLAYMPSFYYDSASNKCKKFIYGGCRGNANNFKTWDECRHTCVASGIQPRIASN", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKVTGIFLLSALALLSLSGNTGADSLGREAKCYNELNGCTKIYDPVCGTDGNTYPNECVLCFENRKRQTSILIQKSGPC", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MARIGRILTLVVFAAVGLFLFMGQTVEAKGPKITSKVYFDIEHDGQPLGRIVMGLYGKTVPKTAENFRALATGEKGFGYEGSTFHRVIKDFMIQGGDFTNGDGTGGKSIYGNKFEDENFKLRHTKKGVLSMANAGKDTNGSQFFITTAITAWLDGKHVVFGEVLEGYDIVDKIQVVPKGFQDRPTKDVKIVKCGELDMKEEAEGEGTESPSKPDSEKEQAPVRDEI", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "TSPQREATCTSEVSGCPKIYNPVCGTDGITYSNECVLCSENKKRQTPVLIQKSGPC", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAAPSRTTLMPPPFRLQLRLLILPILLLLRHDAVHAEPYSGGFGSSAVSSGGLGSVGIHIPGGGVGVITEARCPRVCSCTGLNVDCSHRGLTSVPRKISADVERLELQGNNLTVIYETDFQRLTKLRMLQLTDNQIHTIERNSFQDLVSLERLRLNNNRLKAIPENFVTSSASLLRLDISNNVITTVGRRVFKGAQSLRSLQLDNNQITCLDEHAFKGLVELEILTLNNNNLTSLPHNIFGGLGRLRALRLSDNPFACDCHLSWLSRFLRSATRLAPYTRCQSPSQLKGQNVADLHDQEFKCSGLTEHAPMECGAENSCPHPCRCADGIVDCREKSLTSVPVTLPDDTTELRLEQNFITELPPKSFSSFRRLRRIDLSNNNISRIAHDALSGLKQLTTLVLYGNKIKDLPSGVFKGLGSLQLLLLNANEISCIRKDAFRDLHSLSLLSLYDNNIQSLANGTFDAMKSIKTVHLAKNPFICDCNLRWLADYLHKNPIETSGARCESPKRMHRRRIESLREEKFKCSWDELRMKLSGECRMDSDCPAMCHCEGTTVDCTGRGLKEIPRDIPLHTTELLLNDNELGRISSDGLFGRLPHLVKLELKRNQLTGIEPNAFEGASHIQELQLGENKIKEISNKMFLGLHQLKTLNLYDNQISCVMPGSFEHLNSLTSLNLASNPFNCNCHLAWFAEWLRKKSLNGGAARCGAPSKVRDVQIKDLPHSEFKCSSENSEGCLGDGYCPPSCTCTGTVVRCSRNQLKEIPRGIPAETSELYLESNEIEQIHYERIRHLRSLTRLDLSNNQITILSNYTFANLTKLSTLIISYNKLQCLQRHALSGLNNLRVLSLHGNRISMLPEGSFEDLKSLTHIALGSNPLYCDCGLKWFSDWIKLDYVEPGIARCAEPEQMKDKLILSTPSSSFVCRGRVRNDILAKCNACFEQPCQNQAQCVALPQREYQCLCQPGYHGKHCEFMIDACYGNPCRNNATCTVLEEGRFSCQCAPGYTGARCETNIDDCLGEIKCQNNATCIDGVESYKCECQPGFSGEFCDTKIQFCSPEFNPCANGAKCMDHFTHYSCDCQAGFHGTNCTDNIDDCQNHMCQNGGTCVDGINDYQCRCPDDYTGKYCEGHNMISMMYPQTSPCQNHECKHGVCFQPNAQGSDYLCRCHPGYTGKWCEYLTSISFVHNNSFVELEPLRTRPEANVTIVFSSAEQNGILMYDGQDAHLAVELFNGRIRVSYDVGNHPVSTMYSFEMVADGKYHAVELLAIKKNFTLRVDRGLARSIINEGSNDYLKLTTPMFLGGLPVDPAQQAYKNWQIRNLTSFKGCMKEVWINHKLVDFGNAQRQQKITPGCALLEGEQQEEEDDEQDFMDETPHIKEEPVDPCLENKCRRGSRCVPNSNARDGYQCKCKHGQRGRYCDQGEGSTEPPTVTAASTCRKEQVREYYTENDCRSRQPLKYAKCVGGCGNQCCAAKIVRRRKVRMVCSNNRKYIKNLDIVRKCGCTKKCY", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNSQITLFFFFFSILSLSQISNSSSLLKPHPCPILPLPSSQQLQWQLGSMAMFLHFGPNTFTDSEWGTGKANPSIFNPTHLNASQWVQIAKDSGFSRVILTAKHHDGFCLWPSEYTDYSVKSSQWRNGAGDVVAELASAAKEAGIGLGLYLSPWDRHEQCYGKTLEYNEFYLSQMTELLTKYGEIKEVWLDGAKGDGEKDMEYFFDTWFSLIHQLQPKAVIFSDAGPDVRWIGDEAGLAGSTCWSLFNRTNAKIGDTEPSYSQEGDGYGQDWVPAECDVSIRPGWFWHASESPKPAVQLLDIYYNSVGRNCLFLLNVPPNSSGLISEQDIKVLEEFSEMKNSIFSNNLARKAFVNSSSIRGDQSSQFGPKNVLEEGLDKYWAPEENQNEWVLYLEFKDLVSFNVLEIREPIHMGQRIASFHLETRKTGSGEWERVVSGTTVGNKRLLRFLNVVESRSLKLVVDKARTDPLISYLGLYMDKFSGSSRNTTKITITRTLKEEQQLHDL", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKLTLAVVVVFAYIATTNAINPAILAAMTGGGGGNFKQMLLMDALFKNQNIGGGGGGGGGVLGGGQSQFAKMIMTKMLLKQFGENPLAAMTLMGNQNIDPMTLIALSGGENMQAIIPIIMRQQMQQQMRSQMPPVGALGTQMTPM", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASFRLFLLCLAGLVFVSEAGSVGAGEPKCPLMVKVLDAVRGSPAANVGVKVFKKAADETWEPFASGKTSESGELHGLTTEDKFVEGLYKVELDTKSYWKSLGISPFHEFAEVVFTANDSGPRHYTIAALLSPYSYSTTALVSSPKA", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "GCIPSFGECAWFSGESCCTGICKWVFFTSKFMCRRVWGKD", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVCLKTLSVFLAAFAAADARAVFKTQGHKNSEMIPDNYIVVMKDGVSQDDFKAHISSVASIHSTNKAKRGTNTQGMKREFDIMNWRGYHGHFDRDTLEEILNDSKVDYVEQDQVVRISGLVTQRSAPSWGLGRVSHRQAGSRDYVFDDSAGRGVTIYGVDTGIDINHQDFRGRARWGTNTADRDNADRHGHGTHTASTFAGTAYGIAKNANIVAVKVLGSDGSGSTSGIIAGINYCVQDAQQRGILGKAAMNLSLGGGFSQANNDAVTRAQNAGIFVAVAAGNDNRDARNYSPASAPAVCTVASSTINDSKSSFSNWGPVVDIYAPGSDIIAARPGGGSTTMSGTSMASPHVAGMGAYMIGMGADPRQVCDRLKQLATAAIRNPGSSTTNRLLYNGSGQ", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "LTCVTKDTIFGITTQNCPAGQNLCFIRRHYINHRYTEITRGCTATCPKPTNVRETIHCCNTDKCNE", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASFGYFLFLCGLSQALSSYPIWWSLAIGHQYSSLGTQPILCGSIPGLVPKQLRFCRNYVEIMPSVAEGVKIGIQECQHQFRGRRWNCTTVNDSLAIFGPVLDKATRESAFVHAIASAGVAFAVTRSCAEGSATICGCDTRHKGSPGEGWKWGGCSEDVEFGSMVSREFADARENRPDARSAMNRHNNEAGRTSIIELMHLKCKCHGLSGSCEVKTCWWSQPDFRVIGDYLKDKYDSASEMVVEKHRESRGWVETLRPKYNFFKAPTEKDLVYYENSPNFCEPNPETGSFGTRDRICNVTSHGIDGCDLLCCGRGHNTRTEKRKEKCHCIFHWCCYVRCQECIRVYDVHTCK", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKFSIAAAVLALASAVVAHPGAGYVSTPEKEANFQQNFQKFVTACGNGNQVSCCNTETKKVGAPLTAGGLIPILDNINLEDFSLLKGCSKVDVAAVIGVQDLLNSNCKTQVSCCKVGDTNQVGLVNANVDLKCAAQNII", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MWFLVLCLDLSLGETGALPPIQSRIIGGWECEKHSKPWQVAVYHQGHFQCGGVLVHPQWVLTAAHCMSDDYQIWLGRHNLSEDEDTAQFHQVSDSFLDPQFDLSLLKKKYLRPYDDISHDLMLLRLAQPARITDAVKILDLPTQEPKLGSTCYTSGWGLISTFTNRGSGTLQCVELRLQSNEKCARAYPEKMTEFVLCATHRDDSGSICLGDSGGALICDGVFQGITSWGYSECADFNDNFVFTKVMPHLKWIKETIEKNS", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRLAYLLLLLVAVLFQAGGGSVEAFVQHRPRDCESINGVCRHKDTVNCREIFLADCYNDEQKCCRK", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKAWIWFTFVACLFAASTEAASNLVCYYDSSSYTREGLGKLLNPDLEIALQFCSHLVYGYAGLRGENLQAYSMNENLDIYKHQFSEVTSLKRKYPHLKVLLSVGGDHDIDPDHPNKYIDLLEGEKVRQIGFIRSAYDLVKTYGFDGLDLAYQFPKNKPRKVHGDLGLAWKSIKKLFTGDFIVDPHAALHKEQFTALVRDVKDSLRADGFLLSLTVLPNVNSTWYFDIPALNGLVDFVNLATFDFLTPARNPEEADYSAPIYHPDGSKDRLAHLNADFQVEYWLSQGFPSNKINLGVATYGNAWKLTKDSGLEGVPVVPETSGPAPEGFQSQKPGLLSYAEICGKLSNPQNQFLKGNESPLRRVSDPTKRFGGIAYRPVDGQITEGIWVSYDDPDSASNKAAYARVKNLGGVALFDLSYDDFRGQCSGDKYPILRAIKYRL", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGVQRLALALIAFTSALTSVIAAPIVIEQPPLGPEHRYDAIVIGGGPSGLSALSSLGRVRRHVLLFDEGIYRNGATRHIHDMLTNDGVEPKVFRAKARQQISRYTSTSIKDVKVTKIKKVFEHGGRKYFFQVTDKTGAMYTASKVVLGTGVLDVLPGTPGLQENFGKGIYWCPWCDGWEHRDQPLGILGPLRHVMDSVYELETLNNDIIAFVNGTEHSVEDILYLNRKYPHWRQQLKHYNVQINNKMVSSIDRLQDGSKHQDKKTWQEFDKFRVNFNDGTSVERSVFITNFPTEQHSDLPDQLGLARDPIHKNKIKVNFKGMRASVPGVFVVGDANNDGSTNGNHAMFSGKRAAVALHVELEQERAEAALGKRDESFSAEQVENEALKLIGRDTEELEELWGRK", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MYLLVFKLFLFLSLLQISVSARNLASQEPNQFQLLKYHKGALLSGKISVNLIWYGKFKPSQRAIISDFITSLTHTSPTSKTLHQPSVATWWKTTEKYYKLATPSKNSSPLSLTLGKQIIDESCSLGKSLTDKKIQTLASKGDQRNAINVVLTSADVTVTGFGMSRCGTHGHARGLGKRGSKFAYIWVGNSETQCPGQCAWPFHAPVYGPQSPPLVAPNNDVGLDGMVINLASLLAGTATNPFGNGYYQGPQNAPLEAASACPGVYGKGAYPGYAGDLLVDTTTGGSFNAYGANGRKFLLPALYDPTTSACSTMV", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "NLWQFGQMMSDVMRKNVVFKYLSYGCYCGWGGIGQPKDATDRCCFVHDCCYGKVTGCDPKMDIYTYTYSKENGDVVCGGDDPCKKQICECDRVAAICFRDNKDTYDSKYWFYGAKNCQEDSEPC", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGRKMRGAAAAAGLWLLALSSLLTLWGGLLPPRTELPASRPPEDRLPPHPIQSGGPAPEPRFPLPPPLVWDARGGSLKTFRALLTLAAGADNPPRRHQDDRGRHEPSGLSWPEERRAVHGGVFWSRGLEEQVPRGFSEAQAAAWLEVARGARVVALDRGGCGRSSNRLARFADGTRACVRYGINPEQIQGEALSYYLARLLGLQRHVPPLALARVEARGAQWVQVQEELRTAHWTEGSVVSLTRWLPNLTDVVVPEPWRSEDGRLRPLRDAGGELTNLSQAELVDLVQWTDLILFDYLTANFDRLVSNLFSLQWDPRVMHRATSNLHRGPGGALVFLDNEAGLVHGYRVAGMWDKYNEPLLQSVCVFRERTARRVLELHRGQDAAARLLRLYSRHEPRFPELAELSEPHAQLLQRRLDFLAKHILHCKAKYGRRPGDLITLRGREGLGYE", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRALGGITLLLAVAICQGYETYQRSSFRSSSSSSYGGGQTVPQLNSFASAHFNEVRELANQLKQKFNVLSQGSTNFAYTSPWSASILDLSGKSTLQLDQLSSEISRQLVQDMREGITNYHTIAQPNFFEAKAAELLERYSGAESASLQQTVGLGPYQPVDLSGFDEVKNYAYPAEVKVIDGKTYVVHRNCTEATKLSDYGSSGQLNSGFLGHQQTSLPLSSTTTTITRKKTIHDWVRENMEPSVVGYNSVVKLDGQLRNSALNQMVPLSPGSNVVIHRFNKTITTNPDGTSSVGGSEWQQRWQDGKLVYDHQQPFGQSTIPRDEQWKREERERLFWYLTTPQRLDDWQQQQEERLLGVVQRYQVSLPVLKEFHRRELARYEALLGQYQSRVQDTSSWQRQERGRLDWLIHQNGFTVQDIERWQNENARKLAEAARQHGISQNQLQQFQREELQRLYVHFNQVNESLAPQVPSVPQTTYNYQSSSSLTEDNTKEQQRLEELIRQHNATIAALQNSIKTDQQRLKNLSIKYQGDMQSQTQWLRGEVARIGDLIKEQNEQVSKITAWQSSERSRLENILLQHRGSVEEVQQRINMDRNYLQNLATKYQVSVEELEKWQKEELERLQVRGQQQLEEHIKDWQISVSSNLRDIATQNKLTIDEFQNYIINDRSHLEEMARLYKVKVEEIEQWIKSELKKFQSEGLLKGVEQELIQWQQKERERLQAIVQQNSLTVEQLEVRIKNDQDHFFKLADKYKINVEDIQDWLKKELLRLQSEGLVKAETLKEWQQQERAQISLLVQQNKYSLDEFERKMLADRARLQELSNTYNVKVSEIEQWIKSEGDRLQHEGQLRMESQLNNWQKIERQRLLDLINKNNLSIEEIESKISKDQTHLYSLAQQHQVRVEEIEQWIRQQIQKLQDQGLIEMQKLKNWQLEWRGNLTNMVQDRDFTVEEFHKWLLKDREQLQSLAMQHNVQIEEIEQFVKKEEQRFIGMGLLKPSEKLTNWQEVERLHLKNLAQQQYKSTEQLEARLRQDRELLERLARQYSVQVEEIESWMKQELARMRDEGQLQIDNLTSWQLAERERLEALIKQNKQWSAEELRAELEKDREHMQTMAFQYHTSVEEIEKWLQSEIERLKQQGKLNIEQLTAWQRTEQQRILSLLQQHSNITLEQFQAKVHNDRRFLMNLAEQHHVHIEEVDNYVKQVIEDLRKNGQFEIEQLQTWQRVERDYIKSLISEYKNSLSTAEYEEKLLADRAHLKHLADQYRINVEQIEEWMIAELKRLRGSTEETLKSLSAWQVSELERLQNLVKQQNHLTFVEFEMELNQERDRLQKLANQYSVNVVEIEEWLRQQLINLRTTGQAKVENLSKWQVEEQQRLIEMLLKKQQEMPYEQVERELTQDHARLQSLSQTHHVDIDHVDHWLREELRRLQSSGLVQIEQQTQWQQKISNGFNNWLEQQRNGASYQDFVDFLKRDKQRMDGIATDYHVTVEQVEKWVQKEAARLSLIGVIERPENNLKYEDISNIWVGDQTDSWKNELVTRLRSVTRQRPFTRQEFESYLIRNKPIFEQIARQYHVTIEDIHLWLDQSAKNEGLVTTEWQAKERLHIDNLINQQLRKQQRWTIEELELRLNNDQKHLQDAVAQYHVTVEELKVWYKDELNRLLEQRRIDRGSGISWQNIESQRIYLAIVNNPGISRQALENRLFRDVHVRASQYQITVEELRQFILSQLRRFSDMGLIVDNGRQANNWHDQERKRLREVVKGVVITEQELLDFISQDTSFQTQLAQSYQVGLEQLAPVQRIFIGNLAREQLLEQRRLNHLTTWQQRERDRLYEFIGNQNMTQTELKTWQIQDSKLLAEFAKRYEISVQQLSDWQKKELARINQLARYYGMSQSDLQQFREGELRQLAYINHRQLLSAAEAQKWEKRHQWTLSRLQSRYGKFGQELVAWRRTLYLLSQGLIDLPADSGSNGGYVVDAGSTNATAVYKPIFSKDRGDQPPHTYDESFVEGDEPGLEGETARPRPPNPAPIVSTPKPPLPYSRGGPSGGFEYRRQDYTFNVPVGSASASASGGPTGSSASASASLGKWNRASGDEPLQQEVDLGQQQQIEELGWNEKLEDLGQQTQVEDTDWNQQAEDLGQQQQVQVEDDLHFDQTQGHSSSSNSRSQPLQQATKVEVEATSEPSFWEKLKEKLG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MEGPRSSTHVPLVLPLLVLLLLAPARQAAAQRCPQACICDNSRRHVACRYQNLTEVPDAIPELTQRLDLQGNLLKVIPAAAFQGVPHLTHLDLRHCEVELVAEGAFRGLGRLLLLNLASNHLRELPQEALDGLGSLRRLELEGNALEELRPGTFGALGALATLNLAHNALVYLPAMAFQGLLRVRWLRLSHNALSVLAPEALAGLPALRRLSLHHNELQALPGPVLSQARGLARLELGHNPLTYAGEEDGLALPGLRELLLDGGALQALGPRAFAHCPRLHTLDLRGNQLDTLPPLQGPGQLRRLRLQGNPLWCGCQARPLLEWLARARVRSDGACQGPRRLRGEALDALRPWDLRCPGDAAQEEEELEERAVAGPRAPPRGPPRGPGEERAVAPCPRACVCVPESRHSSCEGCGLQAVPRGFPSDTQLLDLRRNHFPSVPRAAFPGLGHLVSLHLQHCGIAELEAGALAGLGRLIYLYLSDNQLAGLSAAALEGAPRLGYLYLERNRFLQVPGAALRALPSLFSLHLQDNAVDRLAPGDLGRTRALRWVYLSGNRITEVSLGALGPARELEKLHLDRNQLREVPTGALEGLPALLELQLSGNPLRALRDGAFQPVGRSLQHLFLNSSGLEQICPGAFSGLGPGLQSLHLQKNQLRALPALPSLSQLELIDLSSNPFHCDCQLLPLHRWLTGLNLRVGATCATPPNARGQRVKAAAAVFEDCPGWAARKAKRTPASRPSARRTPIKGRQCGADKVGKEKGRL", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "GLFSKFNKKKIKSGLIKIIKTAGKEAGLEALRTGIDVIGCKIKGEC", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MADIFDSFELLYDRPGEPMINTKGEDKVLFELTEQFLTPEYANNGLELNNRFGDEEEVSRKIILKNLDKIPEFPKAKQLPNDADFSLFLPSHQEMANEVIDVLMSVTENQLQELLSTCVYARINLNPQLFNYCYTVAIMHRRDTGKVRVQNYAEIFPAKFLDSQVFTQAREAAAVIPKTIPRTPIIIPRDYTATDLEEEHRLAYWREDLGINLHHWHWHLVYPFSASDEKIVAKDRRGELFFYMHQQIIARYNCERLCNSLKRVKKFSDWREPIPEAYYPKLDSLTSARGWPPRQAGMRWQDLKRPVDGLNVTIDDMERYRRNIEEAIATGNVILPDKSTKKLDIDMLGNMMEASVLSPNRDLYGSIHNNMHSFSAYMHDPEHRYLESFGVIADEATTMRDPFFYRVHAWVDDIFQSFKEAPHNVRPYSRSQLENPGVQVTSVAVESAGGQQNVLNTFWMQSDVNLSKGLDFSDRGPVYARFTHLNHRPFRYVIKANNTASARRTTVRIFIAPKTDERNLPWALSDQRKMFIEMDRFVVPLSAGENTITRQSTESSLTIPFEQTFRDLSIQGSDPRRSELAAFNYCGCGWPQHMLVPKGTVGGVAYQLFVMLSNYELDKIEQPDGRELSCVEASMFCGLKDKKYPDARPMGYPFDRPSNSATNIEDFSAMSNMGLQDIVIKLSDVTEPNPRNPPA", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MPSDILVVAALGRPFTLGMLYDARNDKLIPGFTLWEDEVIEESTVESSQPSSAFEIIASDSIDDKSSLMDIEASLKASFLGGLVEVGGSAKYLNNQKKFKNQSRVTLQYKATTNFKQLMTNLGTKHVEYSELFENIQATHVVIGILYGANAFFVFDSNKVDSTNVQEIQGQMEAVIKKIPSVEISGKASVQLTSEETDITNSFSCEFHGDFFLTSNPTTFEDAVKTYQQLPQMMGKDNAVPMTVWLVPMVNFYSEAPQLMADSSTPILRKVRNTLEAIVQVQMRCNDALDDPTVNLFTEVQKKLSDFQIICDDHMSKLQATIAKKLFAIRSGDEDESALVNLFEENLQSPFNIESLNMWMEFEEREINVLKSCMDILTKAKPKVIFNQGVLFKELYDSKVKHGLCYVFTNVTKNDDFLTVLNDFLDSPQSRPKKLRPSPKDYWYSYDDIPEMMREKAHLFRNLAKEMNNRCVHFFVTAINNPKQEGAGIHYYRESIQIIHEFTKPHMPGVETIKDRRELQWYDCELTLDTETAHQVLTLSEGNKKAVSGSTKSPADHFEKFSHFQQVMCTKGLSGRHYWELEWSGHVSAGVTYKGISRKTSTPDSSLGKNQKSWVFEYTKKSGYQQIHNGKNARVTVSSIGFKQLGVYLDWPAGTLSFYMVNKAWVTHLHTFHTKFYEAVYPAFLIGDAQQKVNGQIKLL", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MFTMKKSLLLLFFLGTISMSLCEEKRDADEEETEGEAKMEDIKRAEAVPPGFTPFRKP", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "LICYSQMYNEIIKTCENGETTCYSKTWRDHRGTRLEKGCGCPPVKYDMIVKCCKTDRCGN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "LTCHTCPYNTCANSETCPAGKNICYQKKWEEHQGERIERRCVANCPKLGSNDKSLLCCRRDDCN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MICYNQQSTEPPTTKTCSEGQCYKKTWSDHRGTIIERGCACPNVKPGVKISCCSSDKCR", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "LKCYGIFRKIMTCPQGQNICEKFAYSPMHNGWMYSWGCTSNCHKGPLDKCCSTDLCNY", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "LECHNQQSSQAPTTKTCSGETNCYKKWWSDHRGTIIERGCGCPKVKPGVKLNCCTTDRCNN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "LECHNQQSSQAPTTKTCSGETNCYKKWWSDHRGTIIERGCGCPKVKPGVKLNCCRTDRCNN", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "LTCHTCPYNTCANSETCPAGKNICYQKKWEEHRGERIERSCVANCPEFESSHTSLLCCTTANCD", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKTLLLTLLVVTIVCLDLGYTLECHNQQSSQTPTTTGCSGGETNCYKKRWRDHRGYRTERGCGCPSVKNGIEINCCTTDRCNN", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "LECHNQQSSQAPTTKTCSGETNCYKKWWSDHRGTIIERGCGCPKVKPGVKLNCCTTDRCNN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTRICFNHQSSQPQTTKTCSPGESSCYNKQWSDFRGTIIERGCGCPTVKPGIKLSCCESEVCNN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGAWKVWTFFAIALVVAVKAYDEEAKCMSHDEDSQVKERQILHIVDSINKPISPDFRAPRGVIDEHKLRGLGTLKKREIFSLFDERNWDEASKVVRLLLDAKDFDDFIDVAEVIRLRVNEELFLYAFSVAVMHRGDTQGLQVPRIHDIFPDKFLKEDVIHRLLELSNRGEHYDRIPIIDATQISHNYLDPNSELEYFLEDLGLNSHHHHWHVIHPAIWVSELGNEKDRKGEFFYWMHHQMLARYEAERMSNGLARTRTFQNWNDPIDEGYAPHISIMKTGYTYAYRPPGYTLRDLPNLPKNKMVEWAKRVLYSIHSGIFHFSNGTDAHLDTEHGIDELGNIVESSLTSLNRDYYGNLHCYAHVIAGRIADPEGKYGEDNGVMYDVATSARDPLFYRWHKYIDNIFQEYKNTLPPYTTEELTPQNSEFRVQGISVVGETSARDTVHTYWQHSLLKVGQGFEFTKHTPAYVKVKHLQHESFTYVIDVENRGRTRTGFFRIFAAPKYNELGQKWHINDQRLIMVEMDKFIEKLYPGKNTIERHSEDSTVTMSSASIFSDISSEQSEDHCSCGWPDYLLVPKGNFEGFPMEVFVIVTDYEEDKVEGPDEGCACHDALTYCGGIDYHFPDKRAMGFPFDRPIKQRNFNAFKTKNMGKVTVDVKFTGETIAPEDFHNQH", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MMWKTVLITIFAAGVLADDFSQITAVVTSQCTKNNAEDKVPEVEAALRTFGNCLKGLVDLNVLKTEIEEAKPNGALDEVFKKYCDKSAQLKGCISSVLQGVRPCVGNEYANHINDAQNSTNQLIDFVCYKDGDRIALFIAEGGPECFQQKTENLKTCFLNLKQSFPTVESANNLSLVEKCAKVDEMTSCIVKSLEECSTPTPANMAESLIKFMRKDSPCHTALPKTD", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLLLPLLVLLCVVSVSSSGSQTCEETLKTCSVIACGRDGRDGPKGEKGEPGQGLRGLQGPPGKLGPPGSVGAPGSQGPKGQKGDRGDSRAIEVKLANMEAEINTLKSKLELTNKLHAFSMGKKSGKKFFVTNHERMPFSKVKALCSELRGTVAIPRNAEENKAIQEVAKTSAFLGITDEVTEGQFMYVTGGRLTYSNWKKDEPNDHGSGEDCVTIVDNGLWNDISCQASHTAVCEFPA", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MFKITVFIYVLQLILPSKVHSSPVPDSDNGLREFPLSIVHINDFHARFEQTDELGGQCKPTAKCVGGYARLVTTVKKLKEEGQNTIFLNAADNYQGTLWYNLGKWNVTAYFMNLLPADAMTLGNHEFDDKIEGIVPFLEVIKTPIVVANIDDSLEPTFKGKYTKSVVLERGGRKIGIVGVIAQNTDNISSPGKLRFLDEIQSVKNESKRLREEEKVDIVIVLSHIGLDHDYDLAEQAGDYIDAIIGGHSHSFLWTGDNPPGKEKVVDAYPVEIVQTSGKKVLIVQASAFARYVGNITLYFGENNNLIRYAGAPVYLDSDVPEVPQIVEEMKAWEEFVHEKGNEIIAESRVVLSRENCRVSDCNIGNFFTDAYVHEYVTSHTGPYWTPVSVGLMNVGGIRASVDRGNITFSQLITMAPFENTVDTFDLSGKHLLEAFEHAVTVPNRLGFNGQNMLQVSGVKLVYDVTKCEGQRVVSAKIRCQKCDIPKYEPLDPEETYRIVTASFLANGGDGFTMIRDNKKNYKVGRKDYDVLINYAKYSSPITIGEEGRIRIIQ", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKVAIVFLSLLVLAFASESIEENREEFPVEESARCGDINAACKEDCDCCGYTTACDCYWSKSCKCREAAIVIYTAPKKKLTC", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKAFAGSFQPWIPQCRSPCICEVAASKDNGVLERPCSTLSVIVNIAVKKSYINPSPPAKMKFTIPATLGLMVSLSSAAAISGTVSLSYDPKYDNAGLSLTQVTCSDGTNGLITKGFTTAGSLPNFPNIGGSFAVEGYNSANCGKCFKVTWPVLNKSIFVTSIDKADGFNVAKAAMDTLTNNQAGQLGRIDVTFEDALPTDCGFKA", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAAYLLAVAILFCIQGWPSGTVQGQVRPFLEVHERSACQARETLVPILQEYPDEISDIFRPSCVAVLRCSGCCTDESLKCTPVGKHTVDLQIMRVNPRTQSSKMEVMKFTEHTACECRPRRKQGEPDGPKEKPRRGGVRARFPFV", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAAYLLAVAILFCIQGWPSGTVQGQVMPFMEVYSRSACQTRETLVPILKEYPDEVSHLFKPSCVPVLRCGGCCSDESLTCTATGKHSVGREIMRVDPHKGTSKMEVMQFKEHTACECRPRSPGDVNNGKDKRNPEEGGPRARFPFV", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAYLLAVAILFCIQGWPSGTVQGQVRPFLDVYERSACQTRETLVSILQEHPDEISDIFRPSCVAVLRCSGCCTDESMKCTPVGKHTADIQIMRMNPRTHSSKMEVMKFMEHTACECRPRWKQGEPEGPKEPRRGGVRAKFPFD", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAQGWSMLLLAVLNLGIFVRPCDTQELRCLCIQEHSEFIPLKLIKNIMVIFETIYCNRKEVIAVPKNGSMICLDPDAPWVKATVGPITNRFLPEDLKQKEFPPAMKLLYSVEHEKPLYLSFGRPENKRIFPFPIRETSRHFADLAHNSDRNFLRDSSEVSLTGSDA", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRYALIASMLGQAAISVAMPSEPAHSPRAAGAQAYASNQAGNYKLTSIAAPVQGNGSPGPSTWNLSIDDTSSGYKQKIVGFGAAVTDATVSAFNELSASTLSQLLDELMTGAGASFSLMRHTIGASDLSGDPAYTYDDNGGNADPGMTGFNLGDRGTAMATMLAQMKGLNSNLQIFGSPWSAPGWMKLNNAIDGNTNNNNLNDGYLTNNGAQYSAAFAQYFVKYIQAFESHGATINAITLQNEPLNSQAGYPTMYMFSYEQGDLIQNYVAPALKAAGLSTKIWAYDHNTDQPDFPEQVMGIAADDVSAVAWHCYATNLDWTVLTNFHNSYPNTDQYMTECWTPSTGAWNQAASFTMGPLQNWARGVAAWTLGTTAQDGPHLSSGGCGTCTGLVTINNGQYTFQTAYYMMAQFSKFMPVGATVLSGTGSYTYSGSGGVQSVASLNPDGTRTVVIENTFGNDIYIHLSTSSGQEWSGNVPTNSVTTWVLPAV", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKTLRSTLLLLLFVPLIKPAPPAPQESPLTFDYAADHLEEAIFSQDYEDKYLDGKNIEEKQTMVRSVKRSLELQKDESVTPAPPKKENDEMPTCLLCVCLSGSVYCEEVDIDAVPPLPKESAYLYARFNKIKKLTAKDFADMPNLRRLDFTGNLIEDIEDGTFSKLALLEELSLAENQLLKLPVLPPKLTLFNAKYNKIKSRGIKANTFKKLNNLSFLYLDHNALESVPPNLPESLRVIHLQFNNITSITDDTFCKANDTRYIRDRIEEIRLEGNPIALGKHPNSFICLKRLPIGTYF", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MHRFLLMLLFPFSDNRPMMFFRSFIVFFFLIFFASNVSSRKQTYVIHTVTTSTKHIVTSLFNSLQTENINDDDFSLPEIHYIYENAMSGFSATLTDDQLDTVKNTKGFISAYPDELLSLHTTYSHEFLGLEFGIGLWNETSLSSDVIIGLVDTGISPEHVSFRDTHMTPVPSRWRGSCDEGTNFSSSECNKKIIGASAFYKGYESIVGKINETTDFRSTRDAQGHGTHTASTAAGDIVPKANYFGQAKGLASGMRFTSRIAAYKACWALGCASTDVIAAIDRAILDGVDVISLSLGGSSRPFYVDPIAIAGFGAMQKNIFVSCSAGNSGPTASTVSNGAPWLMTVAASYTDRTFPAIVRIGNRKSLVGSSLYKGKSLKNLPLAFNRTAGEESGAVFCIRDSLKRELVEGKIVICLRGASGRTAKGEEVKRSGGAAMLLVSTEAEGEELLADPHVLPAVSLGFSDGKTLLNYLAGAANATASVRFRGTAYGATAPMVAAFSSRGPSVAGPEIAKPDIAAPGLNILAGWSPFSSPSLLRSDPRRVQFNIISGTSMACPHISGIAALIKSVHGDWSPAMIKSAIMTTARITDNRNRPIGDRGAAGAESAATAFAFGAGNVDPTRAVDPGLVYDTSTVDYLNYLCSLNYTSERILLFSGTNYTCASNAVVLSPGDLNYPSFAVNLVNGANLKTVRYKRTVTNVGSPTCEYMVHVEEPKGVKVRVEPKVLKFQKARERLSYTVTYDAEASRNSSSSSFGVLVWICDKYNVRSPIAVTWE", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLLVLCLTMICFHVCVNQDSGPEYADVVFLVDSSDHLGLKSFPLVKTFIHKMISSLPIEANKYRVALAQYSDALHNEFQLGTFKNRNPMLNHLKKNFGFIGGSLKIGNALQEAHRTYFSAPTNGRDKKQFPPILVVLASAESEDDVEEAAKALREDGVKIISVGVQKASEENLKAMATSQFHFNLRTARDLSVFAPNMTEIIKDVTQYREGMADDIIVEACQGPSVADVVFLLDMAINGSQEDLDHLKAFLGESISALDIKENCMRVGLVTYSNETRVISSLSTGNNKTEVLQRIQDLSPQVGQAYTGAALRKTRKEIFSAQRGSRKNQGVPQIAVLVTHRASEDNVTKAAVNLRREGVTIFTMGIEGANPDELEKIASHPAEQFTSKLGNFSELATHNQTFLKKLRNQITHTVSVFSERTETLKSACVDTEEADIYLLIDGSGSTQPTDFHEMKTFLSEVVGMFNIAPHKVRVGAVQYADTWDLEFEISKYSNKPDLGKAIENIRQMGGNTNTGAALNFTLKLLQRAKKERGSKVPCHLVVLTNGMSRDSVLGPAHKLREENIRVHAIGVKEANQTQLREIAGEEKRVYYVHEFDALRNIRNQVVQEICAEEACRDMKADIMFLVDSSGSIGPENFSKMKMFMKNLVSKSQIGADRVQIGVVQFSHENKEEFQLNTFMSQSDIANAIDRMTHIGETTLTGSALTFVSQYFSPDKGARPNVRKFLILITDGEAQDIVRDPAIALRKEGVIIYSVGVFGSNVTQLEEISGKPEMVFYVENFDILQHIEDDLVLGICSPREECKRIEVLDVVFVIDSSGSIDYQEYNIMKDFMIGLVKKADVGKNQVRFGALKYADDPEVLFYLDELGTKLEVVSVLQNDHPMGGNTYTAEALAFSDHMFTEARGSRLHKGVPQVLIVITDGESHDAEKLNTTAKALRDKGILVLAVGIAGANSWELLAMAGSSDKYYFVETFGGLKGIFSDVSASVCNSSKVDCEIEKVDLVFLMDGSNSIHPDDFQKMKGFLVSVVQDFDVSLNRVRIGVAQFSDSYRSEFLLGTFTGEREISTQIEGIQQIFGYTHIGDALRKVKYYFQPDMGSRINAGTPQVLLVLTDGRSQDEVAQAAEELRHKGVDIYSVGIGDVDDQELVQITGTAEKKLTVHNFDELKKVKKRIVRNICTSGGESNCFVDVVVGFDISSLQRGQTLLEGQPWMGSYLQDLLRAISSLNGVSCEVGTETQVSIAFQVTNAMERYPSKFEIYSENILSSLQGVTVNGPSRLNANLLSSLWDTFQNKSAARGKVVLLFSDGLDDGIEKLEQKSDELRKEGLNALITIAVDGAADSSDLADLLYIEFGKGFEYRTQFTIGMRNLGSQLSRQLINVAERTCCCLLCKCTGGDGAMGDPGSAGKKGPPGFKGSDGYLGEEGIAGERGASGPMGEQGTKGCFGAKGPKGTRGLSGEEGEVGEDGLDGLDGEQGDHGIPGRRGEKGDEGSQGNPGRRGAAGDRGAKGLRGDPGTPGRDSSIQGPKGLKGDLGRQGRRGWPGSPGTPGSRRKMVVHGRRGHIGPQGNPGTPGPDGLAGSPGLRGPQGPRGEVGEKGEKGSLGMKGPQGPPGPGGQAGSQGHLGSQGNKGEPGDLGEKGAAGFPGPRGLQGDDGSPGYGSIGRKGTKGQEGFPGESGLKGDIGDPGDPGEAGPKGARGKTVSAGIPGEPGSPGEPGPPGRKGVKGARGLASFSTCDLIQYVRDHSPGRHGKPECPVHPTELVFVLDQSRDVTEQDFERMKGMMVSLVRDVKVREANCPVGARVAILAYNSHTRHLIRFSDAYRKDQLLTAIKALPYERSSDSREIGKAMRFISRNVFKRTLPGAHVRRIATFFSSGPSADAQTITTAAMEFSALDIVPVVIAFSNVPSVKRAFSIDDTGTFQVIVVPSGSDEGPALERLQRCTFCYDLCKPDASCDQAKPPPIQSYLDTAFLLDGSRHVGSAEFEDMRDFLEALLDHFEITSEPETSVTGDRVALLSHAPLDFLPNTQRSPVRTEFNLTSYSSKRLMKRHVDQAVQQLHGDAFLGHALGWALDNVFLNTPNLRRNKVIFVISAGETSHLDAETLKKESLRAKCHGYALFVFSLGPDWDDKELEDLASHPVDQHLIQLGRIHKPDHGYSVKFVKSFINSIRHGINKYPPVNLKAKCNRLGSRDLKPPPRQFRSFVPGPQKANLKDHTAEAAKLFQDKKRLSSMLKGGRATISSLSRSTRYAFKQGKEAIKATSKLGKRSA", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLLVTLFLFFLQALVNGDSCGSNCEKSERPKRVFNIYWNVPTFMCHQYGLYFDEVTNFNIKHNSKDNFQGDKIAIFYDPGEFPALLPLNYGKYKIRNGGVPQEGNITIHLQRFIEYLDKTYPNRNFSGIGVIDFERWRPIFRQNWGNMKIYKNFSIDLVRKEHPFWNKKMIELEASKRFEKYARLFMEETLKLAKKTRKQADWGYYGYPYCFNMSPTNFVPDCDVTARDENNEMSWLFNNQNVLLPSVYIRRELTPDQRIGLVQGRVKEAVRISNKLKHSPKVFSYWWYVYQDETNTFLTETDVKKTFQEIVINGGDGIIIWGSSSDVNSLSKCTRLREYLLTVLGPIAVNVTEAVN", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAIPLVLVLAWLLPVVLAASLTQVNNFGDNPGSLQMYIYVPNKLASKPAIIVAMHPCGGSATEYYGMYDYHSPADQYGYILIYPSATRDYNCFDAYSSASLTHNGGSDSLSIVNMVKYVISTYGADSSKVYMTGSSSGAIMTNVLAGAYPDVFAAGSAFSGMPYACLYGAGAADPIMSNQTCSQGQIQHTGQQWAAYVHNGYPGYTGQYPRLQMWHGTADNVISYADLGQEISQWTTIMGLSFTGNQTNTPLSGYTKMVYGDGSKFQAYSAAGVGHFVPTDVSVVLDWFGITSGTTTTTTPTTTPTTSTSPSSTGGCTAAHWAQCGGIGYSGCTACASPYTCQKANDYYSQCL", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MSIMRRILCLAVVIFIINDVSSQGLGNNKNWKKNGMSLSSPGNKKPTGNNAVPQKSKMNNMNQNSLSQPKRSSPPGNSMYNMANQGPMGMMGGFGMGMNNKQMREFMIARRTHGVSPFLKKKICHMAKVAPPVNGQMPSPPQLYAQGFKIRRIGKWFSQDLDWSEGVAMCHNKEMEHRGCEKTPSSKWGRMFPGMGGMGGMGGMGGMMMGNRPMASCDVTKPNSCGNPALMKCSKYHKDRFGMPVCCATSEMTANQLENMGF", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKISGFVYLILITTIINLSFSEANNYCKIKCRSGIHTLCKFGISTKPNCGKNVVKGSGLTKAEKLEILKQHNEFRQKVARGLETRGKPGPQPPAKSMNTLVWNDELAQIAQVWASQCKYGHDDCRNTAKHSVGQNIAQQSTTAASFGSVSNMVQMWADEVKNYQYGSTKNKLIEVGHYTQMVWAKTKEIGCGSIKYIENGWHRHYLVCNYGPAGNIGNEPIYEKK", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRRILCLAVVIFIINDVSSQGLGSNKNWKKSGMSLSSPGNKKPTGNNNAVPQKSKMNNVNQNSLSQPKRPSHPGNSMYFMGNQGPMGMMGGFGMGMNNKQMREFMIAKRTHGVSPFLKKKICHMAKVAPPVNGIMPSPPQLYAQGFKIRRIGKWFSHDLDWSEGVAMCHNKEMEHRGCEKTPSAKWGRMFPGMGGMGGMGGMGGMMMGSRPMASCDVTKPNSCGNPALMKCSKYHKDRFGMPVCCATSEMTANQLENMGF", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MHGLMLAGLLALPLSVLGHPTESHSSGISRRAIDITSYRLPQISKYTKSDAVPKQDGESFTTSSTGNDNSSSGDYVTTATNWLKKTLPKATYRLVKDHYIGDSGIGHVHFRQTAHGIDIDNTDFNVNIGRDGKVFSFGNSFYDGEIPKANPMVKRDFSDPVNALHGAIQILNLPVTAKPENVKAKPVEGKENFKFEGTSGALSDPKAQLVYLQKDGGLVLSWKVETDVGDNWLLTYVDANKNDKVHSVVDYVSAAEYQVYPWGINDPTEGNRTTLHLPWLKTLSTDWHIDGKGWYSTTRGNNAIAQENPTGGPEYENNYRPKSPLFIFKYPYSKAMTPPSSYRDASITQLFYTTNVYHDVLYILGFNEKAGNFQINNWNKGGVGGDYAILNSQDGSGVNNANFATPPDGQPGRMRMYTWNASIPERDGCFEAGIVIHEYTHGVSNRLTGGPENSRCLAALESGGMGEGWSDFFATAIRLKPGDTRVTDYTMGEWASNRPNGIRKYRYSTSLTTNPHMYVDADGLTSVHAIGNIWASMLYELLWNLIDKHGKGDVTKIRPVLKNGVPTDGRHLAMKIVLDGMALQPCLPNFVQARDAILDADKNLTQGSNKCEIWKAFAKRGLGVGAVFNLSKRTGSNELPAGC", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSRKIEGFLLLLLFGYEATLGLSSTEDEGEDPWYQKACKCDCQGGPNALWSAGATSLDCIPECPYHKPLGFESGEVTPDQITCSNPEQYVGWYSSWTANKARLNSQGFGCAWLSKFQDSSQWLQIDLKEIKVISGILTQGRCDIDEWMTKYSVQYRTDERLNWIYYKDQTGNNRVFYGNSDRTSTVQNLLRPPIISRFIRLIPLGWHVRIAIRMELLECVSKCA", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNPTIYLSCLMVFSVFLLGKVNAENEDEFVTEKQRLFSVYGDSSVDEATKYRNIDSLVTFYDKYFTRLQLKPDLNTRAHDLLRRYKEENARVVLVDGTPAQGGFWLPLVKLLIVQLGVEIASEGVKRAIES", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLPRVTKLNSRLLSLALLGIQIARGAITYQHPDDLPSGVDYDFIVAGGGTAGLVVASRLSENSNWKVLVIEAGPSNKDAFVTRVPGLASTLGAGSPIDWNYTTIPQDGLDGRSLDYPRAKILGGCSTHNGMVYTRGSKDDWNSWAGIIGDQGLGWDSILPAIKKAEKFTQDFTDQSVKGHIDPSVHGFDGKLSVSAAYSNISFNDLLFETTKELNAEFPFKLDMNDGKPIGLGWTQYTIDNHAERSSSATSYLESTGDNVHVLVNTLVTRVLSASGNGTDFRKVEFAVDANSPKKQLEAKKEVIVAGGVIASPQILMNSGIGERKVLQAVGIDTLIDNPSVGKNLSDQGATSVMFDTTLPSTDFDVDAALTEWTNSHTGPLARGARLNHLTFVRLPDDKLNGQDPSSGKNSPHIEFQFAQITPQVPTLGVPKQAPLPAANSYRLLLQLAVVNLYSISRGSISLSDNNPFTYPLIDLNMFKEDIDIAILREGIRSAGRMFSSKAFKNSVNKFVYPPADATSDEDLDAFLRSSTFSYVHGVGTLSMSPKGASWGVVNPDFKVKGTSGLRVVDASVIPHAPAAHTQLPVYAFAEYASALIAKSYN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MWGSGELLVAWFLVLAADGTTEHVYRPSRRVCTVGISGGSISETFVQRVYQPYLTTCDGHRACSTYRTIYRTAYRRSPGVTPARPRYACCPGWKRTSGLPGACGAAICQPPCGNGGSCIRPGHCRCPVGWQGDTCQTDVDECSTGEASCPQRCVNTVGSYWCQGWEGQSPSADGTRCLSKEGPSPVAPNPTAGVDSMAREEVYRLQARVDVLEQKLQLVLAPLHSLASRSTEHGLQDPGSLLAHSFQQLDRIDSLSEQVSFLEEHLGSCSCKKDL", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MIKKSALITLFLVSLILGVSLSQKPFYCQAPEPTPSLNTDGLTLKMVQILTRHGDRTPLYSTLKPTMNTWDCNLGWLMVSSLNNVPGAATDVDRLFRKVYMPNREYFPGNCSDGQLTSLGFQQHLQLGQSLRQLYVDKYELLPSELSVDAASTIWVRSTDVPRTIQSVQGHLTALFPPTTVTSGSGIPIININTMDNYYENMTPNPTLCPELAVLIANTTTTPEWGEFITNTTQLKEDVMETLGISVFPGWSSLMDLFFATQCHDFPLPEGVTQDMVTQVYEAAYWQYQYQLSFPMIARLGMSTFLEEVVDNIRAFVNGTSSVKYIVFSGHDDSVGPFTNLFGLMKEWPPYASHVELELWSDEKDNYFLQFKFNGQSYTLNGCEDVMCPIDSFFETAYSILVPNYADACSNSTMTF", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGRYSVSPVPKCLLLMFLGWSGLKYYQVNAAQLREYHIAAQLEDWDYNPQPEELSRLSESDLTFKKIVYREYELDFKQEEPRDALSGLLGPTLRGEVGDSLIIYFKNFATQPVSIHPQSAVYNKWSEGSSYSDGTSDVERLDDAVPPGQSFKYVWNITAEIGPKKADPPCLTYAYYSHVNMVRDFNSGLIGALLICKEGSLNANGSQKFFNREYVLMFSVFDESKNWYRKPSLQYTINGFANGTLPDVQACAYDHISWHLIGMSSSPEIFSVHFNGQTLEQNHYKVSTINLVGGASVTADMSVSRTGKWLISSLVAKHLQAGMYGYLNIKDCGNPDTLTRKLSFRELMKIKNWEYFIAAEEITWDYAPEIPSSVDRRYKAQYLDNFSNFIGKKYKKAVFRQYEDGNFTKPTYAIWPKERGILGPVIKAKVRDTVTIVFKNLASRPYSIYVHGVSVSKDAEGAIYPSDPKENITHGKAVEPGQVYTYKWTVLDTDEPTVKDSECITKLYHSAVDMTRDIASGLIGPLLVCKHKALSVKGVQNKADVEQHAVFAVFDENKSWYLEDNIKKYCSNPSAVKKDDPKFYKSNVMYTLNGYASDRTEVLRFHQSEVVQWHLTSVGTVDEIVPVHLSGHTFLSKGKHQDILNLFPMSGESATVTMDNLGTWLLSSWGSCEMSNGMRLRFLDANYDDEDEGNEEEEEDDGDIFADIFIPSEVVKKKEEVPVNFVPDPESDALAKELGLIDDEGNPIIQPRREQTEDDEEQLMKASMLGLRSFKGSVAEEELKHTALALEEDAHASDPRIDSNSARNPDDIAGRYLRTINRGNKRRYYIAAEEVLWDYSPIGKSQVRSRAAKTTFKKAIFRSYLDDTFQTPSTGGEYEKHLGILGPIIRAEVDDVIEIQFKNLASRPYSLHAHGLLYEKSSEGRSYDDKSPELFKKDDAIMPNGTYTYVWQVPPRSGPTDNTEKCKSWAYYSGVNPEKDIHSGLIGPILICQKGMIDKYNRTIDIREFVLFFMVFDEEKSWYFPKSDKSTCEEKLIGVQSLHTFPAINGIPYQLQGLTMYKDENVHWHLLNMGGPKDIHVVNFHGQTFTEEGREDNQLGVLPLLPGTFASIKMKPSKIGTWLLETEVGENQERGMQALFTVIDKDCKLPMGLASGIIQDSQISASGHVGYWEPKLARLNNTGKYNAWSIIKKEHEHPWIQIDLQRQVVITGIQTQGTVQLLQHSYTVEYFVTYSEDGQNWITFKGRHSETQMHFEGNSDGTTVKENHIDPPIIARYIRLHPTKFYNRPTFRIELLGCEVEGCSVPLGMESGAIKNSEITASSYKKTWWSSWEPSLARLNLEGGTNAWQPEVNNKDQWLQIDLQHLTKITSIITQGATSMTTSMYVKTFSIHYTDDNSTWKPYLDVRTSMEKVFTGNINSDGHVKHFFKPPILSRFIRIIPKTWNQYIALRIELFGCEVF", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MWKALSLTLALCLLVGCSAESETEGARCKLPPEWKVGDVEPMKNALGQVTVVAYLQASULFCLEQASKLNDLLLKLENQGYPNIAYMVVNNREERSQRLHHLLQERLLNITLYAQDLSQPDAWQAVNAEKDDILVYDRCGRLTYHLSLPYTILSHPHVEEAIKHTYCDRICGECSLESSAQLEECKKATEEVNKPVEEEPRQDHGHHEHGHHEHQGEAERHRHGHHHPHHHHHHHRGQQQVDVDQQVLSQVDFGQVAVETPMMKRPUAKHSRUKVQYSUQQGADSPVASUCUHURQLFGGEGNGRVAGLUHCDEPLPASUPUQGLKEQDNHIKETUQURPAPPAEUELSQPTUVUPAGDATUGURKK", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MENWTGRPWLYLLLLLSLPQLCLDQEVLSGHSLQTPTEEGQGPEGVWGPWVQWASCSQPCGVGVQRRSRTCQLPTVQLHPSLPLPPRPPRHPEALLPRGQGPRPQTSPETLPLYRTQSRGRGGPLRGPASHLGREETQEIRAARRSRLRDPIKPGMFGYGRVPFALPLHRNRRHPRSPPRSELSLISSRGEEAIPSPTPRAEPFSANGSPQTELPPTELSVHTPSPQAEPLSPETAQTEVAPRTRPAPLRHHPRAQASGTEPPSPTHSLGEGGFFRASPQPRRPSSQGWASPQVAGRRPDPFPSVPRGRGQQGQGPWGTGGTPHGPRLEPDPQHPGAWLPLLSNGPHASSLWSLFAPSSPIPRCSGESEQLRACSQAPCPPEQPDPRALQCAAFNSQEFMGQLYQWEPFTEVQGSQRCELNCRPRGFRFYVRHTEKVQDGTLCQPGAPDICVAGRCLSPGCDGILGSGRRPDGCGVCGGDDSTCRLVSGNLTDRGGPLGYQKILWIPAGALRLQIAQLRPSSNYLALRGPGGRSIINGNWAVDPPGSYRAGGTVFRYNRPPREEGKGESLSAEGPTTQPVDVYMIFQEENPGVFYQYVISSPPPILENPTPEPPVPQLQPEILRVEPPLAPAPRPARTPGTLQRQVRIPQMPAPPHPRTPLGSPAAYWKRVGHSACSASCGKGVWRPIFLCISRESGEELDERSCAAGARPPASPEPCHGTPCPPYWEAGEWTSCSRSCGPGTQHRQLQCRQEFGGGGSSVPPERCGHLPRPNITQSCQLRLCGHWEVGSPWSQCSVRCGRGQRSRQVRCVGNNGDEVSEQECASGPPQPPSREACDMGPCTTAWFHSDWSSKCSAECGTGIQRRSVVCLGSGAALGPGQGEAGAGTGQSCPTGSRPPDMRACSLGPCERTWRWYTGPWGECSSECGSGTQRRDIICVSKLGTEFNVTSPSNCSHLPRPPALQPCQGQACQDRWFSTPWSPCSRSCQGGTQTREVQCLSTNQTLSTRCPPQLRPSRKRPCNSQPCSQRPDDQCKDSSPHCPLVVQARLCVYPYYTATCCRSCAHVLERSPQDPS", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "ACLARGETCKDDCECCDCDNQCYCPFDWFGGKWHPVGCSCAYTNKYVCDHKKEKCKKA", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLKPSIICLFLGILAKSSAGQFYFPNEAAQVPNYGRCITPNRERALCIHLEDCKYLYGLLTTTPLRDTDRLYLSRSQCGYTNGKVLICCPDRYRESSSETTPPPKPNVTSNSLLPLPGQCGNILSNRIYGGMKTKIDEFPWMALIEYTKSQGKKGHHCGGSLISTRYVITASHCVNGKALPTDWRLSGVRLGEWDTNTNPDCEVDVRGMKDCAPPHLDVPVERTIPHPDYIPASKNQVNDIALLRLAQQVEYTDFVRPICLPLDVNLRSATFDGITMDVAGWGKTEQLSASNLKLKAAVEGSRMDECQNVYSSQDILLEDTQMCAGGKEGVDSCRGDSGGPLIGLDTNKVNTYYFLAGVVSFGPTPCGLAGWPGVYTLVGKYVDWIQNTIES", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "ATCAGQDKPCKETCDCCGERGECVCGLSYEGKYRCICRQGTFLIAWYKLASCKK", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "ACIPRGEICTDDCECCGCDNECYCPIGSSLGIFKCSCAHANKYFCNRKKEKCKKA", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSATLRLLCLMACCVALAVANRPNYGGSGYGASYGDVVKAAETAEAQASALTNAAGAAASAAKLDGADWNSLNRYGWEQGRPLLAKPYGPLDPLYAAALPPRSFVAEVDPVFKKSQYGGSYGENAYLKTDAKLGVVAI", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTMSRSSRSSVLALALATGSLVAAGPCDIYSSGGTPCIAAHSTTRALYSSYNGPLYQVQRASDGTTTTITPLSAGGVADASAQDAFCENTTCLITIIYDQSGNGNDLTQAPPGGFNGPDVGGYDNLAGAIGAPVTLNGKKAYGVFVSPGTGYRNNEAIGTATGDEPEGMYAVLDGTHYNDGCCFDYGNAETSSLDTGNGHMEAIYYGTNTAWGYGAGNGPWIMADLENGLFSGQSSDYNAGDPSISYRFVTAILKGGPNLWALRGGNAASGSLSTYYNGIRPTDASGYNPMSKEGAIILGIGGDNSVSAQGTFYEGAMTDGYPDDATENSVQADIVAAKYATTSLISGPALTVGDTVSLKVTTSGYDTRYIAHTGSTINTQVVSSSSSSTLKQQASWTVRTGLASTAAANGCVSFESVDTPGSYIRHSNFALLLNANDGTKLFSEDATFCPQDSFNDDGTNSIRSWNYPTRYWRHYENVLYVASNGGVNTFDAATAFTDDVSWVVADGFA", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "EWCGSNADCGDGQCCTGGSFNRHCQSLADDGTPCQKPNDYNEYKFGCPCKEGLICSPINYCQKK", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGKISSLPTQLFKICLCDFLKIKIHIMSSSHLFYLALCLLTFTSSTTAGPETLCGAELVDALQFVCGPRGFYFNKPTGYGSSIRRAPQTGIVDECCFRSCDLRRLEMYCAPLKPTKAARSIRAQRHTDMPKTQKEVHLKNTSRGSAGNKTYRM", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "VIGGDECNINEHRFLVALYTSRFRTLFCGGTLINQEWVLTAAHCDRKNFRIKLGIHSKKVPNEDEQTRVPKEKFFCLSSKNYTLWDKDIMLIRLDSPVKNSTHIAPFSLPSSPPSVGSVCRIMGWGRISPTEETYPDVPHCVNINLLEYEMCRVPYPEFGLPATSRTLCAGILEGGKDTCRGDSGGPLICNGQFQGIASWGDDPCAQPHKPAAYTKVFDHLDWIKSIIAGNTDASCPP", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "KKEGYLVGNDGCKYSCFTRPAQYCVHECELRKGTDGYCYAWLACYCYNMPDHVRTWSRATNRCGS", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "QVRPFLEVHERSACQARETLVSILQEYPDEISDIFRPSCVAVLRCSGCCTDESLKCTPVGKHTVDLQIMRVNPRTQSSKMEVMKFTEHTACECRPRRKQGEPDGPKEKPR", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNVFFMFSLLFLAALESCADDKNPLEEEFFEADYEEFLLIAKNGLQQTSNPKRVVIVGAGMSGLSAAYVLAKTGHEVILLEASERVGGRVSTYRNDQEGWYANLGPMRLPERHRIVREYIRKFGLQLNEFSQENENAWYFIKNIRKRVGEVNKDPGVLEYPVKPSEKGKSAPQLYRDSLQKIIEEYGRSNCSYILNKYDTYSTKDYLIKEGNLSPGAVDMVGDLLNEDSGYYVSFIESLKPDDIFAYENRFDEIVGGFDKLPTSMYQAIQEKVRLNVRVIKIQQDVKEVTVTYQTPAKNLSYVTADYVIVCTTSGAARRIKFEPPLPLKKAHALRSVHYRSGTKIFLTCTKKFWEDDGIHGGKSITDRPSRLIHYPNHNFPNGIGVLVIFTIADDADFFLALDNKTIADIVIHDLSLIHQLPKEKIRDLCYVSMIQKWSLDKYAMGGITTFTPYQFQHFSEALTAPVDRIYFAGEYTAQAHGWIDSTIKSGLTAARDVNRASENPSGIHLSNDNEL", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MELYLNMLSFWYILLATSFFGPSQAVYQAPLSVDESQKVTIEEGFQIFTSKHSPQHSIRIKKQDGSICDAHSAQYTGWLDIGPKHLFFWYFESQNDPENDPLTLWMTGGPGYSSMLGMLEEVGPCLVNEYGNGTKYNPWGWSKKSSMLFVDQPVGVGFSYGDEGHDIPNDSYLAAVDMHRFLQLFISEVFPNKLNSPFHISGESYGGHYIPYLGAQIVRQNKLYPNEPQVQLKSCLIGNGCMSHMHTTFGYWETLCTTNPGVEKPIFNETRCDIMAKNMPRCMKVAEVCRRNPDPAICLSAQSVCDEGITGLYNKESDVKGGRNRFDITTPCQADDICYVQGLHLQNYLNTKLVWDALSPPKEVKEYKFASKNVEHAFGLTSDSMVPSTEEVEFLLSNQIHIMSYQGNLDLACNTAGNLKWMHDIPWKGQAELSSKALVPWKSVLASTGKNETVGRMKEVKIRVTDSATFATRYAFVTVDNAGHMVPQDRPDVAFDLMNRWISGETFV", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLASVAGPISLALVLLALCTRPAMGQDCSAQCQCAAEAAPHCPAGVSLVLDGCGCCRVCAKQLGELCTERDPCDPHKGLFCDFGSPANRKIGVCTAKDGAPCVFGGSVYRSGESFQSSCKYQCTCLDGAVGCVPLCSMDVRLPSPDCPFPRRVKLPGKCCEEWVCDEPKDRTAVGPALAAYRLEDTFGPDPTMMRANCLVQTTEWSACSKTCGMGISTRVTNDNTFCRLEKQSRLCMVRPCEADLEENIKKGKKCIRTPKIAKPVKFELSGCTSVKTYRAKFCGVCTDGRCCTPHRTTTLPVEFKCPDGEIMKKNMMFIKTCACHYNCPGDNDIFESLYYRKMYGDMA", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "LRCLNCPEVFCRNFHTCRNGEKICFKRFDQRKLLGKRYTRGCAVTCPVAKPREIVECCSTDGCNR", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "RICYTHKSLQAKTTKSCEGNTCYKMFIRTSREYISERGCGCPTAMWPYQTECCKGDRCNK", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKSLSFSFLVTLFLYLTLSSARTLGKDVNKRVTAGSLQQVTGFGDNASGTLMYIYVPKNLATNPGIVVAIHYCTGTAQAYYTGSPYAQLAEQYGFIVIYPQSPYSGTCWDVSSQAALTHNGGGDSNSIANMVTWTISQYNANTAKVFVTGSSSGAMMTNVMAATYPELFAAATVYSGVGAGCFYSSSNQADAWNSSCATGSVISTPAVWGGIAKNMYSGYSGSRPRMQIYHGSADTTLYPQNYYETCKQWAGVFGYNYDSPQSTLANTPDANYQTTNWGPNLQGIYATGVGHTVPIHGAKDMEWFGFSGSGSSSTTTASATKTSTTSTTSTKTTSSTSSTTTSSTGVAAHWGQCGGSGWTGPTVCESGYTCTYSNAWYSQCL", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRKHVLAASFSMLSLLVIMGDTDSKTDSSFIMDSDPRRCMRHHYVDSISHPLYKCSSKMVLLARCEGHCSQASRSEPLVSFSTVLKQPFRSSCHCCRPQTSKLKALRLRCSGGMRLTATYRYILSCHCEECNS", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKLPFIFLITLLIFVSSCTSILINESSDEERTYSFSPTTSPFDPRSLNQELKIGRIGYCFDCARACMRRGKYIRTCSFERKLCRCSISGIK", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRAWIFFLLCLAGRALAAPQQEALPDETEVVEETVAEVTEVSVGANPVQVEVGEFDDGAEETEEEVVAENPCQNHHCKHGKVCELDENNTPMCVCQDPTSCPAPIGEFEKVCSNDNKTFDSSCHFFATKCTLEGTKKGHKLHLDYIGPCKYIPPCLDSELTEFPLRMRDWLKNVLVTLYERDEDNNLLTEKQKLRVKKIHENEKRLEAGDHPVELLARDFEKNYNMYIFPVHWQFGQLDQHPIDGYLSHTELAPLRAPLIPMEHCTTRFFETCDLDNDKYIALDEWAGCFGIKQKDIDKDLVI", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSKLGALLIICLLLFPLTAVPMDGDQPADRPAERMQDDISFEQHPMFDATRRCCNAGFCRFGCTPCCY", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKTLLLTLVVVTMVCLDLGYTRRCFNQQSSQPKTTKSCPPGENSCYNKQWRDHRGSITERGCGCPKVKPGIKLRCCESEDCNN", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKTLLLTLVVVTVVCLDLGYTRRCYNQQSSQPKTTKSCPPGENSCYNKQWRDHRGSITERGCGCPTVKPGIKLRCCESEDCNN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "RRCFNQQSSQPQTNKSCPPGENSCYRKQWRDHRGTIIERGCGCPTVKPGIKLRCCQSEDCNN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAAKWLIASLAFASSGLAFTPEDFISAPRRGEAIPDPKGELAVFHVSKYNFDKKDRPSGWNLLNLKNGDISVLTTDSDVSEITWLGDGTKVVYVNGTDSVKGGVGIWISDAKNFGNAYKAGSVNGAFSGLKLAKSGDKINFVGYGQSTTKGDLYNEAAAKEAVSSARIYDSLFVRHWDTYVSTQFNAVFSGALTKNGDKYSFDGKLKNLVQPVKYAESPYPPFGGSGDYDLSSDGKTVAFMSKAPELPKANLTTSYIFLVPHDGSRVAEPINKRNGPRTPQGIEGASSSPVFSPDGKRIAYLQMATKNYESDRRVIHIAEVGSNKPVQRIASNWDRSPEAVKWSSDGRTLYVTAEDHATGKLFTLPADARDNHKPEVVKHDGSVSSFYFVGSSKSVLISGNSLWSNALYQVATPGRPNRKLFYANEHDPELKGLGPNDIEPLWVDGARTKIHSWIVKPTGFDKNKVYPLAFLIHGGPQGSWGDNWSTRWNPRVWADQGYVVVAPNPTGSTGFGQKLTDDITNDWGGAPYKDLVKIWEHVHNNIKYIDTDNGIAAGASFGGFMVNWIQGQDLGRKFKALVSHDGTFVGSSKIGTDELFFIEHDFNGTFFEARQNYDRWDCSKPELVAKWSTPQLVVHNDFDFRLSVAEGVGLFNVLQEKGVPSRFLNFPDETHWVTKPENSLVWHQQVLGWVNKWSGINKSNPKSIKLSDCPIEVVDHEAHSYFDY", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MQFKNVALAASVAALSATASAEGYTPGEPWSTLTPTGSISCGAAEYTTTFGIAVQAITSSKAKRDVISQIGDGQVQATSAATAQATDSQAQATTTATPTSSEKISSSASKTSTNATSSSCATPSLKDSSCKNSGTLELTLKDGVLTDAKGRIGSIVANRQFQFDGPPPQAGAIYAAGWSITEDGYLALGDSDVFYQCLSGNFYNLYDQNVAEQCSAIHLEAVSLVDC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "LTCVKSNSIWFPTSEDCPPGQNLCFKRWQYISPRMYDFTRGCAATCPKAEYRDVINCCGTDKCNK", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKASSVLLGLAPLAALAAPTPEAELSARQAQQSIDALMKAKGKLYFGTATDQGLLNTGKNSAIIKADFGQVTPENSMKCQSLENTRGQYNWAPADALVNFAVSNNKSIRGHTLIWHSQLPGWVNNINDRNQLTTVIQNHVATVMGRWKGKIRAWDVVNEIFNEDGTMRQSVFSRVLGEDFVRIAFEAARKADPNAKLYINDYNLDRPNAGKLTKGMVGHVKKWVGAGVPIDGIGRQGHLQSGQGNGLGQGIKGLGDSGVKEVGGNELDIQGNNGNEFGGGNKACLPVPACVGIPAWGVRDNDSWRPQGNPLLFDSNYNPKPAYNSVVQALK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFTTKKSLLLLFFLGTISLSLCEEERGADEEEGDGEKLTKRALSILRGLEKLAKMGIALTNCKATKKC", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRSEKHLLPLPPLLAICCLGTLHLSSGFPQSVPSYLEGLDIPESEIPSFVMDLCSSIYNRMKVNEENNHEIYKRFLFQFSRAKDPSLKIGESQIATAEYTKRDSSGIVGRPFFLFRPRNGRKVSINEH", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "VRDGYIVDDKNCVYHCIPPCDGLCKKNGGKSGSCSFLVPSGLACWCKALPDNVPIKDPSYKCHKR", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKVAILFLSILVLAVASESIEESRDDFAVEELGRATCAGQDQTCKVTCDCCGERGECVCGGPCICRQGNFLIAWYKLASCKK", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVKRVSKEEMDALERSCSQPFEEERFLIVSGTKWCGNNNIAANYSDLGFLEADKCCRDHDHCDHIASGETKYGLENKGLFTILNCDCDEAFDHCLKEISNNVTTDIRQKGGAENVWRFYFQWYNANCYRLYCKDEKSARDEACTNQYAVVKKNFTVQ", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKKRSVSGCNITILAVVFSHLSAGNSPCGNQANVLCISRLEFVQYQS", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKTLLLTLVVVTIVCLDFGHTRICYNQQSSQPPTTKTCSEGQCYKKTWRDHRGTIIERGCACPNVKPGIQISCCTSDKCNG", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAPFEPLASGILLLLWLIAPSRACTCVPPHPQTAFCNSDLVIRAKFVGTPEVNQTTLYQRYEIKMTKMYKGFQALGDAADIRFVYTPAMESVCGYFHRSHNRSEEFLIAGKLQDGLLHITTCSFVAPWNSLSLAQRRGFTKTYTVGCEECTVFPCLSIPCKLQSGTHCLWTDQLLQGSEKGFQSRHLACLPREPGLCTWQSLRSQIA", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAGPLRAPLLLLAILAVALAVSPAAGSSPGKPPRLVGGPMDASVEEEGVRRALDFAVGEYNKASNDMYHSRALQVVRARKQIVAGVNYFLDVELGRTTCTKTQPNLDNCPFHDQPHLKRKAFCSFQIYAVPWQGTMTLSKSTCQDA", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MARPLCTLLLLMATLAGALASSSKEENRIIPGGIYDADLNDEWVQRALHFAISEYNKATEDEYYRRPLQVLRAREQTFGGVNYFFDVEVGRTICTKSQPNLDTCAFHEQPELQKKQLCSFEIYEVPWEDRMSLVNSRCQEA", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAQYLSTLLLLLATLAVALAWSPKEEDRIIPGGIYNADLNDEWVQRALHFAISEYNKATKDDYYRRPLRVLRARQQTVGGVNYFFDVEVGRTICTKSQPNLDTCAFHEQPELQKKQLCSFEIYEVPWENRRSLVKSRCQES", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAGPWIITLLCGLLGATLVQANVYPPAVLNLGPEVIQKHLTQALKDHDATAILQELPLLRAMQDKSGSIPILDSFVHTVLRYIIWMKVTSANILQLDVQPSTYDQELVVRIPLDMVAGLNTPLIKTIVEFQMSTEVQALIRVERSKSGPAHLNLSDCSSNESTLRLSLLHKLSFVVNSLAKNVMNLLVPALPQIVKNHLCPVIQQAFDDMYEDFLRLTTAPIALSPGALEFGLLSPAIQDSNILLNLKAKLLDSQARVTNWFNNSATSLMETTPDRAPFSLTVRQDLVNAIVTTLVPKEELVILLRFVIPDVARQLQMDIKEINAEAANKLGPTQMLKIFTHSTPHIVLNEGSARAAQSVVLEVFPTNTDVRPFFSLGIEASYEAQFFTEDNRLMLNFNNVSIERIKLMISDIKLFDPEVLKDTLTKILEYTLLPNENGKLRTGVPMSMSKALGYEKAMWSVSKGALKLTPASS", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKTLLLTLVVVTVVCLDFGHTMICYNQQSSQPPTTTTCSEGQCYKKTWSDHRGTIIERGCACPNVKPGVKISCCSSDKCNG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDFGHTLICYNDHGYIGKTTETCENGMTTCYEERWREARGTRIERGCGCYKVKPGVQMNCCKTDRCNG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDFGHTLICYNYWTPLDKTTECCGNGVTTCFAKSWNDHRGRRTDRGCGCPNVKPGIHLNCCKTDRCNG", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDFGHTMICYNQQSSQPPTTTTCSEGQCYKQRWRDHRGWRTERGCGCPKAIPEVKLNCCKTDRCNG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDFGHTLICYNDHGFIGKTTETCENGMTTCYEKRWTEARGTRIDRGCGCPNVKPGVNLNCCKTDRCNG", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAFHSLLLLGLASLLFVSDAAPVIHGAEDSKCPLMVKVLDAVRGSPAVNVNVKVFKKSEEQTWEPFATGKTNDYGEIHELTNDEKFGEGLYKVEFDTFSYWNALGVSPFHEYADVVFKANDAGHRHYTIAALLSPYSYSTTAVVSNPKD", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAFHSLLLLCLAGLLFVSEAGPVAHGGEDSKCPLMVKVLDAVRGRPAVNVDVKVFKKTEKQTWELFASGKTNDNGEIHELTSDDKFGEGLYKVEFDTISYWKALGVSPFHEYADVVFTANDAGHRHYTIAAQLSPYSFSTTAIVSNPTE", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MCSVARHMESIMLFTLLGLCVGLAAGTEAAVVKDFDVNKFLGFWYEIALASKMGAYGLAHKEEKMGAMVVELKENLLALTTTYYNEGHCVLEKVAATQVDGSAKYKVTRISGEKEVVVVATDYMTYTVIDITSLVAGAVHRAMKLYSRSLDNNGEALNNFQKIALKHGFSETDIHILKHDLTCVNALQSGQI", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAANSSFFLADNCAPHNQSFIQFCIHAASKKKGRIALMCLANLFLLFSFHLLYARFCSGFPYVVPSTARSTNQIFHTNLEQLVNSPENKQIFSQLKFSDQAFYDPHDNVVGTTEFPVFNQYQRQPYVANGYIGSRIPNLGQGFTYDQLTNSSTANDDDLLNGWPLFNKRYSGAFVAGFYDLQKNTTGTNFAELLENGYESVIAAVPQWTALSLSVEILGKKYTLDPSLEHEAIGDITNYVQNMSLSDGIVTTQFTWLNTFDVKYEILAHRENINLGLVNMQVYNPGNESVQVIVSDVLDFNSSQRCQLNQISHDKNGIYVTFHPQGLSYIDGAIYSTLSANGQITREQTNETVFQNVELTIEPHSCVQVAKYAGIATTDLDPDSFKTADDVLKFARKVSQNKKHGDATQLVNSHRSAWSKIIQDAPLVTFPSDSLLNLGARASIFHLLANTRPNAEGVTGALGVSGLSSDSYGGMVFWDTDLWMLNGILPFAPDHIKSFINYRVHLHQQAIDNVPRGYQGAVYPWTSGRFGNCTGTGPCLDYEYHINMAVAMASWQLYISGAADDTFLESVAYPIINDAASFLAEYVVHYNDTLGKYTTKNLTDPDEFANHVDNGAYTNTGIVLVMRWAQIAGSILGKQVPKIYHDIETAMFLPTAENTQNITLEYSGMNSSVGIKQADVIMMTYPLENELIDQDQAYINMEFYSMKQVGYGPAMTFPIFSIVASNLAFTGCASQSYLHKAIQPFLRGPFAQFAEQNNDDYLTNGGTHPAFPFLTAHGGFLQAILQGLTGMRFDYTFENNKLQRLLKLDPIALPCLGEGVRFDSIKYDNHTLSMAINETHFTIKNKGKTTPNARNYVTILLAERNAMHGKYTINDEDEQSFPLFETSESFPDSISECNKAGFFNITEGAYGDVSISINDGDNTTSWQAKYNDTTGKVLVDLKSFRNISSGTFIWGDKPPKRVKVSKYSGSSFTAVTDFFAQVDFGNELFNEYKYANPEGKLHNQSDVFEEVYSGDVKISAPFDPEEYFQVWVPTRHNITEVAVNLQTRFLLIEVDEIHNTEAIDGDYGGAKLAEVVFY", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKLSASFAALALLLPFVQAQSPVWGQCGGIGWTGPTTCTAGNVCQEYSAYYSQCIPASQATSVTSVSTAPNPPPTSHTSTSSAPSGASTSTAKLNTLAKAKGKLYFGTATDNGELSDTAYTAILDDNTMFGQITPANSMKWDATEPQQGQFTFSGGDQIANLAKSNGMLLRGHNCVWYNQLPSWVSNGKFTAAQLTSIIQNHCSTLVTHYKGQVYAWDVVNEPFNDDGSWRTDVFYNTLGTSYVQIALEAARAADPDAKLYINEYNIEYAGAKATSLLNLVKTLKAASVPLDGIGFQSHFIVGQVPTGLQSQLTTFAAQGVEVAITELDIRMTLPSTPALLAQQKTDYSNVIKACASVEACVGVTVWDWTDKYSWVPNTFSGQGAACPWDQNFVRKPAYDGIAIGFGN", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MALSTRTQAACLLLLLLASLSSTTYLHQQMRQTTELQPLHGEESRADIAIPMQKRRKRDTNFPICIFCCKCCNNSQCGICCKT", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "SIVELGKMIIQETGKSPFPSYTSYGCFCGGGERGPPLDATDRCCLAHSCCYDTLPDCSPKTDRYKYKRENGEIICENSTSCKKRICECDKAMAVCLRKNLNTYNKKYTYYPNFWCKGDIEKC", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "LTCVTGKSIGGISTEECAAGQKICNKKWTKMGPKLYDVSRGCTATCPKADEYGCVKCCNTDRN", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSPFAPLASGILLLLWLTAPSRACTCVPPHPQTAFCSSDLVIRAKFVGAPEFNQTASYKRYEIKMTKMFKGFNALGDAPDIRFIYTPAMESVCGYFHRSQNRSQEFLIAGQLWNGHLHITTCSFVAPWNSLSSAQRQGFTEIYAAGCEECTVFPCTSIPCKLQSDTHCLWTDQLLTGSDKGFQSRHLACMPREPGMCTWQSLRPRVA", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKCPSIFLTLLIFVSSCTSILINESSDEQRIYSFSPTTSPFDPRSLNQELKIGRIGYCFDCARACMRRGKYIRTCSFERKLCRCSISDIK", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKFLALFVTLLVVLALVSAQKSQNTNHNVIVIGAKKPGAAPAAAAAAAPAAPPAAAPAAAPAAPEAGLADAPAES", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKITSALVLLFAGVAFAQSADPNTNENKNVIHINSPSAAK", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MDTPRVLLSAVFLISFLWDLPGFQQASISSSSSSAELGSTKGMRSRKEGKMQRAPRDSDAGREGQEPQPRPQDEPRAQQPRAQEPPGRGPRVVPHEYMLSIYRTYSIAEKLGINASFFQSSKSANTITSFVDRGLDDLSHTPLRRQKYLFDVSMLSDKEELVGAELRLFRQAPSAPWGPPAGPLHVQLFPCLSPLLLDARTLDPQGAPPAGWEVFDVWQGLRHQPWKQLCLELRAAWGELDAGEAEARARGPQQPPPPDLRSLGFGRRVRPPQERALLVVFTRSQRKNLFAEMREQLGSAEAAGPGAGAEGSWPPPSGAPDARPWLPSPGRRRRRTAFASRHGKRHGKKSRLRCSKKPLHVNFKELGWDDWIIAPLEYEAYHCEGVCDFPLRSHLEPTNHAIIQTLMNSMDPGSTPPSCCVPTKLTPISILYIDAGNNVVYKQYEDMVVESCGCR", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVSFSSLFVAACAAVTAFALPNELEKRAITSNEQGTNNGYFYSFWTNGGGSVSYNNGAAGQYSVNWKDCGSFTSGKGWATGSARNINFSGSFNPSGNAYLAVYGWTTSPLVEYYIMENYGEYNPGSSMAHKGTVTSDGSVYDIYAHQQVNQPSIVGTATFNQYWSIRRNKRSSGTVTTANHFNAWSRLGMGLGSHNYQIVNTEGYQSSGSASITVS", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTPPRLFWVWLLVAGTQGVNDGDMRLADGGATNQGRVEIFYRGQWGTVCDNLWDLTDASVVCRALGFENATQALGRAAFGQGSGPIMLDEVQCTGTEASLADCKSLGWLKSNCRHERDAGVVCTNETRSTHTLDLSRELSEALGQIFDSQRGCDLSISVNVQGEDALGFCGHTVILTANLEAQALWKEPGSNVTMSVDAECVPMVRDLLRYFYSRRIDITLSSVKCFHKLASAYGARQLQGYCASLFAILLPQDPSFQMPLDLYAYAVATGDALLEKLCLQFLAWNFEALTQAEAWPSVPTDLLQLLLPRSDLAVPSELALLKAVDTWSWGERASHEEVEGLVEKIRFPMMLPEELFELQFNLSLYWSHEALFQKKTLQALEFHTVPFQLLARYKGLNLTEDTYKPRIYTSPTWSAFVTDSSWSARKSQLVYQSRRGPLVKYSSDYFQAPSDYRYYPYQSFQTPQHPSFLFQDKRVSWSLVYLPTIQSCWNYGFSCSSDELPVLGLTKSGGSDRTIAYENKALMLCEGLFVADVTDFEGWKAAIPSALDTNSSKSTSSFPCPAGHFNGFRTVIRPFYLTNSSGVD", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MWKILETCSCFLVVAVLSGLGKAQPESFSGSAVTDDSTSGANKRGWSMLRLGRGLQMLRLGKRGGSLDALRSGHQVPMLRAGRGSPDTSGRLDANELYAVLSAILDEPRDQSRRQPPLPRYGRDNNGVARDLLDALASDGESSSNFDLLSSLNNGPSYFRPAPRGGRYKRSLPDAGPADYPSLEDYLVQSRQFARPYSSRAVALPRIGRFSGSPRLQAKAVPRPRIGRQESQMREAKSAE", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVPGAAGWCCLVLWLPACVAAHGFRIHDYLYFQVLSPGDIRYIFTATPAKDFGGIFHTRYEQIHLVPAEPPEACGELSNGFFIQDQIALVERGGCSFLSKTRVVQEHGGRAVIISDNAVDNDSFYVEMIQDSTQRTADIPALFLLGRDGYMIRRSLEQHGLPWAIISIPVNVTSIPTFELLQPPWTFW", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRFLSFWRLLLYHALCLALPEVSAHTVELNEMFGQIQSPGYPDSYPSDSEVTWNITVPEGFRIKLYFMHFNLESSYLCEYDYVKVETEDQVLATFCGRETTDTEQTPGQEVVLSPGTFMSVTFRSDFSNEERFTGFDAHYMAVDVDECKEREDEELSCDHYCHNYIGGYYCSCRFGYILHTDNRTCRVECSGNLFTQRTGTITSPDYPNPYPKSSECSYTIDLEEGFMVSLQFEDIFDIEDHPEVPCPYDYIKIKAGSKVWGPFCGEKSPEPISTQTHSVQILFRSDNSGENRGWRLSYRAAGNECPKLQPPVYGKIEPSQAVYSFKDQVLVSCDTGYKVLKDNEVMDTFQIECLKDGAWSNKIPTCKIVDCGAPAGLKHGLVTFSTRNNLTTYKSEIRYSCQQPYYKMLHNTTGVYTCSAHGTWTNEVLKRSLPTCLPVCGVPKFSRKQISRIFNGRPAQKGTMPWIAMLSHLNGQPFCGGSLLGSNWVLTAAHCLHQSLDPEEPTLHSSYLLSPSDFKIIMGKHWRRRSDEDEQHLHVKRTTLHPLYNPSTFENDLGLVELSESPRLNDFVMPVCLPEQPSTEGTMVIVSGWGKQFLQRFPENLMEIEIPIVNSDTCQEAYTPLKKKVTKDMICAGEKEGGKDACAGDSGGPMVTKDAERDQWYLVGVVSWGEDCGKKDRYGVYSYIYPNKDWIQRITGVRN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLVNFILRCGLLLVTLSLAIAKHKQSSFTKSCYPRGTLSQAVDALYIKAAWLKATIPEDRIKNIRLLKKKTKKQFMKNCQFQEQLLSFFMEDVFGQLQLQGCKKIRFVEDFHSLRQKLSHCISCASSAREMKSITRMKRIFYRIGNKGIYKAISELDILLSWIKKLLESSQ", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVSFKSLLVAVSALTGALARPFDFLDERDDGNATSVLEARQVTGNSEGYHNGYFYSWWSDGGGYAQYRMGEGSHYQVDWRNTGNFVGGKGWNPGTGRTINYGGSFNPQGNGYLCVYGWTRGPLVEYYVIESYGSYNPGSQAQHRGTVYTDGDTYDLYMSTRYQQPSIDGVQTFNQYWSIRRNKRTSGSVNMQNHFNAWRSAGMNLGNHYYQILATEGYQSSGSSSIYVQTS", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKVAVVVALLCFVCYTAAETCSADGDCKNTICDASHDLECHRGQCTCVNHATACSSAADCSGSCTIFGRHGRWHCVDAKCRCFFV", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKLSATTLTAASLIGYSTIVSALPYAADIDTGCTTTAHGSHQHKRAVAVTYVYETVTVDKNGQTVTPTSTEASSTVASTTTLISESSVTKSSSKVASSSESTEQIATTSSSAQTTLTSSETSTSESSVPISTSGSASTSSAASSATGSIYGDLADFSGPYEKFEDGTIPCGQFPSGQGVIPISWLDEGGWSGVENTDTSTGGSCKEGSYCSYACQPGMSKTQWPSDQPSDGRSIGGLLCKDGYLYRSNTDTDYLCEWGVDAAYVVSELSNDVAICRTDYPGTENMVIPTYVQAGDSLPLTVVDQDTYYTWQGLKTSAQYYVNNAGISVEDACVWGSSSSGVGNWAPLNFGAGSSDGVAYLSLIPNPNNGNALNFNVKIVAADDSSTVNGECIYENGSFSGGSDGCTVSVTAGKAKFVLYN", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKTLLLTLVVVTIICLDLGYTEMCNMCVRPYPFMSSCCPEGQDRCYKSYWVNENGKQKKYHGKYPVILERGCVTACTGPGSGSIYNLYTCCPTNRCGSSSTSG", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIPNITQLKTAALVMLFAGQALSGPVESRQASESIDAKFKAHGKKYLGNIADQGTLNGNPKTPAIIKANFGQLSPENSMKWDATEPSQGQFSFAGSDYFVEFAETNGKLIRGHTLVWHSQLPSWVSSITDKTTLTDVMKNHITTVMKQYKGKVYAWDVVNEIFEEDGTLRDSVFSRVLGEDFVRIAFETAREADPEAKLYINDYNLDSATSAKLQGMVSHVKKWIAAGVPIDGIGSQTHLGAGAGAAASGALNALASAGTEEVAVTELDIAGASSTDYVDVVNACLDQPKCVGITVWGVADPDSWRADESPLLFDASYNPKEAYNVSQLLSRQHAFDLYLKLGNLLLSRLHSD", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRNSRFCAILAVISAISVSYVLAQRSQQSVIADLGKLIVDNCPPMLCTGLDCAVVTERNGCQLCACPIGSPSRGCDPMPFILWHDLIVNGCPNVTLNSRDPAQKVHRWFRRVNRFTNTDQCEPYIFPYCPELDFNLWRSPRTKQECELYCYSIDEQRKRGII", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVQLKTAALALLFAGQALSGPVDSRQASVSIDAKFKAHGKKYLGTIGDQYTLTKNTKNPAIIKADFGQLTPENSMKWDATEPNRGQFTFSGSDYLVNFAQSNGKLIRGHTLVWHSQLPGWVSSITDKNTLISVLKNHITTVMTRYKGKIYAWDVLNEIFNEDGSLRNSVFYNVIGEDYVRIAFETARSVDPNAKLYINDYNLDSAGYSKVNGMVSHVKKWLAAGIPIDGIGSQTHLGAGAGSAVAGALNALASAGTKEIAITELDIAGASSTDYVNVVNACLNQAKCVGITVWGVADPDSWRSSSSPLLFDGNYNPKAAYNAIANAL", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKTLLLTLVVVTIICLDLGYTEMCNMCVRPYPFMSSCCPEGQDRCYKSYWVNENGKQEAYHGKYPVILERGCVTACTGPGSGSIYNLYTCCPTNRCGSSSTSG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKKTIQILLFFFFLINLTNALSISSDGGVLSDNEVRHIQRRQLLEFAERSVKITVDPSLNFENPRLRNAYIALQAWKQAILSDPNNFTSNWIGSNVCNYTGVFCSPALDNRKIRTVAGIDLNHADIAGYLPEELGLLSDLALFHVNSNRFCGTVPHRFNRLKLLFELDLSNNRFAGKFPTVVLQLPSLKFLDLRFNEFEGTVPKELFSKDLDAIFINHNRFRFELPENFGDSPVSVIVLANNRFHGCVPSSLVEMKNLNEIIFMNNGLNSCLPSDIGRLKNVTVFDVSFNELVGPLPESVGEMVSVEQLNVAHNMLSGKIPASICQLPKLENFTYSYNFFTGEAPVCLRLPEFDDRRNCLPGRPAQRSPGQCKAFLSRPPVNCGSFSCGRSVSPRPPVVTPLPPPSLPSPPPPAPIFSTPPTLTSPPPPSPPPPVYSPPPPPPPPPPVYSPPPPPPPPPPPPVYSPPPPPPPPPPPPPVYSPPPPSPPPPPPPVYSPPPPPPPPPPPPVYSPPPPPVYSSPPPPPSPAPTPVYCTRPPPPPPHSPPPPQFSPPPPEPYYYSSPPPPHSSPPPHSPPPPHSPPPPIYPYLSPPPPPTPVSSPPPTPVYSPPPPPPCIEPPPPPPCIEYSPPPPPPVVHYSSPPPPPVYYSSPPPPPVYYSSPPPPPPVHYSSPPPPEVHYHSPPPSPVHYSSPPPPPSAPCEESPPPAPVVHHSPPPPMVHHSPPPPVIHQSPPPPSPEYEGPLPPVIGVSYASPPPPPFY", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKSSILASAAILAASLEPVAALSEPLQNILINTDRSPIYRYPTDVTRGIVPIPVHSHNDYWRDIPFYTALTTKLALSAGCISIEADVFLFNDTLYVGHEESALTKERTLQSLYIEPLMNVLKKTNPKSPFVSGPTRHGVFDTSSGQTLYLWIDVKNDGEKAWPHIVKALQPLRDANYLTKIQNNESFVPGPVTVIGTGGTPLSQVVSAADRDYFYDGPLKDLTGFTSLISPIASTSLMEVVGDIKSDSENPLNPTQLEAIRKQIKAAKEKSIGVRYWETPGWPIRLRNELWRTLWKEGVALLNADDVNAAKGYF", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSSDLVMPALGRPFTLGMLYDTRREKLIPGFSLFGDETLQQYQSSNTQRSSEFKIVASDSTESKSSAMDIEASLGVSFLGGLVEVGGSAKYLNNTKKYQNQSRVTLKYKATTIYKQFTAPPGTVKVQETVITQRGLATHVVTGILYGANAFFVFDSDKVEDTNLQDIQGKMEAVIKKIPTISIEGSASVQLTDEEKSLASNLSCKFHGDFLLESLPTTFEDAVTTYQTLPTLLGEDGASAVPMKVWLVPLKKFFSKAKLLTQEITVSKVRRIHTTLEELYKLKRRANEAMDDKLVQQIPLIHDKISNFHQIFQDYMLTVQKKIAEKLPLVRAGTESEQSLQKIIDDRAKSPFSNENVSTWLEVIEREIAVLKSCAGMVEGTQAKFVSNQTELDREVLAEDVKHALCFVFTSVERNDPYLKVLSDYLESPDSKDGKEAVPSTEDKWCFSTRVVLKMKQRAQTFCDHVNDFEKSRNVGFFVTALENGKFQGASIYHYKDGSLATQDFTFPRMPFVQGYKKRSDLLWYACDLTFDRNTINIWVSLSDNDTFAASEHGKRQNYPKHPERFLCYNQVLCNEGLTGKHYWEVEWNGYVDVGVAYISISRKEDNWVSAIGHNTCSWVFSSIPRAGYVERYNQRQYYVTVPTPGFKQLGVFLNWPDGSLSFYAVSSDEVHHLHTFKTKFTEPVYPAFCLGYRFDHGTVRLL", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKFYVVALALLVAFVCIAESRSVETERAVDADLEDDLDDLEEYLEGIAEALELEDFPDTEEARGETFDKLKEKLKTFYQKLVEKAEDLKGDLKAKLS", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSCGSPQVMMTLWTLTCVGLILLGAIRLSLGLDYDLESFDYLMEDNPEEFDYKDPCKAAAYWGDIALDEDDLKWIFKNKSNDLRNTRHNQTHPTTDNFSEKLGTGSQNETSSNLNSKKVKKGSRLKLLIAEKAATETNSTFQVQTSNDRVRRAATSRTERIWPGGIIPYAIAGNFTGTQRAIFKQAMRHWKKHTCVTFVERTDEESFIVFTYRPCGCCSYVGRRGGGPQAISIGKNCDKFGIVVHELGHVVGFWHEHTRPDRDEHVSIIRENIQPGQEYNFLKMEPGEVSSLGETYDFDSIMHYARNTFSRGVFLDTILPRRIDTSVRPTIGQRIRLSQGDIAQAKKLYKCPACGETLQDSSGNFSAPGYPSGYPSYTHCIWRISVTPGEKIILNFTTMDLFKSRLCWYDYIEIRDGYWRKAALLGRLCGDKLPDPIISSDSKLWIEFRSSSNILGKGFFAAYEAICGGDIKKDSGQIQSPNYPDDYRPAKECIWKITVSEGFLVGLSFQAFEIERHDNCAYDYLEVRDGFSEDHALIGRFCGYEKPEDIKSTSNKLWIKFASDGSINKAGFSANFFKEMDECSRPDNGGCSQRCVNTLGSYKCVCEPGFELTADKKSCEAACGGFITQLNGTITSPGWPKEYPTNKNCVWQVVAPAQYRISLQFEVFELEGNDVCKYDYLEIRSGLSSESKLHGKFCGPEKPEVITSQGNTVRIEFKSDNTVSKKGFKANFFSDKDECSKDNGGCQHDCVNTFGSYICQCKNGFILHENGHDCKEAGCEQKLLNAEGTISSPNWPEKYPSRKECTWDISVTAGHRVKLVFTDFEIEQHQECAYDHLELYDGPNGKAAILGRFCGSKEPSPVVASTNNMFLRFYSDASVQRKGFQAKYSPECGGRLKAEIQTNDIYSHAQFGDNNYPVQSNCEWVIVAEDGYGVELIFQTFEIEEESDCGYDYMEVYDGYDSTAPRLGRYCGSGPPEEMYSAGDSIMIRFHTDDTINKKGFHGQYTSTKFQDALHMRRK", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRGVGWQMLSLSLGLVLAILNKVAPQACPAQCSCSGSTVDCHGLALRSVPRNIPRNTERLDLNGNNITRITKTDFAGLRHLRVLQLMENKISTIERGAFQDLKELERLRLNRNHLQLFPELLFLGTAKLYRLDLSENQIQAIPRKAFRGAVDIKNLQLDYNQISCIEDGAFRALRDLEVLTLNNNNITRLSVASFNHMPKLRTFRLHSNNLYCDCHLAWLSDWLRQRPRVGLYTQCMGPSHLRGHNVAEVQKREFVCSGHQSFMAPSCSVLHCPAACTCSNNIVDCRGKGLTEIPTNLPETITEIRLEQNTIKVIPPGAFSPYKKLRRIDLSNNQISELAPDAFQGLRSLNSLVLYGNKITELPKSLFEGLFSLQLLLLNANKINCLRVDAFQDLHNLNLLSLYDNKLQTIAKGTFSPLRAIQTMHLAQNPFICDCHLKWLADYLHTNPIETSGARCTSPRRLANKRIGQIKSKKFRCSAKEQYFIPGTEDYRSKLSGDCFADLACPEKCRCEGTTVDCSNQKLNKIPEHIPQYTAELRLNNNEFTVLEATGIFKKLPQLRKINFSNNKITDIEEGAFEGASGVNEILLTSNRLENVQHKMFKGLESLKTLMLRSNRITCVGNDSFIGLSSVRLLSLYDNQITTVAPGAFDTLHSLSTLNLLANPFNCNCYLAWLGEWLRKKRIVTGNPRCQKPYFLKEIPIQDVAIQDFTCDDGNDDNSCSPLSRCPTECTCLDTVVRCSNKGLKVLPKGIPRDVTELYLDGNQFTLVPKELSNYKHLTLIDLSNNRISTLSNQSFSNMTQLLTLILSYNRLRCIPPRTFDGLKSLRLLSLHGNDISVVPEGAFNDLSALSHLAIGANPLYCDCNMQWLSDWVKSEYKEPGIARCAGPGEMADKLLLTTPSKKFTCQGPVDVNILAKCNPCLSNPCKNDGTCNSDPVDFYRCTCPYGFKGQDCDVPIHACISNPCKHGGTCHLKEGEEDGFWCICADGFEGENCEVNVDDCEDNDCENNSTCVDGINNYTCLCPPEYTGELCEEKLDFCAQDLNPCQHDSKCILTPKGFKCDCTPGYVGEHCDIDFDDCQDNKCKNGAHCTDAVNGYTCICPEGYSGLFCEFSPPMVLPRTSPCDNFDCQNGAQCIVRINEPICQCLPGYQGEKCEKLVSVNFINKESYLQIPSAKVRPQTNITLQIATDEDSGILLYKGDKDHIAVELYRGRVRASYDTGSHPASAIYSVETINDGNFHIVELLALDQSLSLSVDGGNPKIITNLSKQSTLNFDSPLYVGGMPGKSNVASLRQAPGQNGTSFHGCIRNLYINSELQDFQKVPMQTGILPGCEPCHKKVCAHGTCQPSSQAGFTCECQEGWMGPLCDQRTNDPCLGNKCVHGTCLPINAFSYSCKCLEGHGGVLCDEEEDLFNPCQAIKCKHGKCRLSGLGQPYCECSSGYTGDSCDREISCRGERIRDYYQKQQGYAACQTTKKVSRLECRGGCAGGQCCGPLRSKRRKYSFECTDGSSFVDEVEKVVKCGCTRCVS", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKFFATIAALVVGAVAAPVAEAEAEASSPMLIERAGPGGINYVQNYNGNLGQFTYNENAGTYSMYWNNGVNGDFVVGLGWSTGAARSITYSSNYQASGGSYLSVYGWINSPQAEYYIVESYGSYNPCGAGQSGVTQLGTVCSDGATYTVYTDTRTNQPSITGTSTFKQYWSVRQTKRTSGTVTTGNHFAYWAKYGFGNSYNFQVMPVEAFSGTGSASVTVS", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRATLFCLCLCLLGTVLPTPVSLPARARGNCPGQHQILLKGCNTKHGFYIFQYIYSHLMQKNQTQVKKEEGDHQGTIHGHWLGKVDGEAPGQGAGSSHVPEDKDSPKTHSHITPASKGEGRALRPGIGDSNSVYPTSTSVEGSGDMGSILLGEIINGEDGLPQSTHPGGPHGDGDGGNGVLVDGAVTAGRERASGSEGAGSEGGSHAPVPDQGQAGTMGTGDSAITSVTDSAITSVTKKEDVHVDTEGIDEFAYIPDVDAVTITRGQDGETHISPEDEVKIFIGRANIQVGENDSSVGSAGATSEANVIPTVVTVRPQGHPEESATMATLHHGDSVTSRPVGHPSVGNSGDGATEIHSGQELEAPSPWESTGGDATVTMAVGVQSGKGRSGQRALGKHSLPATMTTRGGRGTASSGLTTGDCSTAASTPSRKGSHVVSAGQGESGEVGTAGPERQRARVQQEVAPARGVVGGMVVPEGHRARVQQEVAPARGVVGGMVVPEGHRARTQPEVASAPSTVGKAAPERHRNRAQQEVAPVPSMVVETVAPERHRARVRPESARLGQAARPEVAPAPSTGGRIVAPGGHRARVWPGAAPAPGVVGVARPAPSKAYNGDKRVAIGKSTDVPRDPWVWGSAHPQAQHTRGSTVAGGFAHLHRGQRLGGLTEMEHSRQVEQVRHADRLRLHERAVYGLSGVGGPLQPPAVHTDPWSADSSQSSEGRWGSHSDSHEEDGEVRGYPYGRQSL", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGNSFSCYKTPYVKSEPCAPGENLCYTKSWCDRFCSIRGKVIELGCAATCPPAEPKKDITCCSTDNCNTHP", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRLWSWVLHLGLLSAALGCGLAERPRRARRDPRAGRPPRPAAGPATCATRAARGRRASPPPPPPPGGAWEAVRVPRRRQQREARGATEEPSPPSRALYFSGRGEQLRLRADLELPRDAFTLQVWLRAEGGQRSPAVITGLYDKCSYISRDRGWVVGIHTISDQDNKDPRYFFSLKTDRARQVTTINAHRSYLPGQWVYLAATYDGQFMKLYVNGAQVATSGEQVGGIFSPLTQKCKVLMLGGSALNHNYRGYIEHFSLWKVARTQREILSDMETHGAHTALPQLLLQENWDNVKHAWSPMKDGSSPKVEFSNAHGFLLDTSLEPPLCGQTLCDNTEVIASYNQLSSFRQPKVVRYRVVNLYEDDHKNPTVTREQVDFQHHQLAEAFKQYNISWELDVLEVSNSSLRRRLILANCDISKIGDENCDPECNHTLTGHDGGDCRHLRHPAFVKKQHNGVCDMDCNYERFNFDGGECCDPEITNVTQTCFDPDSPHRAYLDVNELKNILKLDGSTHLNIFFAKSSEEELAGVATWPWDKEALMHLGGIVLNPSFYGMPGHTHTMIHEIGHSLGLYHVFRGISEIQSCSDPCMETEPSFETGDLCNDTNPAPKHKSCGDPGPGNDTCGFHSFFNTPYNNFMSYADDDCTDSFTPNQVARMHCYLDLVYQGWQPSRKPAPVALAPQVLGHTTDSVTLEWFPPIDGHFFERELGSACHLCLEGRILVQYASNASSPMPCSPSGHWSPREAEGHPDVEQPCKSSVRTWSPNSAVNPHTVPPACPEPQGCYLELEFLYPLVPESLTIWVTFVSTDWDSSGAVNDIKLLAVSGKNISLGPQNVFCDVPLTIRLWDVGEEVYGIQIYTLDEHLEIDAAMLTSTADTPLCLQCKPLKYKVVRDPPLQMDVASILHLNRKFVDMDLNLGSVYQYWVITISGTEESEPSPAVTYIHGSGYCGDGIIQKDQGEQCDDMNKINGDGCSLFCRQEVSFNCIDEPSRCYFHDGDGVCEEFEQKTSIKDCGVYTPQGFLDQWASNASVSHQDQQCPGWVIIGQPAASQVCRTKVIDLSEGISQHAWYPCTISYPYSQLAQTTFWLRAYFSQPMVAAAVIVHLVTDGTYYGDQKQETISVQLLDTKDQSHDLGLHVLSCRNNPLIIPVVHDLSQPFYHSQAVRVSFSSPLVAISGVALRSFDNFDPVTLSSCQRGETYSPAEQSCVHFACEKTDCPELAVENASLNCSSSDRYHGAQCTVSCRTGYVLQIRRDDELIKSQTGPSVTVTCTEGKWNKQVACEPVDCSIPDHHQVYAASFSCPEGTTFGSQCSFQCRHPAQLKGNNSLLTCMEDGLWSFPEALCELMCLAPPPVPNADLQTARCRENKHKVGSFCKYKCKPGYHVPGSSRKSKKRAFKTQCTQDGSWQEGACVPVTCDPPPPKFHGLYQCTNGFQFNSECRIKCEDSDASQGLGSNVIHCRKDGTWNGSFHVCQEMQGQCSVPNELNSNLKLQCPDGYAIGSECATSCLDHNSESIILPMNVTVRDIPHWLNPTRVERVVCTAGLKWYPHPALIHCVKGCEPFMGDNYCDAINNRAFCNYDGGDCCTSTVKTKKVTPFPMSCDLQGDCACRDPQAQEHSRKDLRGYSHG", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MEKIVICLMVIFSGTVAHKSSFQGQDRLLIRLRQLIDTVDQLKNYVHDLDPELLPAPEDVQRHCEQSAFSCFQKVELKSANTGDNEKIINVLIKQLKRKLPPTNAGRRQKHGLTCPTCDSYEKKPIKEFLERLKSLIQKMIHQHLS", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MERIVICLMVIFSGTVAHKSSSQGQDRLFIRLRQLIDIVDQLKNYVNDLDPEFLPAPEDVKRHCERSAFSCFQKVQLKSANNGDNEKIINILTKQLKRKLPATNTGRRQKHEVTCPSCDSYEKKPPKEYLERLKSLIQKMIHQHLS", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "LECYRCGVSGCHLRTTCSAKEKFCAKQHNRISTLWWHGCVETCTEDETWKFYRKCCTTNLCNI", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKTLLLTLVVVTIMCLDLGYTLTCYKGRDRSSETCRSEQELCCTKTWCDQWCQDRGPRLEMGCTATCPRRMPGLDFTCCTTDNCNPVPT", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "LECHNQQSIQTPTTTGCSGGETNCYKKRWRDHRGYRTERGCGCPSVKNGIEINCCTTDRCNN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "AVITGACERDLQCGKGTCCAVSLWIKSVRVCTPVGTSGEDCHPASHKIPFSGQRMHHTCPCAPNLACVQTSPKKFKCLSKS", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MALRMLWAGQAKGILGGWGIICLVMSLLLQHPGVYSKCYFQAQAPCHYEGKYFTLGESWLRKDCFHCTCLHPVGVGCCDTSQHPIDFPAGCEVRQEAGTCQFSLVQKSDPRLPCKGGGPDPEWGSANTPVPGAPAPHSS", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVRLNSAAGSRWWAPAMAILAVALSVEAAEVARYDNYRLYRVTPHSEAQLRSVAAMEQASDSLIFLETARKLGDRFDIVVAPHKLADFTETLESDYIPHELIEQNVQRAFDEERVRLTNKRAKGPFDWNDYHTLEEIHAWLDQLASEHPKEVELLDAGRSHQNRTMKGVKLSYGPGRPGVFLEGGIHAREWISPATVTYILNQLLTSEDAKVRALAEKFDWYVFPNANPDGYAYTFQVNRLWRKTRKAYGPFCYGADPNRNWDFHWAEQGTSNNACSDTYHGSEAFSEVETRSLAAFVEKLRGKLGAYIAFHSYSQLLLFPYGHTGEHSPNHQDLNEIAEATVKSLAKRYGTQYKYGNVYDAIYPASGSSVDWSYGAQDVKIAYTYELRPDGDAWNGFVLPPNEIVPTGEETLDSLITLLEESSARGYYDEKH", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKISFVLLLTLFICSIGWSEARPTDIKCSASYQCFPVCKSRFGKTNGRCVNGLCDCF", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "GRDSCVNKSRCAKYGYYSQCEVCCKKAGHKGGTCDFFKCKCKV", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVAFTSLLAGFAAIAGVLSAPTESSVEVEKRQTIGPGTGYSNGYYYSYWNDGHAGVTYTNGGGGSFTVNWSNSGNFVGGKGWQPGTKNKVINFSGSYNPNGNSYLSIYGWSRNPLIEYYIVENFGTYNPSTGATKLGEVTSDGSVYDIYRTQRVNQPSIIGTATFYQYWSVRRNHRSSGSVNTANHFNAWASHGLTLGTMDYQIVAVEGYFSSGSASITVS", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTIVCHTTATSPISAVTCPPGENLCYRKMWCDAFCSSRGKVVELGCAATCPSKKPYEEVTCCSTDKCNPHPKQRPG", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKTLLLTLVVVTIVCLDLGYTIVCHTTATSPISAVTCPPGENLCYRKMWCDVFCSSRGKVVELGCAATCPSKKPYEEVTCCSTDKCNPHPKQRPG", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGQVTGDLGWRLSLLLLPLLLVQAGSWGFPTDPLSLQELRREFTVSLYLARKLLSEVQGYVHSFAESRLPGVNLDLLPLGYHLPNVSLTFQAWHHLSDSERLCFLATTLRPFPAMLGGLGTQGTWTSSEREQLWAMRLDLRDLHRHLRFQVLAAGFKCSKEEEDKEEEEEEEEEEKKLPLGALGGPNQVSSQVSWPQLLYTYQLLHSLELVLSRAVRDLLLLSLPRRPGSAWDS", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MMRAVWEALAALAAVACLVGAVRGGPGLSMFAGQAAQPDPCSDENGHPRRCIPDFVNAAFGKDVRVSSTCGRPPARYCVVSERGEERLRSCHLCNSSDPKKAHPPAFLTDLNNPHNLTCWQSENYLQFPHNVTLTLSLGKKFEVTYVSLQFCSPRPESMAIYKSMDYGRTWVPFQFYSTQCRKMYNRPHRAPITKQNEQEAVCTDSHTDMRPLSGGLIAFSTLDGRPSAHDFDNSPVLQDWVTATDIRVAFSRLHTFGDENEDDSELARDSYYYAVSDLQVGGRCKCNGHAARCVRDRDDSLVCDCRHNTAGPECDRCKPFHYDRPWQRATAREANECVACNCNLHARRCRFNMELYKLSGRKSGGVCLNCRHNTAGRHCHYCKEGFYRDMGKPITHRKACKACDCHPVGAAGKTCNQTTGQCPCKDGVTGITCNRCAKGYQQSRSPIAPCIKIPVAPPTTAASSVEEPEDCDSYCKASKGKLKMNMKKYCRKDYAVQIHILKADKAGDWWKFTVNIISVYKQGTSRIRRGDQSLWIRSRDIACKCPKIKPLKKYLLLGNAEDSPDQSGIVADKSSLVIQWRDTWARRLRKFQQREKKGKCKKA", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASMQHFSLAALLLAASICLGDADRTECQLPLDKGTPCTQEGGVKPSVAWWHDDKSGICLSFKYTGCGGNANRFTTIKNCEQHCKMPDRGACALGKKPAEDSNGEQLVCAGMREDKCPNGYQCKMMAFMGLCCPTKEEELFAREYEGVCKSGKPVKMDRGSGWMMTILGKSCDDQFCPEDAKCERGKLFANCCK", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MACIYPTTFYTSLPTKSLNMGISLTTILILSVAVLLSTAAPPSCRECYQSLHYRGEMQQYFTYHTHIERSCYGNLIEECVESGKSYYKVKNLGVCGSRNGAICPRGKQWLCFTKIGQWGVNTQVLEDIKREQIIAKAKASKPTTPPENRPRHFHSFIQKL", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKVLTTALLLVTLQCSHALSPTNCDASKPLAEKVLDLINKGRRSGYTFQLLRVSDAHLDRVETATIYYLVLDVVESDCWVLSTKAQDECLPAMRTSEVVIGQCKVIATRYSNESQDLSVNGYNCTMRSVSSAYINTKDSPVLVDSFEDSEPYRKLARKALDKYKAENGDFASFRVERAERVIRMRGGERTSYFIEFSVRNCSTQHFPRHPPVFGLCRVVLTYSTEASDLETPEYTDLICEVFNTEDLKNRSDMKPHRGHEHPHCDKHLCKLSGPRDHHHTHKTHEIGCPPPPEGKDNSDRPPLQEGALPQMLPGHSGPSGTNRSHRPPHNHSCNEHPCHGQHPHGHHPHGQHPHGHHPHGQHPHGHHPHGQHPHGHHPHGQHPHGHHPHGHHPHGDHPHGHHPHGHDFLDYGPCDPPSNSQELKGQYHRGHGPPHGHSRKRGPGKGLFPFHQRQIGYVYRLPPLNVGEVLTPPEANFPIFSLPNCNRPPQPEIRPFPQTASKSCPGKFEGKFPQVSNFFEHTPPK", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLKMGVLLFTFLVLFPLTTLELDTDRPVERHAAIKQDLKPQERRGIRLHAPRDECCEPQWCDGACDCCS", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKCTLRWTITALVLLGICHLARPAYHKKCGRYSYCWIPYDIERDRRDNGGKKCCFCRNAWSPWQCKEDERYEWLRCGHKFYYMCCYTDDDNGNGDGNGNGFNYLKSLYGGYGNGNGEFWEEYIDERYDK", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKISFLLLLALVICSIGWSEAQFTDVKCTGTKQCWPVCKKMFGRPNGKCMNGKCRCYP", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "DCPSDWSPYGQYCYKFFQQKMNWADAERFCSEQAKGGHLVSFQSDGETDFVVNLVTEKIQSSDLYAWIGLRVQNKEKQCSSKWSDGSSVSYENVVGRTVKKCFALEKEQEFFVWINIYCGQQNPFVCKSPPP", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MHASLSSWLLAASLLTQPISVSGQGCPFAKRDGTVDSSLPQKRADAPETTTFGRCAVKSNQAGGGTRSHDWWPCQLRLDVLRQFQPSQNPLGGDFDYAEAFQSLDYEAVKKDIAALMTESQDWWPADFGNYGGLFVRMAWHSAGTYRAMDGRGGGGMGQQRFAPLNSWPDNQNLDKARRLIWPIKQKYGNKISWADLMLLTGNVALENMGFKTLGFGGGRADTWQSDEAVYWGAETTFVPQGNDVRYNNSVDINARADKLEKPLAATHMGLIYVNPEGPNGTPDPAASAKDIREAFGRMGMNDTETVALIAGGHAFGKTHGAVKGSNIGPAPEAADLGMQGLGWHNSVGDGNGPNQMTSGLEVIWTKTPTKWSNGYLESLINNNWTLVESPAGAHQWEAVNGTVDYPDPFDKTKFRKATMLTSDLALINDPEYLKISQRWLEHPEELADAFAKAWFKLLHRDLGPTTRYLGPEVPKESFIWQDPLPAREGDLIDDADVDKLKAAILSTDGLDVSKLASTAMACATTYRNSDKRGGCNGARIALEPQRNWVSNNPTQLSAVLDALKKVQSDFNGSNGNKKVSLADLIVLGGTAAVEKAAKDAGVDIKVPFSAGRVDATQEQTDVTQFSYLEPQADGFRNYGRGTARARTEEIMVDKASQLTLTPPELTVLVGGMRALGANYDGSDVGVFTANKGKLTPDFFVNLVDMNIAWTASGADGESWVGTDRKSRSEKYKGSRADLVFGSHAELRAIAEVYAENGNQEKFVKDFVAAWTKVMNLDRFDLKVKK", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKMFFTVLVTLFVCSMIIGICEGREIPVKCKGSKQCLQSCKEAGMTYGKCMNGKCNCTPKG", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "EDQQNLSQRYIELVVVADHRVFMKYNSDLNIIRKRVHELVNTINGFYRSLNIDVSLTDLEIWSDQDFITVDSSAKNTLNSFGEWREADLLRRKSHDHAQLLTAINFEGKIIGRAYTSSMCNPRKSVGIXKDHSPINLLVGVTMAHELGHNLGMNHDGEKCLRGASLCIMRPGLTPGRSYEFSDDSMGYYQSFLKQYNPQIXNK", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTRMLDLGLFLAGLLTVKGLLQDRDAPDMYDSPVRVQEWRGKKDARQLARHNMEFGFKLLQRLASNSPQGNIFLSPLSISTAFSMLSLGAQNSTLEEIREGFNFKEMSNWDVHAAFHYLLHKLNQETEDTKMNLGNALFMDQKLRPQQRFLNLAKNVYDADMVLTNFQDLENTQKDINRYISQKTHSRIKNMVKSIDPGTVMILTNYIYFRGRWQYEFDPKQTKEEEFFIEKGKTVKVPMMFQRGLYDMAYDSQLSCTILEIPYRGNITATFVLPDNGKLKLLEQGLQADIFAKWKSLLSKRVVDVWVPKLRISSTYNMKKVLSRLGISKIFEENGDLTRISSHRSLKVGEAVHKAELKMDEKGMEGAAGSGAQTLPMETPRHMKLDRPFLMMIYENFMPSMVFLARIYDPSG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MESSRKSYVLMLFLAFVIMNVCSVSGEPKDGEIAGFEMEEARYDACVNACLEHHPNVRECEEACKNPVPP", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "GTCAGQDKPCKETCDCCGERGQCVCEGPCICRQGYFWIAAYKLGNCK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRALPTTATTLLGVLFFPSASRSQYVRDLGTEQWTLSSATLNRTVPAQFPSQVHMDLLREGIIDEPYNDLNDFNLRWIADANWTYTSGKIEGLGEDYESTWLVFDGLDTFASISFCGQFVGATDNQFRQYMFDVSSILKACPEEPTLGIQFGSAPNIVDAIAQDPSSPTWPEGVQITYEYPNRWFMRKEQSDFGWDWGPAFAPAGPWKPGYVVQLKQAAPVYVRNTDLDIYRLGQINYLPPDQTQPWVVNASLDYLGSLPENPSMAIEVKDLQSGEILASRPLTNITVTEGSVTGVTVLEGVDPKLWWPQGLGDQNLYNVTISVTDGGNQSVAEVTKRTGFRTIFLNQRNITDAQLAQGIAPGANWHFEVNGHEFYAKGSNLIPPDCFWTRVTEDTMTRLFDAVVAGNQNMLRVWSSGAYLHDYIYDLADEKGILLCSEFQFSDALYPTDDAFLENVAAEVVYNVRRVNHHPSLALWAGGNEIESLMLLLVEAADPESYPFYVGEYEKMYISLFLPLVYENTRSISYSPSSTTEGYLDIDLSAPVPMAERYSNTTEGEYYGDTDHYNYDASIAFDYGTYPVGRFANEFGFHSMPSLQTWQQALTDPADLTFNSSVVMLRNHHYPAGGLMTDNYHNTVARHGRNDPGRAGLLPDAQHSVRPRGQLQRLVPRDPALPGGPLQVTNPVLPAGQRAARTPARVPVLAARGHLAGALVGGDRVRRPLEGPHYVARDIYKPVIVSPFWNYTTGALDIYVTSDLWTAAAGSVTLTWRDLSGKPIASNGGLPTKPLPFHVGALNSTRLYRMNMKQQPLPRHEDAILALELTATGSLPNTDEEVTFTHEQWFTPAFPKDLDLVNLRVRVEYDAPLGKFAVEATAGVALYTWLEHPEGVVGYFEENSFVVVPGQKKVVGFVVQADETDGEWVHDVTVRSLWDLNEGE", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "SLLQFREMITKMTGKEPIFFYAFYGCYCGLGGRGKPQDATDRCCFVHDCCYGKVTGCDPKKDIYTYSEENGAFVCGGDDPCKKEICECDKNAAICFRNDLDTYDYKYLLYSPENCQEESEPC", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKPSFLHIPAAALLLCSLWILPIHCCNKALCASDVSKCLIQELCQCRPTDGNCSCCKECMLCLGTLWDECCDCVGMCNPRNYSDTPPTSKSTVEELHEPIPSLFRALTEGDTQLNWNIVTFPVVEELSHHENLVSFLETVNQPQQQNVSVQVSHSNEKEHMCTVVYFDDCMSIHQCKVSCESMGASKYRWFHNACCECVGPECIDYGSKTVKCVNCMV", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVLITVLANLLILQLSYAQKSSKLVFGGDECNINEHRSLVVLFNSSGFLCAGTLINKEWVLTAAHCDSENFQMQLGVHSKKVPNKDEETRDPKEKFICPNRKKNDEKDKDIMLIRLNRPVSNSEHIALLSLPSSPPSVGSVCRIMGWGTISPTKEIYPDVPHCADINILDHAVCRAAYSGWLATSTTLCAGILEGGKDSCHGDSGGPLICNGQFQGIVSLGRHPCGHPDEPGVYTKVFDYTDWIQSIIAGNTDAACPP", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "EEDIIITTKNGKVRGMNLPVLGGTVTAFLGIPYAQPPLGRLRFKKPQSLTKWSNIWNATKYANSCYQNTDQSFPGFLGSEMWNPNTELSEDCLYLNVWIPAPKPKNATVMIWIYGGGFQTGTSSLPVYDGKFLARVERVIVVSMNYRVGALGFLALSENPEAPGNMGLFDQQLALQWVQKNIAAFGGNPRSVTLFGESAGAASVSLHLLSPRSQPLFTRAILQSGSSNAPWAVTSLYEARNRTLTLAKRMGCSRDNETEMIKCLRDKDPQEILLNEVFVVPYDTLLSVNFGPTVDGDFLTDMPDTLLQLGQFKRTQILVGVNKDEGTAFLVYGAPGFSKDNNSIITRKEFQEGLKIFFPRVSEFGRESILFHYMDWLDDQRAENYREALDDVVGDYNIICPALEFTRKFSELGNDAFFYYFEHRSTKLPWPEWMGVMHGYEIEFVFGLPLERRVNYTRAEEILSRSIMKRWANFAKYGNPNGTQNNSTRWPVFKSTEQKYLTLNTESPKVYTKLRAQQCRFWTLFFPKVLELTGNIDEAEREWKAGFHRWNNYMMDWKNQFNDYTSKKESCSDF", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFVSRLAASGLLLLALLAVSLDGKPVQQWSHKGWPPRPQIPPLVVQQWSQKPWPPGHHIPPVVVQEWPPGHHIPPLVVQQWSQKKWPPGHHIPPLVVQKWDPPPISPPLLKPHESPAGGTTALREELSLGPEAALDTPPAGPDVGPRGSKAPAAPHRLPKSKGASATSAASRPMRDLRTDGKQARQNWGRMMNPDHHAVGGGGGGGGARRLKGLAKKRVGDGCFGLKLDRIGSMSGLGC", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLQTVFLTMLTLALVKSQVTEETITYTQCTDGYEWDPVRQQCKDIDECDIVPDACKGGMKCVNHYGGYLCLPKTAQIIVNNEQPQQETPAAEASSGAATGTIAARSMATSGVIPGGGFIASATAVAGPEVQTGRNNFVIRRNPADPQRIPSNPSHRIQCAAGYEQSEHNVCQDIDECTSGTHNCRLDQVCINLRGSFTCHCLPGYQKRGEQCVDIDECSVPPYCHQGCVNTPGSFYCQCNPGFQLAANNYTCVDINECDASNQCAQQCYNILGSFICQCNQGYELSSDRLNCEDIDECRTSSYLCQYQCVNEPGKFSCMCPQGYQVVRSRTCQDINECETTNECREDEMCWNYHGGFRCYPQNPCQDPYVLTSENRCVCPVSNTMCRDVPQSIVYKYMNIRSDRSVPSDIFQIQATTIYANTINTFRIKSGNENGEFYLRQTSPVSAMLVLVKSLTGPREHIVGLEMLTVSSIGTFRTSSVLRLTIIVGPFSF", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNIFFMFSLLFLATLGSCADDKNPLEECFREADYEEFLEIAKNGLKKTSNPKDIVVVGAGMSGLSAAYVLAGAGHKVTVLEASQLVGGRVRTHRNAKEGWYANLGPMRIPEKHRIVREYIRKFGLELNEFVQETDNGWYFVKNIRKRVGEVKKDPGLLKYPVKPSEAGKSAGQLYQEALGKAVEELKRTNCSYMLNKYDTYSTKEYLIKEGNLSTGAVDMIGDLMNEDSGYYVSFVESMKHDDIFAYEKRFDEIVGGMDQLPTSMYRAIEKSVLFKARVTKIQQNAEKVRVTYQTAAKTLSDVTADYVIVCTTSRAARRINFKPPLPPKKAHALRSVHYRSATKIFLTCTKKFWEDDGIQGGKSTTDLPSRFIYYPNHNFTSGVGVIIAYGIGDDSNFFLSLTLNECADIVFSDLSSIHQLPKNDIQKFCNPSVIQKWSLDRYAMGAITTFTPYQFQDYSKALTAPAGRVYFAGEYTANAHGWIDSTIKSGLTAARDVNQASEL", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "ATCAGQDKPCKVNCDCCGERGECVCGGPCICRQGNVFIAWSKLMTCK", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRALWIVAVWLIGVEGSVVELGKMIIQETGKSPFPSYTSYGCFCGGGEKGTPKDATDRCCFVHSCCYDKLPDCSPKTDRYKYQRENGEIICENSTSCKKRICECDKAVAVCLRENLQTYNKKYTYYPNFLCKGEPEKC", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "GGCIKWNHSCQTTTLKCCGKCVVCYCHTPWGTNCRCDRTRLFCTED", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKAVIFFCLLSVMVFTVIEAVKEEGTKPAEAARECAAKNKRCADWAGPWCCEGLYCSCRSYPGCMCRPNSG", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKMKNFLSKSLLVLLIGLIGVKSADLSDCFSSRSTWYEAIQHGNCGYEQLTGKLGPGNLMIAAAATALYNGSFACGECYEIYGPGGTGKVMIVDQCPDPGWCDTPFPHLDLSPTAFNTTIGSTVGVAMTTVKKVSCDVTGNIKAYMKDAATTNTWFEFMVFNHRVGIASISVEDSKGTITSLPRRLYNYWTYNGNAASFPVIAHVYSIYGDQVDIYLTSSAGAQLYEGVGQFADPATTFADDCTAPFPVDTDGYIYDNGLVKPLNYNHPNLGWSDWSNGVTVNWADSSTPGADSTSKVVASGTLAYNTGIQIGTDLPVEWEGRFTALEFYIKADKDFTGLVVEYNGASKSQTPSLTTTWTKYTYDLTKDLGAPASLGKPAALKFRNNGSGSVKVYLDKIRLTPVASS", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRTIISLLLLSAMVFAVIEAISLEEGLQLFEGERGCVGENQQCADWAGPHCCSGYYCTCRYFPKCICRKDSGK", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRAIISLLLISTMVFGVIEAVSVEEGLKIFEGERGGCVGESQQCADWSGPYCCKGYYCTCRYFPKCICVNDNGK", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MIFHQFYSILILCLIFPNQVVQSDKERQDWIPSDYGGYMNPAGRSDEERQDWIPSDYGGHMNPAGRSDEERQDWIPSDYGGHMNPAGRSNEERQDWIPSDYGGYMNPAGRSDEERQDWIPSDYGGHMNPAGRSNEERQDWIPSDYGGYMNPAGRSDEERQDWIPSDYGGHMNPAGRSDEERQDWIPSDYGGYMNPAGRSD", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "KDRPKKPGLCPPRPQKPCVKECKNDDSCPGQQKCCNYGCKDECRDPIFVG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MHGLLLAGLLALPLNVLAHPTESHSSGISRRAIDITSYRLPQISKYTKSDAVPKQDDESFTTSSTGDDNVSSGDYVTTATDWLKKTLPKATYRLVNDHYIGDSGIGHVHFRQTAHGIDIDNTDFNVNIGRDGKVFSFGNSFYDGEIPKANPMVKRDFSDPVNALQVAIQTLNLPVTAKPENVKAKPVEGKENFKFEGTSGAFSDPKAQLVYLQKDGGLVPSWKVETDIGDNWLLTYVDANKNDKVHSVVDYVSAAEYKVYPWGINDPTEGNRTSIHLPWFKTLSTDWHIDGKGWYSTTRGNNAIAQENPTGGPEYENNYRPKSPLFIFKYPYSEAMTPPSSYRDASITQLFYTTNVYHDVLYILGFNEKAGNFQVNNWNKGGVGGDFAILNSQDGSGVNNANFATPPDGQPGRMRMYTWNASTPERDGCFEAGIVIHEYTHGVSNRLTGGPANSRCLAALESGGMGEGWSDFFATAIRLKAGDTRATDYTMGEWASNRPNGIRKYRYSTNLTTNPHMYVDADGLTSVHAIGTIWASMLYELLWNLIDKHGKGDVTKVRPVLKNGVPTDGRHLAMKLVLDGMALQPCLPNFVQARDAILDADKVLTQGSNKCEIWKAFAKRGLGVGAVFNPSKRTGSNELPAGC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLWIWVPGILGLFGRVEALWPQPSEYSHGNKTLWLSPSVRFTYTNNQRSFIYTRPSYAGINWIPGGWLNLLQNPWGSAEQTVAEPLPNVEQFVEDAIKRTKHAIINSKFVPWKFHPRHQKFEPLVDGQHPTIEEVIINEASKTSQEWSPRNYVNGDEKYEIRISEDGEVQISSRSPIGTIRALQTFQQLFYSHSHSKSYTPFAPISISDSPKWRHRGLNLDISRNVIRPEDVKRTIDAMASVKLNRLHAHAADSQSWPLDIPSIPELAAKASYHPSQVWSSSELEAVQLYGLERGVSVFLEIDLPGHTAAVGHAFPDLVAAYHMDQWEKYAAEPPSGQIKLNSSAVYQFLDLLMADLIPRVSPLTEYFHTGGDEFNLNTYLLEINLGSNDRRVLTPFLDRMITHVHSSLRSSGVTPIVWEELVLDWDLNLPSHKTAGETGGVIVQAWRNSSAVKHVLQKGYQTIFGTGDAWYLDCGVGTFLNPRPGSKAVQNPYLDWCAPTKNWKHMYVYNPLKDIPVELQSLLVGGETHMWSELVDPVNMDQMIWPRAAAAAEVLWTGPRSPDNIQDASYRLAKWRERVVNDAGIRAAMVQMTYCLMRESGCEL", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "GIFPKIIGKGIKTGIVNGIKSLVKGVGMKVFKAGLNNIGNTGCNEDEC", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKLFLAAIVLCATAATAFPSELAQRAAGDLSKRQSITTSQTGTNNGYYYSFWTNGGGEVTYTNGDNGEYSVTWVDCGDFTSGKGWNPANAQTVTYSGEFNPSGNAYLAVYGWTTDPLVEYYILESYGTYNPSSGLTSLGQVTSDGGTYDIYSTQRVNQPSIEGTSTFNQYWSVRTEKRVGGTVTTANHFAAWKALGLEMGTYNYMIVSTEGYESSGSSTITVS", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKTWYMVVVIGFLATLAQTSLALKEEDCEVCVKTVRRFADSLDDSTKKDYKQIETAFKKFCKAQKNKEHRFCYYLGGLEESATGILNELSKPLSWSMPAEKICEKLKKKDAQICDLRYEKQIDLNSVDLKKLKVRDLKKILNDWDESCDGCLEKGDFIKRIEELKPKYSRSEL", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRGLSYFVLALSAIDAAAAASLPFLRSLQHVGRRDPAARNLPNYDFSAIPPVEKRQTASRLNGKTKKYAVDGTKIPEVQFDIGESYAGLMPISTRKDESRELYFWYFPSENPAAKDEITIWLNGGPGCSSLEGLLQENGPFLWQYGTLYPVPNPWSWTKLTNMVWVEQYNPTDDNPSRPVGTGFSQGKPSVRSQEDVATQFLGFFRNFVDTFDLHGKKIYIVGESYAGLYVPYIAHAMFEKKNKRYFNVESTMIFDPSINKDEILTQVPAVPFVEHWKGLFPFNETFTKQIHDMADKCGYSSYMKEHLVYPPKGKLPALPKATPECDVWTAIFDAVSLVNPCFDVYQVATTCPLLYDVLGYPGSFEYLPAGANVYFNRTDVQKAINAPLQKWTECSERPVFVDGKDNSEPSSFTIIPDVIEKSPRTIIAHGDLDYVLISNGTLLSIQNMTWGGAQGFSQEPSKPLFVPYHDRGSLSTLSGAGVLGRHHTERKLTYVELYLTGHMGPQYNPSASYRILEYLLGRIDDLSK", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MQTSFVALLAVAASLASALPHGGNSYEASLPEPTNLPEPTKLPEPVEGPYKPKPPILPEPIKDNYKPKTPILPEHVEGPYKPKLPEPTTGDPKNNTLPVPTCVDGKIKTHKVKSGESLTTIAEKYDTGICNIAKLNNLADPNFVDLNQDLQIPTDACEKDNTSCIKPDGTATCVKDGKKDGKDIYSVVSGDTLTSIAQALQITLQSLKDANPGVVPEHLNVGQKLNVPVC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKLIFIFLTLLIFVSSCTSILIKESSEEERIYPFNPVASPFDPRSLNQILKIGKIGYCFDCARACMRRDRYIRTCSFERKLCRCSYSHIHHTHG", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKLPIIFLTLLIFVSSCTSTLINGSSDEERTYSFSPTTSPFDPRSLNQELKIGRIGYCFDCARACMRRGKYIRTCSFERKLCRCSISDIK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSRAPTLAAAAAVAAVVLICSSSTATAADGNARQPPLAPGLSFDFYKRSCPKAESIVRSFVQDAVRRDVGLAAGLLRLHFHDCFVQGCDASVLLDGSATGPGEQQAPPNLTLRPTAFKAINDIHDRLHKECGGTVVSCSDVLALAARDSVVVSGGPSYKVPLGRRDSASFATQQDVLSGLPPPTAAVPALLAVLSKINLDATDLVALSGGHTIGLGHCTSFEDRLFPRPDPTLNATFAGQLRRTCPAKGTDRRTPLDVRTPNAFDNKYYVNLVNREGLFTSDQDLFSNARTRALVDKFARSQRDFFDQFAFSVVKMGQIKVLTGTQGQIRTNCSARNAAGTTMLPWSVSVVEEAADESLGVF", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGFLACALLVVATAHAATAIVNPETCEIGSNFKNYCNNCYCFDGVMDHALCTRESCDRNVWNEDGTRKFPKPGKWISEKENKKNDEPCTPGENFKYYCNDCQCLDGLRAHAMCTRMRCDRNVFNEDGTRKYPEPEKWNSEKERKKSDESCAPGASFKYYCNSCTCGAEGKVAEAQCTSQECDRYKWKKDGSKRPFTLDPVLHD", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKSVILTGLLFVLLCVDHMTASQSVVATQLIPINTALTPAMMEGKVTNPIGIPFAEMSQIVGKQVNTPVAKGQTLMPNMVKTYVAGK", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKSQACLKVCLALIGLVSIVSTAYIANDVVSDYAEVKELLAAFYRKHAKKYGHDYDPAAIQAIAENMDKSVKNDKTEATVNRKLWNEVFENDIILTLPQAESLLSESNSPRSRRQAHPDPRNFWPNLTISYEFYGGEETWRQLIRSAIRHVEQNVCFKFKENGGDRDGLRYYRGNGCWSNVGRVGGRQLVSIGYGCDSLGIVSHETLHALGLWHEQSRDDRDNFISIVADKITRGTEGNFAKRTAANSDNLGQPYDLGSVMHYGAKSFAYDWSSDTIKTRDWRYQNTIGQRDGLSFKDAKMINTRYCSNVCQRSLPCLNEGYTDPNNCGRCRCPSGYGGTYCETVEYTSCGGSLTASSSYKKIESGIVQPDANCVWRIRNPGGNVEVMFDQVNFQCADPCQSYVEVKYLSQKTSTGARLCCSLPSVIRSEGDDVIIILRGTPNTAVGWRGFTLKYRAIGGTPITPATVRPTYATTTRPYWTRTASGWIHIKNPPLYKPDGQIYTSDEQSAETKYSSEELYDPSTFLSPSSSSASPALLLPSDASPQRPSAQEHDLSQLSQNALTRPTPTTTVAPDTASWSAWGEWSACSQPCGGCGTKTRVRACYGGNQVCPGSNLDRESCNAHACAKPKKGMICNGRLLLPCDLLAKLNFGSNNYLNPKLKQSGFARSSTLPLPRISQRKPVFVNELEVHPPTERFLSSSTRRVKRQTANRFCEKRFIYQCPTALLTIQMEYKPDTQGTNDAYFQQYPECCSGYTPRRGVCYKN", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKALIAALLLITLQYSCAVSPTDCSAVEPEAEKALDLINKRRRDGYLFQLLRIADAHLDRVENTTVYYLVLDVQESDCSVLSRKYWNDCEPPDSRRPSEIVIGQCKVIATRHSHESQDLRVIDFNCTTSSVSSALANTKDSPVLIDFFEDTERYRKQANKALEKYKEENDDFASFRVDRIERVARVRGGEGTGYFVDFSVRNCPRHHFPRHPNVFGFCRADLFYDVEALDLESPKNLVINCEVFDPQEHENINGVPPHLGHPFHWGGHERSSTTKPPFKPHGSRDHHHPHKPHEHGPPPPPDERDHSHGPPLPQGPPPLLPMSCSSCQHATFGTNGAQRHSHNNNSSDLHPHKHHSHEQHPHGHHPHAHHPHEHDTHRQHPHGHHPHGHHPHGHHPHGHHPHGHHPHCHDFQDYGPCDPPPHNQGHCCHGHGPPPGHLRRRGPGKGPRPFHCRQIGSVYRLPPLRKGEVLPLPEANFPSFPLPHHKHPLKPDNQPFPQSVSESCPGKFKSGFPQVSMFFTHTFPK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "NDYCKIKCSSGVHTVCQYGESTKPSKNCAGKVIKSVGPTEEEKKLIVEEHNRFRQKVAQGLETRGNPGPQPAASNMNNLVWNDEQAKIAQVWASQCQILVHDKCRNTEKYQVGQNIAYAGSSNHFPSVTKLIQLWENEVKDFNYNTGITNKNFGKVGHYTQMVWGNTKEVGCGSLKYVEKNMKIHYLICNYGPAGNYLGQPIYTKK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKTHLLLWGVLAIFVKAVLVTGDDEATILADNKCMCTRVTSRIIPSTEDPNEDIVERNIRIVVPLNNRENISDPTSPLRRNFVYHLSDVCKKCDPVEVELEDQVVTATQSNICNEDDGVPETCYMYDRNKCYTTMVPLRYHGETKMVQAALTPDSCYPD", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKLATAFTILTAVLAAPLAAPAPAPDAAPAAVPEGPAAAAYSSILSVVAKQSKKFKHHKRDLDEKDQFIVVFDSSATVDQIASEIQKLDSLVDEDSSNGITSALDLPVYTDGSGFLGFVGKFNSTIVDKLKESSVLTVEPDTIVSLPEIPASSNAKRAIQTTPVTQWGLSRISHKKAQTGNYAYVRETVGKHPTVSYVVDSGIRTTHSEFGGRAVWGANFADTQNADLLGHGTHVAGTVGGKTYGVDANTKLVAVKVFAGRSAALSVINQGFTWALNDYISKRDTLPRGVLNFSGGGPKSASQDALWSRATQEGLLVAIAAGNDAVDACNDSPGNIGGSTSGIITVGSIDSSDKISVWSGGQGSNYGTCVDVFAPGSDIISASYQSDSGTLVYSGTSMACPHVAGLASYYLSINDEVLTPAQVEALITESNTGVLPTTNLKGSPNAVAYNGVGI", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGKNKLLHPSLVLLLLVLLPTDASVSGKPQYMVLVPSLLHTETTEKGCVLLSYLNETVTVSASLESVRGNRSLFTDLEAENDVLHCVAFAVPKSSSNEEVMFLTVQVKGPTQEFKKRTTVMVKNEDSLVFVQTDKSIYKPGQTVKFRVVSMDENFHPLNELIPLVYIQDPKGNRIAQWQSFQLEGGLKQFSFPLSSEPFQGSYKVVVQKKSGGRTEHPFTVEEFVLPKFEVQVTVPKIITILEEEMNVSVCGLYTYGKPVPGHVTVSICRKYSDASDCHGEDSQAFCEKFSGQLNSHGCFYQQVKTKVFQLKRKEYEMKLHTEAQIQEEGTVVELTGRQSSEITRTITKLSFVKVDSHFRQGIPFFGQVRLVDGKGVPIPNKVIFIRGNEANYYSNATTDEHGLVQFSINTTNVMGTSLTVRVNYKDRSPCYGYQWVSEEHEEAHHTAYLVFSPSKSFVHLEPMSHELPCGHTQTVQAHYILNGGTLLGLKKLSFYYLIMAKGGIVRTGTHGLLVKQEDMKGHFSISIPVKSDIAPVARLLIYAVLPTGDVIGDSAKYDVENCLANKVDLSFSPSQSLPASHAHLRVTAAPQSVCALRAVDQSVLLMKPDAELSASSVYNLLPEKDLTGFPGPLNDQDNEDCINRHNVYINGITYTPVSSTNEKDMYSFLEDMGLKAFTNSKIRKPKMCPQLQQYEMHGPEGLRVGFYESDVMGRGHARLVHVEEPHTETVRKYFPETWIWDLVVVNSAGVAEVGVTVPDTITEWKAGAFCLSEDAGLGISSTASLRAFQPFFVELTMPYSVIRGEAFTLKATVLNYLPKCIRVSVQLEASPAFLAVPVEKEQAPHCICANGRQTVSWAVTPKSLGNVNFTVSAEALESQELCGTEVPSVPEHGRKDTVIKPLLVEPEGLEKETTFNSLLCPSGGEVSEELSLKLPPNVVEESARASVSVLGDILGSAMQNTQNLLQMPYGCGEQNMVLFAPNIYVLDYLNETQQLTPEIKSKAIGYLNTGYQRQLNYKHYDGSYSTFGERYGRNQGNTWLTAFVLKTFAQARAYIFIDEAHITQALIWLSQRQKDNGCFRSSGSLLNNAIKGGVEDEVTLSAYITIALLEIPLTVTHPVVRNALFCLESAWKTAQEGDHGSHVYTKALLAYAFALAGNQDKRKEVLKSLNEEAVKKDNSVHWERPQKPKAPVGHFYEPQAPSAEVEMTSYVLLAYLTAQPAPTSEDLTSATNIVKWITKQQNAQGGFSSTQDTVVALHALSKYGAATFTRTGKAAQVTIQSSGTFSSKFQVDNNNRLLLQQVSLPELPGEYSMKVTGEGCVYLQTSLKYNILPEKEEFPFALGVQTLPQTCDEPKAHTSFQISLSVSYTGSRSASNMAIVDVKMVSGFIPLKPTVKMLERSNHVSRTEVSSNHVLIYLDKVSNQTLSLFFTVLQDVPVRDLKPAIVKVYDYYETDEFAIAEYNAPCSKDLGNA", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPAPGQGPRGPLLSMPGRRGALREPADFGSSLGAVLALLLLLLPACCPVRAQNDTEPIVLEGKCLVVCDSSPSGDGAVTSSLGISVRSGSAKVAFSATRSTNHEPSEMSNRTMTIYFDQVLVNIGNHFDLASSIFVAPRKGIYSFSFHVVKVYNRQTIQVSLMQNGYPVISAFAGDQDVTREAASNGVLLLMEREDKVHLKLERGNLMGGWKYSTFSGFLVFPL", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MFVSRLAASGLLLLALLAVSLDGKPLQQWSQRWPHLEIPPLVVQNWKSPTQLQARESPAGGTTALREELSLGPEAALDTPPAGPDGGPRGSKAAAAAPQRLSKSKGASATSAASRDLRTDGKQARQNWGRLVSPDHHSAAGGGCGGGGGARRLKGLAKKRAGNGCFGLKLDRIGSMSGLGC", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNFKYIVAVSFLIASAYARSVQNDEQSLSQRDVLEEESLREIRSIGAKILGGVKTFFKGALKELASTYLQRKRTAEEQHEVMKRLEAVMRDLDSLDHPEEASEREIRGFNQEEIANLFTKKEKRILGPVISKIGGVLGGLLKNLG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNFKYIIAVSFFIASAYARSEEKDVQSLSQRDVLEEESLREIRGIGGVLLSAGKAALKGLAKVLAEKYANGKRTAEDHEVMKRLEAVMRDLDSLDHPEEASERETRGFNQEEIANLFTKKEKRILGPVLGLVGNALGGLIKKIG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNFKYIVAVSFLIASAYARSEENDEQSLSQRDVLEEESLREIRGIGTKILGGVKTALKGALKELASTYVNGKRTAEDHEVMKRLEAVMRDLDSLDYPEEAAERETRGFNQEEIANLFTKKEKRILGPVISTIGGVLGGLLKNLG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNFKYIVAVSFLLASAYARSEENDEQSLSQRDVLEEESLREIRGIGTKILGGVKTALKGALKELASTYANGKRTAEEHEVMKRLEAVMRDLDSLDYPEEAAERETRSFNQEEIANLFTKKEKRILGPVISTIGGVLGGLLKNLG", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKIYFLLGTFLTFLLHTRICQGIKWLALSKTPLSLPLNQTQHCKQLEGLVSSQMQLCRSNLELMQTIIHAAKEVKKTCVKAFTDMRWNCSSIELAPTFHQDLERGTRESAFVHALSAAAISHTIARACTTGDIPGCSCAPIPGESPGPGYRWGGCADNLNYGILMGSKFSDAPMKMKKSGSQANKLMHLHNSEVGRQVLKASLEMKCKCHGVSGSCSIKTCWRGLQELREIALDLKTKYLSATKVVHRPMGTRKHLVPKDIDIRPVQETELIYLQSSPDYCLKNEKIGSHGTHERQCNKTSNGSDSCDLMCCGRGYNPYMDKVVERCLCKYHWCCYVTCKKCERTVERYVCK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MFVQLLTYGLVAASTLQGVFASTKLPILDLPYGRWRAAKYDEAADDGSYGPPCIPGPDAPGFEDPSYKRQQKAAREDCLFLDAYVPGNALRNRGHRKLPVIVWVYGGGYSLGSKDLAIEEGIYDGNSLVQRAAGNAIVITFNYRLSALGWLAGTTMENEGLPNAGLHDQRAVFEWVRDYVHLLGGDRDKVSAWGESAGGGSILSHITANQGIVDPLFKRAVVMSPGLDFPIDRKGSVENQFKAFASRAGCAGQGLACLRAANISQLIEASYKDLGQIGPTPDGRVLKHVFSVDIAQGNYWRHLDSLIISHVYDEGGPFVGNDSTLESLSGFLKSNFPTYATEAVSTLEDYYHLKAPSNESVRAIGSRLIRDAIFTCNIRDILRKYSKKSYLMQYSPKEATHGQDVFALWYSPKLWNVSIPLFSGYQSYFLSHAITGDPNTLRDRDISPPTIAWPKVGDINAEKLENTLDVVDTGYKLISDNQVLKSTCDLWQKLLLDVTKQGGYLDI", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKTLLLTLVVVTIVCLDLGYTLKCYVGRKPYKLITCPEGSKKCATVPLPTRPLPIFSKGCYTSCPSQYVKCCSTDLCNGSPTSG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDFGHTLICYNYETPLDKTTECCGNGVTTCFAKSWRDHRGLRTDRGCGCPNVKPGVTINCCKTDRCNG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKTLLLTLVVVTILCLDFGHTVTCHKTDVFTKTCISPICYEKITSAFIIERGCGCPETSRKVKVRCCMTDKCNR", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRTLLLTLVVVTIVCLDLGNSLICYVSEYGAKMTCPEGKTLCEKYAVPLMQGHFYFAWRCTSTCKAGAYNICCSTDLCNKIP", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRTLLLTLVVVTIVCLDLGNSLICYVTRDGKTATCPPGQKCEKYAVSASHTGHWFHRWHCTSTCHEGPYNVCCSTDFCNR", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKLQGSLACLLLALCLGGGAANPLHSGGEGTGASAAHGAGDAISHGIGEAVGQGAKEAASSGIQNALGQGHGEEGGSTLMGSRGDVFEHRLGEAARSLGNAGNEIGRQAEDIIRQGVDAVHNAGSWGTSGGHGAYGSQGGAGVQGNPGPQGTPWASGGNYGTNSLGGSVGQGGNGGPLDYETNAQGAVAQPGYGTVRGNNQNSGCTNPPPSGSHESFSNSGGSSNDGSRGSQGSHGSNGQGSSGRGGGQGNSDNNGSSSSSSGSNSGNSNSGNSGNSNSGNSGNSGSGSRDIETSNFDEGYSVSRGTGSRGGSGGSGGSGGSGGSGGSGGGNKPECNNPGNDVRMAGGSGSQGHGSNGGNIQKEAVNGLNTMNSDASTLPFNIDNFWENLKSKTRFINWDAINKGHAPSPSTRALLYFRKLWENFKRSTPFFNWKQIEGSDLSSLQKRAGGADQFSKPEARQDLSADSSKNYYNNQQVNPTYNWQYYTKTTAKAGVTPSSSSASRAQPGLLKWLKFW", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MHLLVYLSLFFALALASVTEISLDNKHRHRHEQQGHHDSAKHGHQKDKQQQEQIKNDEGKLTKEEKILSEENSDFSVNLFNQLSTESKRSPRKNIFFSPISISAAFYMLALGAKSETHQQILKGLSFNKKKLSESQVHEAFKRLIEDSNNPMKAHQFTIGNALFVEQTVNILKGFEENVKHYYQAGVFPMNFKDPDNAKKQLNNYVKDKTHGVIQEMIRELDSNTEMVLVNYVLYKGEWANNFNPTLTQKSLFSVDKNTNVTVQMMNRLGLYRTYQDDDCKIIELPYKNDTAMLLVVPQLGKIQELVLTSKLINHWYESLATSIVDLYMPTFSISGKVVLKDTLRKMGISDIFTDKADLTGISEQIKLKVSMASHNAVLNVNEFGTEAVGATSAQASPTKLFPPFLIDSPFLVMIYSRTLGSQLFMGKVMDPTNAQ", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "LECHNQQSSQPPTTKTCPGETNCYKKRWRDHRGSITERGCGCPSVKKGIEINCCTTDKCNN", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "LECHNQQSSQPPTTKTCPGETNCYKKRWRDHRGSITERGCGCPSVKKGIEINCCTTDKCNN", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTRLFMLVCLGIVCQGTTGNILRGESLNKSLPILHEWKFFDYDFGSDERRQDAILSGEYDYKNNYPSDIDQWHDKIFVTMLRYNGVPSSLNVISKKVGDGGPLLQPYPDWSFAKYDDCSGIVSASKLAIDKCDRLWVLDSGLVNNTQPMCSPKLLTFDLTTSQLLKQVEIPHDVAVNATTGKGRLSSLAVQSLDCNTNSDTMVYIADEKGEGLIVYHNSDDSFHRLTSNTFDYDPKFTKMTIDGESYTAQDGISGMALSPMTNNLYYSPVASTSLYYVNTEQFRTSDYQQNDIHYEGVQNILDTQSSAKVVSKSGVLFFGLVGDSALGCWNEHRTLERHNIRTVAQSDETLQMIASMKIKEALPHVPIFDRYINREYILVLSNKMQKMVNNDFNFDDVNFRIMNANVNELILNTRCENPDNDRTPFKISIHL", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "VIEPDCKKYEGKKCPPDIALVCGTNGREYYNECALCVFIRDSTLKADKAIKIKKWGKC", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAKLSFTFCFLLFLLLSSIAAGSRPLEGARVGVKVRGLSPSIEATSPTVEDDQAAGSHGKSPERLSPGGPDPQHH", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTCCNQQSSQPKTTTNCAGNSCYKKTWSDHRGTIIERGCGCPQVKSGIKLECCHTNECNN", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTCCNQQSSQPKTTTNCAGNSCYKKTWSDHRGTIIERGCGCPQVKSGIKLECCHTNECNN", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "KEGYAMDHEGCKFSCFIRPAGFCDGYCKTHLKASSGYCAWPACYCYGVPDHIKVWDYATNKC", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "LECHNQQSSQPPTTKTCPGETNCYKKRWRDHRGSITERGCGCPSVKKGIEINCCTTDKCNN", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTMTCCNQQSSQPKTTTNCAESSCYKKTWSDHRGTRIERGCGCPQVKPGIKLECCHTNECNN", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVLLHWCLLWLLFPLSSRTQKLPTRDEELFQMQIRDKAFFHDSSVIPDGAEISSYLFRDTPKRYFFVVEEDNTPLSVTVTPCDAPLEWKLSLQELPEDRSGEGSGDLEPLEQQKQQIINEEGTELFSYKGNDVEYFISSSSPSGLYQLDLLSTEKDTHFKVYATTTPESDQPYPELPYDPRVDVTSLGRTTVTLAWKPSPTASLLKQPIQYCVVINKEHNFKSLCAVEAKLSADDAFMMAPKPGLDFSPFDFAHFGFPSDNSGKERSFQAKPSPKLGRHVYSRPKVDIQKICIGNKNIFTVSDLKPDTQYYFDVFVVNINSNMSTAYVGTFARTKEEAKQKTVELKDGKITDVFVKRKGAKFLRFAPVSSHQKVTFFIHSCLDAVQIQVRRDGKLLLSQNVEGIQQFQLRGKPKAKYLVRLKGNKKGASMLKILATTRPTKQSFPSLPEDTRIKAFDKLRTCSSATVAWLGTQERNKFCIYKKEVDDNYNEDQKKREQNQCLGPDIRKKSEKVLCKYFHSQNLQKAVTTETIKGLQPGKSYLLDVYVIGHGGHSVKYQSKVVKTRKFC", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "ATCDLLSGTGVKHSACAAHCLLRGNRGGYCNGRAICVCRN", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MHRGPSLLLILCALASRVLGPASGLVTEGRAGLDIVHPVRVDAGGSFLSYELWPRVLRKRDVSTTQASSAFYQLQYQGRELLFNLTTNPYLMAPGFVSEIRRHSTLGHAHIQTSVPTCHLLGDVQDPELEGGFAAISACDGLRGVFQLSNEDYFIEPLDGVSAQPGHAQPHVVYKHQGSRKQAQQGDSRPSGTCGMQVPPDLEQQREHWEQQQQKRRQQRSVSKEKWVETLVVADSKMVEYHGQPQVESYVLTIMNMVAGLFHDPSIGNPIHISIVRLIILEDEEKDLKITHHAEETLKNFCRWQKNINIKGDDHPQHHDTAILLTRKDLCASMNQPCETLGLSHVSGLCHPQLSCSVSEDTGMPLAFTVAHELGHSFGIQHDGTGNDCESIGKRPFIMSPQLLYDRGIPLTWSRCSREYITRFLDRGWGLCLDDRPSKDVIALPSVLPGVLYDVNHQCRLQYGSHSAYCEDMDDVCHTLWCSVGTTCHSKLDAAVDGTSCGKNKWCLKGECVPEGFQPEAVDGGWSGWSAWSDCSRSCGVGVRSSERQCTQPVPKNRGKYCVGERKRSQLCNLPACPPDRPSFRHTQCSQFDGMLYKGKLHKWVPVPNDDNPCELHCRPSNSSNTEKLRDAVVDGTPCYQSRISRDICLNGICKNVGCDFVIDSGAEEDRCGVCRGDGSTCQTVSRTFKETEGQGYVDIGLIPAGAREILIEEVAEAANFLALRSEDPDKYFLNGGWTIQWNGDYRVAGTTFTYARKGNWENLTSPGPTSEPVWIQLLFQEKNPGVHYQYTIQRDSHDQVRPPEFSWHYGPWSKCTVTCGTGVQRQSLYCMERQAGVVAEEYCNTLNRPDERQRKCSEEPCPPRWWAGEWQPCSRSCGPEGLSRRAVFCIRSMGLDEQRALELSACEHLPRPLAETPCNRHVICPSTWGVGNWSQCSVTCGAGIRQRSVLCINNTDVPCDEAERPITETFCFLQPCQYPMYIVDTGASGSGSSSPELFNEVDFIPNQLAPRPSPASSPKPVSISNAIDEEELDPPGPVFVDDFYYDYNFINFHEDLSYGSFEEPHPDLVDNGGWTAPPHIRPTESPSDTPVPTAGALGAEAEDIQGSWSPSPLLSEASYSPPGLEQTSINPLANFLTEEDTPMGAPELGFPSLPWPPASVDDMMTPVGPGNPDELLVKEDEQSPPSTPWSDRNKLSTDGNPLGHTSPALPQSPIPTQPSPPSISPTQASPSPDVVEVSTGWNAAWDPVLEADLKPGHGELPSTVEVASPPLLPMATVPGIWGRDSPLEPGTPTFSSPELSSQHLKTLTMPGTLLLTVPTDLRSPGPSGQPQTPNLEGTQSPGLLPTPARETQTNSSKDPEVQPLQPSLEEDGDPADPLPARNASWQVGNWSQCSTTCGLGAIWRLVSCSSGNDEDCTLASRPQPARHCHLRPCAAWRTGNWSKCSRNCGGGSSTRDVQCVDTRDLRPLRPFHCQPGPTKPPNRQLCGTQPCLPWYTSSWRECSEACGGGEQQRLVTCPEPGLCEESLRPNNSRPCNTHPCTQWVVGPWGQCSAPCGGGVQRRLVRCVNTQTGLAEEDSDLCSHEAWPESSRPCATEDCELVEPPRCERDRLSFNFCETLRLLGRCQLPTIRAQCCRSCPPLSRGVPSRGHQRVARR", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MATFQAHFFAAVMCVGVLGLSKLCGADSCEYPDCVFTGLPRSSGVERYILLLNIIEVPDDIQQQCDILIQRAHNCTSQRTGCSRRVEESYDRRFYDGAYVMYLLDLGVYVCGHLSQLLDLKNCFTPKLQESVQSCINAAYNIQCLLDQYDQKNNCPPNTDDYFHTLVNNWLANNPYLGTGADRD", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNLVVYLIQLFLAALLHLSAVKAAHIPKEGGKSKNDVIPFMDVYKKSACKTRELLVDIIQEYPDEIEHTYIPSCVVLMRCAGCCNDEALECVPTETRNVTMEVLRVKQRVSQHNFQLSFTEHTKCECRPKAEVKAKKENHCEPCSERRKRLYVQDPLTCKCSCKFTQMQCKSRQLELNERTCRCEKPR", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKYFVVALTLAVAFVCIEECKTVEIGYAVSEDFDQNEIDNEEARQAFKTFTPDWNKIRNDAKRMQDNLEQMKKRFNLNLEEARQAFQTFKPDWNKIRYDAMKMQTSLGQMKKRFNL", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKYCVVILALLVALVCITESRSTETGYAVAETLEDNDLDELQAYLEEIAEASEMEDFSNIEEARGFFGKMKEYFKKFGASFKRRFANLKKRLG", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKYFVIALALAVALVCIAESTAYEVNEELENELDDLDDAAWLAVAEELQGLEDFEESRGLFGKLIKKFGRKAISYAVKKARGKH", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAMLLQVALPLLAAVSWGWELNENDDSLAKIIEGCEWTSRQNVISEILLDRYRKYAMYNFFLLDDVCAVHEWNKNLKEPEFSENNEAEDKSPTSAQNTQEHIPGNNFPPPAASNPPVNSSCAKSAKDFFICLSNQLGDPTLNAMLLDNLEVACDPRFSPVSAIQKRNSKYVSKQKFYSWGGKRNNPNVFYPWGGKRNTGRVHRQPKVVIRNPFHAWGGKRNQKDDNVF", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKFSIIALALAVAFVCVAESRSEEEGYDVSEEIQAEELEEAARGGINRKLMEMVNKLRKVQGREDSEDAGRAGINRKLMEMVNKLRKVQGREDTEEAGRGGINRKLMEMVNKLRKVQGREDSEEAGRGGINRKLMEMVNKLRKVQGREDTEEARSLKDKVKSMGEKLKQYIQTWKAKFG", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKFSIIALALAVAFVCVAESRSEEEGYDVSEEIQAEELEEAERGGIDRKLMEVVNNLRKVQGREDSEEAGRGGINRKLMEMVNNLRKVQGREDSEEAGRGGINRKLMEMVNNLRKVQGREDSEEAGRGGINRKLMEMVNNLRKVQGREDSEEAGRGGINRKLMEMVNNLRKVQGREDTEEARGLKDKFKSMGEKLKQYIQTWKAKFG", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKYFVVALTLAVAFVCIEECKTVEIGYAVSEDFDQNEIDNDEARQAFKTFTPDWNKIRNDAKRMQDNLEQMKKKFNLNLEEARQAFQTFKPDWNKIRYDAMKMQTSLGQMKKRFNL", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVSIWTIALFLLGAAKAKEVCYEQIGCFSDAEPWAGTAIRPLKVLPWSPERIGTRFLLYTNKNPNNFQTLLPSDPSTIEASNFQTDKKTRFIIHGFIDKGEENWLLDMCKNMFKVEEVNCICVDWKKGSQTSYTQAANNVRVVGAQVAQMLSMLSANYSYSPSQVQLIGHSLGAHVAGEAGSRTPGLGRITGLDPVEASFQGTPEEVRLDPTDADFVDVIHTDAAPLIPFLGFGTSQQMGHLDFFPNGGEEMPGCKKNALSQIVDLDGIWEGTRDFVACNHLRSYKYYSESILNPDGFASYPCASYRAFESNKCFPCPDQGCPQMGHYADKFAVKTSDETQKYFLNTGDSSNFARWRYGVSITLSGKRATGQAKVALFGSKGNTHQFNIFKGILKPGSTHSNEFDAKLDVGTIEKVKFLWNNNVVNPTFPKVGAAKITVQKGEEKTVHSFCSESTVREDVLLTLTPC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNKIIAALVLFTAVIGALADYPAPPPPPPKPYHAPPPPPYHAPPHHAPAPLHPVVHTYPVKAPAAKCGANLLVGCAPSVAHVPCVPVHPHPPPPAHY", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKTLLLAVAVVAFVCLGSADQLGLGRQQIDWGQGQAVGLPHGFCIQCNRKTWSNCSIGHRCLPYHMTCYTLYKPDENGEMKWAVKGCARMCPTAKSGERVKCCTGASCNSD", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTMTCYNQQSSQPQTTTTCAESSCYKKTWRDHRGTIIERGCGCPTVKPGIQRVCCATDKCNN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKTLLLTLVVLTIVCLDLGYTMTCYNQQLSQPQTTTTCAESFCYKKTWSGTIIERGCGCPPMKPPIRLKCCRTEKCNN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTSNNRHLFQATCLVLLLLHAAFHGGALGEKYCDDDFHMAVFRTCAVSKRSQPGMSLSDVLTMNRFRGHNIKRSIDSTLEDNAFFMSGLEKRSEYSGIASYCCLHGCTPSELSVVC", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFTTKKSMLLFFFLGTISLSLCEQERGADEDDGVEMTEEEVKRGIMDTVKNVAKNLAGQLLDKLKCKITAC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFTTKKSMLLLFFLGTISLSLCEEERGADEEEGDGEKLMKRGLFSILKGVGKIALKGLAKNMGKMGLDLVSCKISKEC", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKLLSLLMLAGIAQAIVPPREPRSPTGGGNKLLTYKECVPRATISPRSTSLAWINSEEDGRYISQSDDGALILQNIVTNTNKTLVAADKVPKGYYDYWFKPDLSAVLWATNYTKQYRHSYFANYFILDIKKGSLTPLAQDQAGDIQYAQWSPMNNSIAYVRXNDLYIWNNGKTKRITENGGPDIFNGVPDWVYEEEIFGDRFALWFSPDGEYLAYLRFNETGVPTYTIPYYKNKQKIAPAYPRELEIRYPKVSAKNPTVQFHLLNIASSQETTIPVTAFPENDLVIGEVAWLSSGHDSVAYRAFNRVQDREKIVSVKVESKESKVIRERDGTDGWIDNLLSMSYIGNVNGKEYYVDISDASGWAHIYLYPVDGGKEIALTKGEWEVVAILKVDTKKKLIYFTSTKYHSTTRHVYSVSYDTKVMTPLVNDKEAAYYTASFSAKGGYYILSYQGPNVPYQELYSTKDSKKPLKTITSNDALLEKLKEYKLPKVSFFEIKLPSGETLNVKQRLPPNFNPHKKYPVLFTPYGGPGAQEVSQAWNSLDFKSYITSDPELEYVTWTVDNRGTGYKGRKFRSAVAKRLGFLEAQDQVFAAKEVLKNRWADKDHIGIWGXSYGGFLTAKTLETDSGVFTFGISTAPVSDFRLYDSMYTERYMKTVELNADGYSETAVHKVDGFKNLKGHYLIQHGTGDDNVHFQNAAVLSNTLMNGGVTADKLTTQWFTDSDHGIRYDMDSTYQYKQLSKMVYDQKQRRPESPPMHQWSKRVLAALFGERAEE", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MHFSTITAALALLGLGAATPTDYSTSSYSKNQGLAQAWTSKGRQYIGTALTIRDDPVEQGIIQSRTDFNSITPENAMKWESTEPQRNNFTFAGADAVADFADRYNKEMRCHTLVWHSQLPAWVSQGNFDNKTLISIMENHIKKVAGRYKNKCTHWDVVNEALNEDGTYRSSVFYNTIGEAFIPIAFRFAEKYAGSKTKLYYNDYNLEYGSAKALGAQRILKLVQSYGVQIDGVGLQAHLSSEATASTGGGVTPDVQTLTNVLKLYTDLGVEVAYTELDVRFTTPATDAKLKAQADAYARVVQSCINVKRCVGITVWGVSDKYSWIPGVFPTEGAALLWDENFNKKPAYSSVLKTIQSFRKS", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MFNQTQLFVFLAVFTTSSVLGNNNDVKDGAASGAHSDRLGLWFGPRLGKRSLRISTEDNRQAFFKLLEAADALKYYYDQLPYEMQADEPETRVTKKVIFTPKLGRSLAYDDKSFENVEFTPRLGRRLSDDMPATPADQEMYRQDPEQIDSRTKYFSPRLGRTMNFSPRLGRELSYDMMPNKIRVVRSTNKTRST", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKYFVVALALVAAFACIAESKPAESEHELAEVEEENELADLEDAVWLEHLADLSDLEEARGFFGNTWKKIKGKADKIMLKKAVKIMVKKEGISKEEAQAKVDAMSKKQIRLYLLKHYGKKALQKASEKL", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTLTCLNCPEMFCGKFQICRNGEKICFKKLHQRRPLSWRYIRGCADTCPVGKPYEMIECCSTDKCNR", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKLSLALLGLAASQAVGLAIPDATPETDVPDVLTLTERHHGGSGCPSNTQTVRYNVANDRRSIVIQYENLTARINSKTTPADERTNCQVNLQVAGRNNYQFSVASATYYGSARLDAGVTGRHGSIYYFSGSPDQAFTATGISGPRRGNYRLDDDANKRIWSPCGESYPFNINTDVRMDSDNDNATGEMSREDGADGTVKQVISLDWRRC", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKYFVVALALVAAFACIAESKPAESEHELAEVEEENELADLEDAVWLEHLADLSDLEEARGFFGNTWKKIKGKADKIMLKKAVKIMVKKEGISKEEAQAKVDAMSKKQIRLYLLKYYGKKALQKASEKL", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKYFVVALALVAAFACIAESKPAESEHELAEVEEENELADLEDAVWLEHLADLSDLEEARGFFGNTWKKIKGKADKIMLKKAVKLMVKKEGISKEEAQAKVDAMSKKQIRLYLLKYYGKKALQKASEKL", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKYFVVALALVAAFACIAESKPAESEHELAEVEEENELADLEDAVWLEHLADLSDLEEARGFFGNTWKKIKGKADKIMLKKAVKIMVKKEGISKEEAQAKVDAMSKKQIRLYVLKYYGKKALQKASEKL", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKYFVVALALVAAFACIAESKPAESEHELAEVEEENELADLEDAVWLEHLADLSDLEEARGFFGNTWKKIKGKADKIMLKKAVKIMVKKEGITKEEAQAKVDAMSKKQIRLYLLKYYGKKALQKASEKL", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKYFVVALALVAAFVCIAESKPAESEHELAEVEEENELADLEDAVWLEHLADLSDLEEARGFFGNTWKKIKGKSDKIMLKKAVKIMVKKEGISKEEAQAKVDAMSKKQIRLYLLKYYGKKALQKASEKL", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "DNGYLLDKYTGCKVWCVINNESCNSECKIRRGNYGYCYFWKLACYCEGAPKSELWHYETNKCNGRM", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLSLKTLLCTLLTVSSVLATPVPARDPSSIQFVHEENKKRYYDYDHGSLGEPIRGVNIGGWLLLEPYITPSLFEAFRTNDDNDEGIPVDEYHFCQYLGKDLAKSRLQSHWSTFYQEQDFANIASQGFNLVRIPIGYWAFQTLDDDPYVSGLQESYLDQAIGWARNNSLKVWVDLHGAAGSQNGFDNSGLRDSYKFLEDSNLAVTTNVLNYILKKYSAEEYLDTVIGIELINEPLGPVLDMDKMKNDYLAPAYEYLRNNIKSDQVIIIHDAFQPYNYWDDFMTENDGYWGVTIDHHHYQVFASDQLERSIDEHIKVACEWGTGVLNESHWTVCGEFAAALTDCTKWLNSVGFGARYDGSWVNGDQTSSYIGSCANNDDIAYWSDERKENTRRYVEAQLDAFEMRGGWIIWCYKTESSLEWDAQRLMFNGLFPQPLTDRKYPNQCGTISN", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MWVCLQLPVFLASVTLFEVAASDTIAQAASTTTISDAVSKVKIQVNKAFLDSRTRLKTTLSSEAPTTQQLSEYFKHAKGQTRTAIRNGQVWEESFKRLRRDTTLTNVTDPSLDLTALSWEVGCGAPVPLVKCDENSPYRTITGDCNNRRSPALGAANRALARWLPAEYEDGLALPFGWTQRKTRNGFRVPLAREVSNKIVGYLDEEGVLDQNRSLLFMQWGQIVDHDLDFAPETELGSNEHSKTQCEEYCIQGDNCFPIMFPKNDPKLKTQGKCMPFFRAGFVCPTPPYQSLAREQINAVTSFLDASLVYGSEPSLASRLRNLSSPLGLMAVNQEAWDHGLAYLPFNNKKPSPCEFINTTARVPCFLAGDFRASEQILLATAHTLLLREHNRLARELKKLNPHWNGEKLYQEARKILGAFIQIITFRDYLPIVLGSEMQKWIPPYQGYNNSVDPRISNVFTFAFRFGHMEVPSTVSRLDENYQPWGPEAELPLHTLFFNTWRIIKDGGIDPLTRGLLAKKSKLMNQDKMVTSELRNKLFQPTHKIHGFDLAAINLQRCRDHGMPGYNSWRGFCGLSQPKTLKGLQTVLKNKILAKKLMDLYKTPDNIDIWIGGNAEPMVERGRVGPLLACLLGRQFQQIRDGDRFWWENPGVFTEKQRDSLQKFSFSRLICDNTHITKVPLHAFQANNYPHDFVDCSTVDKLDLSPWASREN", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKHLIVAVVLLSALAICTSAEEEQVNVPFRPEERIGECAGWNDNCDKRSCCDQCHQCRCKFGSNCRCTGTKPSCGKR", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRAWIFFLLCLAGRALAAPQQTEVAEEIVEEETVVEETGVPVGANPVQVEMGEFEDGAEETVEEVVADNPCQNHHCKHGKVCELDESNTPMCVCQDPTSCPAPIGEFEKVCSNDNKTFDSSCHFFATKCTLEGTKKGHKLHLDYIGPCKYIAPCLDSELTEFPLRMRDWLKNVLVTLYERDEGNNLLTEKQKLRVKKIHENEKRLEAGDHPVELLARDFEKNYNMYIFPVHWQFGQLDQHPIDGYLSHTELAPLRAPLIPMEHCTTRFFETCDLDNDKYIALEEWAGCFGIKEQDINKDLVI", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVFGFGKDDDKDKNDRRDNDSYGSSNRRNNDDSYGSSSFGSSNDDDNSYGSSNKRSNDSYGSSNTDSYGSSNRRDNDSYGSSNNDSYGSSKTDSYGSSNRSGNDSYGSSNNDSYGSSNRKGNDSYGSSNTDSYGSSNTDSYGSSNTDSYGSSNKRGNDSYGSSNDDSYGSSNDDSYGSSNRRGNDSYGSSNDDSYGSSNRRGNSDSYGSSDY", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRSWPGLFWLLTLALLADGGRRESQLRIGKAINIFLRYGYLGISMRVIPLNDNSEPDRWVFKEPTKNIYRNLSGLAESHEDTTPGIFHGDFHMEFCENRRQLFQAYFRDFSIERMDKPWEAFTGGWFPDNAAKKLGINTSFIQGDYSYVLVRVVRFRETGRLNAEIPVHQPLEPDVRSRMDQLQIGNITSAVRFMEDVGTHYVNSYTTGNSLYQVFVYSRKNYSMIKERIKSKGLNGLSKLDLYNYFAPWFAAHLGQIRSASANATVERWARRKLQYEYYVVKYVTLLKLHGNSTLLRSLDSLLGNDAILQLDLKSLKPIFREEPEKESWYHEVLDNNVKLWELNMPQSHPTR", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKTMILLLCLLGSAQSLPKQLNPASGVPATKPTPGQVTPLPQQQPNQVFPSISLIPLTQLLTLGSDLPLFNPAAGPHGAHTLPFTLGPLNGQQQLQPQMLPIIVAQLGAQGALLSSEELPLASQIFTGLLIHPLFPGAIPPSGQAGTKPDVQNGVLPTRQAGAKAVNQGTTPGHVTTPGVTDDDDYEMSTPAGLRRATHTTEGTTIDPPNRTQ", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKAFFVILGLALLCAYSFALEEQDQLSLRNDLLTVMFAENSELTPETEERYCQKWMWTCDEERKCCEGLVCRLWCKKKIEW", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKTALPLLLLTCLVAAVQSTGSQGCPTYVSEKCTARLQECSNNQQQEPLQNCTAVHADCVVQATEDCQREQSQLNHDHLNNHTTTQQP", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKVKMIMLLFQILAILTLKSDSADVPDGYIQENVALRGRATQSAQLRGEHAGISHASNAIDGNRDSYFYHGSCSHTEGDNPLWRVDLLQVYTITSVTITNRGDCCGERISGARILIGKHLENNGINNPECSTINIMAAGETRTFHCPQPMIGRYVTVYLPKTGTLHLCEVEVNVLFPAPC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLKGIILIVTIQLVNANFFGVFGKPLYNPFNKDKYMIDFITTFNKLMNMKQPQFPHPKSYPGFPPLFPGIKGKKSVFKTIDFTDMAPGSKKTFRVDNGQGIAFRSKSGNAGGMSFSSGTGGGKGFAFGGTLGGGSNGEFVMSQSGPGLKGGKVTYSKGVPKFAKGLFGMLPFFK", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAPQLLLCLILTFLWSLPEAESNVFLKSKVANRFLQRTKRSNSLFEEIRPGNIERECIEEKCSKEEAREVFEDNEKTETFWNVYVDGDQCSSNPCHYRGTCKDGIGSYTCTCLPNYEGKNCEKVLYQSCRVDNGNCWHFCKRVQSETQCSCAESYRLGVDGHSCVAEGDFSCGRNIKARNKREASLPDFVQSQKATLLKKSDNPSPDIRIVNGMDCKLGECPWQAVLINEKGEVFCGGTILSPIHVLTAAHCINQTKSVSVIVGEIDISRKETRRLLSVDKIYVHTKFVPPNYYYVHQNFDRVAYDYDIAIIRMKTPIQFSENVVPACLPTADFANEVLMKQDSGIVSGFGRIRFKEPTSNTLKVITVPYVDRHTCMLSSDFRITQNMFCAGYDTLPQDACQGDSGGPHITAYRDTHFITGIISWGEGCARKGKYGVYTKVSRFIPWIKKIMSLK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNVYFILFLGVFAFIEVNCKSRKSKSLGTCPKLDVSTVCVVDYKFNCLFQKQCPSGYRCCTYGCNRRCAAVTVNKKHLGSCRNSSGKKGKRCKKDKSCKRHEKCCNKRCRRVRKKIAPVRTLSKNSSNSFSFLMKLISINR", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGKLTVVGILTLFIFYIVAASGKCTAPVNCPAGWKKYKTNCYFFSPDGKNWHDAAKQCQTMGGYLVKITDSEENSWVVDMITKSVKHKYGYWMGMADLKNEGDWRWVNDSSAVSYSNWHRGQPNNANNEDCGHFWSAVNYEWNDIVCNTDQMGYIC", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDGFNPRATTVAEYMDGTPKFRTDLYASTCGQLLSHKEYMRVVDMYGLKFTPELKAPEVPMPFMGKYSQEQYAQQLIDEYRAARISPDRVFLQSFSIDDIYFWNRHDADYARQAMFLDSRPDTPEGARQATATMAQLKQSGIRTLSPAFHALLKLDAGNNIVPSDYAVAAKKAGIKLTTWSLERSGPLNKVRASGDFYYSSIAAAIKDDGDIYRVVDVLAQKVGVAGIFSDWPATVSFYANCFNL", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MFVSRLAASGLLLLALLAVSLDGKPLQQWSQRWPHLEIPPLVVQNWKSPTQLQARESPAGGTTALREELSLGPEAALDTPPAGPDGGPRGSKAAAAAPQRLSKSKGASATSAASRDLRTDGKQARQNWGRLVSPDHHSAAGGGGGGGGGARRLKGLAKKRAGNGCFGLKLDRIGSMSGLGC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTQNIQMTEMYQIILFASILAAISATSADFKVVWEVPSIMCSKKFKINVTDLLTSHKILVNQEETFNGDKIVIFYESQLGKYPHIESHGDINGGMLQVSDLANHLKIARDNISKFIPDPNFNGVGIIDWEAWRPLWKYNWGRMSEYRDRSKDLVKAKHPDWSPAQIEKVAIEEWENSAKEWMLKTLKLVEDMRPNAAWCYYLFPDCYNYGGKDQPSEYFCKNDIQEANDKLSWLWKQSTALCPSIYMQESHITKYNTSQRAWWIYARLRETIRLSHPNTLIYPYINYILPGTKKTVPSMDFKRVLGQIGSLGLDGAIIWGSSYHVNTEEMCKEMKTYVKDVIAPVASTVIQNVNRCSQQICKGRGNCVWPEEPYTSWKYLIDPKNPTFKHTNISCKCKGGYTGRYCQIAP", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKTSQFLSLLLLAGIAQAIVPPREPRPPTGGGNKLLTYKECVPRATISPRSTSLAWINSDEDGQYISQSDDGALILQNIVTNTNKTLVAADKVPKGYYDYWFKPDLSAVLWATNYTKQYRHSYFANYFILDIEKGSLTPLSQDQAGDIQYAQWSPMDNSIAYVRGNDLYIWNNGKTKRITENGGPDIFNGVPDWVYEEEIFGDRFALWFSPDGEYLAYLRFNETGVPTYTIPYYKNKQKIAPAYPRELEIRYPKVSAKNPTVQFHLLNIASSQETTIPVTAFPENDLVIGEVAWLSSGHDSVAYRAFNRVQDREKIVSVKVESKESKVIRERDGTDGWIDNLLSMSYIGDVNGKEYYVDISDASGWAHIYLYPVDGGKEIALTTGEWEVVAILKVDTKKKLIYFTSTKYHSTTRHVYSVSYDTKVMTPLVNDKEAAYYTASFSAKGGYYILSYQGPNVPYQELYSTKDSKKPLKTITSNDALLEKLKEYKLPKVSFFEIKLPSGETLNVKQRLPPNFNPHKKYPVLFTPYGGPGAQEVSQAWNSLDFKSYITSDPELEYVTWTVDNRGTGYKGRKFRSAVAKRLGFLEPQDQVFAAKELLKNRWADKDHIGIWGWSYGGFLTAKTLETDSGVFTFGISTAPVSDFRLYDSMYTERYMKTVELNADGYSETAVHKVDGFKNLKGHYLIQHGTGDDNVHFQNAAVLSNTLMNGGVTADKLTTQWFTDSDHGIRYDMDSTYQYKQLAKMVYDQKQRKPERPPMHQWSKRVLAALFGERAEE", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MQLTQVLAVAILAAGVSAGHRPHRPHSNKLEIQDIKCQSGAPYCCSPDKTKGSTCTKLTGSSVNCDSVVVCCNNNGDKHSPQTCSASVAHPITFVDVDAKFRIDHNKVSHNRVNAKQRRDDKKDYGKNDYGKKDYGKKDYGKKDYGKKEYDPKDHKDYDHKDYDHKDYGHKDYGHKDYGHKDYGHKDYGHDDYGYKGYDDKDYGYKGYDDYY", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "LSCGDVATQLAPCINYLRSAGPLPVACCNGVKNLKNSAATTQDRRTACKCLINASKSISGVNFGLAAGLPGKCGVNIPYKISPSTNCDQVN", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "RTCFITPDVKSKPCPPGQEVCYTETWCDGFCGIRGKRVELGCAATCPTPKKTGIDIQCCSTDDCNTFPLRP", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MHTFCILIPTFLVLVWTTESARREKQQSNDISFVKRKVQDGLKFSRVIKYTNETIQGMKTNFNSNKTQELSLDVLVVADFLSYQAFLEMSNGDSHRAIHNLKEYLHALFEQTKIIYDGISFGNETLHMVFAGTWIATQERDCPLWISWAEEEEERVLNEEIRRLEEKERDLNSTFVDDTFFMNSTDSDNSSTDALISSDMPKKLRKFVDITLEEMQENNSTEMTLKIDSKKAIDKFTIWLKEQTGLPRHEHAVLITKFDLISINGNSATQGMAYVGNICENGDSSSVVEDIGAGLTSLIMAHEIGHSLGALHDGAYETAECDSNDNYLMAVAVSGSADRQSFLNSRRMSNCSINSIIENLKEPTANCVKKWKTKKGKDVSQKDFIKKPGELVKITRQCQVAFGPTFIPCLHIGYFHEQSICERIWCSDGESDECQTLNYFPAFDGTECGYNMWCLEGSCVQNTKKWMDCKDINSKTCSKYSTSKLKHYCKSKDFREICCRTCAKKGKIY", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNPQREAAPKSYAIRDSRQMVWVLSGNSLIAAPLSRSIKPVTLHLIACRDTEFSDKEKGNMVYLGIKGKDLCLFCAEIQGKPTLQLKLQGSQDNIGKDTCWKLVGIHTCINLDVRESCFMGTLDQWGIGVGRKKWKSSFQHHHLRKKDKDFSSMRTNIGMPGRM", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKVTAAFAGLLATTLAAPATELVTRSINYVQNYNGNLGAFSYNEGAGTFSMYWQQGVSNDFVVGLGRSTGSSNPITYSASYSASGGSYLAVYGWVNSPQAEYHVVEAYGNYNPCSSGSATNLGTVSSDGGTYQVCTDTRVNQPSITGTSTFTQFFSVRQGSRTSGTVTIANHFNFWAKHGFGNSNFNYQVVAVEAWSGTGTASVTVSA", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MIPVLLVTICLAVFPFQGSSIILESGNINDYEIVYPKKVAVLPTGAMNSAHPCCDPVTCQPKQGEHCISGPCCRNCKFLNSGTICKKTMLDGLNDYCTGVTSDCPRNPYKGKEDD", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MIPVLLVTICLAVFPFQGSSIILESGNINDYEIVYPKKVNVLPTGAMNSAHPCCDPVTCQPKQGEHCISGPCCRNCKFLNSGTICKRARGDNLHDYCTGISSDCPRNPYKGKYDPMKWPAAAKGSVLM", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MERPQSSIWVFMLLLFMVLLQSPAWHVAAQRCPQTCVCDNSRRHVTCRHQNLTEVPNTIPELTQRLDLQGNILKVLPAAAFQDLPHLTHLDLRNCQVEMVAEGAFRGLGRLLLLNLASNRLSTLPQEALDGLGSLRRLELEGNMLEELRPGTFGALGSLTTLNLAHNALVYLPAMAFQGLLRTRWLQLSHNALSVLAPEALAGLPALRRLSLHHNELQALPGAALSQARSLARLELGHNPLTYTGEEDGLALPGLRELALDHGSLQALGPRAFAHCPRLHTLDLRGNQLTTLPPLQVPGQLRRLRLQGNPLWCACHARPLLEWLVRARVRSDGACRGPRRLRGEALDTLRPSDLRCPGDAAAGDGDGDEDEDRPAGPRAPPLRSPHGEAAWATPCPPACACVAETRHSTCDGRGLQAVPRGFPNDTQLLDLRRNHFPSVPRAAFPGLRHLVSLHLQHCGVAELEPGALAGLDRLLYLYLSHNQLSGLSAAALEGAPNLGYLYLEHNRFLRIPGTALRALPTLVSLHLQDNAVDRLAPGDLAGARALRCLYLSGNHITQVSPGALGPARELEKLHLDRNRLREVPTGALEGLPALKELQLSGNPLRALPDGAFQPVGRSLQQLFLNSSDLEQISPRAFSGLGKGLRSLYLHKNQLQSLPAPLGLSGLELVDLSGNPFHCDCQLLPLHRWLTGLNLRVGATCATPPSVRGQKVKVAAPVFEACPGWTARKAKRTPTSRGSARRTPSLSRH", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "LTCCNQQSSQPKTTTDCADNSCYKKTWKDHRGTRIERGCGCPQVKPGIKLECCKTNECNN", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKTLLLTLVVVTIVCLDLGYTLTCCNQQSSQPKTTTDCADNSCYKMTWRDHRGTRIERGCGCPQVKPGIKLECCKTNECNN", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTAEFLSLLCLGLCLGYEDEKKNEKPPKPSLHAWPSSVVEAESNVTLKCQAHSQNVTFVLRKVNDSGYKQEQSSAENEAEFPFTDLKPKDAGRYFCAYKTTASHEWSESSEHLQLVVTDKHDELEAPSMKTGSSSEESTKRTSHSKLPEQEAAEADLSNMERVSLSTADPQGVTYAELSTSALSEAASDTTQEPPGSHEYAALKV", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVLISVLANLLILQLSYAQKSSELVIGGDECNINEHRFLVALYNSRSRTLFCGGTLINQEWVLTAAHCERKNFRIKLGIHSKKVPNEDEQTRVPKEKFFCLSSKNYTLWDKDIMLIRLDSPVSNSEHIAPLSLPSSPPSVGSVCRIMGWGRISPTKETYPDVPHCANINLLEYEMCRAPYPEFGLPATSRTLCAGILEGGKDTCRGDSGGPLICNGQFQGIASWGDDPCAQPHKPAAYTKVFDHLDWIQSIIAGNTDASCPP", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MWTGYKILIFSYLTTEIWMEKQYLSQREVDLEAYFTRNHTVLQGTRFKRAIFQGQYCRNFGCCEDRDDGCVTEFYAANALCYCDKFCDRENSDCCPDYKSFCREEKEWPPHTQPWYPEGCFKDGQHYEEGSVIKENCNSCTCSGQQWKCSQHVCLVRSELIEQVNKGDYGWTAQNYSQFWGMTLEDGFKFRLGTLPPSPMLLSMNEMTASLPATTDLPEFFVASYKWPGWTHGPLDQKNCAASWAFSTASVAADRIAIQSKGRYTANLSPQNLISCCAKNRHGCNSGSIDRAWWYLRKRGLVSHACYPLFKDQNATNNGCAMASRSDGRGKRHATKPCPNNVEKSNRIYQCSPPYRVSSNETEIMKEIMQNGPVQAIMQVREDFFHYKTGIYRHVTSTNKESEKYRKLQTHAVKLTGWGTLRGAQGQKEKFWIAANSWGKSWGENGYFRILRGVNESDIEKLIIAAWGQLTSSDEP", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "ARPKSDCEKHRESTEKTGTIMKLIPKCKENSDYEELQCYEDSKFCVCYDKKGHAASPISTKVKECGCYLKQKERKDSGRESAIIPQCEEDGKWAKKQLWEFNKSCWCVDEKGEQVGKIHHDCDSLKCE", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "GCKARGDTCQKDCDCCGCFYKCHCPLDWFGGKWHPLGCSCVYGDKYICEKKKKECPNV", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "CGDINAACKEDCDCCGYTTACDCYWSSSCKCREAAIVIYTAPKKKLTC", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRTIISLLLLSAMVFAVIEAISLEEGLQLFEGERGCVGENQQCADWAGLHCCSGYYCTCRYFPKCICRKDSGK", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MWVSWAPGLWLLGLWATFGHGANTGAQCPPSQQEGLKLEHSSSLPANVTGFNLIHRLSLMKTSAIKKIRNPKGPLILRLGAAPVTQPTRRVFPRGLPEEFALVLTLLLKKHTHQKTWYLFQVTDANGYPQISLEVNSQERSLELRAQGQDGDFVSCIFPVPQLFDLRWHKLMLSVAGRVASVHVDCSSASSQPLGPRRPMRPVGHVFLGLDAEQGKPVSFDLQQVHIYCDPELVLEEGCCEILPAGCPPETSKARRDTQSNELIEINPQSEGKVYTRCFCLEEPQNSEVDAQLTGRISQKAERGAKVHQETAADECPPCVHGARDSNVTLAPSGPKGGKGERGLPGPPGSKGEKGARGNDCVRISPDAPLQCAEGPKGEKGESGALGPSGLPGSTGEKGQKGEKGDGGIKGVPGKPGRDGRPGEICVIGPKGQKGDPGFVGPEGLAGEPGPPGLPGPPGIGLPGTPGDPGGPPGPKGDKGSSGIPGKEGPGGKPGKPGVKGEKGDPCEVCPTLPEGFQNFVGLPGKPGPKGEPGDPVPARGDPGIQGIKGEKGEPCLSCSSVVGAQHLVSSTGASGDVGSPGFGLPGLPGRAGVPGLKGEKGNFGEAGPAGSPGPPGPVGPAGIKGAKGEPCEPCPALSNLQDGDVRVVALPGPSGEKGEPGPPGFGLPGKQGKAGERGLKGQKGDAGNPGDPGTPGTTGRPGLSGEPGVQGPAGPKGEKGDGCTACPSLQGTVTDMAGRPGQPGPKGEQGPEGVGRPGKPGQPGLPGVQGPPGLKGVQGEPGPPGRGVQGPQGEPGAPGLPGIQGLPGPRGPPGPTGEKGAQGSPGVKGATGPVGPPGASVSGPPGRDGQQGQTGLRGTPGEKGPRGEKGEPGECSCPSQGDLIFSGMPGAPGLWMGSSWQPGPQGPPGIPGPPGPPGVPGLQGVPGNNGLPGQPGLTAELGSLPIEQHLLKSICGDCVQGQRAHPGYLVEKGEKGDQGIPGVPGLDNCAQCFLSLERPRAEEARGDNSEGDPGCVGSPGLPGPPGLPGQRGEEGPPGMRGSPGPPGPIGPPGFPGAVGSPGLPGLQGERGLTGLTGDKGEPGPPGQPGYPGATGPPGLPGIKGERGYTGSAGEKGEPGPPGSEGLPGPPGPAGPRGERGPQGNSGEKGDQGFQGQPGFPGPPGPPGFPGKVGSPGPPGPQAEKGSEGIRGPSGLPGSPGPPGPPGIQGPAGLDGLDGKDGKPGLRGDPGPAGPPGLMGPPGFKGKTGHPGLPGPKGDCGKPGPPGSTGRPGAEGEPGAMGPQGRPGPPGHVGPPGPPGQPGPAGISAVGLKGDRGATGERGLAGLPGQPGPPGHPGPPGEPGTDGAAGKEGPPGKQGFYGPPGPKGDPGAAGQKGQAGEKGRAGMPGGPGKSGSMGPVGPPGPAGERGHPGAPGPSGSPGLPGVPGSMGDMVNYDEIKRFIRQEIIKMFDERMAYYTSRMQFPMEMAAAPGRPGPPGKDGAPGRPGAPGSPGLPGQIGREGRQGLPGVRGLPGTKGEKGDIGIGIAGENGLPGPPGPQGPPGYGKMGATGPMGQQGIPGIPGPPGPMGQPGKAGHCNPSDCFGAMPMEQQYPPMKTMKGPFG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGNSLVCYVSGAWQKTCPEGQNKCEKYAVGTMHGSWIYLRGCASTCHEGPYNVCCSTDLCNK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "GVRDAYIADDKNCVYTCGSNSYCNTECTKNGAESGYCQWFGRWGNGCWCIKLPDKVPIRIPGKCR", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKTLVIACVALVLVVVHGEVIEEVNEKQLQESVEEKYSLLQRLEKLDEAITAEENRNSRVRRCGSKRAWCKEKKDCCCGYNCVYAWYNQQSSCERKWKYLFTGEC", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKAPATTLILVMSLISVLWATPDLEEGDLLAELGDLIATDDEYPMKPEERGCKLTFWKCKNKKECCGWNACALGICMPR", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKAIIFFCFLSVMVFIVAEASSLEALKIFEGERECVGENGHCRSWYNDCCDGYYCSCMQPPNCICRNNNG", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKAIIFFCFLSVMVFIVAEASSLEALKIFEGERDCVGENGRCRDWYNDCCDGFYCSCRQPPYCICRNNNG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MHGLLLAGLLALPSNVLGHPAEPPNSVNVTHRHIDTSAYFLPQLSLYNKSEDVAEYGGDNITGSSYSGGDHSASNLSSEDYVTVATSLLKATLPYASFRLIDDHYIGDSGIGHVHFRQTVYGIDIDNTDFNVNVGRDGKVFSYGSSFYEGEIPKANPVAKRDFSDPVNALIGAINTLNIPVTAAVGEVKTTPIEGNSTYMFKGTTGALTDPTAQLVYLQKDGGLHLTWRVETDVGDNWLLTYVDAKKNDQVHGVVDYVASAEYQVYPWGVNDPTDGERAHLYFPWFKTGSRNWHIDGRGWHTTTRGNNAIAQDNPSGGWEYEDNHRPTNPLLIFRYPYTQSMTPPASYRDASITQLFYTGNVYHDLLYILGFNEKAGNFQVNNWGKGGKGNDFTILNTQDGSGVNNANFATPPDGQPGRMRMYVWDTSTPYRDGSFEAGIVIHEYTHGVSNRLTGGPANSRCLSSLESGGMGEGWSDFFATVVHLKERDTRNKNYTIGEWASGRQGGIRKYPYSTDLHTNPLMYVDADGLESVHAIGTIWCTILNEVLWNLIERHGMGNVNKIKPTFKDGVPTDGRNLAMKLVLDGMALQPCLPNFVQARDAIIDADMNLTKGANRCELWKAFAKRGLGVGAAYNPEKRVGSSRVPGGC", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MHGLLLAGLLALPMNVLAHPAEQHASNVLSRRGVDIESFRLPLKAKYMDSDAAAQKIQAMSFSKDDDYVSTATKLVKSTFPKSTFRVVDDHYIGTNGIGHVHFKQTAHGLDIDNSDFNVNIGRDGKVFSFGNSFFTGEIPKENPMVKRAFSDPVKALKGAVKALNLPVKSDNAKAKTTAGKESFEFMGTTGALSAPKANLVYLQKEDGTLALTWRVETDVGDNWLLTYVDAHNSETVHNVVDYVASAEFKVFAWGLNDPTEGNPTSIRDPWTDSSPYTWHSDGMTKYPTTRGNNAIAQDNPTGGSTYINNYRPQSPNLIFNYPWSPTATPPSSYKDFSITQLFYTTNRFHDLLYSFGFNEAAGNFQVNNGNKGGRGNDFAIVNAQDGSGTNNANFATPPDGSPGRMRMYNWTTARPNRDGCLEAGIVIHEYAHGLSNRLCGGPANSGCLNALESGGMGEGWGDFYATAIRLKPRDTKDTNYSMGAWAANNPKGIRAYLYSTNLQTNPYMYTSVNSLREVHQIGTVWATMLYDLMWALIEAHGGTYSANPVFRNGVPQDGRHLAMKLVMDGMALQPCNPNFVQARDAILDADRALTNSANKCTIWKAFAKRGLGYGAKYDARNRTGSNRLPPGC", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MHGLLLAGLLALPLNVLAHPTESHSSGISRRAIDITSYRLPQISKYTKSDAVPKQDDESFTTSSTGDDNVSSGDYVTTATDWLKKTLPKATYRLVNDHYIGDSGIGHVHFRQTAHGIDIDNTDFNVNIGRDGKVFSFGNSFYDGEIPKANPMVKRDFSDPVNALQGAIQTLNLPVTAKPENVKAKPVEGKENFKFEGTSGAFSDPKAQLVYLQKDGGLVLSWKVETDIGDNWLLTYVDANKNDKVHSVVDYVSAAEYKVYPWGINDPTEGNRTSIHLPWFKTLSTDWHIDGKGWYSTTRGNNAIAQENPTGGPEYENNYRPKSPLFIFKYPYSEAMTPPSSYRDASITQLFYTTNVYHDVLYILGFNEKAGNFQVNNWNKGGVGGDFAILNSQDGSGVNNANFATPPDGQPGRMRMYTWNASTPERDGCFEAGIVIHEYTHGVSNRLTGGPANSRCLAALESGGMGEGWSDFFATAIRLKAGDTRATDYTMGEWASNRPNGIRKYRYSTNLTTNPHMYVDADGLTSVHAIGTIWASMLYELLWNLIDKHGKGNVTKVRPVLKNGVPTDGRHLAMKLVLDGMALQPCLPNFVQARDAILDADKVLTQGSNKCEIWKAFAKRGLGVGAVFNPSKRTGSNELPAGC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVSFKSLLVLCCAALGAFATPVGSEDLAAREASLLERSTPSSTGWSNGYYYSFWTDGGGDVTYTNGAGGSYTVQWSNVGNFVGGKGWNPGSTRTINYGGSFNPSGNGYLAVYGWTQNPLIEYYIVESYGTYNPGSGGQHRGTVYSDGATYDIYTATRYNAPSIEGTATFEQFWSVRQSKRTGGTVTTANHFNAWAALGMRLGTHNYQIVATEGYQSSGSASITVY", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "EIRLPEPFRFPSPTVPKPIDIDPILPHPWSPRQTYPIIARRS", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MHGLLLAGLLALPMNVLAYPAEQHASNVLSRRGVDIESFRLPLKAKYMDSEATAQKIQAMSFSKDDDYVSTATKLVKSTFPKSTFRVVDDHYIGTNGIGHVHFKQTAHGLDIDNSDFNVNIGRDGKVFSFGNSFFTGEIPKENPMVKRAFSDPVKALKGAVKALNLPVKSDNAKPKTIAGKESFEFMGTTGALSAPKANLVYLQKEDGTLALTWKVETDVGDNWLLTYVDAHNSETVHNVVDYVASAEYKVFAWGLNDPTEGNPTSIRDPWTDASPYTWNSDGMSKYPTTRGNNAIAQDNPTGGSTYINNYRPQSPNLIFSYPWSPTATPPSSYKDFSITQLFYTTNRYHDLLYSFGFNEAAGNFQVNNGNKGGKGNDFAIVNAQDGSGTNNANFATPPDGSPGRMRMYNWTTARPNRDGCLEAGIVIHEYTHGLSNRLCGGPANSACLNALESGGMGEGWGDFYATAIRLKPRDTKNTNYSMGAWAANNPKGIRAYLYSTNLQTNPYMYTSVNSLREVHQIGTVWASMLYDLMWALIEAHGGTYSANPVFRNGVPQDGRHLSMKLVMDGMALQPCNPNFVQARDAILDADRALTNSANKCTIWKAFAKRGLGYGAKYDARNRTGSNKLPPGC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "DSPGGASDAQKTFDVNSLMPVKYEEIRDPHLKELSLSFDPLSGHYDDDGVSAKRLMKELNEHRLLQQSHWFSLFNPRTREEDLMLYNVDEHSGNWDTFAGNAAFFRVHVNEGFFVYASYSVVIHSKLTQHVVLPPLYEVTPHLFTNSEVIQKAYAAKMTQTPTKIFAHFTGSKSNPEQRVAYFGEDIGMNTHHVTWHLEFPFWWDDAHYDHHIERKGESCSSWVHHQLTVRFDAERLSNYLDPVRELHWDDVIHEGFAPHTSYKYGGYFPDRPDNVNFEDVDGVARVRDMLLFEERIQDAIAHGYLRYNGSTINIRDNHGIDVLGDVFESSMYSPRQDYYGALHNQAHRVLGSQADPHGKFALPPGVLEHFETATRDPAFFRLHKYMDNIFRKHKDSLTPYTKNELKFEGVNIDSIYEKGNLETYFESFMYTGVNIMLLTNDVDDVDIATYITDLAHKELSFQEDVTNEGDIGVLETVRIFAWPHIDDDHVEFSFNEGRWDVIEMDKFWVMLEHGHHSIDRSSFDSTVTIPDRPSFHDIEDRTSEAIPHGKELHIEEFESVTGLPNRFLIPKGLVKGKDMDVMVAVTSGEGLAAVEGLHRSANFAHHGCPEVRYPDKRPHGYPLYRPVDDERIITGVTNFKHIQVKVFHH", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "LKCYQHGKVVTCHRDMKFCYHNIGMPFRNLKLILQGCSSSCSETENNKCCSTDRCNK", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLSLDVLLLCAISGFQLLISADGDPMIEVFKWKQLDFYNRGDGYKDLWNRICIPDSHFYNSRKCLGSSSSGSFIQYNNVPQGVTHFRGRLFVTVPRRQPGIPSTLNYIDLAKDGWSQSPHLRAYPNLAVNQYNASEQNLVSVYRTSVDVCGRLWFVDTGMLEFPNNRQQIRHPSIWVIDLANDRLLKRFEIPQSIVEIGRGLASITIDVGARRCNDAYAYIPDLVNRRLHVYHLRSDRIWSFEHSFFNFDPLSDNLNIGGQTFRWDDGIFSATLGSYKPDGSRDVFFHPMASTNEFVVSNRVLQQEFNAARSDHGDDFHLLGTRGPSTQSTMHKYDPRTGVIFFAEVQKSGVGCWKTSKPFSTENHGSVYSNSSEMIYPSDLTIDEEGYIWVMSNSMPIFVYSKLDVEKYNFRIWRQSTLLAKRGTVCE", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLSPQFLILSLISGLQLLSLADADPMIEVFRWKQMDFYNRGDGHLSSGGRKDRPSFSAPVVFPGKYSRRKRKIMTSRDTPVVVSSRADSDDPNASYIPYNNVPMGATHFRGRLFVTMPRRRVGIPSTLNYIDLAEDGSNRSPKLRAYPNFALNQFNASAENLVSVYRTSVDACQRLWFIDTGMLEYPNNRQQIRRPSIWVVDLATDQVLKRFDVPESIAETGRGLASITVDVKAGQCGDAYAYIPDLVYRRLYVYHLRNDRIWSFEHNYFNFDPLSGDLSIGGQTFRWDDGIFSITLGAQKLDGSRDAYFHPMASTNEFVVSNRVLQQESNAARSDHGNDFRVLGSRGPSTQSTMHAYDPGTGVIFFDEIQRNGVGCWKTSKPISAENYGSVDSNAEDMIYPSDLSIDEDGTIWVMSNSMPIFIYSTLDTSIYNFRIWKQKASLAKRGTVCE", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "GKIPIGAIKKAGKAIGKGLRAVNIASTAHDVYTFFKPKKRH", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSLLYIILLFTQFLLLPTDAFDRSANTNIAVYWGQNSAGTQESLATYCESSDADIFLLSFLNQFPTLGLNFANACSDTFSDGLLHCTQIAEDIETCQSLGKKVLLSLGGASGSYLFSDDSQAETFAQTLWDTFGEGTGASERPFDSAVVDGFDFDIENNNEVGYSALATKLRTLFAEGTKQYYLSAAPQCPYPDASVGDLLENADIDFAFIQFYNNYCSVSGQFNWDTWLTYAQTVSPNKNIKLFLGLPGSASAAGSGYISDTSLLESTIADIASSSSFGGIALWDASQAFSNELNGEPYVEILKNLLTSASQTATTTVATSKTSAASTSSASTSSASTSQKKTTQSTTSTQSKSKVTLSPTASSAIKTSITQTTKTLTSSTKTKSSLGTTTTESTLNSVAITSMKTTLSSQITSAALVTPQTTTTSIVSSAPIQTAITSTLSPATKSSSVVSLQTATTSTLSPTTTSTSSGSTSSGSTSSDSTARTLAKELNAQYAAGKLNGKSTCTEGEIACSADGKFAVCDHSAWVYMECASGTTCYAYDSGDSVYTQCNFSYLESNYF", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "EQQKFSPRYIELVVVADHGMFKKYNSNLNTIRKWVHEMVNSMNGFYRSVDVTASLANLEVWSKKDLINVQKDSRETLKSFGEWRERDLLPRISHDNAQLLTAIVFDGHTIGRAYTGGMCDPRHSVGVVMDHSPKNLQVAVTMAHELGHNLGMHHDGNQCHCDAASCIMADSLSVVLSYEFSDCSQNQYQTYLTKHNPQCILNEPL", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLVDGPSERPALCFLLLAVAMSFFGSALSIDETRAHLLLKEKMMRLGGRLVLNTKEELANERLMTLKIAEMKEAMRTLIFPPSMHFFQAKHLIERSQVFNILRMMPKGAALHLHDIGIVTMDWLVRNVTYRPHCHICFTPRGIMQFRFAHPTPRPSEKCSKWILLEDYRKRVQNVTEFDDSLLRNFTLVTQHPEVIYTNQNVVWSKFETIFFTISGLIHYAPVFRDYVFRSMQEFYEDNVLYMEIRARLLPVYELSGEHHDEEWSVKTYQEVAQKFVETHPEFIGIKIIYSDHRSKDVAVIAESIRMAMGLRIKFPTVVAGFDLVGHEDTGHSLHDYKEALMIPAKDGVKLPYFFHAGETDWQGTSIDRNILDALMLNTTRIGHGFALSKHPAVRTYSWKKDIPIEVCPISNQVLKLVSDLRNHPVATLMATGHPMVISSDDPAMFGAKGLSYDFYEVFMGIGGMKADLRTLKQLAMNSIKYSTLLESEKNTFMEIWKKRWDKFIADVATK", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAFLKKSLFLVLFLGMVSLSICEEEKRENEDEEKQEDDEQSEMKRGLWSTIKNVAAAAGKAALGALGEQ", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLAEYLLLPLLASYASAVTISVAKSGGNVTTGLQYGAMEEEINHCGEGGLYAELIRNRAFQGSTTYPSNLDAWSAVGGSTLSLQNLSNPLSSALPTSVRITGKGTSGISNTGFWGIDVRPQKYSGSFYVKGSYKGSFTASLQASNGEVFASTQVSSKSVANDWVQHEFTLTPKKKASSSNNTFVLTFDASKASGGSLDFNLISLFPPTWNNRPNGMRRDLMQALQDLGPKFLRFPGGNNLEGQTIEGRWKWNETIGPLTQRPGRATTWGYEETSGMGLVEYMEWCDDLGMEPLAVWAGLALNGDVVPEAELDVYVQDALDELEFLTGSVDTKYGALRAKYGHPKPWTIRYVEVGNEDNLSSGLSSYKSYRFQAFYDAITKKYPNIQVLASTIDMTLPGNAGGDYHLYDIPDNFITKFGMFDSYSDAHPILLGEIAATEYNNGVGIDWSNTHFSLYPWWLGSVAEAVFLLGAERNADKIIGTTYAPFLMNLDSYEWSPTMISFNSNPDDTAKSTSWHVYNNHMTNTLPATSNDTFGPPLLCHRCETAKTNSHIFKAAVYNSTADVPVSLTFEGVGRGTTADLTILTAPSDVSMNAVGGANVVQSQTTKIKAGKQGVFSFKLPNLSVAVLTTN", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGPPGSPWQWVTLLLGLLLPPAAPFWLLNVLFPPHTTPKAELSNHTRPVILVPGCLGNQLEAKLDKPDVVNWMCYRKTEDFFTIWLDLNMFLPLGVDCWIDNTRVVYNRSSGLVSNAPGVQIRVPGFGKTYSVEYLDSSKLAGYLHTLVQNLVNNGYVRDETVRAAPYDWRLEPGQQEEYYRKLAGLVEEMHAAYGKPVFLIGHSLGCLHLLYFLLRQPQAWKDRFIDGFISLGAPWGGSIKPMLVLASGDNQGIPIMSSIKLKEEQRITTTSPWMFPSRMAWPEDHVFISTPSFNYTGRDFQRFFADLHFEEGWYMWLQSRDLLAGLPAPGVEVYCLYGVGLPTPRTYIYDHGFPYTDPVGVLYEDGDDTVATRSTELCGLWQGRQPQPVHLLPLHGIQHLNMVFSNLTLEHINAILLGAYRQGPPASPTASPEPPPPE", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVHLKALASGTLFASLASSAVISRQAAASINDAFVAHGKKYFGTCSDQALLQNSQNEAIVRADFGQLTPENSMKWDALEPSQGSFSFAGADFLADYAKTNNKLVRGHTLVWHSQLPSWVQGITDKDTLTEVIKNHITTIMQRYKGQIYAWDVVNEIFDEDGTLRDSVFSQVLGEDFVRIAFETAREADPNAKLYINDYNLDSADYAKTKGMVSYVKKWLDAGVPIDGIGSQSHYSANGFPVSGAKGALTALASTGVSEVAVTELDIEGASSESYLEVVNACLDVSSCVGITVWGVSDKDSWRSSTSPLLFDSNYQAKDAYNAIIDAL", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDQQGMVKKRLAVLVGCNYPNTRNELHGCINDVLAMKETILSRFGFKQDDIEVLTDEPESKVKPTGANIKAALRRMVDKAQAGSGDILFFHYSGHGTRIPSVKSAHPFKQDEAIVPCDFNLITDVDFRELVNQLPKGTSFTMISDSCHSGGLIDKEKEQIGPSSVSSNISPAIETTNKTITSRALPFKAVLDHLSSLTGITTSDIGTHLLELFGRDAGLKFRLPAMDLMDLLETMTAREKHVDSGILMSGCQADETSADVGVGNGKAYGAFSNAIQRVLNENEGAMKNKQLVMMARDVLERLGFHQHPCLYCSDQNADATFLSQP", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "RKCLIKYSQANESSKTCPSGQLLCLKKWEIGNPSGKEVKRGCVATCPKPWKNEIIQCCAKDKCNA", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKTCNLTDRMEVKMIMLLFQILAISTLKSDSADIPEGYIQENVALRGRATQSAQLRGDHSGIAHASNAIDGNRDSVFYHGSCTHTEADKPWWRVDLLQVYTITSVTITNRGDCCEERISGARILIGKHLENNGINNPQCSVIGPMAAGETKTFRCPQPMIGRYVTVYLPKAESLHLCEVEVNVLFPAPC", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKTCNLTDRMKVKMIMLLFQILAISTLQSVSAYIPDRYIQENVAVRGKATQSDQLQGQWDAFSHASNAIDGNQDSYFYHGSCTHTSGGANPWWRVDLLQEYKITSVTITNRGDCCGERITGARIIIGKNNGLNNPECSTVGIMTAGETKTFRCSHPMIGRYVTVYLPKTEYLHLCEVEVNAMLPAN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKTCNLTDRMKVKMIMLLFQILAISTLQSDSAYIPDRYTQENVAVRGKATQSALASGGGAVLSLPGYAIDGNRDSDSSHGSCSHTTNGPNPWWRVDLLQVYTIASVTITNRGDCCGERITGAHILIGNSLENNGINNPQCSTVGIMTAGETRTFHCSRPMIGRYVTVYLPKTEYLQLCEVEVNALLPAN", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MEVKTIMLLFQILAISTLKQGSAHVPDGYVEENVALRGRATQSAQLRGEHAALAHASNAIDGNRDSNYHHGSCTHTEGANPWWRVDLLQVYTIASVTITNRGDCCGERISGARILIGNSLENNGINNPQCSVIGSLATGETRTFHCPQPMIGRYVTVYLPKIESLQLCEVEVNALLPVN", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEVKMIILLFQILAISTLKSDSADIPEGYIQENVALRGRATQSAQLKGEFAGFAHASNAIDGNRDSNYHHGSCSHTEGDNSWWRVDLKQVYTITSVTITNRGDCCGERISGARILIGKHLENNGINNPECSTINIMAAGETKTFHCPQPMIGRYVTVYLPKAESLQLCEVEVNVLFPAPC", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLPFLFFSTLFSSIFTEAQKQYWVCNSSDASISYTYCDKMQYPISINVNPCIELKRSKGLLHIFYIPRRDLKQLYFNLYITVNTMNLPKRKEVICRGSDDDYSFCRALKGETVNTTISFSFKGIKFSKGKYKCVVEAISGSPEEMLFCLEFVILHQPNSN", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "VICYVGYNNPQTCPPGGNVCFTKTWCDARCHQLGKRVEMGCATTCPKVNRGVDIKCCSTDKCNPFPKTTPPWKRPRGKP", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MHLRLISCFFIILNFMEYIGSQNASRGRRQRRMHPNVSQGCQGGCATCSDYNGCLSCKPRLFFVLERIGMKQIGVCLSSCPSGYYGTRYPDINKCTKCKVDCDTCFNKNFCTKCKSGFYLHLGKCLDSCPEGLEANNHTMECVSIVHCEASEWSPWSPCMKKGKTCGFKRGTETRVRDILQHPSAKGNLCPPTSETRTCIVQRKKCSKGERGKKGRERKRKKLNKEERKETSSSSDSKGLESSIETPDQQENKERQQQQKRRARDKQQKSVSVSTVH", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAYLLAVAILFCIQGWPSGTVQGEVRPFMEVYQRSVCQPRETLVSILEEYPDKISKIFRPSCVAVLRCGGCCSDESLTCTSVGERTVELQVMQVTPKTLSSKIKVMKFREHTACECRPRSGSRVNIGKHKRSPEEGEREPSSPLTPGSL", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTLTCLICPEKYCQKVHTCRDGENLCVKRFYEGKRFGKKYPRGCAATCPEAKPHEIVECCSTDKCNK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTLTCLICPERYCQKVHTCRGEEKLCVKRFYDEKALGWRAKRGCAATCPEAKPKETVECCSTDKCNK", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MISPISFLSSLLCLTYLTSALPILPKREVVTRVHTASTTNVVTDFYSTTTEVVIAPTVEFLISDSVTFTTTLIPQGVNPTAEPTTTITKVLLKKAEMSTSSQPTSTLQPSTIPQSTSSFQAESTLQAVSTQQTAMSVSAGTSEDVQQLATTSTSISSSPSPTTTSTSTQNIVSGVGEANVEGNTQSQHHSYQAAATSTLNQQTSTSIASQESTESTNTPTSSSTSSSTSSSTSSSTSSSTSSSTSSSTSSSTSSSTSSTQETAATTSEGSSSSSAAITSSPKAIAYSPYNDDGSCKSADAVSSDLTLIKSKGISKIRVYGTDCNSFETVQPAAVKLGIKINQGLYITSSGVDSIDDSVTTLIQYGQTNGWDVFDFITVGNEAINNGWCSVSDLISKISSVKSKLSEAGYSGQITTSEPPVSFENNPDLCKKSDIDFVGINPHAYFDTSASAETAGTFVKGQVELIQGVCGTSNVFVTETGYPSSGIQNGGNIPSTANQITAVQNILNEMDLDVTILSTYNDYWKAPGDYGIEQSFGVIEYFP", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLKMGVVLFIFLVLFPLATLQLDADQPVERYAENKQLLSPDERREIILHALGTRCCSWDVCDHPSCTCCG", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MMTLSPFLLLLIAAVVIGNASEGEVKNEFEERLKDEFKDPSRSEVAEVILLRELEVLEETLFGKEMTSDTEENRNSREKRCMGYDIECNENLPCCKHRKLECVETSGYWWYKRKYCRPIKG", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKWLVLLGLVSISECIVKIPLRRVKTMRKTLSEKNMLNNFLKEHAYRLSQISFRGSNLTIHPLRNTKDLVYLGNITIGTPPQEFQVVSDTGSSDLWVPSDFCAIEACSLHTRFRHLQSSTFRPTNRTFSITYGCGTVKGVVVHDTVRIGDLVSTDQPFGLSTAEHVSRCTPFDGVLGLNYPSISFWSTIPIFDKLKNEGAISEPVFAFYLSKDGQEGSVVMFGGVDHRYYKGELNWVPLIPAGNWMVHMDRIYIERNVIACSAGCKAVVDTGAAFIEGPKSQVDNMQKFIGARPRGSKYYVPCSVVNTLPSIIFRINSINYPVPGRAYILKNHRGRCYTTFKENQWSPSTEIWILGDVFLRLYFSVFDRGHDRIGLARAV", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "LKCHNKLVPFLSKTCPEGKNLCYKMTMLKMPKIPIKRGCTDACPKSSLLVKVVCCNKDKCN", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKASMFLALAGLVLLFVVGYASGSEEKEFPRELLSKIFAVDDFKGEERGCKGFGDSCTPGKNECCPNYACSSKHKWCKVYLGK", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPSSISWGLLLLAALSCLGPGSLAQDAQETEASKQDQEHPASHRIAPHLAEFALSLYRVLARQSNTTNIFFSPVSIASALAMLSLGTKGDTHTQILEGLDFNLTEMAEADIHQGFQNLLQTLNRPNTQLQLTSGNVLFIHQNLKLLDKFLENIKSLYHSGAFPTNFTNTEEARQQINSYVEQGTQGKIVELVKELDRDTVLALVNYIFFKGKWLKPFNVKNIREEDFHVDEATTVRVPMMYRVGMFPVHYCRTLASLVLQMDYLGNATAIFLLPDKGKMQHLEDTISTEILSKLLKDRQTSKYQVYFPRVSISGTYDLKDVLSSLGITRVFSRVADLSGVTEDAPLTVSKVLHKAVLDMDEEGTEAAGGTVLGAEAMLQAPIMKFDRPFLVVIYEHNTKSPLFVGKVVNPTQQ", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSERVERNWSTGGWLLALCLAWLWTHLTLAALQPPTATVLVQQGTCEVIAAHRCCNRNRIEERSQTVKCSCFSGQVAGTTRAKPSCVDASIVLQRWWCQMEPCLPGEECKVLPDLSGWSCSSGHKVKTTKVTR", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAMVSAMSWVLYLWISACAMLLCHGSLQHTFQQHHLHRPEGGTCEVIAAHRCCNKNRIEERSQTVKCSCLPGKVAGTTRNRPSCVDASIVIGKWWCEMEPCLEGEECKTLPDNSGWMCATGNKIKTTRIHPRT", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRAIISLLLISTMVFGVIEAVSLEEGLKIFEGERGDCVGESQQCADWSGPYCCKGYYCTCRYFPKCICVNDNGK", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MFKLGVLLTICLLLFSLNAVPLDGDQPADQPAERLLDDISFENNPFYDPAKRCCRTCFGCTPCCG", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGKLRPGRVEWLASGHTERPHLFQNLLLFLWALLNCGLGVSAQGPGEWTPWVSWTRCSSSCGRGVSVRSRRCLRLPGEEPCWGDSHEYRLCQLPDCPPGAVPFRDLQCALYNGRPVLGTQKTYQWVPFHGAPNQCDLNCLAEGHAFYHSFGRVLDGTACSPGAQGVCVAGRCLSAGCDGLLGSGALEDRCGRCGGANDSCLFVQRVFRDAGAFAGYWNVTLIPEGARHIRVEHRSRNHLALMGGDGRYVLNGHWVVSPPGTYEAAGTHVVYTRDTGPQETLQAAGPTSHDLLLQVLLQEPNPGIEFEFWLPRERYSPFQARVQALGWPLRQPQPRGVEPQPPAAPAVTPAQTPTLAPDPCPPCPDTRGRAHRLLHYCGSDFVFQARVLGHHHQAQETRYEVRIQLVYKNRSPLRAREYVWAPGHCPCPMLAPHRDYLMAVQRLVSPDGTQDQLLLPHAGYARPWSPAEDSRIRLTARRCPG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRAFLALIFLTFVMNVESSRPLMAFTPSHGWMNDPNGQFYDSKNELWHLYYQYNPNDTVWGTPLYWGHATSKDLSTWKDYGATIGPDRDEDGIFSGNIVVDHNNTSGFFNDSIDPRQRVVAIYTYNTEGSQTQHVAYSLDGGYTFEKYEHNPVLDVDNINFRDPKVFWHEPTNQWIMVIALSQQFKIQIYGSIDLTNWSLHSNFTGGLFGFQYECPGLIEVPAEGTDESKWVMFIAINPGSPLGGSSNQYFIGSFDGFEFVPDDSQARLMDYGKDFYAFQTFDNAPKESGVVGLAWASNWQYANLAPTKEWRSSMTLARQMTLASRNMNPETKVLSLLQKPIFGESVVAANKISKRNITGQDEQAVKIHKNSTGTFSFDITFSVDSSKNQTGQLQVISGQNGESIRAGFDPTAGQFFVDRGNTSGLKENPFLTDKTSAYVEPWKHQNDLPVYKMFGVIDGNLIEVFLNDGIATLTNTFFIPGTEGLEYLEIESSSDAIHIVESEVKELKLRATS", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MNKVFIIGVCLFIVSQAVLAVPWDSDESSDERLSDRSDESREEPRKLVVSDDDSREDSNESAEVRRRDDSRESEEEPRKLSADTSDEDSDDSQESPLDLFFKTLRDSKISRAQRAALLKALLSRYAGY", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKYFVVALALAVALVCIAESTAYDVNEELENELDDLSDAAWLAKAAEDLQALDDFEESEESRSMWSGMWRRKLKKLRNALKKKLKGEK", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRTPAIVLALAPAAAFGQAALWGQCGGQGWTGAKTCVSGAVCQAQNEWYSQCVPGSGGGNPPTPQPTQPSNPPPSTGSGLNAKFKNKGKLYFGTSMDHYDLNKAQLTNIVKAQFGQITNENSMKWDAIEPSRNSFSWTNADAVVNFATANGKLMRGHTLLWHSQLPAWVSNINDRNTLTQVIQNHVTAMVTRYRGKILQWDVVNEIFAEDGSLRSSVFSRVLGEDFVGIAFRAARAADPNAKLYINDYNLDIANYAKVTRGMVEKVNKWVSQGIPIDGIGSQAHLAQPGGWNPASGVPAALRALAAANVKEIAITELDIAGASANDYVTVVNACLQISKCVGITVWGVSDAISWRPNDNPLLYDRNYQPKAAYTAIMNAL", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRRGGLLEVALGFTVLLASYTSHGADTNLEAGNVKETRANRAKRRGGGGHNALKGPNVCGSRYNAYCCPGWKTLPGGNQCIVPICRHSCGDGFCSRPNMCTCPSGQIAPSCGSRSIQHCNIRCMNGGSCSDDHCLCQKGYIGTHCGQPVCESGCLNGGRCVAPNRCACTYGFTGPQCERDYRTGPCFTVISNQMCQGQLSGIVCTKTLCCATVGRAWGHPCEMCPAQPHPCRRGFIPNIRTGACQDVDECQAIPGLCQGGNCINTVGSFECKCPAGHKFNEVSQKCEDIDECSTIPGICDGGECTNTVSSYFCKCPPGFYTSPDGTRCIDVRPGYCYTALANGRCSNQLPQSITKMQCCCDAGRCWSPGVTVAPEMCPIRATEDFNKLCSVPMVIPERPGYPPPPLGPVPPVQPVPPGFPPGPQIMIPRPPVEYPYPSREPPRVLPVNVTDYCQLFRYLCQNGRCIPTPGSYRCECNKGFQLDLRGECIDVDECEKNPCAGGECINTQGSYTCQCRPGYQSTLTRTECRDIDECLQNGRICNNGRCINTDGSFHCVCNAGFHVTRDGKNCEDMDECSIRNMCLNGMCINEDGSFKCICKPGFQLASDGRYCKDINECETLGICMNGRCVNTDGSYRCECFPGLAVGLDGRVCVDTHMRSTCYGGYKRGQCVKPLFGAVTKSECCCASTEYAFGEPCQPCPSQNSAEYQALCSSGPGITSAGSDINECALDPDICPNGICENLRGTYKCICNSGYEVDSTGKNCVDINECVLNSLLCDNGQCRNTPGSFVCTCPKGFIYKPELKTCEDIDECESSPCINGVCKNSPGSFICECSSESTLDPTKTICIETIKGTCWQTVIDGRCEININGATLKSQCCSSLGAAWGSPCTPCQVDPICGKGYSRIKGTQCEDIDECEVFPGVCKNGLCVNSKGSFKCQCPSGMTLDATGRICLDIRLETCFLRYEDEECTLPVAGRHRMDACCCSVGAAWGTEECEECPVRNTPEYEELCPRGPGFATKEITNGKRFFKDINECKMIPNLCTHGKCRNTIGSFKCRCDSGFALDSEERNCTDIDECRISPDLCGRGQCVNTPGDFECKCDEGYESGFMMMKNCMDIDECQRDPLLCRGGVCLNTEGSYRCECPPGHQLAPNISACIDINECELSAHLCPHGRCVNLIGKYQCACNPGYHSTPDRLFCVDIDECSIMNGGCETFCTNSEGSYECSCQPGFALMPDQRSCTDIDECEDNPNICDGGQCTNIPGEYRCLCYDGFMASEDMKTCVDVNECDLNPNICLSGTCENTKGSFICHCDMGYSGKKGKTGCTDINECEIGAHNCDRHAVCTNTAGSFKCSCSPGWIGDGIKCTDLDECSNGTHMCSQHADCKNTMGSYRCLCKEGYTGDGFTCTDLDECSENLNLCGNGQCLNAPGGYRCECDMGFVPSADGKACEDIDECSLPNICVFGTCHNLPGLFRCECEIGYELDRSGGNCTDVNECLDPTTCISGNCVNTPGSYTCDCPPDFELNPTRVGCVDTRSGNCYLDIRPRGDNGDTACSNEIGVGVSKASCCCSLGKAWGTPCELCPPVNTSEYKILCPGGEGFRPNPITVILEDIDECQELPGLCQGGKCINTFGSFQCRCPTGYYLNEDTRVCDDVNECETPGICGPGTCYNTVGNYTCICPPDYMQVNGGNNCMDMRRSLCYRNYYADNQTCDGELLFNMTKKMCCCSYNIGRAWNKPCEQCPIPSTDEFATLCGSQRPGFVIDIYTGLPVDIDECREIPGVCENGVCINMVGSFRCECPVGFFYNDKLLVCEDIDECQNGPVCQRNAECINTAGSYRCDCKPGYRFTSTGQCNDRNECQEIPNICSHGQCIDTVGSFYCLCHTGFKTNADQTMCLDINECERDACGNGTCRNTIGSFNCRCNHGFILSHNNDCIDVDECATGNGNLCRNGQCINTVGSFQCQCNEGYEVAPDGRTCVDINECLLDPRKCAPGTCQNLDGSYRCICPPGYSLQNDKCEDIDECVEEPEICALGTCSNTEGSFKCLCPDGFSLSSTGRRCQDLRMSYCYAKFEGGKCSSPKSRNHSKQECCCALKGEGWGDPCELCPTEPDEAFRQICPYGSGIIVGPDDSAVDMDECKEPDVCKHGQCINTDGSYRCECPFGYILQGNECVDTDECSVGNPCGNGTCKNVIGGFECTCEEGFEPGPMMTCEDINECAQNPLLCAFRCVNTYGSYECKCPAGYVLREDRRMCKDEDECEEGKHDCAEKQMECKNLIGTYLCICGPGYQRRPDGEGCVDENECQTKPGICENGRCLNTRGSYTCECNDGFTASPNQDECLDNREGYCFTEVLQNMCQIGSSNRNPVTKSECCCDGGRGWGPHCEICPFQGTVAFKKLCPHGRGFMTNGADIDECKVIHDVCRNGECVNDRGSYHCICKTGYTPDITGTACVDLNECNQAPKPCNFICKNTEGSYQCSCPKGYILQEDGRSCKDLDECATKQHNCQFLCVNTIGSFTCKCPPGFTQHHTACIDNNECTSDINLCGSKGICQNTPGSFTCECQRGFSLDPTGASCEDVDECEGNHRCQHGCQNIIGGYRCSCPQGYLQHYQWNQCVDENECLSAHICGGASCHNTLGSYKCMCPAGFQYEQFSGGCQDINECGSAQAPCSYGCSNTEGGYLCACPPGYFRIGQGHCVSGMGMGRGNPEPPASGEMDDNSLSPEACYECKINGYPKRGRKRRSANETDASNIEDQPEIEANVSLASWDVEKTAVFAFNISHISNKVRILELLPALTTLTNHNRYLIESGNENGFFKINQKEGISYLHFTKKKPVAGTYSLQISSTPLYKKKELNQLEDKYDKDYLSGELGDNLKMKIQILLH", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRMAAITKMLFISFLFLSSVFLARSGEVDDESEFSYDEKSENGPANWGNIRPDWKECSGKLQSPIDIFDLRAEVVSNLRILQKDYKPSNATLLNRGHDIMLRLDDGGYLKINETQYQLKQLHWHTPSEHTINGERFNLEAHLVHESNNGKFVVIGIVYEIGLWPDPFLSMIENDLKVPANKKGIERGIGIIDPNQIKLDGKKYFRYIGSLTTPPCTEGVVWIIDRKVKTVTRRQIKLLQEAVHDGFETNARPTQPENERYINSTYHSFGIEKQQ", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKTPFTILAALTVATTLADVPDEWDIIELSFGEPTSVDSGEIKFAMCPKTECPNVESEEEFKAAIKAKRAKGKKVLLSIGGQNGQVQLKTTEARDKFVSSVGGIIDKYGLDGLDIDFEGHSLYLDQGDTDFKNPKTSVVVNLIAALKSLKEKYGKAFVLTMAPETFFVQLGYSSYGPSNGNDARAGSYLPVIHAMRDDLTVLQVQNYNSGPIIGLDDQYHNVGTPDFLIAMADMLKAGFPVAKTNNTFPPLREDQIAIGLPSTVSAGNGFVDEKGVQDALNCLMKGESCGTYKPRGGKSPSFRGLMAWSINWDKFSNWGFLNPHRKYLDSFP", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MFLKNIFIALAIALLVDATPTTTKRSAGFVALDFSVVKTPKAFPVTNGQEGKTSKRQAVPVTLHNEQVTYAADITVGSNNQKLNVIVDTGSSDLWVPDVNVDCQVTYSDQTADFCKQKGTYDPSGSSASQDLNTPFKIGYGDGSSSQGTLYKDTVGFGGVSIKNQVLADVDSTSIDQGILGVGYKTNEAGGSYDNVPVTLKKQGVIAKNAYSLYLNSPDAATGQIIFGGVDNAKYSGSLIALPVTSDRELRISLGSVEVSGKTINTDNVDVLVDSGTTITYLQQDLADQIIKAFNGKLTQDSNGNSFYEVDCNLSGDVVFNFSKNAKISVPASEFAASLQGDDGQPYDKCQLLFDVNDANILGDNFLRSAYIVYDLDDNEISLAQVKYTSASSISALT", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNFATKVSLLLLAIAVIVIVEGGEGDSWFEEHEESDTERDFPLSKEYESCVRPRKCKPPLKCNKAQICVDPNKGW", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "NSGNPCCDPVTCKPRRGEHCVSGPCCRNCKFLNAGTICKYARGDDMNDYCTGISSDCPRNPYKD", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKTLLLTLVVVTIVCLDLAYTRKCYKTHPYKSEPCAPGENLCYTKTWCDFRCSQLGKAVELGCAATCPTTKPYEEVTCCSTDDCNRFPNWERPRPRPRGLLSSIMDHP", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAPQLLLCLIQTFLWSLPEAESNVFLKSNVANRFLQRTKRANSGFEEIYPANFERECVEERCSKEEAREVFEDDEKTEAFWTVYVDGDQCLSNPCHYGGTCKDGIGSYTCTCLAGYEGKNCEHDLLKSCRVDNGNCWHFCKPVQNDTQCSCAEGYRLGDNGFSCIAEGEFSCGRNIKSRNKREASLPDFQTDFSDDYDAIDENNLIETVQSQSATLLKKSDNPNPDIRIVNGLDCKLGECPWQAVLIDEKGTAFGGGTILSPYFVLTAAHCINKTKSIAVVVGQVDISRKETRRLLSVDKVYTHPKYVHVTNDYDIAIIQLKTPIQFSENVVPACLPTADFANHVLMKQDFGIVSGFGRIEEKGPTSNILKVVMVPYVDRHTCILSTKIPITRNMFCAGYGNQPEDACEGDSGGPHITAYKDTHFLTGIVSWGEGCGRDGKYGIYTKVSNFLPWIKTIMRRKQPSTESSTGRL", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKTLLLTLVVVTIVCLDFGYARTCLKTPEVKSEPCPPGQEVCYTKAWRDRMCSFRGKVIELGCAATCPRQEPGKEITCCSTDDCNTHP", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKTLLLTLVVVTIVCLDFGYARTCLKTPEVKSEPCPPGQEVCYTKAWCDRMCSFRGKVIELGCAATCPRQEPGKEITCCSTDDCNTHP", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAPQLLLCLILTFLWSLPEAESNVFLKSNVANRFLQRTKRANSIFEEIRPGNIERECVEEKCSKEEAREVFQDNEKTEAFWTVYVDGDQCLSNPCHYRGTCKDGIGSYTCTCLPGYEGKNCEHVVVKSCRLFNGNCWHFCKTVQNDTQCSCAEGYRLGVDGFSCIAEGDFSCGRIIKSRNKREASLPDFHFSDDYDAIDENNLVETVQSQSATLLKKSDNPSPDIRIVSGLDCKLGECPWQAVLIDEHGKAFGGGTILSPYFVLTAAHCLNQTKSIAVVVGQVDISRKETRHLLHVDKAYMHSKYVRATYDHDIAILRLRTPIQFSENVVPACLPTADFADEVLMKQDFGIVSGFGRLHERGSTSDILKVIRVPYVDRYTCMLSSNYRITPSMFCAGYGNQPQDACQGDSGGPHITAYGDTHFITGIISWGEGCGRKGKYGIYTKVSNFIPWIKTIMRRNQPSTESSTGRL", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKWSILLLVGCAAAIDVPRQPYAPTGSGKKRLTFNETVVKRAISPSAISVEWISTSEDGDYVYQDQDGSLKIQSIVTNHTQTLVPADKVPEDAYSYWIHPNLSSVLWATNYTKQYRYSYFADYFIQDVQSMKLRPLAPDQSGDIQYAQWSPTGDAIAFVRDNNVFVWTNASTSQITNDGGPDLFNGVPDWIYEEEILGDRFALWFSPDGAYLAFLRFNETGVPTFTVPYYMDNEEIAPPYPRELELRYPKVSQTNPTVELNLLELRTGERTPVPIDAFDAKELIIGEVAWLTGKHDVVAVKAFNRVQDRQKVVAVDVASLRSKTISERDGTDGWLDNLLSMAYIGPIGESKEEYYIDISDQSGWAHLWLFPVAGGEPIALTKGEWEVTNILSIDKPRQLVYFLSTKHHSTERHLYSVSWKTKEITPLVDDTVPAVWSASFSSQGGYYILSYRGPDVPYQDLYAINSTAPLRTITSNAAVLNALKEYTLPNITYFELALPSGETLNVMQRLPVKFSPKKKYPVLFTPYGGPGAQEVSKAWQALDFKAYIASDPELEYITWTVDNRGTGYKGRAFRCQVASRLGELEAADQVFAAQQAAKLPYVDAQHIAIWGWSYGGYLTGKVIETDSGAFSLGVQTAPVSDWRFYDSMYTERYMKTLESNAAGYNASAIRKVAGYKNVRGGVLIQHGTGDDNVHFQNAAALVDTLVGAGVTPEKLQVQWFTDSDHGIRYHGGNVFLYRQLSKRLYEEKKRKEKGEAHQWSKKSVL", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTRICFNHQSSQPQTTKTCSPGESSCYHKQWSDFRGTIIERGCGCPTVKPGIKLSCCESEVCNN", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSRILFILLLLIVTQLSELQAAAFSVRQNRFDEVPDLQTPAPLATSTESSKKPEKATSGLLKKCLPCSDGIRCVPQIQCPAHVRMESHEKPQICDLPAGKFGYCCETGQNHTAPKPETSPKERRSGFPTILSPAVLDEARRNFEHLMHGVAQIPVRRGFPDFAHGLVFHSTAKDDLHNFAISNSAIEQVMTTQLFGKKEQVPVEDFITNNVPIKFTETPLAHHCQPPPVCGNIRSVYRSMDGTCNNPEPQRSLWGAAGQPMERMLPPAYEDGIWTPRAHSSDGTPLLGARKISRTLLSDVDRPHPKYNLMVMQFGQVLAHDISQTSSIRLEDGSLVQCCSPEGKVALSPQQSHFACMPIHVEPDDEFFSAFGVRCLNFVRLSLVPSPDCQLSYGKQLTKVTHFVDASPVYGSSDEASRSLRAFRGGRLRMMNDFGRDLLPLTNDKKACPSEEAGKSCFHSGDGRTNQIISLITLQILLAREHNRVAGALHELNPSASDETLFQEARRIVIAEMQHITYNEFLPIIIGPQQMKRFRLVPLHQGYSHDYNVNVNPAITNEFSGAAYRMGHSSVDGKFQIRQEHGRIDEVVNIPDVMFNPSRMRKREFYDDMLRTLYSQPMQQVDSSISQGLSRFLFRGDNPFGLDLAAINIQRGRDQGLRSYNDYLELMGAPKLHSFEQFPIEIAQKLSRVYRTPDDIDLWVGGLLEKAVEGGVVGVTFAEIIADQFARFKQGDRYYYEYDNGINPGAFNPLQLQEIRKVTLARLLCDNSDRLTLQAVPLAAFVRADHPGNQMIGCDDPNLPSVNLEAWRA", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKHWKRRSSAVFAIVLQVLVLLLPDPAVAMTMEQFLTSLDMIRSGCAPKFKLKTEDLDRLRVGDFNFPPSQDLMCYTKCVSLMAGTVNKKGEFNAPKALAQLPHLVPPEMMEMSRKSVEACRDTHKQFKESCERVYQTAKCFSENADGQFMWP", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "EVRPFLDVYQRSACQTRETLVSILQEHPDEISDIFRPSCVAVLRCSGCCTDESMKCTPVGKHTADIQIMRMNPRTHSSKMEVMKFMEHTACECRPRWKQGEPEGPKEPR", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MHPIIWELSHMVDLQAAAQKLKRCYQRRVAITAGGLKHRLMSSLIIIIIIRINYLRDNSVIILESSY", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTGDCMPVLVLMAAVLTVTGAVPVARLRGALPDARGCHIAQFKSLSPQELQAFKRAKDALEESLLLKDCKCRSRLFPRTWDLRQLQVRERPVALEAELALTLKVLEATADTDPALGDVLDQPLHTLHHILSQLRACIQPQPTAGPRTRGRLHHWLHRLQEAPKKESPGCLEASVTFNLFRLLTRDLNCVASGDLCV", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKYLTLLTVLSTALATPLQHQHHHHHEHARRAEVTKVVYVNGNGDEVQSQVTENASSGASSGETAETIQTRSSSDVSSSSDSNPVASIASSVASSASSILSNIEGDLSAFSSPSKKFEDGVYDCDSVPVGQGVIGVDWISGLNGGWTTIMNENGDTSLNCKDGYYCSYACQAGMSKTQWPSEQPSNGMSIGGLYCKNGKLYRSNTDNDYLCEWGSKDVNFVSEISEDVAICRTDYPGSENMNIPTLLSAGGKAPCSVVDGDTYFKWQGGKTSTQYYVNNAGVSVEDGCIWGTEGSGVGNWAPVVLGSGTTGGKTYLSLIPNPNNKDKPNYNIKIVGDDVNGDCKYENGQYNGSGSDGCTVTVNSGSAKFVFY", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSESGIKKLSQERTREWLASQEDEELESIAESSVVDSLDYDYTEEEEDADQNTSEEISTMTLGTQIATKKHSIISDTIRDLMNSINSIQTLGNVNISNSTNVHIGNVTNINGNIQIIADGLTQNRRDRRHVSPPRDNAPKTPTHFEDDYQDESEERVRSDVFIRRQKFKIPKELSAIIPRSSWLAQKPMDEPLPLQLPVKYVVILHTATESSEKRAINVRLIRDMQCFHIESRGWNDIAYNFLVGCDGNIYEGRGWKTVGAHTLGYNRISLGISFIGCFMKELPTADALNMCRNLLARGVEDGHISTDYRLICHCQCNSTESPGRRLYEEIQTWPHFYNIEEEEQ", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MWYLAFLLIIGAYAADHAWETGNEYHYLIESRTLTVLDKLSQQFSGIVIKGGLTIQVKSPDTLQAVVSKTQYAPVHKTLENWNDEIADLKFDELSMSGKSFEIKLKHGVIRDVLIDQDVLTWEVNLIKSIVSQLQVDLQGENVIASSDNQIPDDSQPFGVYKAMEDSVGGKCEVLYSITPVPENFDSIPFPNLRKDGLNFFVTKTKNYNKCEQQMAYHSGITDKMNWKLGSNDGVLSRSSTSSIIISGNVKHFTIQSSLTTSEIFVRSKLHDTYSSAVYDSVKLTLDRMNQISNPMSASNNLVSTGNLVYIYNNPFSNQRKLRQPSVSLNSMEARSSENSNEENRSDDDRSNFLSNSGEEREYLQSKPTLNEAPESPLLPYFIGYKGESIQKSEDITSVAARFIAQIAWNLETSPVNAFNFSPSTEYIEPCIILIRLIRTMNVEQIAELENKLSDPIYHLQGNKLPTEYKKSYDKTTWDIFFNAVVSAGTGPALISIKNMIKNGQLKDTQAAMIISKIPKTALTPTSEYVNKFFELITDEQVTKQRFLNTSALLAFAELVRYTQSNRSIHYPVHSFGHMVSKQDNALLETYIPYMANQLTEAIKDGDSRRIQTYIMALGNFGHPKVLSVFEPYLEGTLPASTFQRLMMVVSLNRLSENFPRLARSVAFKIYMNIMEAYELRCAAVYVVMKTNPPLVMLQRMAEFTNQDQDRHVNSVIKTNIDALANLEQPEFQDLAAKARIARELLNPHIDTESYSQGFLFKKIIPSLNMAEITILQIIGSQDTTVPKSSYLNIYQSYGGFNLPPSRMSYEISSFRALLDMWYEMPWMIENETQKKLIIEETIEKLGIKGEDPVQFEGNIFVNTLYSSQFSPLDNNTIEETINAFKRIISSWQRSSKNFTSENINYLHYYDMTVAFPTESGLPFIYTLTVPKLLRINIGGGHKGSKTEHFKELTAAGYIMVHEKVQSRIGFVTPFEHRHYVAGIDTNTRLVTPLGLSISVNTTEENKKFKLTLQPSKYIRYGTGHSTVHFSVVPYTARNNILDLEHDFSKQDNDTLPVHTKEPHEIHFYISNWMFVAKSDLIDSKASEKQGMEAIKETVNLFCNSRGAYYRRFDGLMYFGEVRIRASYDFAKLDSDSSEATIPTIVNKEPDSEERKKQFLKEVGKNMNSAYGYVFDMSIDQGFDVQVFTLAYSYSQIDHKSQALFYWNVQSVDDPKIYAELGAIGYVKSKSISLNPEKALEQIPNDEFKAEIRLGNNFNEEMIKLEGNWTRTDDVKDMAMKSEIVKKCRQDMKQGNILLPACQKANKLINQKDLLMMSIDTTSDILYASANRGILWIQSLISENYVETMNLRSSSKNTIDMEIKMLPDNDDAKISLRTSQADVSFSLKDIIGNDSNVSMKDTFKEQLDDESVCVLDKTHAVTFDGKVYPLKLGKCWHVMMTIYPKRDPNNFEKTLSIPSDMRAIVMAQEMDDGSKQIKMILGDQEVHLQKSGDCLEASVDGETANFSDHKSHQEKDFEIYGSNETITVFSPTYEITVEYDGEHILLMISDNYLNAVRGLCGNYDTQPNNDFIIPENCILTKAEEFAATYAMTQESCQGPAPENKRKAEQSTCMSRSYRPSDVISDREAGRSSTKNRGWGYH", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "LSCGDVATQMASCINYLRGAGPLPAACCNGVKNLKNSATTTQDRRTACKCLISASKTISGVNFGLAAGLPAKCGVSIPYKISPSTNCDQVN", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKILIFIIASFMLIGVECKEGYPMGRDGCKISCVINNNFCKVECQAKWRQSDGYCYFWGLSCYCTNLPEDAQVWDSSTNKCGG", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVVCFLWLLLPYAATTLSASVPGCVPSGALLPRPTELSQSQNIKDATERLSRSLDDAVSGRIKAGWDIANTSFSVSIVSPNGGDPRTGVLWEYHHLAEKNINGTKHLDGDSQYLIGSVSKIFSDLLLLKSDVDLQDPITKYLPQLKNASSPIDWDNISLLSLSEHLSGIPANTIGALQFYFLEPLYRALGFPPLNKTDYPPCGIADLNKGCTPEELLTELVNSHPVSEPYERPVYSQLSFTLFSLALANDTGKDYAQMLEEQVIRPLNLRNTGVSPGEDKRAVIPNVEQQGWGADYGYNAPGGGLYSSLNDLSTLVTKILDYSILQNPQATKKWLQPRSATSSLNTLVGQPWEILRTSGMTPKYPHMIDIYGKSGGAPGYISQINVIDQYGVGVVLSTAGPLDSRAAYIINEAVLSAILPAVEDEARKQAGMYVGEYTSQKVDNEDATDYAPIKLKTVIDNGTGIKLESLSRNDSDILEGIRKVWSATLSTVGQLASEMRVYPTGLERLATNDKSLVEQDWRINFDLIPNFNEQASDLPGLGKLEALCTSWQTVDWLYYAGVPMDRIVFIVDKEAGRVVGVEIPFLRSGIIQKLN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAEASGLVTVCLLGYLLSAECAVFLDRENATKILSRPKRYNSGKLEEFVRGNLERECIEEKCSFEEAREVFENTEKTTEFWKQYVDGDQCESNPCLNDGVCKDDINSYECWCRAGFEGKNCELDVTCNIKNGRCKQFCKLGPDNKVVCSCTTGYQLAEDQRSCEPAVPFPCGRVSVPHISMTRTRAETLFSNMDYENSTEVEKILDNVTQPLNDFTRVVGGKDAKPGQFPWQVLLNGKVDAFCGGSIINEKWVVTAAHCIEPDVKITIVAGEHNTEKREHTEQKRNVIRTILHHSYNATINKYNHDIALLELDEPLTLNSYVTPICIADREYSNIFLKFGSGYVSGWGRVFNKGRSASILQYLKVPLVDRATCLRSTKFTIYNNMFCAGFHEGGKDSCQGDSGGPHVTEVEGISFLTGIISWGEECAMKGKYGIYTKVSRYVNWIKEKTKLT", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MQITSIAIVFFAAMGAVANPIARESDDLDARDVQLSKFGGECSLKHNTCTYLKGGKNHVVNCGSAANKKCKSDRHHCEYDEHHKRVDCQTPV", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLDWRLASAHFLLAMILMLWGSGKAFSVDLASEASEFGAESLQSPPTTREEKSATELAAKLLLLDDLVSLENDVFETKKKRSFSGFGSPLDRLSAGSVEHRGKQRRVVDHSKKRFGIPMDRIGRNRLSSSRG", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKASVFAVILGLVVLCACSFAEDEQDQFVSPNELLKSMFVESRHEFTPEVEGRYCQKWMWTCDSKRACCEGLRCKLWCRKIIG", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MENSQLVSITFLAYTIIISIGSINCIDNNNLVTNQSALFVFGDSVFDAGNNNYIDTLSSVRSNYWPYGQTTFKSPTGRVSDGRLIPDFIAEYAWLPLIPPNLQPFNGNSQFAYGVNFASGGAGALVGTFSGLVINLRTQLNNFKKVEEMLRSKLGDAEGKRVISRAVYLFHIGLNDYQYPFTTNSSLFQSISNEKYVDYVVGNMTDVFKEVYNLGGRKFGILNTGPYDCAPASLVIDQTKIRSCFQPVTELINMHNEKLLNGLRRLNHELSGFKYALHDYHTSLSERMNDPSKYGFKEGKKACCGSGPLRGINTCGGRMGLSQSYELCENVTDYLFFDPFHLTEKANRQIAELIWSGPTNITGPYNLKALFELN", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MTSLFFFVLLFSSLLISNGDANPNYKEALSKSLLFFQGQRSGPLPRGQQISWRASSGLSDGSAAHVDLTGGYYDAGDNVKFNLPMAFTTTMLSWSALEYGKRMGPELENARVNIRWATDYLLKCARATPGKLYVGVGDPNVDHKCWERPEDMDTPRTVYSVSASNPGSDVAAETAAALAAASMVFRKVDSKYSRLLLATAKDVMQFAIQYQGAYSDSLSSSVCPFYCSYSGYKDELMWGASWLLRATNNPYYANFIKSLGGGDQPDIFSWDNKYAGAYVLLSRRALLNKDSNFEQYKQAAENFICKILPDSPSSSTQYTQGGLMYKLPQSNLQYVTSITFLLTTYAKYMKATKHTFNCGSSVIVPNALISLSKRQVDYILGDNPIKMSYMVGFSSNFPKRIHHRASSLPSHALRSQSLGCNGGFQSFYTQNPNPNILTGAIVGGPNQNDGYPDQRDDYSHAEPATYINAAFVGPLAYFAAGRST", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "CQAYGESCSAVVRCCDPNAVCCQYPEDAVCVTRGYCRPPATVLT", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAWPLCTLLLLLATQAVALAWSPQEEDRIIEGGIYDADLNDERVQRALHFVISEYNKATEDEYYRRLLRVLRAREQIVGGVNYFFDIEVGRTICTKSQPNLDTCAFHEQPELQKKQLCSFQIYEVPWEDRMSLVNSRCQEA", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKCAVLFLSVIALVHIFVVEAEEEPDSDALVPQERACIPRGEICTDDCECCGCDNQCYCPPGSSLGIFKCSCAHANKYFCNRKKEKCKKA", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAGVMKLACLLLACMIVAGPITSNAALSCGSVNSNLAACIGYVLQGGVIPPACCSGVKNLNSIAKTTPDRQQACNCIQGAARALGSGLNAGRAAGIPKACGVNIPYKISTSTNCKTVR", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKYTFLAVLSAVTVLATPAPVPTPPNIPSASTAQSLLSGLTVRPQGPQDGYSRDKFPHWITISGTCNTRETVLRRDGTNVQVDGSCAATSGSWFSPYDGATWTAASDVDIDHVVPLSNAWKSGAASWTTSQRQSFANDLSNPQLIAVTDNVNQAKGDQGPESWKPPLQSYWCTYSRMWIKVKSVYDLSVTSAEKSALTSMLNTC", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "RICYIHKASLPRATKTCVENTCYKMFIRTQREYISERGCGCPTAMWPYQTECCKGDRCNK", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MERKLALLLILGMVTLASCGLREKHVQKLVALIPNDQLRSILKAVVHKVAKTQFGCPAYEGYCNDHCNDIERKDGECHGFKCKCAKD", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKLLAIISASLALAGFTTATPPPQTFSIKAKGNPKVPSARFDASRSNIFLNYGDSGAVCEVKPGCPKPKDAVFYLKDSILYLYTGSSNPVQKVFLDRSGFGQGKIGYLTGDGQLPSRWEVQGWTIDGAGNLKFKGKGLIACPTSDPKIKSWTVWADLGIATPGGNKGCLPFTAHTMKTKPVACKYT", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFLSILVALCLWLHLALGVRGAPCEAVRIPMCRHMPWNITRMPNHLHHSTQENAILAIEQYEELVDVNCSAVLRFFLCAMYAPICTLEFLHDPIKPCKSVCQRARDDCEPLMKMYNHSWPESLACDELPVYDRGVCISPEAIVTDLPEDVKWIDITPDMMVQERPLDVDCKRLSPDRCKCKKVKPTLATYLSKNYSYVIHAKIKAVQRSGCNEVTTVVDVKEIFKSSSPIPRTQVPLITNSSCQCPHILPHQDVLIMCYEWRSRMMLLENCLVEKWRDQLSKRSIQWEERLQEQRRTVQDKKKTAGRTSRSNPPKPKGKPPAPKPASPKKNIKTRSAQKRTNPKRV", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MALSLFTVGQFIFLFWTISITEANIDPAARAAAAAAASKAAVTAADAAAAAATIAASAASVAAATAADDAAASIATINAASAAAKSIAAAAAMAAKDTAAAAASAAAAAVASAAKALETINVKAAYAAATTANTAAAAAAATATTAAAAAAAKATIDNAAAAKAAAVATAVSDAAATAATAAAVAAATLEAAAAKAAATAVSAAAAAAAAAIAFAAAP", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNCVCRLVLVVLSLWPDRVVAPGPPAGSPRVSSDPRADLDSAVLLTRSLLADTRQLAAQMRDKFPADGDHSLDSLPTLAMSAGTLGSLQLPGVLTRLRVDLMSYLRHVQWLRRAGGPSLKTLEPELGALQARLERLLRRLQLLMSRLALPQAAPDQPVIPLGPPASAWGSIRAAHAILGGLHLTLDWAVRGLLLLKTRL", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "NNQLALKLAATGGSTNSLPALALQNLLNTWEDTSCCSQTSPGQQSWPRDGAQDGLYTLSTADGEIYQTFCDMSTHGGGWTLVASVHENNAHGKCTVGDRWSSQQGNSPLYPEGDGNWANNNIFGSAMGSTSDDYKNPGYYDLQAGDLSVWHVPDRAPLRKEMIESSVLLFYRTGFLSSEGGNLLRLYEKYPVKYGAGSCKVDNGPAVPIVYDFGSAEKTAAYYSPSGRGEFTAGFVQFRVFNNEKAPMALCSGLKVTGCNTEHHCIGGGGFFPEGNPRQCGDFPAFDWDGYGTHQSWSTSREMIESSVLLFYR", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MELIRVLANLLILQLSYAQKSSELVFGGDECNINEHRSLVVLFNSNGFLCGGTLINQDWVVTAAHCDSNNFQLLFGVHSKKILNEDEQTRDPKEKFFCPNRKKDDEVDKDIMLIKLDSSVSNSEHIAPLSLPSSPPSVGSVCRIMGWGKTIPTKEIYPDVPHCANINILDHAVCRTAYSWRQVANTTLCAGILQGGRDTCHFDSGGPLICNGIFQGIVSWGGHPCGQPGEPGVYTKVFDYLDWIKSIIAGNKDATCPP", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKVLILIMIIASLMIMGVEMDRDSCVDKSRCAKYGYYQECQDCCKNAGHNGGTCMFFKCKCA", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKFSTAVTTLISSGAIVSALPHVDVHQEDAHQHKRAVAYKYVYETVVVDSDGHTVTPAASEVATAATSAIITTSVLAPTSSAAAADSSASIAVSSAALAKNEKISDAAASATASTSQGASSSSSSSSATSTLESSSVSSSSEEAAPTSTVVSTSSATQSSASSATKSSTSSTSPSTSTSTSTSSTSSSSSSSSSSSSSSSGSGSIYGDLADFSGPSEKFQDGTIPCDKFPSGQGVISIDWIGEGGWSGVENTDTSTGGSCKEGSYCSYSCQPGMSKTQWPSDQPSDGRSVGGLLCKNGYLYRSNTDADYLCEWGVEAAYVVSKLSKGVAICRTDYPGTENMVIPTYVEGGSSLPLTVVDQDTYFTWEGKKTSAQYYVNNAGVSVEDGCIWGTSGSGIGNWAPLNFGAGSTGGVTYLSLIPNPNNSDALNYNVKIVAADDSSNVIGECVYENGEFSGGADGCTVSVTSGKAHFVLYN", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVSFTYLLAAVSAVTGAVAAPNPTKVDAQPPSGLLEKRTSPTTGVNNGFYFSFWTDTPSAVTYTNGNGGQFSMNWNGNRGNHVGGKGWNPGAARTIKYSGDYRPNGNSYLAVYGWTRNPLVEYYIVENFGTYNPSSGAQKKGEINIDGSIYDIAVSTRNCAPSIEGDCKTFQQYWSVRRNKRSSGSVNTGAHFNAWAQAGLRLGSHDYQILAVEGYQSSGQATMTVSG", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKFVPCLLLVTLSCLGTLGQAPRQKQGSTGEEFHFQTGGRDSCTMRPSSLGQGAGEVWLRVDCRNTDQTYWCEYRGQPSMCQAFAADPKPYWNQALQELRRLHHACQGAPVLRPSVCREAGPQAHMQQVTSSLKGSPEPNQQPEAGTPSLRPKATVKLTEATQLGKDSMEELGKAKPTTRPTAKPTQPGPRPGGNEEAKKKAWEHCWKPFQALCAFLISFFRG", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "AWKCLPKDSTCGDDCDCCEGLHCHCPLRNMLPAILRCSCQSKDDHINTCPKYKKS", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNFYKIFVFIALILALSVSQSEAGWLKKIGKKIERVGQNTRDATVKGLEVAQQAANVAATVRG", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKFLYGTILIAFFLTVMIATHSEARCPPCFTTNPNMEADCRKCCGGRGYCASYQCICPGG", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRTFLVTFVLVVVVGVISAYPSNPVEVEAEDFDAQDPDLQTFQDTFYEVPQVHSRQKRATCDLLSAFGVGHAACAAHCIGHGYRGGYCNSKAVCTCRR", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVIQRNSILLLIIIFASSISTCRSNVIDDNLFKQVYDNILEQEFAHDFQAYLSYLSKNIESNNNIDKVDKNGIKVINVLSFGAKGDGKTYDNIAFEQAWNEACSSRTPVQFVVPKNKNYLLKQITFSGPCRSSISVKIFGSLEASSKISDYKDRRLWIAFDSVQNLVVGGGGTINGNGQVWWPSSCKINKSLPCRDAPTALTFWNCKNLKVNNLKSKNAQQIHIKFESCTNVVASNLMINASAKSPNTDGVHVSNTQYIQISDTIIGTGDDCISIVSGSQNVQATNITCGPGHGISIGSLGSGNSEAYVSNVTVNEAKIIGAENGVRIKTWQGGSGQASNIKFLNVEMQDVKYPIIIDQNYCDRVEPCIQQFSAVQVKNVVYENIKGTSATKVAIKFDCSTNFPCEGIIMENINLVGESGKPSEATCKNVHFNNAEHVTPHCTSLEISEDEALLYNY", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MHPQGRAASPQLLLGLFLVLLLLLQLSAPSSASENPKVKQKALIRQREVVDLYNGMCLQGPAGVPGRDGSPGANGIPGTPGIPGRDGFKGEKGECLRESFEESWTPNYKQCSWSSLNYGIDLGKIAECTFTKMRSNSALRVLFSGSLRLKCRNACCQRWYFTFNGAECSGPLPIEAIIYLDQGSPELNSTINIHRTSSVEGLCEGIGAGLVDVAIWVGTCSDYPKGDASTGWNSVSRIIIEELPK", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MLLSCRHGASSPKLDNLVPSGKMKILLVFLGLLCYSAAMPMQMPRMPGFSSKSEEMMRYGHFNFMNAPHMAHLGTLYGNGMQLPQFFPQYQMPMWPQPPPNKKHPQKPSASKQQSKTDPAPESQKPNQPQPKTPTPKQPLNEPSPTPTQPEEETQTPQAFPPFGNGLFPYQQPLWHVPHRIPPGYGRPPTSNEEGGNPYFGFFGYHGFGGRPPYYSEEMFEQDFEKPKEKDPPKTETPATEPSVNTTVPETNSTQPNAPNPRGNDTSPTGTSGQGPNPRSNPTGQNGPAVNVSGQGVPRSQSPWGPRQTIIHENYPNPNIRGFPARRQWRPPGPAMGHRRNGPFYRNQQIQRGPRWNSFTLEGKQAVRPGYPTYRRVYGSTARSNPPNYAGNSANLRRKPEGPNKNPMVTNVAPPGPKHGTVDQNENIQNPREKQVSQKERTVVPTRDPSGPWRNSQDYGINKSNYKLPQPEDNMLVPNFNSIDQRENSYYPRGESKRAPNSDGQTQTQIIPKGIVLEPRRIPYESETNQPELKHSAYQPVYTEGIPSPAKEHFPAGRNTWNQQEISPPFKEDPGRQEEHLPHLSHGSRVHVYYPDYNPYDPRENSPYLRSNTWYERDDSPNTMGQPENPHYPMNTPDPKETIPYNEEDPIDPTGDEHFPGQSRWDMEELSFKEDPTVRHYEGEQYTSNQPKEYLPYSLDNPSKPREDFLYGEFYPWNPEENFPSYNTAPTVSSPVESRGYYANNAVGQEESTMFPSWSSWDPRIQAQGQKEGRPYLNRNFWDQSTNLYKTPTSSPHQKENQPYSNNSPAGLQKNPTWHEGENLNYGMQITRLNSPERDHLAFPDLIPPDYPGGQKESHVFHLSQRGPCCAGGSMWPKNNPLALQDYTQSFGLAPGENPDTSIGYAEDSHIKYARQTVSPTSIVPGQRNSSEKILPGESQNPSPFKDDVSTLRRSTPCSVKSQLSQRGIMPLPEANSLQSKNTPCLTSDLGGDGNNVLEQIFEGNQLNERTVDLTPEQLVFGTPDKEPRPEGIPNEMQGNESERQQQRQSSILQLPCFGSKLANYHTSSIGTPSSLGRQDSFDGDPIMPTETPNSLAGLATGAQFQNINVDPLNEDEHTPFDSLQIGTNPQDQVQDCLLLQA", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKVLYGILIIFILCSMFYLSQEVVIGQRCYRSPDCYSACKKLVGKATGKCTNGRCDC", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MWKFASIVVLVVCLAWAVYCEDQRPPSLKTRFGRSADEPESDNYVSNDIMEKRSAQRPPSLKTRFGRSEGAEVMEKRSAQRPPSLKTRFGRSVANPESDGYMRKRSAESEPFVTRIRHGRANKKRAAN", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAFLKKSLFLVLFLGLVSLSICEEEKRETEEEENEQEDDDKSEEKRFLSLIPHAINAVSAIAKHFG", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRFATSTIVKVALLLSSLCVDAAVMWNRDTSSTDLEARASSGYRSVVYFVNWAIYGRNHNPQDLPVERLTHVLYAFANVRPETGEVYMTDSWADIEKHYPGDSWSDTGNNVYGCIKQLYLLKKQNRNLKVLLSIGGWTYSPNFAPAASTDAGRKNFAKTAVKLLQDLGFDGLDIDWEYPENDQQANDFVLLLKEVRTALDSYSAANAGGQHFLLTVASPAGPDKIKVLHLKDMDQQLDFWNLMAYDYAGSFSSLSGHQANVYNDTSNPLSTPFNTQTALDLYRAGGVPANKIVLGMPLYGRSFANTDGPGKPYNGVGQGSWENGVWDYKALPQAGATEHVLPDIMASYSYDATNKFLISYDNPQVANLKSGYIKSLGLGGAMWWDSSSDKTGSDSLITTVVNALGGTGVFEQSQNELDYPVSQYDNLRNGMQT", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLWFSGVGALAERYCRRSPGITCCVLLLLNCSGVPMSLASSFLTGSVAKCENEGEVLQIPFITDNPCIMCVCLNKEVTCKREKCPVLSRDCALAIKQRGACCEQCKGCTYEGNTYNSSFKWQSPAEPCVLRQCQEGVVTESGVRCVVHCKNPLEHLGMCCPTCPGCVFEGVQYQEGEEFQPEGSKCTKCSCTGGRTQCVREVCPILSCPQHLSHIPPGQCCPKCLGQRKVFDLPFGSCLFRSDVYDNGSSFLYDNCTACTCRDSTVVCKRKCSHPGGCDQGQEGCCEECLLRVPPEDIKVCKFGNKIFQDGEMWSSINCTICACVKGRTECRNKQCIPISSCPQGKILNRKGCCPICTEKPGVCTVFGDPHYNTFDGRTFNFQGTCQYVLTKDCSSPASPFQVLVKNDARRTRSFSWTKSVELVLGESRVSLQQHLTVRWNGSRIALPCRAPHFHIDLDGYLLKVTTKAGLEISWDGDSFVEVMAAPHLKGKLCGLCGNYNGHKRDDLIGGDGNFKFDVDDFAESWRVESNEFCNRPQRKPVPELCQGTVKVKLRAHRECQKLKSWEFQTCHSTVDYATFYRSCVTDMCECPVHKNCYCESFLAYTRACQREGIKVHWEPQQNCAATQCKHGAVYDTCGPGCIKTCDNWNEIGPCNKPCVAGCHCPANLVLHKGRCIKPVLCPQR", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MYVLFLLSWVLVAGALPAMKKSAIPVLEAIERCRVLGVDIEAYGSKDEILATTKESNNLRECFDILVSADQTALTEVAMLGVLMKRLQTRQALGEPIYELLSKGLPKGAGAKAVTNGNMSGVGQALLRRHKRQVMPLGEVGEDPGKRARKRRLGLPIGEPGEDVGKRMRQRQQGRARQNYNTWLRKYYAWYQRAQRYYARKRGARPAAAAKPAAKKPAV", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKQSIIIALFATIAVMACLQMVAAVPAPVPEAAPGPVAEAEAYASPEALASPEAEPILGIITSLLKSLGKK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKFLAAASLLVASTLAVPTSSGGSCRPRPPPGGGNGGNGGNGGNGGNGYQPCPAGLYSNPQCCATDVLGVADLDCKNPSSAPMSGDNFKSICNAVGQQAKCCVLPVAGQAVLCQDSINGGGNGGNNGGNGGNNGGNGGNNGGNTDYPGGNGGNNGGNNGGNNGGNNGGNNGGNNGGNNGGNNGGNNGGNNGGNGGNGGNGYQACPAGLLYSNPQCCSTGVLGVADLDCKNPSSAPTSGDDFQKICANGGQQAQCCSIPVAGQAVLCQPAIGGGNPGGNGGNNGGNGGNGGNNGGNNGGNGDYPGGNGGNNGGSNGGGNGGNGGNGGSFKCPSGLYSVPQCCATDVLGVADLDCGNPSRQPTDSSDFASVCAAKGQRARCCVLPLLGQAVLCTGA", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAKNFQSVLLLVCLSFLVIVSSPQNAVQADTLIGSCVWGATNYTSDCNAECKRRGYKGGHCGSFLNVNCWCE", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKATILASTFAAGALAQSGAWGQCGGNGWSGATSCISGYACNYVNDWYSQCQPGTAAPTTTAAATTLVTSTKTAPPASTTTATASGKFKWFGVNEAGGEFGDGIFPGRWGTEFTFPDTNTIQTLRSQGYNIFRVGFAMERLVPNTLTSSFDNGYLTNLTQVVNSVTNSGAYIVLDPHNYGRYYGKIITDTDAFKTFWQNVAAKFASNSKVIFDTNNEYNTMDQTLVLNLNQAAIDGIRAAGATSQYIFVEGNQWTGAWSWNVTNTNLAALTDPENKIVYEMHQYLDSDSSGTSTACVSSEIGVQRIVGATAWLRANGKKGVLGEFAGGANSVCKAAVTGLLEHLKANTDVWEGALWWAAGPWWGDYMYSFEPPSGTGYTYYNSLLKTYTP", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASPVVSLLLVGICALAFVHVARSECCTSRELVEFKMDRGDCEAVRAIENYPNGCEVTICADGVAQLGAYCGQGSCNIFGCNCDGGCLSGDWSQEFVRRNQQYGIQIIKVTRLPF", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "VIEPKCYKYEGKKCPPDINPVCGTDKRTYYNECALCVFIRQSTKKADKAIKIKKWGKC", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKGITFFTPFLSFLYLLCILFMTETEAGSRNGDGVYIVYMGSASSAANANRAQILINTMFKRRANDLLHTYKHGFSGFAARLTAEEAKVIAKKPGVVSVFPDPHFQLHTTHSWDFLKYQTSVKVDSGPPSSASDGSYDSIVGILDTGIWPESESFNDKDMGPIPSRWKGTCMEAKDFKSSNCNRKIIGARYYKNPDDDSEYYTTRDVIGHGSHVSSTIAGSAVENASYYGVASGTAKGGSQNARIAMYKVCNPGGCTGSSILAAFDDAIADGVDVLSLSLGAPAYARIDLNTDPIAIGAFHAVEQGILVICSAGNDGPDGGTVTNTAPWIMTVAANTIDRDFESDVVLGGNKVIKGEGIHFSNVSKSPVYPLIHGKSAKSADASEGSARACDSDSLDQEKVKGKIVLCENVGGSYYASSARDEVKSKGGTGCVFVDDRTRAVASAYGSFPTTVIDSKEAAEIFSYLNSTKDPVATILPTATVEKFTPAPAVAYFSSRGPSSLTRSILKPDITAPGVSILAAWTGNDSSISLEGKPASQYNVISGTSMAAPHVSAVASLIKSQHPTWGPSAIRSAIMTTATQTNNDKGLITTETGATATPYDSGAGELSSTASMQPGLVYETTETDYLNFLCYYGYNVTTIKAMSKAFPENFTCPADSNLDLISTINYPSIGISGFKGNGSKTVTRTVTNVGEDGEAVYTVSVETPPGFNIQVTPEKLQFTKDGEKLTYQVIVSATASLKQDVFGALTWSNAKYKVRSPIVISSESSRTN", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAWLQPTLCRKELESRQTSGLDAAMKAAGKKYFGTALTVRNDAGETNVLNTKGEFGSITPENAMKWEAIQPNRGQFNWGPADQHANAATQRGMELRCHTLVWHSQLPSWVANGNWNNQTLQQVMKDHINAVMGRYKGKCTHWDVVNEALNEDGTYRDSVFYRVIGEAFIPIAFRMVLAADPTTKLYYNDYNLEYGGAKTAGAIRITKLIQSYGLRIDGVGLQAHMTSESTPTQSTVTPSRANLASVLNSFTKLNVDVAYTELDIRMNTPANQQKLQANAAAYARMVGSCMDVKRCVGVTVWGISDKYSWVPGTFPGEGSALLWDDNFNKKPSYTSSLNTIRACWKCHRLLVSIS", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSSTWIKFLFILTLVLLPYSVFSVNIFAGPENVIKEPNCTMYKSKSECSNIAENPVCADDRNTYYNECYFCIEKVVEKLKYRYHGICIYK", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MARTHFIFLLLVALLSTTFPSSSSSREQEKDRIKALPGQPKVAFSQYSGYVNVNQSHGRALFYWLTESSSPSPHTKPLLLWLNGGPGCSSIAYGASEEIGPFRINKTGSNLYLNKFAWNKDANLLFLESPAGVGYSYTNTSSDLKDSGDERTAQDNLIFLIKWLSRFPQYKYRDFYIAGESYAGHYVPQLAKKINDYNKAFSKPIINLKGFLVGNAVTDNQYDSIGTVTYWWTHAIISDKSYKSILKYCNFTVERVSDDCDNAVNYAMNHEFGDIDQYSIYTPTCVAAQQKKNTTGFFVRMKNTLLRRRLVSGYDPCTESYAEKYFNRPDVQRAMHANVTGIRYKWTACSDVLIKTWKDSDKTMLPIYKELAASGLRIWIFSGDTDSVVPVTATRFSLSHLNLPVKTRWYPWYTDNQVGGWTEVYKGLTFATVRGAGHEVPLFEPKRALILFRSFLAGKELPRSY", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MALGLLIAVPLLLQAAPPGAAHYEMLGTCRMICDPYSVAPAGGPAGAKAPPPGPSTAALEVMQDLSANPPPPFIQGPKGDPGRPGKPGPRGPPGEPGPPGPRGPPGEKGDSGRPGLPGLQLTTSAAGGVGVVSGGTGGGGDTEGEVTSALSAAFSGPKIAFYVGLKSPHEGYEVLKFDDVVTNLGNHYDPTTGKFSCQVRGIYFFTYHILMRGGDGTSMWADLCKNGQVRASAIAQDADQNYDYASNSVVLHLDSGDEVYVKLDGGKAHGGNNNKYSTFSGFLLYPD", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKVKTIMLLFQILAISTIKSADVPNRYIQENVAVRGKATQSTLPSGAGAVLSLPGFAIDGNRDSDFSHGSCSHTTNSPNPWWRVDLLQLYTITSVTITNRGDCCGERISGARILIGNSLENNGINNPACSVIGSMETGETRTFHCPQPMIGRYVTVYLPKTEVLQLCEVEVNALLPVN", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFGRTLALAAVFATTVLSAAASLEECPGYKVSNVRDNGHTLKADLRLAGKACNVYGEDIRQLKLRVEYQTHERLHVIIEDSKEDVYQVPESVFPRPESEENDSASTKSALKFSMTQKPFSFKVTRRATDEVIFDTSNSPLIFESQYLRLRTSLPDEPNLYGLGEHSDPLRLQTEDLVTTLWNRDAFGIPPGTNLYGSHPVYYDHRGRSGTHGVFLLNSNGMDVKVGSEDGDNGKKYLEYNILGGVLDFYFMAGPTPKEVASQYAEVVGLPAMMPYWGFGLHQCRYGYRDAFNVAEVVYNYSQAGIPLETMWTDIDYMDGRKVFTLDSKRFPIDEMRALVEYLHDRNQHYIVMVDPAVSYGDNDAFERGKTQDVFMKSKDGAIYKGAVWPGVTAFPDWFHPGTQDYWNNEFKLFFDPEKGIDIDALWIDMNEASNFCDWPCSDPEGWERDHDLPPAPPPVRPIPRPLPGFPDKLQPGSVRLVKRDGTRLRSKAGLPGRDLIDPPYRIQNEAGSISNKTLNTDLVHANGLVEYDTHNLYGTMLTKYRLGDNLSEWSQYRFSISQILQFAAIYQVPMVGADVCGFGGNVTEELCARWAMLGAFYPFYRNHNDIAGRDQEFYRWESVTEAARTAIGIRYKLLDYIYTAFHRQTQSGDPVLNPLFYIYPEDEDTFAIDLQFFYGDALLVSPVTEEGATSVEIYLPDDIFYDYYTGEPIEGKGDLITMENVPITHIPLHFRGGQIVPMRADSANTTTELRKQPFELVICLDREGNAEGSLYLDDGDSLEQPHTSEINFEYHNGVLKVSGKFDFQNEEALEIKNIFVLGYKQDMNVQDKGNMNKDSQYDARLKKLAIKAKILLTGPSEMTLH", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "AKDGDVKGPAGCMKYKSGDCRGKTCCDQQYLWYKWRNLACRCFTVEVFKKDCWCNDIS", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "KDKENCIGKHHECTDDRDSCCKGKLFRYQCQCFKVIDGKKETKRCACVTPLHYKMAEMAVSVFKKMFKN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "KDKENCIGKHHECTDDRDNCCKGKLFRYQCQCFKVIDGKKETKRCACVTPLHYKMAEMAVSVFKKMFKN", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "WNACTKQSDCEEDECCLDNLFFKRPYCEKRYGAEQRCSAAAVYKEDKDLYYFTCPCVPMYECLGKGSLDENGNTVMKNPKCIMPTL", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRSEKHLPPLPLLLAICCLGTLHPSSGFPQSVPSYMEALDIPESEKLAFCFSQWTALPDQEQIPSFVMDLCSSIYNRMKVNEENNHEIYKRFLFQFSRTKDPSLKTGESQIATAEYTKRDSSGIVGRPFFLFRPRNGRKVSINEH", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKRMILFISCLLLIDIVVGGREGYPADSKGCKITCFLTAAGYCNTECTLKKGSSGYCAWPACYCYGLPDSVKIWTSETNKCGKK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRSSLLLGLTVVLLLGVTVPPCMAGQALNKLMPKIVSAIIYMIGQPNAGVTFLGHQCLVESTRQPDGFYTAKMWCTSWTSDNPIVGEGRSRVELEALKGSIRNFVQTASDYKKFTIEEVEDWIASY", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAGARAALLPLLLHLGSLALAARGGEVSREQPRLADAISQQQAPSHSLVPGETHQQQWCPLEERLERLEAEVTDLRKQNRELQARVVQLESCECWGPGHTCPEGARWEPDACTACVCRDGTAHCGPQPNLPHCRGCSHNGQSYGHGETFSPDACTTCRCLAGTVQCQGPSCSELNCLESFIPPGECCPICRPGCEYEGQLHQEGSSFLSSSNPCLQCSCLRSLVRCVPVKCQPSPCLNPVPRLGHCCPVCQASGCTEGNSHRDHGQEWTTPGDPCRICQCLEGHIQCRQRECASLCPYPARPLPGTCCPVCDGCFLNGREHSSGEPVGSQDPCSSCRCTNGSVQCEPLPCPPAPCRYPGRIPGQCCPVCDGCKYQGHEYRSQETFTLQENGRCLRCVCQAGEVSCEEQDCPVTPCVRSASGPQLCSACVLNGEEFAEGIQWEPDDQPCTSCSCQDGVPVCRAVLCSPVPCQHPTQPPGACCPSCDSCTYHSLVYANGQNFTDVDSPCQTCYCEDGTVRCSLINCPFTTCAKPQNGPGQCCPKCPDCILEAQVFVDGERFPHPRDPCQECWCQEGQAHCQLRACPSAPCVHPLPGTCCKNDCTGCAFGGKEYPNGADFPHPTDPCRLCRCLSGNVQCLARRCPPLSCPQPVLTPGDCCPQCPDAPADCPQSGNMVPVRHQEHFFQPGDPCSRCLCLDGSVSCQRLTCPPAPCAHPRRDACCPSCDGCLYQGKEFASGERFPSPNVACHVCLCWEGSVKCEPRTCAPAQCPFPTREDCCPACDSCDYLGVSYLSSQEFPDPREACNLCTCLGGFVTCTRRPCEPPACSHPLIVPEHCCPTCQGCLYHGITAALGETLPDPLDPTCSLCTCEEGSMRCQKKPCPPAPCAHPSPGPCFCPVCRSCLSQGREHQDGEEFEGPEGSCERCRCLAGQVSCTRLQCPSLPCLHQVTEPGTCCPRCTGCLARGEEHPEGSSWVPADSPCSSCMCHKGIITCAQVQCVSACIWPQEGPSDCCPQCSGCEHGGRKYEPGESFQPGADPCEVCICKQKREGPPSLHCSRRQCPSLVGCPPSQLLPPGPQHCCPTCAQALSNCTEDLVGSELVPPDPCYTCQCQDLTWLCTHRACPELSCPLWERHTTPGSCCPVCKDPTQSCMHQGRWVASGEQWAVDACTSCSCVAGTVHCQTQRCRKLACSRDEVPALSPGSCCLRCLPRPASCMAFGDPHYRTFDGRLLHFQGSCSYVLAKDCHGEDFSVHVTNDDRGRRGVAWTQEVAVLLGTVAVRLLQGRTVMVDQHTVTLPFLREPLLYIELRGHTVILHAQPGLQVLWDGQSQVEVRVPSSYRGQTCGLCGNFNGFAQDDLQGPDGRLLPTEASFGNSWKVPKGLGPGRPCSAGREVDPCRAAGYRARREANARCGILKTSPFSHCHAVVPPEPFFAACVYDLCACGPGSSSDTCLCDALEAYASHCRQAGVTPVWRGPTLCVVGCPVDRGFVFDECGPPCPRTCFNRHIPLGELAAHCVRPCVPGCQCPAGLVEHEGHCISPEVCPPVLLTGD", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKEYVLLLFLALCSAKPFFSPSHIALKNMMLKDMEDTDDDDDDDDDDDDDDEDNSLFPTREPRSHFFPFDLFPMCPFGCQCYSRVVHCSDLGLTSVPTNIPFDTRMLDLQNNKIKEIKENDFKGLTSLYGLILNNNKLTKIHPKAFLTTKKLRRLYLSHNQLSEIPLNLPKSLAELRIHENKVKKIQKDTFKGMNALHVLEMSANPLDNNGIEPGAFEGVTVFHIRIAEAKLTSVPKGLPPTLLELHLDYNKISTVELEDFKRYKELQRLGLGNNKITDIENGSLANIPRVREIHLENNKLKKIPSGLPELKYLQIIFLHSNSIARVGVNDFCPTVPKMKKSLYSAISLFNNPVKYWEMQPATFRCVLSRMSVQLGNFGM", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "IRCFITPDVTSQACPDGQNICYTKTWCDNFCGMRGKRVDLGCAATCPTVKPGVDIKCCSTDNCNPFPTRERS", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKTVPFLSLLQAGILTSGIVAQNIAFVGSNANAIATVSFDTKTGTFKVTGNNTDSSTPSWQEVSRDGKLLYSIEETSTEHALTSYSIGQDGKLKKLKSIKGLAGPVSLDMHPTQPIIITANYGSASASAYSSKDNGELTHLGDFMFKMQGKGKVPDRQDAPHPHQALFDPTGKFVLMPDLGSDLIRILKVDAGQKFSVAPPNKVKPGTGPRHGVLYPASDKPRFYYVVGELSNTVTAMSVEYTVETIKLTEIQTLSTLPDGQRGAAGELILSPSGKHLYASNRLDKVFPGSSSVASYTIDQMTGKLKLLEIFNGGVENIRHMSIHPSGKWFVTEGQNSNDIKVFALDPETGKVTPEAKSTLEIEKPVCLQWWHNGAQESEAPEAGTETECEFDD", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGCIKVISVFLAAIAAVDARAFFHNRGGNDVIPNSYIVVMKDGVTAEDFDSHISSVAATHSLNKAKRGSETVGHKDSFNINGWRAYNGHFDEATIESILKDDKVNYVEHDRVVKLAALTTQPNAPTWGLGRVSHKAPGNKDFVYDSSAGQGITIYGVDTGIDIRHPEFAGRIRWGTNTVDNDNTDGNGHGTHTAGTFAGTTYGVAKKANIVAVKVLSAGGSGSTSGVIKGIDWCVTDARSKNALGKAALNLSLGGSFSQASNDAVTRAQEAGIFVAVAAGNDNRDAKNSSPASAPAVCTAASSTIDDQKSSFSNWGTIVDIYAPGSNILSAAPGGGTRTLSGTSMASPHVCGVGAAMLAQGVSVAQACDRLKQIGNAVIRNPGTGTTNRLLYNGSGR", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGFITKAIPLALAAASVINGAEIMETRAGVQTLADKYIVVMNDGMTDKDFDSHRSWVNRTHRRRLIRRGAKAMGGMKHTYRFPTGLKGYSGHFDEQMINEISKRADVKYIERDARVQINAIEQQDNVPSWGLARVGSKEPGGTTYYYDGTAGEGSTAYVIDTGTDIQHEEFEGRATWGANFVDDMDMDCNGHGTHVSGTIGGKTFGVAKKSNVVAVKVLDCNGSGSNSGVIMGMEWATKDAQQKGADKAVANMSLGGAFSQASNDAAAAIAKGGVFLAVAAGNDNVDAADSSPASEPSICTVAASTEQDSKADFSNFGQVVDVYAPGDSITSAKPGGGSQVLSGTSMATPHVAGLGAYLIGLGKGGGPGLCDTIKQTAIDVIQNPGASTTSKLINNGSGM", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAWKTLPIYLLLLLSVFVIQQVSSQDLSSCAGRCGEGYSRDATCNCDYNCQHYMECCPDFKRVCTAELSCKGRCFESFERGRECDCDAQCKKYDKCCPDYESFCAEVHNPTSPPSSKKAPPPSGASQTIKSTTKRSPKPPNKKKTKKVIESEEITEEHSVSENQESSSSSSSSSSSSTIRKIKSSKNSAANRELQKKLKVKDNKKNRTKKKPTPKPPVVDEAGSGLDNGDFKVTTPDTSTTQHNKVSTSPKITTAKPINPRPSLPPNSDTSKETSLTVNKETTVETKETTTTNKQTSTDGKEKTTSAKETQSIEKTSAKDLAPTSKVLAKPTPKAETTTKGPALTTPKEPTPTTPKEPASTTPKEPTPTTIKSAPTTPKEPAPTTTKSAPTTPKEPAPTTTKEPAPTTPKEPAPTTTKEPAPTTTKSAPTTPKEPAPTTPKKPAPTTPKEPAPTTPKEPTPTTPKEPAPTTKEPAPTTPKEPAPTAPKKPAPTTPKEPAPTTPKEPAPTTTKEPSPTTPKEPAPTTTKSAPTTTKEPAPTTTKSAPTTPKEPSPTTTKEPAPTTPKEPAPTTPKKPAPTTPKEPAPTTPKEPAPTTTKKPAPTTPKEPAPTTPKETAPTTPKKLTPTTPEKLAPTTPEKPAPTTPEELAPTTPEEPTPTTPEEPAPTTPKAAAPNTPKEPAPTTPKEPAPTTPKEPAPTTPKETAPTTPKGTAPTTLKEPAPTTPKKPAPKELAPTTTKEPTSTTCDKPAPTTPKGTAPTTPKEPAPTTPKEPAPTTPKGTAPTTLKEPAPTTPKKPAPKELAPTTTKGPTSTTSDKPAPTTPKETAPTTPKEPAPTTPKKPAPTTPETPPPTTSEVSTPTTTKEPTTIHKSPDESTPELSAEPTPKALENSPKEPGVPTTKTPAATKPEMTTTAKDKTTERDLRTTPETTTAAPKMTKETATTTEKTTESKITATTTQVTSTTTQDTTPFKITTLKTTTLAPKVTTTKKTITTTEIMNKPEETAKPKDRATNSKATTPKPQKPTKAPKKPTSTKKPKTMPRVRKPKTTPTPRKMTSTMPELNPTSRIAEAMLQTTTRPNQTPNSKLVEVNPKSEDAGGAEGETPHMLLRPHVFMPEVTPDMDYLPRVPNQGIIINPMLSDETNICNGKPVDGLTTLRNGTLVAFRGHYFWMLSPFSPPSPARRITEVWGIPSPIDTVFTRCNCEGKTFFFKDSQYWRFTNDIKDAGYPKPIFKGFGGLTGQIVAALSTAKYKNWPESVYFFKRGGSIQQYIYKQEPVQKCPGRRPALNYPVYGETTQVRRRRFERAIGPSQTHTIRIQYSPARLAYQDKGVLHNEVKVSILWRGLPNVVTSAISLPNIRKPDGYDYYAFSKDQYYNIDVPSRTARAITTRSGQTLSKVWYNCP", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLSSTLYAGWLLSLAAPALCVVQEKLSAVPSGWTLIEDASESDTITLSIALARQNLDQLESKLTTLATPGNPEYGKWLDQSDIESLFPTASDDAVLQWLKAAGITQVSRQGSLVNFATTVGTANKLFDTKFSYYRNGASQKLRTTQYSIPDHLTESIDLIAPTVFFGKEQNSALSSHAVKLPALPRRAATNSSCANLITPDCLVEMYNLGDYKPDASSGSRVGFGSFLNESANYADLAAYEQLFNIPPQNFSVELINRGVNDQNWATASLGEANLDVELIVAVSHPLPVVEFITGGSPPFVPNADEPTAADNQNEPYLQYYEYLLSKPNSHLPQVISNSYGDDEQTVPEYYARRVCNLIGLMGLRGITVLESSGDTGIGSACMSNDGTNKPQFTPTFPGTCPFITAVGGTQSYAPEVAWDGSSGGFSNYFSRPWYQSFAVDNYLNNHITKDTKKYYSQYTNFKGRGFPDVSAHSLTPYYEVVLTGKHYKSGGTSAASPVFAGIVGLLNDARLRAGKSTLGFLNPLLYSILAEGFTDITAGSSIGCNGINPQTGKPVPGGGIIPYAHWNATAGWDPVTGLGVPDFMKLKELVLSL", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPGGKKVAGGGSSGATPTSAAATAPSGVRRLETSEGTSAQRDEEPEEEGEEDLRDGGVPFFVNRGGLPVDEATWERMWKHVAKIHPDGEKVAQRIRGATDLPKIPIPSVPTFQPSTPVPERLEAVQRYIRELQYNHTGTQFFEIKKSRPLTGLMDLAKEMTKEALPIKCLEAVILGIYLTNSMPTLERFPISFKTYFSGNYFRHIVLGVNFAGRYGALGMSRREDLMYKPPAFRTLSELVLDFEAAYGRCWHVLKKVKLGQSVSHDPHSVEQIEWKHSVLDVERLGRDDFRKELERHARDMRLKIGKGTGPPSPTKDRKKDVSSPQRAQSSPHRRNSRSERRPSGDKKTSEPKAMPDLNGYQIRV", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKTLSPTGYGLLLVLPLLLAVLQSTTAHKNDINIYSLTVDSKVSSRFAHTVVTSRVVNKGSAVQEATFQMELPKKAFITNFSMIIDGVTYPGNIKEKAAAQEQYSAVARGESAGLVRATGRKTEQFQVAVSVAPAAKVTFELVYEELLARHLGVYELLLKIQPQQLVKHLQMDIHIFEPQGISFLETESTFMTNELAEALTISQNKTKAHIRFKPTLSQQQKSPEQQETVLDGNFIVRYDVNRTVTGGSIQIENGYFVHYFAPEVWSAIPKNVIFVIDTSGSMRGRKIQQTREALIKILGDLGSRDQFNLVSFSGEAPRRRAVAASAENVEEAKSYAAEIHAQGGTNINDAMLMAVQLLERANREELLPARSVTFIILLTDGDPTVGETNPSKIQKNVREAIDGQHSLFCLGFGFDVPYAFLEKMALENGGLARRIYEDSDSALQLEDFYQEVANPLLRLVAFEYPSNAVEEVTQDNFRLFFKGSELVVAGKLRDQSPDVLSAKVRGQLHMENVTFVMESRVAEQEAEFLSPKYIFHSFMERLWAYLTIQQLLAQTVSASDAEKKALEARALSLSLNYSFVTPLTSMVITKPEGQEQSQVAEKPVENGNRQGNTHSGHSSFQFHSVGDRTSRLTGGSSVDPVFSHRRGWKGQAQGFEKMSYLPPRLGPPGPLQPTRFSHPFSRITLDRVLPEVLSVPDETSHDMDSRIIGATIPPPPARIQAPSVILPLPGQSVDQLCVDLKHSQGPVKLLSDPGQGVEVTGHYEREKARFSWIEVTFKHPPLQVRASLEHIVVIRNRQSSAYKWKETLYSVMPGLKITMDKAGLLLLSSPNRVTIGLLSWDGPGKGLRLLLRDTDHFSSQISGTFGQFYQDVVWGPPAAADDSKRTVTVQGHDHSATRELKLDYQEGSPGKEISCWTVVL", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MSSSFLSSTAFFLLLCLGFCHVSSSSSDQGTYIVHMAKSQMPSSFDLHSNWYDSSLRSISDSAELLYTYENAIHGFSTRLTQEEADSLMTQPGVISVLPEHRYELHTTRTPLFLGLDEHTADLFPEAGSYSDVVVGVLDTGVWPESKSYSDEGFGPIPSSWKGGCEAGTNFTASLCNRKLIGARFFARGYESTMGPIDESKESRSPRDDDGHGTHTSSTAAGSVVEGASLLGYASGTARGMAPRARVAVYKVCWLGGCFSSDILAAIDKAIADNVNVLSMSLGGGMSDYYRDGVAIGAFAAMERGILVSCSAGNAGPSSSSLSNVAPWITTVGAGTLDRDFPALAILGNGKNFTGVSLFKGEALPDKLLPFIYAGNASNATNGNLCMTGTLIPEKVKGKIVMCDRGINARVQKGDVVKAAGGVGMILANTAANGEELVADAHLLPATTVGEKAGDIIRHYVTTDPNPTASISILGTVVGVKPSPVVAAFSSRGPNSITPNILKPDLIAPGVNILAAWTGAAGPTGLASDSRRVEFNIISGTSMSCPHVSGLAALLKSVHPEWSPAAIRSALMTTAYKTYKDGKPLLDIATGKPSTPFDHGAGHVSPTTATNPGLIYDLTTEDYLGFLCALNYTSPQIRSVSRRNYTCDPSKSYSVADLNYPSFAVNVDGVGAYKYTRTVTSVGGAGTYSVKVTSETTGVKISVEPAVLNFKEANEKKSYTVTFTVDSSKPSGSNSFGSIEWSDGKHVVGSPVAISWT", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MISDTVIAILAVALVGSTVQAAPVDATATSTSGIIAVPISKSAAQLAREADPVVSLDWLKKTKAQAQYKHKQANARLHSKRATGASVLTDQGSESLWTGPITIGGQSFTVDWDTGSSDLWVPSSACSSAACNAHHKYTLTSTGKKQSGTFSISYGDGSSASGPVYKDNVVASGLQATSQVFGAVTSESSSFSSDPSDGISGLGWPALAQLSGTSYFWSLINQGTVTSPVFSFRLATTNSELYLGGINSAHYTGAITYTPVTQKAYWTIALGGVSVNGAAINPSVSSAIIDTGTTLVYGPTAGVAALYAKIPGSASMADTYGSDYQGYYTFPCSAVPTVALTFGGSSFSVPTSAFNLGTVSSGSKQCVGGIVGQGDGSWLVGDVFLQGVYSIYDVGNARVGFAKTV", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "SLFELGKMILQETGKNPAKSYGAYYCYCGWGGQGQPKDATDRCCYVHKCCYKKLTGCNPKKDRYSYSWKDKTIVCGENNSCLKELCECDKAVAICLRENLNTYNKKYRYYLKPLCKKADAC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "NLVQFKTLIMKIAGRSVVYKYFYGCYCGWGGIGQPRDATDRCCFVHDCCYGKVTNCNPKTATYSYTEENGALVCGGDDPCKKQVCECDRVAAMCFRDNKDTYDNKYWFLPPKNCQEDSEPC", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MATMENKVICALVLVSMLALGTLAEAQTETCTVAPRERQNCGFPGVTPSQCANKGCCFDDTVRGVPWCFYPNTIDVPPEEECEF", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDRLDRRLAATLLLFSFISFSTQKTSISWCVVSEAEEQKCLDLAGSATARNIRGTLLCVRGQSPTDCMEKIKNGTADAAAMFADDIYTAGWCFGLELAAGESYNGVDGISYYVVALARRSSSDLSLLEMHERSSCHPRIRTTVGWTVPIGFLVNTSQISVDEQCNFPKAVGDFFGYSCVPGVKDREHDPRGSNPKYLCEACIGDDNERHICVNNHRERHYGEAGALRCVAENLGDVAFVKHTTIFDNMDGNNMESWAMDLELEDLKLLCPDGSEAGPFDHETCHLAVVPANAVVVRPEDKCRVWKYLERLQNAFGNTTMFSSVGYTQSDLLFSDSTHHLLRVVGSYTSWLGPSYTTVLQAFECESLC", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSPQRRKAMPWALSLLLMGFQLLVTYAWCSEEEMGGNNKIVQDPMFLATVEFALNTFNVQSKEEHAYRLLRVLSSWREDSMDRKWRGKMVFSMNLQLRQTVCRKFEDDIDNCPFQESLELNNVRQGISFPQVHSCGCCMGCGVGTGAADKAIPRDKGK", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTCCNQQSSQPKTTTNCAESSCYKKTWSDHRGTRIERGCGCPQVKKGIKLECCHTNECNN", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "RRCFNHPSSQPQTNKSCPPGENSCYNKQWRDHRGTIIERGCGCPTVKPGIKLRCCQSDDCNN", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "RRCFNHPSSQPQTNKSCPPGENSCYNKQWRDHRGTIIERGCGCPQVKSGIKLRCCQSDDCNN", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTMTCCNQQSSQPKTTTICAGGESSCYKKTWSDHRGSRTERGCGCPHVKPGIKLTCCKTDECNN", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MHSRLLVAAPHCLLLLLLLPSALPALKVGGLYPRDVMDPEVQEAAAFAVENYNAQSTNDNYFKARRIVEAQSQVVSGVKYYLKMELAKTTCKKIAGKPKLYQEIQNCNLPPENQQEEITCHFEVWSRPWLQKTVLTKDEL", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MIQVLLVIICLAVFPYQGSSIILESGNVNDYEIVYPKKVTVLPTGAMNSAHPCCDPVTCKPKRGEHCISGPCCRNCKFLNAGTICKRGRGDSLHDYCTGVTPDCPRNPNKGESDELEWSAAATGSVLM", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIQVLLVIICLAVFPYQGSSIILESGNVNDYEIVYPKKVTVLPTGAMNSAHPCCDPVTCKPKRGEHCISGPCCRNCKFLNAGTICKKTMLDGLNDYCTGVTPDCPRNPNKGESDELEWSAAATGSVLM", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKTLLLTLVVVTIVCLDLGDSLICYMGPKTPRTCPPGENLCYTKTWCDAFCSIRGRRVDLGCAATCPTAKPGVDITCCSTDKCNPHPAHQSR", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGDSLICYQAYNTPQTCAPGENLCYTKTWCDYWCHVKGKRIDLGCAATCPTAKPGEDVTCCSRDKCNPHPLQRPR", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKFLALLSLVAAATAAPAALEARGATTCGSTSYSASQVTAASNAACNYVQSGTTAGGSTYPHQYRNYEGFYFQGLSGPFYEFPLRTSGVYNGGSPGADRVIITGNCDEAGQITHTGASGSGFVACSGTS", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGNSFSCYKTPYVKSEPCAPGENLCYTKSWCDAFCSIRGKVIELGCAATCPPAEPKKDITCCSTDNCNTHP", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGDSLICYLGYNNPQTCAPGQNLCYTKKWCDAFCLQRGKVIQLGCAATCPTTKPYEEVTCCSRDKCNPHPAQRSR", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MALSLFTVGQLIFLFWTMRITEANPDPAAKAVPAAAAPDTASDAAAAAAATAATAAAAAAATAATAAKAAALTAANAAAAAAATAAAAARG", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVGTKAWVFSFLVLEVTSVLGRQTMLTQSVRRVQPGKKNPSIFAKPADTLESPGEWTTWFNIDYPGGKGDYERLDAIRFYYGDRVCARPLRLEARTTDWTPAGSTGQVVHGSPREGFWCLNREQRPGQNCSNYTVRFLCPPGSLRRDTERIWSPWSPWSKCSAACGQTGVQTRTRICLAEMVSLCSEASEEGQHCMGQDCTACDLTCPMGQVNADCDACMCQDFMLHGAVSLPGGAPASGAAIYLLTKTPKLLTQTDSDGRFRIPGLCPDGKSILKITKVKFAPIVLTMPKTSLKAATIKAEFVRAETPYMVMNPETKARRAGQSVSLCCKATGKPRPDKYFWYHNDTLLDPSLYKHESKLVLRKLQQHQAGEYFCKAQSDAGAVKSKVAQLIVIASDETPCNPVPESYLIRLPHDCFQNATNSFYYDVGRCPVKTCAGQQDNGIRCRDAVQNCCGISKTEEREIQCSGYTLPTKVAKECSCQRCTETRSIVRGRVSAADNGEPMRFGHVYMGNSRVSMTGYKGTFTLHVPQDTERLVLTFVDRLQKFVNTTKVLPFNKKGSAVFHEIKMLRRKKPITLEAMETNIIPLGEVVGEDPMAELEIPSRSFYRQNGEPYIGKVKASVTFLDPRNISTATAAQTDLNFINDEGDTFPLRTYGMFSVDFRDEVTSEPLNAGKVKVHLDSTQVKMPEHISTVKLWSLNPDTGLWEEEGDFKFENQRRNKREDRTFLVGNLEIRERRLFNLDVPESRRCFVKVRAYRSERFLPSEQIQGVVISVINLEPRTGFLSNPRAWGRFDSVITGPNGACVPAFCDDQSPDAYSAYVLASLAGEELQAVESSPKFNPNAIGVPQPYLNKLNYRRTDHEDPRVKKTAFQISMAKPRPNSAEESNGPIYAFENLRACEEAPPSAAHFRFYQIEGDRYDYNTVPFNEDDPMSWTEDYLAWWPKPMEFRACYIKVKIVGPLEVNVRSRNMGGTHRQTVGKLYGIRDVRSTRDRDQPNVSAACLEFKCSGMLYDQDRVDRTLVKVIPQGSCRRASVNPMLHEYLVNHLPLAVNNDTSEYTMLAPLDPLGHNYGIYTVTDQDPRTAKEIALGRCFDGTSDGSSRIMKSNVGVALTFNCVERQVGRQSAFQYLQSTPAQSPAAGTVQGRVPSRRQQRASRGGQRQGGVVASLRFPRVAQQPLIN", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLPYIALILVCWSVLSQAAQTDVEGRADKRRPIWIMGHMVNAIAQIDEFVNLGANSIETDVSFDDNANPEYTYHGIPCDCGRSCLKWENFNDFLKGLRSATTPGNAKYQAKLILVVFDLKTGSLYDNQANEAGKKLAKNLLKHYWNNGNNGGRAYIVLSIPDLNHYPLIKGFKDQLTQDGHPELMDKVGHDFSGNDAIGDVGNAYKKAGISGHVWQSDGITNCLLRGLDRVKQATANRDSANGFINKVYYWTVDKRATTRDALDAGVDGVMTNYPDVITDVLNESAYKNKFRVASYEDNPWETFKK", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLPYIVLVLGCWSVLSQAAQTDDEERAGNRRPIWIMGHMVNAIGQIDEFVNLGANSIETDVSFDDNANPEYTYHGIPCDCGRNCKKYENFNDFLKGLRSATTPGNSKYQEKLVLVVFDLKTGSLYDNQANDAGKKLAKNLLQHYWNNGNNGGRAYIVLSIPDLNHYPLIKGFKDQLTKDGHPELMDKVGHDFSGNDDIGDVGKAYKKAGITGHIWQSDGITNCLPRGLSRVNAAVANRDSANGFINKVYYWTVDKRSTTRDALDAGVDGIMTNYPDVITDVLNEAAYKKKFRVATYDENPWVTFKK", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLPYIVLVLGCWSVLSQAAQTDDEERAGNRRPIWIMGHMVNAIGQIDEFVNLGANSIETDVSFDDNANPEYTYHGIPCDCGRNCKKYENFNDFLKGLRSATTPGNSKYQEKLVLVVFDLKTGSLYDNQANDAGKKLAKNLLQHYWNNGNNGGRAYIVLSIPDLNHYPLIKGFKDQLTKDGHPELMEKVGHDFSGNDDIGDVGKAYKKAGITGHIWQSDGITNCLPRGLSRVNAAVANRDSANGFINKVYYWTVDKRSTTRDALDAGVDGIMTNYPDVITDVLNEAAYKKKFRVATYDDNPWVTFKK", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MADKKNLLLLFDHPTEPVFMDKGKRVTVFDVPDSFLTDRYRPISNEVQSRVGDKVEQRVPVREISIPDLRIPMSLGRDEQFSLFLPKHRRIAGRLIDIFMNMRSVDDLQSVAVYARDRVNPVLFNYALSVALLHRPDTQGLDLPSFSQTFPDRFIDSQVIRKMREESFVVQPGSRMPITIPRDYTASDLDPEHRLWYFREDLGINLHHWHWHLVYPFEASDRSIVAKDRRGELFYYMHQQVIARYNAERFSNNLARVLPFNNLRDPIAEGYFPKMDSLVASRAWPPRFESTRLSDLNRESDQLNVEIGDLERWRDRIYEAIHQGFVMDERGNRVPLDEATGIDTLGNMIESSILSPNRVLYGDLHNNGHTFISYAHDPTSKHLESFGVMGDVSTAMRDPVFYKWHSYIDRIFQEHKSRLPAYTENQLNYPGVSIAGIQVDTNGGRPNNLTTFWQQSDVDMSRGFDFLPRGNVFARFTHLQHLPFTYTISLNNDSGAQRFGYVRIFMAPKNDERGQPMLMRDQRSMMIELDKFVTSLNPGPNTIRRRSTESSVTIPFERTFRNLDANRPAAGTPEELEFNFCGCGWPNHMLVPKGLPEGLQCVLFIMVSNYENDRIDQQLVGRCSDAASYCGVRDRLYPDRQSMGFPFDRLPRSGVDRLVNFLTPNMSIVDVNIRHENRTVQRPN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVSIKSVLAAATAVSSALAAPFDFVPRDNSTALQARQVTPNAEGWHNGYFYSWWSDGGGQVQYTNLEGSRYQVRWRNTGNFVGGKGWNPGTGRTINYGGYFNPQGNGYLAVYGWTRNPLVEYYVIESYGTYNPGSQAQYKGTFYTDGDQYDIFVSTRYNQPSIDGTRTFQQYWSIRKNKRVGGSVNMQNHFNAWQQHGMPLGQHYYQIVATEGYQSSGESDIYVQTH", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVCLKTLSVFLAAFAAADARAVFKTQGHKNSEMIPDNYIVVMKDGVSQDDFKAHISSVSSIHSTNKAKRGTNTEGMKREFDIMNWRGYHGHFDRDTLEEILNDSKVDYVEQDQVVRISGLVTQRSAPSWGLGRVSHRQAGSRDYVFDDSAGRGVTIYGVDTGIDINHQDFRGRARWGTNTADRDNADRHGHGTHTASTFAGTAYGIAKNANIVAVKVLGSDGSGSTSGIIAGINYCVQDAQQRGILGKAAMNLSLGGGFSQANNDAVTRAQNAGIFVAVAAGNDNKDARNYSPASAPAVCTVASSTINDSKSSFSNWGPVVDIYAPGSDIIAARPGGGSTTMSGTSMASPHVAGMGAYMIGMGANPRQVCDRLKQLATAAIRNPGFSTTNRLLYNGSGQ", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAAVITWALALLAVFASTQARKSLWDYFSQNSWSKGVMGQPQKLAQENLKGSFEQDLYNMNNYLEKLGPLRGPGKEPPLLAQDPEGIRKQLQQELGEVSSRLEPYMAAKHQQVGWNLEGLRQQLKPYTAELMEQVGLSVQELQEQLRVVGEDTKAQLLGGVDEALNLLQDMQSRVLHHTDRVKELFHPYAERLVTGIGHHVQELHRSVAPHAAASPARLSRCVQTLSHKLTRKAKDLHTSIQRNLDQLRDELSAFIRVSTDGAEDGDSLDPQALSEEVRQRLQAFRHDTYLQIAAFTQAIDQETEEIQHQLAPPPPSHSAFAPELGHSDSNKALSRLQSRLDDLWEDIAYGLQDQGHSHLSDPEGHSG", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASLKKSLFLVLFLGLLSLSICEEQKRENEEDAEDENHEEESEEKRGLLDFAKHVIGIASKLGKRSEEKRFWPFMGKRSEEKRFWPFMGKRSEEKRFFRVLAKLGKLAK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASLKKSLFLVLFLGLVSLSICEEEKRENEEDAEDENHEEESEEKRGLLDFAKHVIGIASKLGKRSEEKRFWPFMGKRSEEKRFWPFMGKRSEE", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "LKCHNKLVPFLSKTCPEGKNLCYKMTLMKMPKIPIKRGCTDACPKSSLLVKVVCCNKDKCN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "AKACTPLLHDCSHDRHSCCRGDMFKYVCDCFYPEGEDKTEVCSCQQPKSHKIAEKIIDKAKTTL", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTTKCYVTPDVKSETCPDGENICYTKSWCEVFCTSRGKRIDLGRAATCPKVKPGVDIKCCSTDNCNPFTPWKRH", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKTLLLTLVVMTIVCLDLGYTLICFISSHDSVTCAPGENVCFLKSWCDAWCGSRGKKLSFGCAATCPRVNPGIDIECCSTDNCNPHPKLRP", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "HLMQFETLIKKIAGRSGVWFYGFYGCYCGSGGRGKPKDATDRCCFVHDCCYGKVTGCDPKMDFYTYSEENGVVVCGGDDPCKKQICECDRVAATCFRDNKTYDNNKYWFYPAKNCQEESEPC", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAFLKKSLFLVLFLGLVSLSICEEEKRETEEEEYNQEDDDKSEEKRFLSLIPTAINAVSALAKHFG", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAFLKKSLFLVLFLGLVSLSICEEEKRETEEEENDQEEDDKSEEKRFLSLLPSLVSGAVSLVKILG", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLVQGTIICALVANAIASSIPSSFLLPEPSGPFKVQREILELTDWSRKDINSTLPRRLMVSRFNPIPEKHCIRTEDVPTFPPASAKLEDAILQAASGGHWVDGLLAASRIRVCADVKKGYQTDSHGDNHGIPILLFSPGGNTTRLVYSSIAQTISSAGYTVITMDHPHDTDIVEFLNGDIITGGEVTFSNPSVLPFWNDVRVQDTVFVLNQALKTSPHARIGMLGHSFGGSAVLSSMVKDGRISAGINFDGGLWGDAVNTGLGGRKKPQPYLQWGAYTHNRHNDTSWETLWKAMERLHPHAWKKELGIPAGRHNTFSDFPAIIDAGGVREVIGKASIDVLVGDIPAARSLEFIKVYVHDFFQFSLFGKDEGLLRGPSSKYPEVVFLD", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKVSVLITLAVLGVMFVWTSAAEQEDHGSDRRDSPALLKSLGRVFQSEERACRELLGGCSKDSDCCAHLECRKKWPYHCVWDWTFGNEKS", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSLFPSLPLLLLSMVAASYSETVTCEDAQKTCPAVIACSSPGINGFPGKDGRDGTKGEKGEPGQGLRGLQGPPGKLGPPGNPGPSGSPGPKGQKGDPGKSPDGDSSLAASERKALQTEMARIKKWLTFSLGKQVGNKFFLTNGEIMTFEKVKALCVKFQASVATPRNAAENGAIQNLIKEEAFLGITDEKTEGQFVDLTGNRLTYTNWNEGEPNNAGSDEDCVLLLKNGQWNDVPCSTSHLAVCEFPI", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKVSVLITLAVLGVMFVWTSAAEQEDHGSDRRDSPALLKNLLGEEVFQSEERACRELLGGCSKDSDCCAHLECRKKWPYHCVWDWTIGK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MMWPMHTPLLLLTALMVAVAGSASAQSRTLAGGIHATDLNDKSVQCALDFAISEYNKVINKDEYYSRPLQVMAAYQQIVGGVNYYFNVKFGRTTCTKSQPNLDNCPFNDQPKLKEEEFCSFQINEVPWEDKISILNYKCRKV", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MEWKIVVPLLAVAFTVANAGLVGGPMDANMNDQGTRDALQFAVVEHNKKTNDMFVRQVAKVVNAQKQVVSGMKYIFTVQMGRTPCRKGGVEKICSVHKDPQMAVPYKCTFEVWSRPWMSDIQMVKNQCES", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MFLKNIFIALAIALLVDASPAKRSPGFVTLDFDVIKTPVNATGQEGKVKRQAIPVTLNNEHVSYAADITIGSNKQKFNVIVDTGSSDLWVPDASVTCDKPRPGQSADFCKGKGIYTPKSSTTSQNLGTPFYIGYGDGSSSQGTLYKDTVGFGGASITKQVFADITKTSIPQGILGIGYKTNEAAGDYDNVPVTLKNQGVIAKNAYSLYLNSPNAATGQIIFGGVDKAKYSGSLIAVPVTSDRELRITLNSLKAVGKNINGNIDVLLDSGTTITYLQQDVAQDIIDAFQAELKSDGQGHTFYVTDCQTSGTVDFNFDNNAKISVPASEFTAPLSYANGQPYPKCQLLLGISDANILGDNFLRSAYLVYDLDDDKISLAQVKYTSASNIAALT", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MHHHPITLLSLLLGAAQSIAAHPTAHDERRSSSSIGVSASVPDDAALPVLHPFVSFSIEFAFFPDFAGNLSHPNLFSNQLLDNLADLQGAKPYIRVGGNTQDFALYDPKLRAATNATYITSISTDYPLILSFGPAFFESYFTWPGTKFIHGFNLGKNSSSDIELMLESVPLACKALEGGKLAYWELGNEPDLYKTSAQGIRRPASWTEQDYVDEWLNKTARIEKRLVEACPELAESKYIAPSFAGVTNSLNPVVTWEKGLDKSRNIALNSEHNYIGGATQPGVTLQNTLMNHTKTVESVAQQVNVSRILSKDNLTPGIPYILGETNSLYNEGAPGLSNSFGAALWGVDFNLYCASQNIRRTHMHQGSNYNYISWQPVGTNRTTIGTKAPYYGNAMVAAMLHGGDDVRIVNLPLAADTEAAYAAYVNETLVRVAVINLVEFNYTSTDSTAEKVESRPSAKYTFQLPSSESVYAGSVSVQRLMANGSNAITGITWDGWSYNYELAQGKPVRLQNVTTGEAISVGDDGVVEIEIPYSSAAILSL", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "DFHCLPGWSAYDQYCYRVFNEPKNWEDAERFCAKQADSGHLVSIETMGEADFVAQLISENIQSEKHYVWIGLKVQNKEQQCSSEWSDGSSVTYENLIKLYMRKCGALEQESGFRKWINLGCIQLNPFVCKFPPQ", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "DCPSDWSSYDQYCYRVFKRIQTWEDAERFCSEQANDGHLVSIESAGEADFVTQLVSENIRSEKHYVWIGLRVQGKGQQCSSEWSDGSSVHYDNLQENKTRKCYGLEKRAEFRTWSNVYCGHEYPFVCKFXR", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "DCPSDWSSYEGHCYRVFNEPQNWADAEKFCTQQHKGSHLVSFQSSEEADFVVQMTRPILNANLVWIGLSNLWNQCNSQWSDGTXLDYKXWREQFECLVSRTTNNEWLSMDCSSTHSFVCEFQA", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "LTCKTCPFTTCPNSESCPGGQSICYQRKWEEHHGERIERRCVANCPAFGSHDTSLLCCTRDNCN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "LTCKTCPFTTCPNSESCPGGQSICYQRKWEEHRGERIERRCVANCPAFGSHDTSLLCCTRDNCN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRLLICALLCLGTLGLCLALPEKTIRWCVVSDHEATKCSSFRDNMKKVLPAGGPAVTCVRKMSHPECIRDISANKVDAVTVDGALVAEADLPHHSLKPIMAEYYGSKDDPKTHYYVVAMAKKGTGFQLNQLRGKKSCHTGLGWSAGWYVPLSTLLPSGSRETAAATFFSSSCVPCADGKMFPSLCQLCAGKGTDKCACSSREPYFGSWGALKCLQDGTADVSFVKHLTVFEAMPTKADRDQYELLCMDNTRRPVEEYEQCYLARVPSHVVVARSVDGKEDSIQELLRVAQEHFGKDKSSPFQLFGSPHGEDLLFTDAAHGLLRVPRKIDISLYLGYEFLSAFRNLKRGLEDSQRVKWCAVGQQERTKCDQWSAVSGGALACATEETPEDCIAATMKGEADAMSLDGGFAYVAGHCGLVPVLAENYLSTHSSGRLGSKCVNAPLEGYYVVAVVKKSDVGITWKSLQGKKSCHTAVGTSEGWNVPMGLIYNQTGSCKFDAFFSRSCAPGSDPDSPLCALCVGGNNPAHMCAANNAEGYHGSSGALRCLVEKGDVAFMKHPTVLQNTDGKNPEPWAKGLKHEDFELLCLDGTRKPVTEAQSCHLARVPNRAVFSRKDKADFVRRILFNQQELFGRNGFEYMMFQMFESSAKDLLFSDDTECLSNLQNKTTYKTYLGPQYLTLMDNFRQCLSSELLDACTFHKY", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLLSNLVIPLLATSATVSATFDVTSSLTKYAAFDFGVGDISIQKSASFSLINTFDCAFQGDINIEKDCEFLIASTAKALKLTFNNIFHKIENKGKWIVHTLESAAASTCNIIVKSFVNTGEIILAFKGHVLAPIINIAASHWVNHGCLHLFQEIKSISVAILGVVGGTIENHGTICLTNQLCKQVTKIIGSGCIALEKSSSFFISNSFLSIDAQHTFYLGEGNPTIQAQAVSLPQTFKVANFGANGSHKIGLNLPLLSITIAGKKGWSYDTKTGILTLTANGFISQKFDIGLGYDPSKFEVCTDNSVGIVSVIKGSIKYNGPCPHAGRPSVCQICPGVPTPPVITSSATAITSTQSKTTSSSSTSSIATTSTTSSKPKPTTVSTSSIASTTTPDGSYSTSFVTVTAETTKVVTITSCSNNACHPTTAPTGLTVVTLTTSDVKTVVTTYCPLTQTVTLGVTGTKTVDLGCPTGYFGYNGYIGKGVSIGHGGAAASASAGFQIGFDIFKNHHF", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "NNCPLDWLPMNGLCYKIFNQLKTWEDAEMFCRKYKPGCHLASFHLYGESLEIAEYISDYHKGQDNVWIGLWDKKKDFSWEWTDRSCIDYLNWNKNQPDHYKNKEFCVELVSLSGYRLWNDQVCESKDAFLCQCKF", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MMAPFASLASGILLLLSLIASSKACSCAPPHPQTAFCNSDLVIRAKFMGSPEINETTLYQRYKIKMTKMLKGFKAVGNAADIRYAYTPVMESLCGYAHKSQNRSEEFLITGRLRNGNLHISACSFLVPWRTLSPAQQRAFSKTYSAGCGVCTVFPCLSIPCKLESDTHCLWTDQVLVGSEDYQSRHFACLPRNPGLCTWRSLGAR", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPRVFTGLPANYAAPTLALSLLLPLLLVVWTQLPVSARPSTGPDYLRRGWLRLLAEGEGCAPCRPEECAAPRGCLAGRVRDACGCCWECANLEGQLCDLDPSANFYGRCGEQLECRLDAGGDLSRGEVPEPLCVCRSQRPLCGSDGRTYAQICRLQEAARARLDANLTVVHPGPCESEPQILSQPHNIWNVTGQDVIFGCEVFAYPMASIEWRKDGLDIQLPGDDPHISVQFRGGPQKFEVTGWLQIQALRPSDEGTYRCLARNALGQAEASATLTVLTPEQLNATGFSQLQSRSLFPEEEEEAESEELGDYY", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTCCNQQSSQPKTTTNCAESSCYKKTWSDHRGTRIERGCGCPQVKSGIKLECCHTNECNN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRLLVLSSLLCILLLCFSIFSTEGKRRPAKAWSGRRTRLCCHRVPSPNSTNLKGHHVRLCKPCKLEPEPRLWVVPGALPQV", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAPQLLLCLILTFLWSVPEAESNVFLKSKVANRFLQRTKRSNSLFEEIRPGNIERECIEEKCSKEEAREVFEDNEKTETFWNVYVDGDQCSSNPCHYHGTCKDGIGSYTCTCLPNYEGKNCEKVLFKSCRAFNGNCWHFCKRVQSETQCSCAESYRLGVDGHSCVAEGDFSCGRNIKARNKREASLPDFVQSQKATLLKKSDNPSPDIRIVNGMDSKLGECPWQAVLINEKGEVFCGGTILSPIHVLTAAHCINQTKSVSVIVGEIDISRKETRRLLSVDKIYVHTKFVPPNYYYGHQNFDRVAYDYDIAIIRMKTPIQFSENVVPACLPTADFANEVLMKQDSGIVSGFGRIRFKEPTSNTLKVITVPYVDRHTCMLSSDFRITQNMFCAGYDTLPQDACEGDSGGPHITAYGDTHFITGIVSWGEGCARKGKYGVYTKVSRFIPWIKKIMSLK", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAPFASLASGILLLLSLIASSKACSCAPTHPQTAFCNSDLVIRAKFMGSPEIIETTLYQRYEIKMTKMLKGFDAVGNATGFRFAYTPAMESLCGYVHKSQNRSEEFLIAGRLRNGNLHITACSFLVPWHNLSPAQQKAFVKTYSAGCGVCTVFPCSAIPCKLESDSHCLWTDQILMGSEKGYQSDHFACLPRNPDLCTWQYLGVSMTRSLPLAKAEA", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAYYNTLALLTIFIFSGAFHRAQGTHGEADSKCPLMVKVLDAVRGIPAAKLPVKVFKQNEDKSWDLISSGTTSSDGEIHNLATEEQFVEGIYKLEFATKRFWSKLGLTPFHEYVDVVFTANDAGHRHYTTAVLLTPYSFSTTAVVSDVKEAHV", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAAPALLLLALLLPVGAWPGLPRRPCVHCCRPAWPPGPYARVSDRDLWRGDLWRGLPRVRPTIDIEILKGEKGEAGVRGRAGRSGKEGPPGARGLQGRRGQKGQVGPPGAACRRAYAAFSVGRREGLHSSDHFQAVPFDTELVNLDGAFDLAAGRFLCTVPGVYFLSLNVHTWNYKETYLHIMLNRRPAAVLYAQPSERSVMQAQSLMLLLAAGDAVWVRMFQRDRDNAIYGEHGDLYITFSGHLVKPAAEL", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKTLLLTLVVVTIVCLDLGYTRKCLNTPLPLIYTTCPIGQDKCVKMTIKKLPSKYDVIRGCIDICPKSSADVEVLCCDTNKCNK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSSVKNILSFVALFAGVKTAYAGLNSPGHNNVAIYWGADVDVIPLAFAVSIKGPGGVPQINFSNQGDPCKPFPGTDLLHCPQIGEDIKTCQKKGKTILLSIGGATYSEGGFRSAEDAVAGANLLWDTFGPVKSSNSSVLRPFDDAVIDGFDLDFEATVLNMVPFAKQLRTLYDAEKSKTFYLTAAPQCPYPDLYNKEMLEGGVKFDALFIQFYNNFCGLNNFVLGSQSQDKFNFAEWDNFAKKVSANPDVKIMVGAPANKGAASSGYIDAQTLVSVINWSKTFSSFGGVMMWDASQAWANGNFTSAVKGALSAGNSRVVRMSYAGYHSGY", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFTLKKPLLVLLFLGTVSLSLCEQERAADDDEGEVIEEEVKRGFMDTAKQVAKNVAVTLIDKLRCKVTGGC", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRTLWMALCALSRLWPGAQAGCAEAGRCCPGRDPACFARGWRLDRVYGTCFCDQACRFTGDCCFDYDRACPARPCFVGEWSPWSGCADQCKPTTRVRRRSVQQEPQNGGAPCPPLEERAGCLEYSTPQGQDCGHTYVPAFITTSAFNKERTRQATSPHWSTHTEDAGYCMEFKTESLTPHCALENWPLTRWMQYLREGYTVCVDCQPPAMNSVSLRCSGDGLDSDGNQTLHWQAIGNPRCQGTWKKVRRVDQCSCPAVHSFIFI", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MAPCRTMMVLLCFVLFLAASSSCVAAARIGATMEMKKNIKRLTFKNSHIFGYLPKGVPIPPSAPSKRHNSFVNSLPH", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MWSLALATLFVLGTVIRADQCPPVPADTKDKLEKILELIGHVNRPLTPETPEPAGYDETKLKGLGILPQHEIFSLFDERTWPEATKAAEFLMEATDFEHFIQRADVLRHRINEDMFMYALNVAVLHRKDTRGVQVPRIHKIYPDKFLKQDILVEVREKVNHGEEKPVVDATELHQNQLDPNYRLSYFLEDIGMNSHHYHWHVVHPAVWLPKHGPRKDRKGELFYYMHHQMVARYDSERLSNNLPRTEPFENWDDPLEEGYAPHLTIHKTGYNYMFRPEGLIVRDLPELNKNKMRQWKSRILHGIHLNVLYAENGTKISLDNEHGIDLLGDAIESSLLSVNRAFYGNIHCYAHVMAARIADPDGRYGEDNGVMHDVATSARDPLFYRWHKFIDNIFLEYKDNLDPYTQYELTWPDVVLNDVTVKPHKGDYDDEVHTYWEVDNYELGKGFDYTRKTTATVKVRHLQHEDYHYEIDIDNNAGKAKKAVFRIFLAPKYNEKGELFPVNEQRQLLVELDKFVATLEPGHNVIERQSKESSVTMSKDHVFGEIRNLADDHQCSCGWPDYLLLPKGKYEGMTYQLFVVATDYEEDHVEDAGEECQCRDSMSYCGSVEHKLPDNKPLGYPFDRRIDGTGFEEFKTQNMYYGDVVIQFTGETVTH", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MDTRVLRLTLALVALSGVLADSESCSSAIVNDYKLKQKEIQHLVDTINKPVYPDFKDTRGIIDESKLKGLGTLPRREVFSLFDERNWAEAAKVVELLLEPKTFREFIHLADIIHHRVNEDLFLYALSVAIAHRPDCQGVQVPRVLDIYPDKFLRKEVIHKIKEVSNEGAYLDKVPVIDATEVSDNHLDPNQELLYFLEDLGMNSHHHHWHVIHPAIWLPKHGGVKDRKGELFFYMHKQMVARYDTERLSNDLPRVRPFENWNDPIDEGYSPHLIIDKTGYKYAYRPQGVIVHDLPNLPKTKMFEWKNRIMVGIRKGSLISANKTQVPLNNDHGIDLLGDVVESSLLSVNRVFYGNLHCYAHVIAGKVTDPQSTYGEKNGAMYDVATSARDPLFYSWHKFIDNIFQEHKETLQPYNKDELNFPDVQVDSLRINVANGTYENIVRTYWQNSLFKIAKGFTFTTEGSVLVKVKHLNHETFYYNLEVTNNALEEKHGVVRIFGAVINDERGHPYILNDQRHLVIELDKFTVNLKPGKNSVRQPCYNSAVTAKYDVFYGDVESQKPQEGCNCGWPDYMLLPKGKYEGLRFRVFAIVTNHDEDKVSDQETCLCGDAVAYCGAHNQKYPDKKPMGFPFDRRIDERTFEHFHTPNMIATDVIIKFTGEFLPPKGDI", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MCQTMRCILVACVALALLAAGCRVEASNSRPPRKNDVNTMADAYKFLQDLDTYYGDRARVRFGKRGSLMDILRNHEMDNINLGKNANNGGEFARGFNEEEIF", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "DRDSCVDKSKCSKYGYYGQCDECCKKAGDRAGNCVYFKCKCNP", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKVLLRLPALLASLTLLQMAASTRNATRTATIRETVDEVKVQVNKAFLDSRDRLKTDMSNLAPTVRHLSGYLKQAKGRTRTAIRVGQVWEQSLKRLRRMVPLTNVTGQGLDLTSLSWEVGCGHPAPTVTCNISNPYRTITGDCNNRKNPELGSANRALARWLPAEYEDGLSLPFGWTPGKTRNGFPLPQPRDVSNQVLDYLNEEEILDQNRSLLFMQWGQIVDHDLDFAPETEMGSDNYSKAQCDELCIQGDNCFPIMFPKGDPKLKTQGKCLPFFRAGFVCPTSPYQSLAREQINALTSFMDASMVYGSEPSLANRLRNLSSPLGLMAVNEEVSDHGRPLLPFVNVKPSPCEVINRTAGVPCFLAGDSRASEQILLATSHTLFLREHNRLARELSRLNPQWDGEKLYQEARRIMGALIQIITFRDYLPILLGDELQKWIPPYQGYKETVDPRISNVFTFAFRFGHLEVPSTVSRLDENYQPWGSEPELPLHKLFFNTWRVVKDGGIDPLVRGLLAKKAKLAHQDKMMTGELRNMLFQPNHTVHGFDLAAINIQRCRDHGQPGYNSWRAFCGLSQPKTLEELSAVLRNEVLAKKLMDLYGTPDNIDIWLGAIAEPLVRRGRVGPLLTCLLGQQFQRIRDGDRFWWENPGVFTEKQRDSLQKMSFSRLVCDNTGINKVPLNPFQPNSYPHSFVDCSAIEKLDLTPWASVKK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGSCARLLLLWGCTVVAAGLSGVAGVSSRCEKACNPRMGNLALGRKLWADTTCGQNATELYCFYSENTDLTCRQPKCDKCNAAYPHLAHLPSAMADSSFRFPRTWWQSAEDVHREKIQLDLEAEFYFTHLIVMFKSPRPAAMVLDRSQDFGKTWKPYKYFATNCSATFGLEDDVVKKGAICTSKYSSPFPCTGGEVIFKALSPPYDTENPYSAKVQEQLKITNLRVQLLKRQSCPCQRNDLNEEPQHFTHYAIYDFIVKGSCFCNGHADQCIPVHGFRPVKAPGTFHMVHGKCMCKHNTAGSHCQHCAPLYNDRPWEAADGKTGAPNECRTCKCNGHADTCHFDVNVWEASGNRSGGVCDDCQHNTEGQYCQRCKPGFYRDLRRPFSAPDACKPCSCHPVGSAVLPANSVTFCDPSNGDCPCKPGVAGRRCDRCMVGYWGFGDYGCRPCDCAGSCDPITGDCISSHTDIDWYHEVPDFRPVHNKSEPAWEWEDAQGFSALLHSGKCECKEQTLGNAKAFCGMKYSYVLKIKILSAHDKGTHVEVNVKIKKVLKSTKLKIFRGKRTLYPESWTDRGCTCPILNPGLEYLVAGHEDIRTGKLIVNMKSFVQHWKPSLGRKVMDILKRECK", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MASAKIFLIFLLAALIATPAAFAILVPTLVSTHISGLVFCSVNGNLDVINGLSPQVFPNASVQLRCGATNVISSTITNGSGAFSLAVNTFPLLNCNLVVATPLSTCNATLQSVGRLASSLRLVNITLGSGTGLIRVGLAPTGFILNLNIN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "QAIGPPFGLCFQCNQKTSSDCFNAKRCPPFHRTCYTLYKPDGGEEWAVKGCAKGCPTAGPDERVKCCHTPRCNN", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "RTCNKTFSDQSKICPPGENICYTKTWCDAFCSQRGKRVELGCAATCPKVKAGVEIKCCSTDNCNKFQFGKPR", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASTRRPVGARTLLACASLLAAMGLGVPESAEPVGTHARPQPPGAELPAPPANSPPEPTIAHAHSVDPRDAWMLFVKQSDKGINSKRRSKARRLKLGLPGPPGPPGPQGPPGPFIPSEVLLKEFQLLLKGAVRQRESHLEHCTRDLTTPASGSPSRVPAAQELDSQDPGALLALLAATLAQGPRAPRVEAAFHCRLRRDVQVDRRALHELGIYYLPEVEGAFHRGPGLNLTSGQYTAPVAGFYALAATLHVALTEQPRKGPTRPRDRLRLLICIQSLCQHNASLETVMGLENSSELFTISVNGVLYLQAGHYTSVFLDNASGSSLTVRSGSHFSAILLGL", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTPSISWGLLLLAGLCCMVPSFLAEDVQETDTSQKDQSPASHEIATNLGDFAISLYRELVHQSNTSNIFFSPVSIATAFAMLSLGSKGDTHTQILEGLQFNLTQTSEADIHKSFQHLLQTLNRPDSELQLSTGNGLFVNNDLKLVEKFLEEAKNHYQAEVFSVNFAESEEAKKVINDFVEKGTQGKIVEAVKELDQDTVFALANYILFKGKWKKPFDPENTEEAEFHVDKSTTVKVPMMMLSGMLDVHHCSILSSWVLLMDYAGNASAVFLLPEDGKMQHLEQTLNKELISKILLNRRRRLVQIHIPRLSISGDYNLKTLMSPLGITRIFNNGADLSGITEENAPLKLSKAVHKAVLTIDETGTEAAAATVFEAVPMSMPPILRFDHPFLFIIFEEHTQSPIFVGKVVDPTHK", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRLKNLTFIIILIISGELYAEEKPCGFPHVENGRIAQYYYTFKSFYFPMSIDKKLSFFCLAGYTTESGRQEEQTTCTTEGWSPEPRCFKKCTKPDLSNGYISDVKLLYKIQENMRYGCASGYKTTGGKDEEVVQCLSDGWSSQPTCRKEHETCLAPELYNGNYSTTQKTFKVKDKVQYECATGYYTAGGKKTEEVECLTYGWSLTPKCTKLKCSSLRLIENGYFHPVKQTYEEGDVVQFFCHENYYLSGSDLIQCYNFGWYPESPVCEGRRNRCPPPPLPINSKIQTHSTTYRHGEIVHIECELNFEIHGSAEIRCEDGKWTEPPKCIEGQEKVACEEPPFIENGAANLHSKIYYNGDKVTYACKSGYLLHGSNEITCNRGKWTLPPECVENNENCKHPPVVMNGAVADGILASYATGSSVEYRCNEYYLLRGSKISRCEQGKWSSPPVCLEPCTVNVDYMNRNNIEMKWKYEGKVLHGDLIDFVCKQGYDLSPLTPLSELSVQCNRGEVKYPLCTRKESKGMCTSPPLIKHGVIISSTVDTYENGSSVEYRCFDHHFLEGSREAYCLDGMWTTPPLCLEPCTLSFTEMEKNNLLLKWDFDNRPHILHGEYIEFICRGDTYPAELYITGSILRMQCDRGQLKYPRCIPRQSTLSYQEPLRT", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRRLLLVTSLVVVLLWEAGAVPAPKVPIKMQVKHWPSEQDPEKAWGARVVEPPEKDDQLVVLFPVQKPKLLTTEEKPRGQGRGPILPGTKAWMETEDTLGHVLSPEPDHDSLYHPPPEEDQGEERPRLWVMPNHQVLLGPEEDQDHIYHPQ", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTCYNQQSSEAKTTTTCSGGVSSCYKKTWSDIRGTIIERGCGCPSVKKGIERICCRTDKCNN", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKTVILFGFLLALLGYLEAEHAQSDPEFTAKARQMLAVFGNSEVDRYTKSRNLPALIEFYEKYSSRLPLTVQDRTYANNVIRRYRAHNNQQVDGVPAQGGVGVVFALLLPFAVSIVEGIAKAIRE", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAFLKKSLFLVLFLGLATLSICEEEKRETEEEEYNQEEDDKSEEKRFLSLIPHAINAVSTLVHHFG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLELGYTRKCLTKYSQDNESSKTCPSGQKLCFKKWETGKLLGTKVKRGCVATCPQPKKEWIIQCCAKDKCNK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKLLGIFLVASFAFVLSFGEEMIEGENPLEDQRAELTSCFPVGHECDGDASNCNCCGDDVYCGCGWGRWNCKCKVADQSYAYGICKDKVNCPNRHLWPAKVCKKPCRRNCGG", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MCGVLRQLMLLLLAFLSITPSCSAWNFHHQLNGTTPSNGTTTNGSSSCPLANGASSNPLQVYTIAANNITASFIPYGARLISLMVPDREGKMQDVIVGYDNPQDYVKDTLTNHTYFGCIVGRYANRIRNGTFVLDGTTYNTPKNELNKTQTLHGGSVGYDQRNWTVTALSNSSITFTLFDSGYEHFPGDVINHVTFSVNSSYGLKRPNPQTEFTARTVSLSLTEKTPIMLSPHIYWNLNAFKNETVLEDTLLELPLSSRYVEVDSRLIPTGNIGNVSSSLNGTLDFTKGKLIGKDIKSADGICGANCTGYDNCFIIDRPNNASDWTSSPQTMVPAVNMSSITTGINMLVTTNQQAIQIYSCNGQNGTIPVKGSQVARNKASGDGNGTVVDKIEQYGCLVIETEGWIDGINNPDWGQDPFQIYSPESGPAINWATYVFTAS", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "RICYLAPRDTQICAPGQEICYLKSWDDGTGFLKGNRLEFGCAATCPTVKPGIDIKCCSTDKCNPHPKLA", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKWTILTALLIISAESKNLYKRDSEPHIRFLGEVYKKVDTIDFRGLVLITLAQHLQKCPFEELAKQVEQITTLAQACAAGARHADCATPLITLFLNRICAVPELSATYDWSTECCAKSDPERHQCFRAHRNPAPGTHYKRPEPEELCESYKKNKEDVLAHYIYEVSRGHPVLYSPAVLGFAYQFNGICSHCCEEEDKTTCFKDRMTQLKKALHIVEVQQKESCRILDNFGVRVLQALKLVKISKKNPKATFEVAQKLTSEVTHLNEDCCHGDMLECMIERMELTEHTCEHHEDISTKLKTCCEKPLIERTHCIVNLENDDIPEDLPKKVTKFVEDPEVCKLFADKKDIFLAEFLYEYGRRHPELSDQLLLRIAKGYEHQLEKCCELENFLECLKDGEHVLADAIKESTELTEKDCAIQQKLGDYLFQNVLLIRYTKKMPHVTTPSLIHITKHMTEVGDKCCALPNTQKMPCAEGGLSLIIGEFCEMEKTHPINEHVKNCCWKSYSNRRNCFTNLGPDDSYVAPEITDDTFHFTEDLCTLPEEELKNKKQGFIATLVKVKPHVTDELYGQIAVEFTKMREKCCAAEDHQACFNAEEPILIEHCKQLAA", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTGSAADTHRCPHPKGAKGTRSRSSHARPVSLATSGGSEEEDKDGGVLFHVNKSGFPIDSHTWERMWMHVAKVHPKGGEMVGAIRNAAFLAKPSIPQVPNYRLSMTIPDWLQAIQNYMKTLQYNHTGTQFFEIRKMRPLSGLMETAKEMTRESLPIKCLEAVILGIYLTNGQPSIERFPISFKTYFSGNYFHHVVLGIYCNGRYGSLGMSRRAELMDKPLTFRTLSDLIFDFEDSYKKYLHTVKKVKIGLYVPHEPHSFQPIEWKQLVLNVSKMLRADIRKELEKYARDMRMKILKPASAHSPTQVRSRGKSLSPRRRQASPPRRLGRREKSPALPEKKVADLSTLNEVGYQIRI", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "NKASVVANQLIPINTALTLIMMKAEVVTPMGIPAEEIPNLVGMQVNRAVPLGTTLMPDMVKNYEDGTTSPGLKSVVANQLIPINTALTLVMMKAEEVSPKGIPSEEISKLVGMQVNRAVYLDQTLMPDMVKNYE", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "NKASVVANQLIPINTALTLIMMKAEVVTPMGIPAEEIPKLVGMQVNRAVPLGTTLMPDMVKNYE", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "TKCYVTPDVKSETCPAGQDLCYTETWCVAWCTVRGKRVSLTCAAICPIVPPKVSIKCCSTDACGPFPTWPNVR", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "LTCLICPEKYCNKVHTCLNGENICFKRFNRILGKRYDLGCAATCPTVKTGIVQCCSTDKCNH", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKLSLIIIATSLVIAVVAFPSKDSAATDFDKTESLENVEERVETALDERPRACSKNPGESCTNNCECCGATVVCASVYVAGVEKKSCKSKTSDNGFLNIIGQAANAVQNAASLCV", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKLLIYLFILTFYKTIVLTQTPSTHLDVDITIYDQLPLYNNNFEPETGSLTKNLVQTTLGSDGLPVLNSYSNLTYSNKNGRMYSPELFKYFFAPNQISNTTFNCGRNFPIKKQLKLLRTASGNYIYDNDFFFPIDYEGFDTDPANRIYKDDESTNKTYHNYHFCFQFDNRFLFKGNETFKFTGDDDVWVFINKQLVVDLGGTHPAASSSVDLSTLGLTVGKVYPFNFFYCERHTSRSTIRIETSLELYCDKYDYCGVCNGDGSTCCNPITDCNDDDLCTNDVCPPSDTVIDPSLPISFYCQHHPTPDPLVSDKCFESVCNSTTGSWFLNATICVEKEGLINTGCDGNTGCIYEPPTQTPTETPTQTPTETPSQTPTETPSQTPTETPSQTPTCTQHPTPTPTCTEHIKTPKPTPTPTCTKHPKPTPTCTEHTKTPKPTKTLKPTPTPKPTQTPKVPQCGKCEKLSSCKTYCEKKDCDDCQRELDGYKFDRCKTYSCDPIKGCIKTDKCKQGNDPCLKPVCNQYTGFCSQERVVSDKCKCDDKGKKGFGLGIGIGINA", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRRRDVAFCLLLLPAFMTQAVYGQRKKGPKPNTLARKNDFQDAICFIDVVFILDSSESSKIVLFDNQKDFVDSLSEKIFQLTPGRSLKYDIKLAALQFSSSVQIDPPLSSWKDLRTFKQRVKSLNLIGQGTFSYYAISNATRLLKREGRKDGVKVALLMTDGIDHPKSPDVQSISEDARILGISFITVGLSTVVNEAKLRLISGDPSNEPVLLLSDPTLVDRIQERLGVLFERKCEHKICECEKGEPGDPGPPGTHGNPGIKGERGPKGNPGDAQKGETGERGPVGIPGYKGDKGERGECGKPGMKGDKGPEGPYGPKGPRGIQGIGGPPGDPGPKGFQGNKGEPGPPGPYGPPGAPGIGQQGVKGERGQEGRMGAPGPIGIGEPGQPGPRGPEGAPGERGLPGEGFPGPKGEKGSEGPIGPQGLQGLSIKGDKGDLGPVGPQGPAGIPGIGSQGEQGIQGPSGPPGPQGPPGQGSPGPKGEVGQMGPTGPRGPMGIGVQGPKGEPGTVGLPGQPGVPGEDGASGKKGEAGLPGTRGPEGMPGKGQPGPKGDEGKKGSKGNQGQRGFPGPEGPKGEPGVMGPFGMPGASIPGPSGPKGDRGGPGMPGLKGEPGLPVRGPKGAQGPRGPVGAPGLKGDGYPGVAGPRGLPGPPGPMGLRGVGDTGAKGEPGVRGPPGPSGPRGIGTQGPKGDTGQKGLPGPPGPPGYGSQGIKGEQGPQGFPGSKGTVGLGLPGQKGEHGDRGDVGRKGEKGETGEPGSPGKQGLQGPKGDLGLTKEEIIKLIIEICGCGPKCKETPLELVFVIDSSESVGPENFQIIQSFVKTLADRVALDLGTARIGIINYSHKVEKVASLKQFSSKDDFKLVVDNMQYLGEGTYTATALQAANDMFKEARPGVKKVALVITDGQTDSRDKKKLADVVKDANDSNVEIFVIGVVKKDDPNFEIFHKEMNLIATDAEHVYQFDDFFTLQDTLKQKLSKKICEDFDSYLIQVFGSPSFQPEFGVSEREVSVSTPKPAKEMSKSFNVSRGQNEETESYVLTEAGILAIPTPPEATNTLEPLLSSREGVETRTPNPNLLQSEKSLYKDPRCEEALKPGECGDYVVRWYYDKQVNSCARFWFSGCNGSGNRFHSEKECRETCIKQ", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MQTGLSLACLVLLCSVLGEAALRKPKRQAGATDTNGAAKSEPAPVKTKGLKTLDRGWGEDIEWAQTYEEGLAKARENNKPLMVIHHLEDCPYSIALKKAFVADKMAQKLAQEDFIMLNLVHPVADENQSPDGHYVPRVIFIDPSLTVRSDLKGRYGNKLYAYDADDIPELITNMKKAKSFLKTEL", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKFGSLLGLSLVGLSVASPVTNVWKSPRAADDFIRGVNLGGWLVLEPWITPGIFEEGGDSAVDEWTLSAALGHRAHERLKLHWNTFMEQKDFDRIKGAGLTHVRIPIGYWAVAPIQGEPFVQGQVDMLDAAIDWARHSGLKVNVDLHGAPGSQNGFDNSGRLGPANWQKGDTVAQTYKALDVLIQRYAKKDGVVDEINLINEPFPQAGIQVEPLKDYYRQGAAKVKSANPNVAVVISDAFMGPSKWNGFDVGAKTIIDTHHYQVFSPQLVAMDINQHVKAACDFGNDELAKSSIPAIVGEWCGALTDCTQYLNGRHEGARYDGTHKDSDPKTAVPNGCVRKTGGSASQLTDEEKTNTRRYIEAQLDSFSKGHGWFWWTWKTERGSPGWDLNDLLSNGLFPQPLDSRMFLGQCN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMKLMLFSIIVILFSLIGSIHGADVPGNYPLDSSDDTYLCAPLGENPFCIKICRKHGVKYGYCYAFQCWCEYLEDKNVKI", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLSLKKSMLLLFFLGMVSFSLANKRSDGKRADEEGEDKRADEEGEDKRADEEGEDKRKRFLPFLIPALTSLISSLG", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASNNTSQRSGFSSFFCRLKTYFCNHFLCLFVLSFFPLSFRRLCLLCHLCEKSNLWLSSDNSASVVNQEREVLPTNVKPLHYDLTIEPIFDNFTFKGEETIDFQVNEKTNFITLNSLEIEVQEAKIDGKSVTDISFDAGKQTVTFKFDDDLSTGSIAKLYIKFTGELNDKMAGFYRASYQEDGKTKYMATTQMEPTDCRRAFPSYDEPAAKSKFTISLIADKELVCLSNSSEKETVSLDGNKKKVTFQTTPLMSTYLVAFIVGDLRYISNDNYRVPIRVYSTPGTEHLGEYSANIAAQTLKFFDQQFGIDYPYDKLDMVAVPSFSAGAMENCGLVTFRTVDLLIDADNANVNTKQRVTEVVMHELAHQWFGDLVTMEFWDGLWLNEGFATWMSWYACNSLYPDWKVWESYVSDSLQHALTLDALRASHPIEVPVKRADEINQIFDAISYSKGSSLLRMISKWLGEDVFVKGVSNYLKKHKWGNTKTSDLWEALSEASGEDVVKVMDIWTKNIGFPIVKVEEIGNGEIKVTQNRFLATGDVKESEDKTLYPVFLGLKTSEGVDESSVLETRSKTIKLPTSDDFFKINGDQSGIYRTAYEPARWTKLGKAGVEGKLSVEDRVGLVADAGSLASSGFIKTSSLLDLVKSWSKESNYVVWNEILTRIGSIKAALMFEDEATKKALEIFTRDLISEKLKETGWEFSADDSFADQQLKSSLFASAANAEDPEAVAFAKEAFAKFIAGDKKAIHPNLRASIFNTNAKYGDEKTFDELYNIYRNPSSVEEKIAALRSFGRFTKPEILDKVTGLLLQTDIVKQQDIYIPMQGLRAHKLGVEKLWTWLSENWDQIYILLPPGLSMLGSVVTLGTSGFTKEEQKKKVEEFFAQKDNKGYDQSLAQSLDIITAKSKWTDRDAKSIYEWLEANEYTK", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MERIVICLMVIFLGTLVHKSSSQGQDRHMIRMRQLIDIVDQLKNYVNDLVPEFLPAPEDVETNCEWSAFSCFQKAQLKSANTGNNERIINVSIKKLKRKPPSTNAGRRQKHRLTCPSCDSYEKKPPKEFLERFKSLLQKMIHQHLSSRTHGSEDS", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKILSILLIALVICSISICTEAFGLIDVKCSASRECWVACKKVTGSGQGKCQNNQCRCY", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "ATCDLLSGTGIKHSACAAHCLLRGNRGGYCNGRAICVCRN", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGPPGSPWQWVLLLLGLLLPPAAPFWLLNVLFPPHTTPKAELSNHTRPVILVPGCLGNQLEAKLDKPSVVNWMCYRKTEDFFTIWLDLNMFLPLGVDCWIDNTRVVYNRSSGRVVISPGVQIRVPGFGKTYSVEYLDNNKLAGYMHTLVQNLVNNGYVRDETVRAAPYDWRLEPSQQEEYYGKLAGLVEEMHAAYGKPVFLIGHSLGCLHLLYFLLRQPQSWKDRFIDGFISLGAPWGGSIKPMLVLASGDNQGIPLMSSIKLREEQRITTTSPWMFPSQGVWPEDHVFISTPSFNYTGRDFKRFFEDLHFEEGWYMWLQSRDLLAGLPAPGVEVYCLYGIGLPTPHTYIYDHGFPYTDPVGVLYEDGDDTVATSSTDLCGLWRGRQPQPVHLLPLHETEHLNMVFSNQTLEHINAILLGAYRSGTPASPTASPGSPPPE", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKTIPLLFLLFIYFECDGKFIRHKDESFYECGQLIGYQQYCVDACQAHGSKEKGYCKGMAPFGLPGGCYCPKLPSNRVKMCFGALESKCA", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKTSMLAVFVALPLAFVLTAATEERAHPNELVNSLVELVKLDAERGVDKEGCKYMFGSCGKSDDCCPKLACKRTFNYCAWDGSV", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLKLICIAFLVTVLTLVAGQDSLDPAEFGCADDVNQAELLKNNDICLQCEDLHKEGVVFSLCKTNCFTTEYFQHCVKDLEEAKKEPPE", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "ERDSCVEKSKCGKYGYYGQCDECCKKAGDRAGTCVYYKCKCNP", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MTPPKLRASLSPSLLLLLSGCLLAAARREKGAASNVAEPVPGPTGGSSGRFLSPEQHACSWQLLLPAPEAAAGSELALRCQSPDGARHQCAYRGHPERCAAYAARRAHFWKQVLGGLRKKRRPCHDPAPLQARLCAGKKGHGAELRLVPRASPPARPTVAGFAGESKPRARNRGRTRERASGPAAGTPPPQSAPPKENPSERKTNEGKRKAALVPNEERPMGTGPDPDGLDGNAELTETYCAEKWHSLCNFFVNFWNG", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAIAGIMLLLRSIRLTSKFTSSSDIPVEFIRRNVKLRGRLRRITENGLEIEHIPITLPIIASLRKEPRGALLVKLAGVELAETGKAWLQKELKPSQLLWFQLLGKENSALFCYLLVSKGGYFSVNLNEEILRRGLGKTVLVKGLKYDSKIYWTVHRNLLKAELTALKKGEGIWKEDSEKESYLEKFKDSWREIWKKDSFLKTTGSDFSLKKESYYEKLKRTYEIWKDNMNNCSLILKFRELISRINFRRKG", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPRGFTWLRYLGIFLGVALGNEPLEMWPLTQNEECTVTGFLRDKLQYRSRLQYMKHYFPINYKISVPYEGVFRIANVTRLQRAQVSERELRYLWVLVSLSATESVQDVLLEGHPSWKYLQEVETLLLNVQQGLTDVEVSPKVESVLSLLNAPGPNLKLVRPKALLDNCFRVMELLYCSCCKQSSVLNWQDCEVPSPQSCSPEPSLQYAATQLYPPPPWSPSSPPHSTGSVRPVRAQGEGLLP", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MESRVLLRTFCVILGLEAVWGLGVDPSLQIDVLSELELGESTAGVRQVPGLHNGTKAFLFQDSPRSIKAPIATAERFFQKLRNKHEFTILVTLKQIHLNSGVILSIHHLDHRYLELESSGHRNEIRLHYRSGTHRPHTEVFPYILADAKWHKLSLAFSASHLILHIDCNKIYERVVEMPSTDLPLGTTFWLGQRNNAHGYFKGIMQDVQLLVMPQGFIAQCPDLNRTCPTCNDFHGLVQKIMELQDILSKTSAKLSRAEQRMNRLDQCYCERTCTMKGATYREFESWTDGCKNCTCLNGTIQCETLVCPAPDCPAKSAPAYVDGKCCKECKSTCQFQGRSYFEGERSTVFSASGMCVLYECKDQTMKLVENAGCPALDCPESHQIALSHSCCKVCKGYDFCSEKHTCMENSVCRNLNDRAVCSCRDGFRALREDNAYCEDIDECAEGRHYCRENTMCVNTPGSFLCICQTGYIRIDDYSCTEHDECLTNQHNCDENALCFNTVGGHNCVCKPGYTGNGTTCKAFCKDGCKNGGACIAANVCACPQGFTGPSCETDIDECSEGFVQCDSRANCINLPGWYHCECRDGYHDNGMFAPGGESCEDIDECGTGRHSCANDTICFNLDGGYDCRCPHGKNCTGDCVHDGKVKHNGQIWVLENDRCSVCSCQTGFVMCQRMVCDCENPTVDLSCCPECDPRLSSQCLHQNGETVYNSGDTWAQDCRQCRCLQEEVDCWPLACPEVECEFSVLPENECCPRCVTDPCQADTIRNDITKTCLDEMNVVRFTGSSWIKHGTECTLCQCKNGHVCCSVDPQCLQEL", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFSMRIVCLVLSVVGTAWTADSGEGDFLAEGGGVRGPRVVERHQSACKDSDWPFCSDEDWNYKCPSGCRMKGLIDEVNQDFTNRINKLKNSLFEYQKNNKDSHSLTTNIMEILRGDFSSANNRDNTYNRVSEDLRSRIEVLKRKVIEKVQHIQLLQKNVRAQLVDMKRLEVDIDIKIRSCRGSCSRALAREVDLKDYEDQQKQLEQVIAKDLLPSRDRQHLPLIKMKPVPDLVPGNFKSQLQKVPPEWKALTDMPQMRMELERPGGNEITRGGSTSYGTGSETESPRNPSSAGSWNSGSSGPGSTGNRNPGSSGTGGTATWKPGSSGPGSTGSWNSGSSGTGSTGNQNPGSPRPGSTGTWNPGSSERGSAGHWTSESSVSGSTGQWHSESGSFRPDSPGSGNARPNNPDWGTFEEVSGNVSPGTRREYHTEKLVTSKGDKELRTGKEKVTSGSTTTTRRSCSKTVTKTVIGPDGHKEVTKEVVTSEDGSDCPEAMDLGTLSGIGTLDGFRHRHPDEAAFFDTASTGKTFPGFFSPMLGEFVSETESRGSESGIFTNTKESSSHHPGIAEFPSRGKSSSYSKQFTSSTSYNRGDSTFESKSYKMADEAGSEADHEGTHSTKRGHAKSRPVRDCDDVLQTHPSGTQSGIFNIKLPGSSKIFSVYCDQETSLGGWLLIQQRMDGSLNFNRTWQDYKRGFGSLNDEGEGEFWLGNDYLHLLTQRGSVLRVELEDWAGNEAYAEYHFRVGSEAEGYALQVSSYEGTAGDALIEGSVEEGAEYTSHNNMQFSTFDRDADQWEENCAEVYGGGWWYNNCQAANLNGIYYPGGSYDPRNNSPYEIENGVVWVSFRGADYSLRAVRMKIRPLVTQ", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "RICYNHQSNTPATTKSCVENSCYKSIWADHRGTIIKRGCGCPRVKSKIKCCKSDNCNL", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "QFPTDYDEGQDDRPKVGLGARGHRPYDKKKEEAPSLRPVPPPISGGGYRARPATATVGQKKVERKPPDADGCLHADPDLGVLCPTGCKLQDTLVRQERPIRKSIEDLRNTVDSVSRTSSSTFQYITLLKNMWKGRQNQVQDNENVVNEYSSHLEKHQLYIDETVKNNIPTKLRVLRSILENLRSKIQKLESDVSTQMEYCRTPCTVTCNIPVVSGKECEKIIRNEGETSEMYLIQPEDSSKPYRVYCDMKTEKGGWTVIQNRQDGSVDFGRKWDPYKQGFGNIATNAEGKKYCGVPGEYWLGNDRISQLTNMGPTKLLIEMEDWKGDKVTALYEGFTVQNEANKYQLSVSKYKGTAGNALIEGASQLVGENRTMTIHNSMFFSTYDRDNDGWKTTDPRKQCSKEDGGGWWYNRCHAANPNGRYYWGGAYTWDMAKHGTDDGVVWMNWQGSWYSMKKMSMKIRPYFPEQ", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKRMVSWSFHKLKTMKHLLLLLLCVFLVKSQGVNDNEEGFFSARGHRPLDKKREEAPSLRPAPPPISGGGYRARPAKAAATQKKVERKAPDAGGCLHADPDLGVLCPTGCQLQEALLQQERPIRNSVDELNNNVEAVSQTSSSSFQYMYLLKDLWQKRQKQVKDNENVVNEYSSELEKHQLYIDETVNSNIPTNLRVLRSILENLRSKIQKLESDVSAQMEYCRTPCTVSCNIPVVSGKECEEIIRKGGETSEMYLIQPDSSVKPYRVYCDMNTENGGWTVIQNRQDGSVDFGRKWDPYKQGFGNVATNTDGKNYCGLPGEYWLGNDKISQLTRMGPTELLIEMEDWKGDKVKAHYGGFTVQNEANKYQISVNKYRGTAGNALMDGASQLMGENRTMTIHNGMFFSTYDRDNDGWLTSDPRKQCSKEDGGGWWYNRCHAANPNGRYYWGGQYTWDMAKHGTDDGVVWMNWKGSWYSMRKMSMKIRPFFPQQ", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "RICYNHLGTKPPTTETCQEDSCYKNIWTFDNIIRRGCGCFTPRGDMPGPYCCESDKCNL", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKTLLLTLVVVTIVCLDLGYSLICFNQETYRPETTTTCPDGEDTCYSTFWNDHHGVKIERGCGCPRVNPPISIICCKTDKCNN", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "RICYNHLGTKPPTTECTQEDSCYKNIWRNITFDNIRRGCGCFTPRGDMPGPYCCESDKCNL", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "LTCVTGKSIGGISTEECAAGQKRCFKKWTKMGPKLYDVSRGCTATCPKADEYGCVKCCNIDK", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "LTCVTGKSIGGISTEECAAGQKRCNKKWTKMGPKLYDVSRGCAATCPTADEYGCVKCCNTDK", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "RICYNHQSTTRATTKSCEENSCYKKYWRDHRGTIIERGCGCPKVKPGVGIHCCQSDKCNY", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "RICYNHQSTTPATTKSCGENSCYKKTWSDHRGTIIERGCGCPKVKQGIHLHCCQSDKCNN", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "RICYSHKASLPRATKTCVENTCYKMFIRTHRQYISERGCGCPTAMWPYQTECCKGDRCNK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "FTCFTTPSDTSETCPDGQNICYEKRWNSHQGVEIKGCVASCPEFESRFRYLLCCRIDNCNK", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKTLLLTLVLVTIMCLDLGYTIRCFITPDVTSTDCPNGHVCYTKTWCDGFCSSRGRRVELGCAATCPTVKPGVDIQCCSTDNCNPFPTRP", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEMFQGLLLLLLLSMGGTWASKEPLRPRCRPINATLAVEKEGCPVCITVNTTICAGYCPTMTRVLQGVLPALPQVVCNYRDVRFESIRLPGCPRGVNPVVSYAVALSCQCALCRRSTTDCGGPKDHPLTCDDPRFQDSSSSKAPPPSLPSPSRLPGPSDTPILPQ", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MEMFQGLLLLLLLSMGGTWASREMLRPRCRPINATLAVEKEGCPVCITVNTTICAGYCPTMTRVLQGVLPALPQVVCNYRDVRFESIRLPGCPRGVNPVVSYAVALSCQCALCRRSTTDCGGPKDHPLTCDDPRFQASSSSKAPPPSLPSPSRLPGPSDTPILPQ", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "NLYQFKNMIKCTVPSRSWWDFADYGCYCGRGGSGTPVDDLDRCCQVHDNCYNEAEKISGCWPYFKTYSYECSQGTLTCKGDNNACAASVCDCDRLAAICFAGAPYNDNNYNIDLKARCQ", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKLPIIFLTLLIFVSSCTSVLINGSSDEERTYSFSPRASPFDPRSLNQELKIGRIGYCFDCARACMRRGKYIRTCSFERKLCRYSISDIK", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRLFSLLPLLALLVVQAAGQSEVTSDDPATDAGSTTNSTTDTKPRIPSQDEILGQMPSINPIRTGNPQMDAFYMMFPALGSLLKWGSLFPAYSILGAIPDNLQPTAAASKVVLVLADDATAKTRVARQNPPPNPLGQLMNWPALPQDFQLPSMDLGPQVGSFLAQLPAMPTVPGLLGAAAPVPAPAPAPAAAPPPAPAPAADPPAAPVPDAPQPAILGQAALQNAFTFFNPANFDASSLLGQSVPTFAPPNLDFVAQMQRQFFPGMTPAQPAAAGTDAQASDISEVRVRPEDPYSQEAQMKIKSALEMEQERQQQAQVKDQEQVPLLWFRMPTTQNQDATEEKTLEDLRVEAKLRAFERQVIAELRMLQKIELMAKQMRSSAAAQNGDSPYRISYPLSRTPIHKITRADIEQALRDDYVRRLVNKEAQRRARNSGINTQKANALKRQAKSQDQTLSKEDIVQIMAYAYRMANEQMESEKGKQDKVYAAYRTEQNPMMMQQRQWSEEQAKIQQNQQQIQQNPMMMQQRQWSEEQAKIQQNQQQIQQNPMMMQQRQWSEEQAKIQQNQQQIQQNPMMMQQRQWSEEQAKIQQNQQQIQQNPMMVQQRQWSEEQAKIQQNQQQIQQNPMMMQQRQWSEEQAKIQHDQQMAQQMAQQGLMMTEQRQRQWSEDQAKIQQAQQMAQQTPMMMPQMQQRQWTEDPQMVQQMQQRQWAEDQTRMQMAQQNPMMQQQRQMAENPQMMQQRQWSEEQTKIEQAQQMAQQNQMMMQQMQQRQWSEDQAQIQQQQRQMMQQTPMMMKERQWAEENPQSVQQQGPMMMQQQMPSMMQREVEDEDNKAEDDLVGEAGPQMPENEGTARHKVDALGVGGNKRKKSKSKSAPPTVINYYYAAPQRPVVQSYGTSYGGGGYGSNAYGVPRPVNSYQSQGYRAAVGNDEVDEMLRQHQTMARTINPKQPGEVGGSESQKSNSNPPTTLTPAPQEQPQEHRVHKSPSSAPSETEIENAPSSDPQVGSIFTYGEGLLHPFMGLLPVERPDDPWNQKPYDPHHPLYTGGGSYDAYLRDGRHRRDTHIMGQGTQHGILTPGMLERLLRIKMDFQRRFPHLYKGMLNHHTNLTRVEVQPPVLGKISKPKTKTKPKNEDEPVFELGAAERSLFEDETNDSLEKDPEPEPDEEDDRDVEEPSESSEPRGFSSKKSRDENDIDYFNFDDDDVDD", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAYPLPLVLCFALVVAQVWGSTTPPTGTSEPPDVQTVEPTEDDILQNEADNQENVLSQLLGDYDKVKAVSEGSDCQCKCVVRPLGRDACQRINQGASRKEDFYTVETITSGSSCKCACVAPPSAVNPCEGDFRLQKLREADSRDLKLSTIIDLLEGAFYGLDLLKLHSVTTKLVGRVDKLEEEVSKNLTKENEQIKEDVEEIRTELNKRGKENCSDNTLESMPDIRSALQRDAAAAYAHPEYEERFLQEETVSQQINSIELLRTQPLVPPAAMKPQRPLQRQVHLRGRLASKPTVIRGITYYKAKVSEEENDIEEQHDELFSGDSGVDLLIEDQLLRQEDLLTSATRRPATTRHTAAVTTDASIQAAASSSEPAQASASASSFVEPAPQASDRELLATPQTTTVFPEPTEVMPSTQVSPTTVAHTAVQPLPAMVPGDIFVEALPLVPLLPDTVGTDMPEEEGTAGQEATSAGPILSPEEEDDIRNVIGRCKDTLSTITGPTTQNTYGRNEGAWMKDPLAKDDRIYVTNYYYGNTLVEFRNLENFKQGRWSNSYKLPYSWIGTGHVVYNGAFYYNRAFTRNIIKYDLKQRYVAAWAMLHDVAYEEATPWRWQGHSDVDFAVDENGLWLIYPALDDEGFNQEVIVLSKLNAVDLSTQKETTWRTGLRRNFYGNCFVICGVLYAVDSYNQRNANISYAFDTHTNTQIVPRLLFENEYSYTTQIDYNPKDRLLYAWDNGHQVTYHVIFAY", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MECCRRATPGTLLLFLAFLLLSSRTARSEEDRDGLWDAWGPWSECSRTCGGGASYSLRRCLSSKSCEGRNIRYRTCSNVDCPPEAGDFRAQQCSAHNDVKHHGQFYEWLPVSNDPDNPCSLKCQAKGTTLVVELAPKVLDGTRCYTESLDMCISGLCQIVGCDHQLGSTVKEDNCGVCNGDGSTCRLVRGQYKSQLSATKSDDTVVAIPYGSRHIRLVLKGPDHLYLETKTLQGTKGENSLSSTGTFLVDNSSVDFQKFPDKEILRMAGPLTADFIVKIRNSGSADSTVQFIFYQPIIHRWRETDFFPCSATCGGGYQLTSAECYDLRSNRVVADQYCHYYPENIKPKPKLQECNLDPCPASDGYKQIMPYDLYHPLPRWEATPWTACSSSCGGGIQSRAVSCVEEDIQGHVTSVEEWKCMYTPKMPIAQPCNIFDCPKWLAQEWSPCTVTCGQGLRYRVVLCIDHRGMHTGGCSPKTKPHIKEECIVPTPCYKPKEKLPVEAKLPWFKQAQELEEGAAVSEEPSFIPEAWSACTVTCGVGTQVRIVRCQVLLSFSQSVADLPIDECEGPKPASQRACYAGPCSGEIPEFNPDETDGLFGGLQDFDELYDWEYEGFTKCSESCGGGVQEAVVSCLNKQTREPAEENLCVTSRRPPQLLKSCNLDPCPARWEIGKWSPCSLTCGVGLQTRDVFCSHLLSREMNETVILADELCRQPKPSTVQACNRFNCPPAWYPAQWQPCSRTCGGGVQKREVLCKQRMADGSFLELPETFCSASKPACQQACKKDDCPSEWLLSDWTECSTSCGEGTQTRSAICRKMLKTGLSTVVNSTLCPPLPFSSSIRPCMLATCARPGRPSTKHSPHIAAARKVYIQTRRQRKLHFVVGGFAYLLPKTAVVLRCPARRVRKPLITWEKDGQHLISSTHVTVAPFGYLKIHRLKPSDAGVYTCSAGPAREHFVIKLIGGNRKLVARPLSPRSEEEVLAGRKGGPKEALQTHKHQNGIFSNGSKAEKRGLAANPGSRYDDLVSRLLEQGGWPGELLASWEAQDSAERNTTSEEDPGAEQVLLHLPFTMVTEQRRLDDILGNLSQQPEELRDLYSKHLVAQLAQEIFRSHLEHQDTLLKPSERRTSPVTLSPHKHVSGFSSSLRTSSTGDAGGGSRRPHRKPTILRKISAAQQLSASEVVTHLGQTVALASGTLSVLLHCEAIGHPRPTISWARNGEEVQFSDRILLQPDDSLQILAPVEADVGFYTCNATNALGYDSVSIAVTLAGKPLVKTSRMTVINTEKPAVTVDIGSTIKTVQGVNVTINCQVAGVPEAEVTWFRNKSKLGSPHHLHEGSLLLTNVSSSDQGLYSCRAANLHGELTESTQLLILDPPQVPTQLEDIRALLAATGPNLPSVLTSPLGTQLVLDPGNSALLGCPIKGHPVPNITWFHGGQPIVTATGLTHHILAAGQILQVANLSGGSQGEFSCLAQNEAGVLMQKASLVIQDYWWSVDRLATCSASCGNRGVQQPRLRCLLNSTEVNPAHCAGKVRPAVQPIACNRRDCPSRWMVTSWSACTRSCGGGVQTRRVTCQKLKASGISTPVSNDMCTQVAKRPVDTQACNQQLCVEWAFSSWGQCNGPCIGPHLAVQHRQVFCQTRDGITLPSEQCSALPRPVSTQNCWSEACSVHWRVSLWTLCTATCGNYGFQSRRVECVHARTNKAVPEHLCSWGPRPANWQRCNITPCENMECRDTTRYCEKVKQLKLCQLSQFKSRCCGTCGKA", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNYNMDEMEATRLLRHPRRWWSIGFGKRIVAISILVIIVLLFSLVYHGLVVEKIDQVQQIAALNARHQVLFNQPFEEDQSALIVSPQTLHFKLLDEDMNKDMEDSKNRRRKHMRQMLVKFRLNKKHRMRRDLHGLDLLDPVRMEANMQHLYTKLRSKRAREALSQLEHEFVRCKKHTPQDCMSAFLRMYKMAKEVTEKMEKMKAIMREQQPKLESSSMESHEQKGTFSPADLIQVTTAEATTVAVHATEKPARTKIKPSRISWIIDGHDHDESPVYTDGAPKKETTKAPWNTTQLVEITTTKIDATATERTTVESTTEKISWILDHFDKPQEILRTTEGPGQRIIRNVTTTSASSEPIVDTENTNSDHVPTTENGLVFNITTDGPVETTKSTAQRKLSFDWILDGEENVEPEVKSTNTTTTTAATTTTGATSETIIVTTELPKITFDWIIDGREVVEPQETTTEVTGTTERLRKMPFDWIIDGEEVVEPQENVTTTTIATTVAVSTTEINERIHNSTAYPTKPKPVKFDWIIDGGESSGEVSTSSTSQPKLTTREAISNPESPRSSHPLDNPTSIENMLESFEQHEEQKPILRVLNANESSSETVTDGYERQLWLKKFEDQARPNQNELIDTFGTALDAKALDKMGPKINPLNGHTWNAADAQILSLCERVALRMRNKVATMSDGETKEKGETFTASPSVQFTSRAPGGFPVSGETMKASAQFMFNPNFGMPSIPVCFYMTPANFRMPMWSNTPTFMGMQGAHFGGSSNPGAGIFFVPQQFGPSGNFFGGSGGSGAGGQGANIFSKNASPQKPTNGQQQVYCSYMQNQSGQGAGQSQTSSQQQQGGQSAFSNANFKMRHANQTNTANQQGQIIYASYAGLPQQPIQERSRCPEPDQFSCFGQQECIPAARWCDNVVDCSDGSDESACTCADRVDEERLCDGYEDCPMGEDELGCFGCESLAYSCYENPQDFAKRNRSTISMCYSRLERCDGFMNCLNGRDEEQCSMLVTDVADHMSHGASASEGYLYHNYRGDWHPVCNNGEKWAALACQMDENSRMDHSASLNVSFQTLTLPGPFIEPSLHAGVHFAQACHGRNSHDSLVDHVAYVKCPPMQCGLPSKSSMLEHSKRVRRAVSDSKEIVGDGRIVGGSYTSALQWPFVVAIYRNGKFHCGGTIYSDRWIISAAHCVINYGKYFYEVRAGLLRRSSYSPATQIQPVSHVVVHQAYERRSMRNDLSLLRLLNPLQFNRWVKPICLPDKGRTTVGDDWIWGPVEHTLCTVVGWGAIREKGPSSDPMRQVIVPIRKKCTDPEDQASEDICAGDPDGGRDACQGDSGGPLFCRSVSNPDEFYLAGVVSHGNGCARPQEFGVYTRVTLYLDWLEMATTPRLLPKLQPLQLCPGFICVWGGKRCIAKRQRCDRNVDCLGGEDEVGCTYNFLPDMVGGVRQNISTTTESDYHPVKESEEKSKMREVIPIDDEDLKAEQDEEDLLKSTTSLGQTETTQGPMDLSFAEQITSTTSDDLSITDETTSTDFTVSDSATSPSTLLPTTTNPSTWLPSTNIETSTFSFTTTESEASTKQETLPTTVAQTTTIPTSTEDLKKLTDLVTEFIESTTFETTMEVETTTLSLTSTDAPKLVTTEGVKETTTTEDTTTISSIVTLTTTPLATISTTILTTEKHVAVTTLAPTTTTESAKTTTTHSSSTHSEKDQIQIPNKFVCKKMSQIVDIMMRCDRKVDCEDGTDELDCTCKDYLKGSLKGLICDGKADCEDLTDEQNCVECQSNEFRCPLSKTCLPLSSRCDNKVDCKFKEDEKDCFALTNGHDVHFDVHQQPKFSSTGIFSRNGHGVWRVVCAHETGYHEHQAKTADAVCALLGFNGAHYFNSSEFVTQHEMQPITPELKGGRNRMSAQIHSMVGDNVQFTENEVIIPELGHPSASRPEKDRLLPRKCVGIYVECNPYSNKTTPLKTFSAGQVVKEKPIEQVPVLSPTIETHNTPNVHFKPQIPAMVVNKKDEILDRLDKLIKSKKNKTILVNEQLHEAIEELHWPWLADVYMNGDLWCIGVLIDKHWVMVHESCLSGIDLETHYVSVLLGGGKTKRSAHRSNHEQIRRVDCFEGVPKSNVLLLHLERPVRFTHHVLPTFLPDSSHQNQSHARQCISVLHDDATGRIKTVAITRIHNATNCDSCYKLQEKQPPANLMRLLNVSAEDMASISEEVELINGVAPTELPAITKFTTCNQFGLKNVSDAHHNPSDQGVLVCRDSHTGWFPTALFNYNNSDCQSFKQPFGIRTLELVYKSLQDIIDKPSCKMLLPAPDCSTHRCPLGTCLPQAAMCNGRSDCHDGSDEEETKCRQQKQQCAPGEMKCRTSFKCVPKSKFCDHVPDCEDMTDEPTICSCFTYLQATDPSKICDGKRNCWDKSDESSVLCNCTADHFQCSSSPEDCIPRDFVCDKEKDCPNGEDERYCFGIEHPLHLQKKDFWTNSQHTQPEIAPQYGQVIEQTYGIWHTKCFPKSKPPQVDEVREICKKLGYNPYRQPSYRLIDDEENKPVHTYELADRQGRSFSNESLMGKYRDSTKALIISKFSPLQLNERLTLFLKSSRPIAELVRWNATDSSMCYRLEIRCA", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MPPPPGPTAALGTALLLLLLASESSHTVLLRAREAAQFLRPRQRRAYQVFEEAKQGHLERECVEEVCSKEEAREVFENDPETDYFYPRYQECMRKYGRPEDKNPNFATCVKNLPDQCTPNPCDKKGTQLCQDLMGNFFCLCKDGWGGRLCDKDVNECSQKNGGCSQVCHNKPGSFQCACHSGFSLQSDNKSCQDIDECTDSDTCGDARCKNLPGSYSCLCDKGYTYSSKEKTCQDVDECQQDRCEQTCVNSPGSYTCHCNGRGGLKLSPDMDTCEDILPCVPFSMAKSVKSLYLGRMFSGTPVIRLRFKRLQPTRLLAEFDFRTFDPEGVLFFAGGRSDSTWIVLGLRAGRLELQLRYNGVGRITSSGPTINHGMWQTISVEELDRNLVIKVNKDAVMKIAVAGGLFQLERGLYHLNLTVGGIPFKESDLVQPINPRLDGCMRSWNWLNGEDSAIQETVKANTKMQCFSVTERGSFFPGNGFAFYSLNYTRTSLDVGTETTWEVEVVARIRPATDTGVLMALVGDKDVVLLSVALVDYHSTKKLKKQLVVLAVENVALALMEIKVCDSQEHTVTVSLRDGEATLEVDGTKGQSEVSTAQLQERLDLLKTRLQGSVLTFVGGLPDVQVTSTPVTAFYRGCMTLEVNGKTLDLDTASYKHSDITSHSCPPVEHVTA", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKITNTLLNAAALLAVTEAATITKFFTASTQTLFVTQTSQTVVATKSFVETIYSAPPKQLTSKTQDSTSPTTSSVNSLTSSSATSYVETTTPAPSSSTLTTSTISSSTASEDSDATPTADVEFAEEILKEHNVKRALHGVPALSWSNKLAEYAQDYANTGFDCSNLNLKHSGGPYGENLAAGYMGGISPVDAWYDEISMVDWNNVDFTESTGHFTQLVWRSTTQVGCAKMMCSTAWRQITVCEYLPRGNVIGLNVTSGHSYFVDNVLPPLK", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLRICGLGVVLSLAVAAVAVMAVWLMDWWGPRPGIRLFLPEELARYRGGPGDPGLYLALLGRVYDVSSGRRHYEPGAHYSGFAGRDASRAFVTGDYSEAGLVDDINGLSSSEILTLHNWLSFYEKNYVFVGRLVGRFYRKDGLPTSELTQVEAMVTKGMEANEQEQREKQKFPPCNSEWSSAKGSRLWCSQKSGGVHRDWIGVPRKLYKPGAKEPHCVCVRTTGPPSDQQDNPRHSNHGDLDNPNLEEYTGCPPLATTCSFPL", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRFSTTLATAATALFFTASQVSAIGELAFNLGVKNNDGTCKSTSDYETELQALKSYTSTVKVYAASDCNTLQNLGPAAEAEGFTIFVGVWPTDDSHYAAEKAALQTYLPKIKESTVAGFLVGSEALYRNDLTASQLSDKINDVRSVVADISDSDGKSYSGKQVGTVDSWNVLVAGYNSAVIEASDFVMANAFSYWQGQTMQNASYSFFDDIMQALQVIQSTKGSTDITFWVGETGWPTDGTNFESSYPSVDNAKQFWKEGICSMRAWGVNVIVFEAFDEDWKPNTSGTSDVEKHWGVFTSSDNLKYSLDCDFS", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MHGLLLAGLLALPMNVLAHPAEQHASNVLSRRGVDIESFRLPLKAKYMDSDAAAQKIQAMSFSKDDDYVSTATKLVKSTFPKSTFRVVDDHYTGTNGIGHVHFKQTAHGLDIDNSDFNVNIDRDGKVFSFGNSFFTGEIPKENPMVKRAFSDPVKALKGAVKALNLPVKSDNAKAKTTAGKESFEFMGTTGALSAPKANLVYLQKEDGTLALTWRVETDVGDNWLLTYVDAHNSETVHNVVDYVASAEFKVFAWGLNDPTEGNPTSIRDPWTDSSPYTWHSDGMTKYPTTRGNNAIAQDNPTGGSTYINNYRPQSPNLIFNYPWSPTATPPSSYKDFSITQLFYTTNRFHDLLYSFGFNEAAGNFQVNNGNKGGRGNDFAIVNAQDGSGTNNANFATPPDGSPGRMRMYNWTTARPNRDGCLEAGIVIHEYAHGLSNRLCGGPANSGCLNALESGGMGEGWGDFYATAIRLKPRDTKDTNYSMGAWAANNPKGIRAYLYSTNLQTNPYMYTSVNSLREVHQIGTVWATMLYDLMWALIEAHGGTYSANPVFRNGVPQDGRHLAMKLVMDGMALQPCNPNFVQARDAILDADRALTNSANKCTIWKAFAKRGLGYGAKYDARNRTGSNRLPPGC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQFQLFSFALIILNCVDYSHCQASRWRRSKRASYGTNPICKGCLSCSKDNGCLRCQPKLFFFLRREGMRQYGECLQSCPPGYYGVRGPDMNRCSRCRIENCDSCFSRDFCIKCKSGFYSLKGQCFEECPEGFAPLDDTMVCVDGCEVGPWSEWGTCSRNNRTCGFKWGLETRTRQIVKKPAKDTIPCPTIAESRRCKMAIRHCPGGKRTTKKKDKRNKKKKKKLLERAQEQHSVVLATDRSSQ", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVATNRCCVFALLFALLLVHSLTEAGKGKEILGKIKEKIIEAKDKMKAGWERLTSQSEYACPAIDKFCEDHCAAKKAVGKCDDFKCNCIKL", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "DKCPKGWLDFRGNCYGYFRYELPWKRAEAWCRSIRAGAHLASIHTSEEHRAIAKFISQYHHGEEEEDVWIGLFRWNSVWAWIDGSKKHYSALDDDDYPKGKHCAVLDESSGFLSWDNDSCGERNAFICKCTA", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "GFDCPFGWSSYEGYCYKVYNKKMNWEDAESFCREQHKRSHLVSFHSSGEVDFVVSKTFPILRYDFVWMGLSDIWKECTKEWSDGARLDYKAWSGKSYCLVSKTTNNEWLSMDCSRTRYPVCKFXG", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKTLLLTLLVVTIVCLDLAHTRICYSHKLLQAKTTKTCEENSCYKRSLPKIPLIIIGRGCGCPLTLPFLRIKCCTSDKCN", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "SLIQFETLIMKVVKKSGMFWYSAYGCYCGWGGHGRPQDATDRCCFVHDCCYGKVTGCDPKMDSYTYSEENGDIVCGGDDPCKREICECDRVAAVCFRDNLDTYNSDTYWRYPTKNCQEEPDPC", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MHVQELLFVAAILVPQCLRALRYSQGTGDENCETLKSEIHLIKEEFDELGRMQRTCNADVIVNKCEGLCNSQVQPSVITPTGFLKECYCCRESFLKEKVITLTHCYDPDGTRLTSPEMGSMDIRLREPTECKCFKCGDFTR", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKYSAVLVAALAAIADATIPIPKGGVPGQPIQESGKGAVFSGGTNNQLDLQNPSNIGGQPATDNGLVPNMKWSFSLSKTRMLYGGWIREQVIQDLPTSHDIAGAQVHLIKGGIRQMHWHRVAEWAYIYAGSFLISAVTEDGQFQLDKLGVGDMYYFPKGAAHSLQGLEDENEILLIFDDGDFDRVGTTFMVADWISHTPKDVLAKNFGVPPSTFDKTYNPDLALINSTISTKTVEGGNGALTGNSSYTFHISNAPEIQVPGGGGTIQVVDSKNFPVSKTIACAVVRLKPGGMRELHWHPTAEEWLYFHSGNARATVYIGGGLARTFDFTAGDAGVFPDNSGHYIENTSETEELIYLELYKADRVADVSLSQWLALTPSDIAAAAINVPIEVIEQIKKEKQYIVS", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNPILSSLFALSLLSSLSPSTHAHQCHFPAIFNFGDSNSDTGGLSAAFGQAGPPHGSSFFGSPAGRYCDGRLVIDFIAESLGLPYLSAFLDSVGSNFSHGANFATAGSPIRALNSTLRQSGFSPFSLDVQFVQFYNFHNRSQTVRSRGGVYKTMLPESDSFSKALYTFDIGQNDLTAGYFANKTVEQVETEVPEIISQFMNAIKNIYGQGGRYFWIHNTGPIGCLAYVIERFPNKASDFDSHGCVSPLNHLAQQFNHALKQAVIELRSSLSEAAITYVDVYSLKHELFVHAQGHGFKGSLVSCCGHGGKYNYNKGIGCGMKKIVKGKEVYIGKPCDEPDKAVVWDGVHFTQAANKFIFDKIAPGLSKACKRQ", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSNTRTVHSSTSISKMNSALQISCLLVVLGCLLGSGHCQSEAEFAAKSREIAQVFGNPSVDKYTKARNLPTLIAFYEKYSSRLRLTPQERISINNAMRQYKAQRNQQVDGVSAQGGWLSDIIKTAISIIVKAVE", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRLSIIAAVLPLALAAPVAEPEIAPLIEARGAQPIAGKYIVKLKDEAKFGIMNAKSKIPGIERVYENVLNGFSATLSNEELERLRRDPDVESIEQDAIFSINAITQQQGATWGLTRISHRARGSTAYAYDTSAGAGACVYVIDTGVEDTHPDFEGRAKQIKSYASTARDGHGHGTHCAGTIGSKTWGVAKKVSIFGVKVLDDSGSGSLSNIVAGMDFVASDRQSRNCPRRTVASMSLGGGYSAALNQAAARLQSSGVFVAVAAGNDNRDAANTSPASEPTVCTVGATDSNDVRSTFSNYGRVVDIFAPGTSITSTWIGGRTNTISGTSMATPHIAGLAAYLFGLEGGSAGAMCGRIQTLSTKNVLTSIPSGTVNYLAFNGAT", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "GILDTIKSIASKVWNSKTVQDLKRKGINWVANKLGVSPQAA", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKFSQSLIALAACFLPLIAAAPEEAQHAKIRSPGAQDIILDSYIVVFNKGVNDADIESEFASVSHILSKRRPAHKGVGHKYNITGFKGYQIETDTGSIGEIAASPLVAWIERDGKVQANALETRSGATWGLGRISHKATGSNSYVYDSSAGSGSTVYVVDSGIYIEHSEFEGRAKWGANYISGSPDTDENGHGTHCAGTIAGATYGVASKANLVAVKVLDGDGSGSNSGVIAGINFVGQNGKDGKSVLSMSLGGSYSAALNSAVESTISNGVTVVVAAGNDGADASNYSPASAKNAITVGAVDSTDTRADFSNYGSVLDVFAPGVDVKSAWIGSKSASNTISGTSMATPHVAGLAAYLIGLGGLSSPAAVASKIASIGIQGSVKDPKGSVNLIAYNGNGA", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "DLWQWGQMILKETGKIPFSYYGAYGCYCGWGGRGGKPKAGTDRCCYVHDCCYGKLTSCPKTDDRYSYSRLDLTIVCGEDDPCKELCECDKKIAVCFRENLGTYNKKYRYHLKSCKKADKPC", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "SLVQFNKMIKFETRKSGLPFYAAYGCYCGWGGQRPKDATDRCCFVHDCCYGKVAKCNTKWDIYSYSLKSGYITCGKGTWCKEQICECDRVAAECLRRSLSTYKNEYMFYPDSRCREPPEYTC", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "SLLQFNKMIKFETRKSGVPFYAAYGCYCGWGGRRPKDPTDRCCFVHDCCYGKLTKCNTKWDIYSYSLKSGYITCGKGTWCKEQICECDRVAAECLRRSLNTYKNEYMFYPDSRCRGPPEYTC", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "PCWKGWSEDEQNLWPQRYIQLVVVADHGMFMKYNGDLAAIRKRVHELVNNINGFYRSLNIDVSLTDLEIWSDQDFITVVQSSSAKNTLNSFGEWREADLLRRKSHDHAQLLTAIDLDDDTVGLAYTSSMCNPRKSVAWGQDHSEEPINLLDVGVTMAHELGHNLGMNHDEEKKCHCGASLCIMSPSITEGPSLEFSDDSMGYYQSFLVVVNYNPQCILNKPEDQYYYILSPKHRIYSW", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "DLWQFGQMILKETGKIPFPYYGAYGCYCGWGGRGGKPKAGTDRCCYVHDCCYGKLTSCPKTDDRYSYSWLDGTIVCGEDDPCKELCECDKKIAVCFRENLGTYNKKYRYHLKSCKKADKPC", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASRSMRLLLLLSCLAKTGVLGDIIMRPSCAPGWFYHKSNCYGYFRKLRNWSDAELECQSYGNGAHLASILSLKEASTIAEYISGYQRSQPIWIGLHDPQKRQQWQWIDGAMYLYRSWSGKSMGGNKHCAEMSSNNNFLTWSSNECNKRQHFLCKYRP", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKFSSIILLTLLICSMSKFGNCQVETNVKCQGGSCASVCRKAIGVAAGKCINGRCVCYP", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNLKYLLLFFCLVQVLHYCYSHGDPSLSNELDRGLIPKCKLVPEQISFVLSTRENQNGVFLTLDNLSKGGILPKSDLSSIPVIFLIHGFISSANNSNYVDMTKALLEKNDCMVISIDWRDGACTHEFKILKFIGYPNAVKNTRAVGKYIADFTKLLMQKYKVSLANIRLIGHSLGAQIAGFAGKEYQKFKLGKYPEIIGLDPAGPLFKSNDCSERICETDAHYVQIIHTSNNLGTERTLGTVDFYVNNGYNQPGCYLSFLGEACSHTRAVKYFTECIRHECCLIGVPQSKNPQPVSKCTRKECVCIGLNAKTYPKTGSFYVPVESKAPYCNNKGKKI", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MECYRCGVSGCHLKITCSAEETFCYKWLNKISNERWLGCAKTCTEIDTWNVYNKCCTTNLCNT", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKTLLLTLVVVTIVCLDLGYTMKCKICNFDTCRAGELKVCASGEKYCFKESWREARGTRIERGCAATCPKGSVYGLYVLCCTTDDCN", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "DWECLPLHSSCDNDCVCCKNHHCHCPYSNVSKLEKWLPEWAKIPDALKRCSCQRNDKDGKINTCDKYKN", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "DLWQFNKMIKKEVGKLPFPFYGAYGCYCGWGGRGEKPKDGTDRCCFVHDCCYKKLTGCPKWDDRYSYSWKDITIVCGEDLPCEEICECDRAAAVCFYENLGTYNKKYMKHLKPCKKADYPC", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "GPVGTGDSKCPLMVKVLDAVRGSPAVDVSVHVFKKAADETWEPFASGKTSKTGELHGLTTSEKFVEGVYKVELDTKSYWKALGISPFHEYAEVVFTANDSGHRSYTIAALLSPFSYSTTAVVSNPQE", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKLTSTAALASLAVAATAAPSTPETFGLVAIRSGDAVQYAGFNAALGSIFAGLPKQNATCEGTDSGFATFYIKDGALYLYGSEETQEIYVDRSGMGQGLIGYTTGDNASGPRNSERTGWSIDENNHLVFDGNSLIACPNSIDSAYSIWASAGVANPGGNKDCVGIAARVEKTENPIACTYSS", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEKYLLLLLLGIFLRVGFLQALTCVSCGRLNSSGICETAETSCEATNNRKCALRLLYKDGKFQYGFQGCLGTCFNYTKTNNNMVKEHKCCDHQNLCNKP", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MHLLLVQLLVLLPLGKADLCVDGCQSQGSLSFPLLERGRRDLHVANHEEAEDKPDLFVAVPHLMGTSLAGEGQRQRGKMLSRLGRFWKKPETEFYPPRDVESDHVSSGMQAVTQPADGRKVERSPLQEEAKRFWHRFMFRKGPAFQGVILPIKSHEVHWETCRTVPFNQTIAHEDCQKVVVQNNLCFGKCSSIRFPGEGADAHSFCSHCSPTKFTTVHLMLNCTSPTPVVKMVMQVEECQCMVKTERGEERLLLAGSQGSFIPGLPASKTNP", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "TTCTTTQQTAAYVALVSILSDSSFNQCATDSGYSMLTATALPTTAQYKLMCASTACKTMITKIVSLNAPDCELTVPTSGLVLNVYSYANGFSSTCASL", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKASMFLALAGLALLFVVCYASESEEKEFSNELLSSVLAVDDNSKGEERECLGFGKGCNPSNDQCCKSSNLVCSRKHRWCKYEIGK", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKASMFLALAGLDLLFVVCYASESEEKEFSNELLSSVLAVDDNSKGEERECLGFGKGCNPSNDQCCKSSNLVCSRKHRWCKYEIGK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAVYLLAVAILFCIQGWPLGTVQGQVMPFMEVYRHSVCQPRETLVSILEEYPGEISHIFRPSCVTALRCGGCCTDESLECTATGKRSVGREIMRLSPHKGTSEKEVMQFTEHTDCECRPRSASGVNSRKHKRNPEEGEPRAKFPFV", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAASSTFFSPSLFLCVLVLIDITLAVSLDTDMKLKSENNNHLQNQETWPQQPRSGHHHKHGLAKKGRVLALPVRGQPAGEEALRVGSGAPAMEELVPLGQPAALKQDKDKDVFLGFELPHAERENQSPGSERGKKQNREQRRHSRRDRLKHHRGKTAVGPSSLYKKPESFEQQFQNLQAEEATSPTPTVLPFTALDLVVSTEEPPVLPATSPRSQARLRQDGDVMPTLDMALFDWTDYEDLKPEMWPSAKKKEKRRSKSSNGGNETSSAEGEPCDHHLDCLPGSCCDLREHLCKPHNRGLNNKCYDDCMCTEGLRCYAKFHRNRRVTRRKGRCVEPESANGEQGSFINV", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFRGKIFVLSLFSIYVLSSAAEKNTSFSALFAFGDSVLDTGNNNFLLTLLKGNYWPYGLSFDYKFPTGRFGNGRVFTDIVAEGLQIKRLVPAYSKIRRISSEDLKTGVCFASGGSGIDDLTSRTLRVLSAGDQVKDFKDYLKKLRRVVKRKKKVKEIVSNAVFLISEGNNDLGYFVAPALLRLQSTTTYTSKMVVWTRKFLKDLYDLGARKFAVMGVMPVGCLPIHRASFGGVFGWCNFLLNRITEDFNMKLQKGLTSYAVEYDFKDAKFVYVDIYGTLMDLVKNPMAYGFTEAKKACCCMPNAIIPCFHPDKYVFYDFAHPSQKAYEVISKPIVYQIAKGLA", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "METLLLTLLVVTIVCLDLGYTLECHNQQSSQTPTTTGCSGGETNCYKKRWRDHRGYRTERGCGCPSVKNGIEINCCTTDRCNN", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKCSTFSFWFVCKIIFFFFSFNIQTSIANPRENFLKCFSQYIPNNATNLKLVYTQNNPLYMSVLNSTIHNLRFTSDTTPKPLVIVTPSHVSHIQGTILCSKKVGLQIRTRSGGHDSEGMSYISQVPFVIVDLRNMRSIKIDVHSQTAWVEAGATLGEVYYWVNEKNENLSLAAGYCPTVCAGGHFGGGGYGPLMRNYGLAADNIIDAHLVNVHGKVLDRKSMGEDLFWALRGGGAESFGIIVAWKIRLVAVPKSTMFSVKKIMEIHELVKLVNKWQNIAYKYDKDLLLMTHFITRNITDNQGKNKTAIHTYFSSVFLGGVDSLVDLMNKSFPELGIKKTDCRQLSWIDTIIFYSGVVNYDTDNFNKEILLDRSAGQNGAFKIKLDYVKKPIPESVFVQILEKLYEEDIGAGMYALYPYGGIMDEISESAIPFPHRAGILYELWYICSWEKQEDNEKHLNWIRNIYNFMTPYVSKNPRLAYLNYRDLDIGINDPKNPNNYTQARIWGEKYFGKNFDRLVKVKTLVDPNNFFRNEQSIPPLPRHRH", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVSFKSLLLAASAFTAVLGRPFDSFDGPDVNITDADELLVRRQVTANSEGTHNGYFYSWWSDGGGQVTYTMGAGSRYSVTWKDTGNFVGGKGWNPGTGRTINYGGSFSPQGNGYLAVYGWTRNPLIEYYVVESYGTYNPGSGGQLKGTVTTDGGTYNVYVSTRTNQPSIDGTRTFQQYWSVRTSKRVGGAVTMQNHFNAWAQFGMNLGAHYYQIVATEGYQSSGPSDIYVQTQCKSLCDRGRVTWRDVVC", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTCCNQQSSQPKTTTNCAESSCYKKTWSDHRGTRIERGCGCPQVKPGIKLECCHTNECNN", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "DRDSCVDKSRCSKYGYYQECQDCCKKAGHNGGTCMFFKCKCA", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MALYRNLYLLASLGLSSAAPSKVQRAPDSSIHARAVCTPTAGGDSSTDDVPAITEALSSCGNGGTIVFPEGSTYYLNSVLDLGSCSDCDIQVEGLLKFASDTDYWSGRTAMISVSNVDGLKLRSLTGSGVIDGNGQDAWDLFASDSSYSRPTLLYITGGSNLEISGLRQKNPPNVFNSVKGGATNVVFSNLKMDANSKSDNPPKNTDGFDIGESTYVTITEVTVVNDDDCVAFKPSSNYVTVDTISCTGSHGISVGSLGKSSDDSVKNIYVTGATMINSTKAAGIKTYPSGGDHGTSTVSNVTFNDFTVDNSDYAFQIQSCYGEDDDYCEENPGNAKLTDIVVSSFSGTTSDKYDPVVANLDCGADGTCGISISGFDVKAPSGKSEVLCANTPSDLGVTCTSGASG", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRHSIGLAAALLAPTLPVALGQHIRDLSSEKWTLSSRALNRTVPAQFPSQVHLDLLRAGVIGEYHGLNDFNLRWIAAANWTYTSQPIKGLLDNYGSTWLVFDGLDTFATISILWTANRIHGQSVSPVSGSMYLPALEACQRRILIRKVSFRGGVTAEVNTCYLHIEWPDDVQLTYEYPNRWFMRKEQSDFGWDWGPAFAPAGPWKPAYIVQLDKKESVYVLNTDLDIYRKNQINYLPPDQSQPWVVNASIDILGPLPAKPTMSIEVRDTHSGTILTSRTLNNVSVAGNAITGVTVLDGLNPKLWWPQSSVIRTSTMFLSLSKVEGTRPWPVWTNGRASAPFFLNQRNITEVQRAQGIAPGANWHFEVNGHEFYAKGSNLIPPDSFWTRVTEERISRLFDAVVVGNQNMLRVWSSGAYLHDYIYDLADEKGILLWSEFEFSDALYPSDDAFLENVAAEIVYNVRRVNHHPSLALWAGGNEIESLMLPRVKDAAPSSYSYYVGEYEKMYISLFLPLVYENTRSISYSPSSTTEGYLYIDLSAPVPMAERYDNTTSGSYYGDTDHYDYDTSVAFDYGSYPVGRFANEFGFHSMPSLQTWQQAVDTEDLYFNSSVVMLRNHHDPAGGLMTDNYANSATGMGEMTMGVISYYPIPSKSDHISNFSAWCHATQLFQADMYKSQIQFYRRGSGMPERQLGSLYWQLEDIWQAPSWAGIEYGGRWKVLHHVMRDIYQPVIVSPFWNYTTGSLDVYVTSDLWSPAAGTVDLTWLDLSGRPIAGNAGTPKSVPFTVGGLNSTRIYGTNVSSLGLPDTKDAVLILSLSAHGRLPNSDRTTNLTHENYATLSWPKDLKIVDPGLKLGYSSKKTTVTVEATSGVSLYTWLDYPEGVVGYFEENAFVLAPGEKKEIGFTVLDDTTNGAWVRNITVQSLWDQKVRG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MASLGLLLLLLLTALPPLWSSSLPGLDTAESKATIADLILSALERATVFLEQRLPEINLDGMVGVRVLEEQLKSVREKWAQEPLLQPLSLRVGMLGEKLEAAIQRSLHYLKLSDPKYLREFQLTLQPGFWKLPHAWIHTDASLVYPTFGPQDSFSEERSDVCLVQLLGTGTDSSEPCGLSDLCRSLMTKPGCSGYCLSHQLLFFLWARMRGCTQGPLQQSQDYINLFCANMMDLNRRAEAIGYAYPTRDIFMENIMFCGMGGFSDFYKLRWLEAILSWQKQQEGCFGEPDAEDEELSKAIQYQQHFSRRVKRREKQFPDSRSVAQAGVQWRNLGSLQPLPPGFKQFSCLILPSSWDYRSVPPYLANFYIFLVETGFHHVAHAGLELLISRDPPTSGSQSVGL", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLSFLGKSVALLAALQATLSSASPLATEERSIEKRANGYANSVYFTNWGIYDRNFQPADLVASDVTHVIYSFMNLQADGTVVSGDTYADFEKHYADDSWNDVGTNAYGCAKQLFKVKKANRGLKVLLSIGGWTWSTNFPSAASTDANRKNFAKTAITFMKDWGFDGIDVDWEYPADATQASNMVLLLKEVRSQLDAYAAQYAPGYHFLLTIAAPAGKDNYSKLRLADLGQVLDYINLMAYDYAGSFSPLTGHDANLFANPSNPNATPFNTDSAVKDYIKGGVPANKIVLGMPIYGRSFQNTAGIGQTYNGVGGGGGGSTGSWEAGIWDYKALPKAGATIQYDSVAKGYYSYNAGTKELISFDTPDMINTKVAYLKSLGLGGSMFWEASADKKGADSLIGTSHRALGGLDTTQNLLSYPNSKYDNIRNGLN", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "SLIELTKMVFQETGKNPVTYYTLYGCNCGVGRRGKPKDATDRCCFVHRCCYKKLTGCDPKKDRYSYSWENKAIVCGEKNPCLKELCECDKAVAICLRKNLGTYDKNYRFTMKFLCDKPEKC", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKAFYGMLVIFILCSTCYISVDSQIDTNVKCSGSSKCVKICIDRYNTRGAKCINGRCTCYP", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MDFIILLIAILALSSTPITIISGSVTNHTYSTTNSYTNVALSARKVVFPPPRQLGKDNSDDDDLICKTCKRLSEHRTCCFNYFCVDLFTNRFNCGSCGLVCIVGTRCCGGICVDIKKDNGNCGKCNNVCSPGQNCSFGLCVSA", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRFCLFSFALIILNCMDYSQCQGNRWRRNKRASYVSNPICKGCLSCSKDNGCSRCQQKLFFFLRREGMRQYGECLHSCPSGYYGHRAPDMNRCARCRIENCDSCFSKDFCTKCKVGFYLHRGRCFDECPDGFAPLDETMECVEGCEVGHWSEWGTCSRNNRTCGFKWGLETRTRQIVKKPAKDTIPCPTIAESRRCKMAMRHCPGGKRTPKAKEKRNKKKRRKLIERAQEQHSVFLATDRVNQ", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKFYLVLAFLTLCAVAVTALPAGDETRIDLETLEEDLRLVDGAQVTGELKRDKRVTCNIGEWVCVAHCNSKSKKSGYCSRGVCYCTN", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGLPGSPWQWVLLLLGLLLPPATSFWLLNVLFPPHTTPKAELSNHTRPVILVPGCMGNRLEAKLDKPNVVNWLCYRKTEDFFTIWLDFNMFLPLGVDCWIDNTRVVYNRSSGHMSNAPGVQIRVPGFGKTYSVEYLDDNKLAGYLNTLVQNLVNNGYVRDETVRAAPYDWRLAPRQQDEYYQKLAGLVEEMYAAYGKPVFLIGHSLGCLHVLHFLLRQPQSWKDHFIDGFISLGAPWGGSIKPMRILASGDNQGIPIMSNIKLREEQRITTTSPWMFPAHHVWPEDHVFISTPNFNYTGQDFERFFADLHFEEGWHMFLQSRDLLAGLPAPGVEVYCLYGVGMPTAHTYIYDHNFPYKDPVAALYEDGDDTVATRSTELCGQVQGRQSQGVHLLRMNGTDHLNMVFSNKTLEHINAILLGAYPHGTPKSPTASLGPPPTE", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQSFKICFFISCLSVVLVKGQFGGTVSSNPNGGLDVNARLSKTIGDPNANVVGGVFAAGNTDGGPATRGAFLAANKDGHGLSLQHSKTDNFGSSLTSSAHAHLFNDKTHKLDANAFHSRTHLDNGFKFDRVGGGLRYDHVTGHGASLTASRIPQLDMNTLGLTGKANLWSSPNRATTLDLTGGVSKHFGGPFDGQTNKQIGLGLNSRF", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLMRLYTFFAAALLACCAAAGPLHPELPQLVGKSWIPDWWFPFPRPSTRAATTTTTPATSTTGLATTTTKPTTTSSKPVTPTPQPATSTAQPAISSTANATATATASSASTSTTSSSTSASTSTSAAAPSTPTTVVPFGQVIRSCTVKGTVAITFDDGPYDYTNKLLDIFDANGAKATLFVNAQNFGSITDYSSVMLRAFNTGHQIASHTYDHADLSTLNGAGIISEMTKLDDVLATITNGYRPTYMRVPYFAYSPLVLQTMADLKYHVIEADIDTKDYEHDTPDGVSVSVGFFRDGLNAGGSIALAHDVHQTTVDLLIQQLLDEVKRRGLKAVTVGECLGDPRANWYRTTPVQVPTGTSTTSPTATPTSPGTPPPAPTQPGVASNCQKWHTVVSGDTCYDIAAANGISLDNLYKWNPAVGTSCASLWLGYAVCVGV", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMWKMGPHFTTLLAMWLVCGSASHSPALDSDSHTGRKVPLVSPISSRSARYLRHTGRSGGVEKSTQEEPNPQPFQRRKSVPVLRLAHPTMRPPPSGINGVPVRPEVRPIARSSAREMVRDEGSSARTRMLRFPSGSSSPNILASFAGKNRVWVISAPHASEGYYRLMMSLLKDDVYCELAERHIQQIVLFHQAGEEGGKVRRITNEGQILEQPLDPNLIPKLMSFLKLEKGKFSMVLLKKTLQVEERYPYPVRLEAMYEVIDQGPIRRIEKIRQKGFVQKCKASGIEGHVVQEGNEGGGGAGGTGLGGDKRKEDPRRTQVHPTREAPRKQATSKAATPQPPPTPRATTLPPAPVTTATRATSRVVTIAARPTTTTAYPATQRPWTSRLHPFSVSHRPPATAEVTTARGPSVSEQLYPLPRKEQQREKPQATRRPSKATNYGSFTATPPPTLWEVSARVVGTSRFRDNRTDKREHGHQDPNAVPGPHKPVKGKLPKKKDRILSNEYEDKYDLSQPTSSQGEEERQVDSVPSQNAKESKKLEKLEKPEKEKKKKGKSAKQDKLLKSEKQAKKAEKKTKQEKDKNKKKKAGKTEQDDNQKPTAKHLAPSPKKSVADLLGSFEGKRRLLLITTPKAENNMYVQQRDEYLESFCKMATRRISVVTIFGPVNNSSMKIDHFQLDNEKPMRVVDDDDLVDQHLISELRKEYGMTYDDFFMVLTDVDLRVKQYYEVPIAMKSVFDLIDTFQSRIKDMEKQKKEGIACKEDKRQSLENFLSRFRWRRRLLVISAPNDEDWAYSQQLSALNGQACNFGLRHITILKLLGVGEEVGGVLELFPINGSSIVEREDVPAHLVKDIRNYFQVSPEYFSMLLVGKDGNVKSWYPSPMWSMVIVYDLIDSMQLRRQEMAIQQSLGMRCPEDEYAGYGYHSYHQGYQDGYQDDYRHHESYHHGYPY", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKTLLLTLVVVTIVCLDLGYTMTCYNQQSSEAKTTTTCSGGVSSCYKKTWSDGRGTIIERGCGCPSVKKGIERICCRTDKCNN", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAPRGRAAPWTTALLLLLASQVLSPGSCADEEEVPEEWVLLHVVQGQIGAGNYSYLRLNHEGKIVLRMRSLKGDADLYVSASSLHPSFDDYELQSATCGPDAVSIPAHFRRPVGIGVYGHPSHLESEFEMKVYYDGTVEQHPFGEAAYPADGADAGQKHAGAPEDASQEEESVLWTILISILKLVLEILF", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "RERAGCAKGWIPFDGRCYGFFPQELSWRRAEGFCQRLGARTHLASIHSEEEHQAIVSMLASSQPYSDSEEEAGEEVWIGLHRPLGRRNWEWSDGTKLDYGSWYRDVFLRRRACVALEDTTDFATWDVELCSDRKPFICEYRT", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKTLTFYTLLLCAALYSNFFDCKAVADAELPKLPDDKVLIRSRSNCPKGKVWNGFDCKSPFAFSKK", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKCLLISLALWLGTVGTRGTEPELSETQRRSLQVALEEFHKHPPVQLAFQEIGVDRAEEVLFSAGTFVRLEFKLQQTNCPKKDWKKPECTIKPNGRRRKCLACIKMDPKGKILGRIVHCPILKQGPQDPQELQCIKIAQAGEDPHGYFLPGQFAFSRALRTK", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MIGFAVFSSFNRFTTIFVCVLLCVVYLLSYASGEYDGRDSSSGSNNDRAPSNEFGSCTDGKCIKRTSQDITSGMWFGPRLGRRRRADRKPEINSDIEAFANAFEEPHWAIVTIPETEKRQITQFTPRLGRESGEDYFSYGFPKDQEELYTEEQIYLPLFASRLGRRVPWTPSPRLGRQLHNIVDKPRQNFNDPRF", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVPLRSFVLLNSLFLVLLAARTVVTRVIDKDNSDRIVKTQNDQNLSKVPFNLEETYTADFLAYVFNGTWTSDTTIVYTDRRTGDILQFDVIKQRSTLIVDSSVMDAYIVSNYVLSPKGRYLLIGYDLKKGYRYSTFMRYVIYDIEHRAYHKIGNDMHIALAKWAPLTDDLIYILDNDIYYMRFSNNGFNDVQRVTYDGISGIVYNGVPDWVYEEEVLQDSSAIWFSPDGNHLAYASFDDRNVQEILYLHYGEPGNLDDQYPTEVKIKYPKVGTLNPVVSLTLVDLHDPTLNKIDLKAPHYAVGTDNLLYNVQWKDFDHVVVTWSNRVQNKTEIVWYNMYGEIVKTLHVVEHKGWLDIKHLFFYKGSVYIRKLQPSGTKAGRFHHVTRYDETFKQSPTQMDLTPDAIEVQNICTIDQSNGRIYYLASGLGKPSQKNLYSVPADGSEKPTCISCNVLTPEGNVCTYADAIFSPLGQYYVLVCHGPDPAFVSIFNNAHQKVYSWENNLSLRKKLAKRHLPLVKDLDVRANGYESKVRLFLPHNFDESKSYPMLVNVYAGPNTLKIIDAASYGHQVYMTTNRSVIYAYIDGRGSSNKGSKMLFSIYRKLGTVEVEDQITVTRQLQEMFPWIDSKRTGVWGWSYGGFSTAMILAKDTSFVFKCGIAIAPVSSWIYYDSIYTERFMGFPTPEDNLSGYNETDVSRRVEDIRGKKFMLIHGSGDDNVHYQQSLALAKALEKADVMFEQITYTDEAHALFGVLPHLYHTMDRFWSDCFSLSHAH", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MIQVLLVTICLAAFPYQGSSIILESGNVNDYEVVYPRKVTALPKGAVQPKYEDAMQYEFKVNGEPVVLHLGKNKQLFSKDYSETHYSPDGREITTNPPVEDHCYYHGRIENDADSTRSISACNGLKGHFKLQGETYLIEPLKLSDSEAHAVYKYENILKEDEAPKMCGVTQNWESYEPIKKASQLNLTPEQQRYNPFRFVELVLVADKGMVTKNNGDLNKIKTRMYELANNLNDIYRYMYIHVALVGVEIWSDGDKITVTPNVDDTLSSFAEWRKTHLLTRKKHDNAQLLTAIDFNGPTIGYAYIASMCHPKRSVGIVQDYSPINLVLSVVMAHEMGHNLGIHHDHSYCSCGDYACIMGATISHEPSTFFSNCSYIQCWDFIMDHNPECIVNEPLGTDIVSPPVCGNELLEVGEECDCGTPENCQNECCDAATCKLKSGSQCGHGDCCEQCKFSKSGTECRESMSECDPAEHCTGQSSECPADVFHKNGQPCLHNYGYCYNGNCPIMYHQCYALWGADVYEAEDSCFESNKKGNYYGYCRKENGKKIPCAPEDVKCGRLYCKDNSPGQNNPCKMFYSNEDEHKGMVLPGTKCGDGKVCSNGHCVDVATAY", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "LICHRVHGLQTCEPDQKFCFRKTTMFFPNHPVLLMGCTSSCPTEKYSVCCSTDKCNK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNGFASLLRRNQFILLVLFLLQIQSLGLDIDSRPTAEVCATHTISPGPKGDDGEKGDPGEEGKHGKVGRMGPKGIKGELGDMGDQGNIGKTGPIGKKGDKGEKGLLGIPGEKGKAGTVCDCGRYRKFVGQLDISIARLKTSMKFVKNVIAGIRETEEKFYYIVQEEKNYRESLTHCRIRGGMLAMPKDEAANTLIADYVAKSGFFRVFIGVNDLEREGQYMFTDNTPLQNYSNWNEGEPSDPYGHEDCVEMLSSGRWNDTECHLTMYFVCEFIKKKK", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLPFILFSTLLSPILTESEKQQWFCNSSDAIISYSYCDHLKFPISISSEPCIRLRGTNGFVHVEFIPRGNLKYLYFNLFISVNSIELPKRKEVLCHGHDDDYSFCRALKGETVNTSIPFSFEGILFPKGHYRCVAEAIAGDTEEKLFCLNFTIIHRRDVN", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFTLKKPLLLLFFLGTVSLSLCEQERAADDDEGEVIEEEVKRDSMGAVKLAKLLIDKMKCEVTKAC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MFTLKKPLLVLLFLGTVSLSLCEQERAADDDEGEVIEEEVKRGFMDTAKNVAKNVAVTLIDKLRCKVTGGC", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKFSSGILSLAVAASVQSVQASYHAHGHAHHHRVLNKRADPDVVTIPGPKVYAFILNGSEMSKEQVCAGIRDGRLDWSGQNHDELCGFPVGMQKGSPPACPAPSYVPSPPAAPSSPPAAPQPPSKSPETPEEPKKPEEPKKPEGPKKPEGPKTPSPKKPDGPQHPQTPTGGEGVNRPFPDGEIDCGDFPSKYGAVPVDYLGLGGYTGIQHTTLVGEVFGTIRTAIAGESCTDGAMCSYACPPGYQKSQWPEQQGSTGESVGGLACRNGKLYLTNRKLSTRLCISGVGGVHIKSTISVEISICRTDYPGTESETVPVPLPPHGHLPLTCPQAETYYFWQGKSTSAQYYVNPPGYGPAKACQWGHAGLPIGNWAPVNIGVGEKGGVKWLSMFPNRPTTTAILHMTIEIVGEGLSGKCKHKDGKYYTDTGVNEDGCTVSVLHGEATFVFSYD", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKVAIVFLSLLVLAFASESIEENREEFPVEESARCADINGACKSDCDCCGDSVTCDCYWSDSCKCRESNFKIGMAIRKKFC", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MCTRPGLAALLVLMTSCASSFSRADTQSASAAALSAASADAQAARQQQEQHLVAQQQQQQQQQQQHSNNNEPQQRAPSLDPYYRSLLDGSQGGQLFAPAQPVSQPDLSPDFSNPMGSSLSQSGTPEDSDTKVDTRGAAPKFFGKKRGQAPRFFGKKRAMAPKFFGKKSSEFPTSNSEQLALDTRGSPRFFGKKSFPESNREQRGSPRFFGKKRFDENVDIDERAAPRFFGKKSSGESAGDSGYISVASRGSPRFFGKKQDDDIMIAARGSPRFFGKKRSDDNVALDLRGSPRFFGKRQSSDLDDEISVALRGSPRFFGKKRADDEDILLGERGSPRFFGKKRANDENISFSLRGSPRFFGKKRSDESDDDNIGLVARGSPRFFGKKRSDETDDENIGLMARGSPRFFGRKRSDGLDDGGNIIDVATRGSPRFFGKKRSNSDSSDKSSDSALSSSESGRQTRQAPRFFGKRYVDEHHVSKRAAATAFPLIIEARQAPRFFGKREYRYPPRGSPHFIGKRFSLYRSPGKYSLSSPYMSAKEFKETFRRSDPFFMGKRTAELNEEGSDDFTNDDTDDENEYDETVLFKRGAPRFVGKRGAPRFLGRRGAPRFIGRRGAPRFVGKRGPPRFIGKRDLDWYQKALCAEADILELDDCADFLGNDDVKRQAPRFIGRKRGEDVSERDYAQLLEALSRLQAIKQIKARIQNEKRLWVPGMVGRRSEYNLGPFDEFVDESMER", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSRNFHIFFLLVSIIQVGNSADSSEITHKPAGIYVRLNQKAVDYVADLASDALPAILNNLSPPDIVTDMAKITKLHISNVAKPNLSAKFIDGKGVAYNISLASFRASAYAEISVFVWSYEGDFTAELRELSIESELHFDYNGTTTVNASVCNVTHSELSLVFPPGSSLSALQSEIKGQIVSALRDAVCTTAVEALTFVMAQKPIPPESPNYQKPEAGDPNGFSVAELGASLCQVDTVNGFEDSEQEEGNVETTVAPTPDDDNSTLTTEETQKSYWGVDLSVNHPPTFTDEDMIIGLDGGILFNGWKADSAQQLQILNKTRLDKKMVGILLSEYIPNTLFHHIYMYDLGNFKHRYTPSSLPKILQKLSKAVCSKCYVEVSANLTEQPILQIDAHLGARVQLSGNVSIMFHGREQLHDVLHANTKLHVTLKPTVRHSRIFGDVSLTNVDVNVFDLGLGGPLAAPIEKLFSFVVPRVLWPQVKKRLRFAMNRRGVKLPIFCGVELEHTELDFVDHAVLLNTDFSFDLPLFLAKFKKYLDAKSKINPNLPKYVII", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKVAIIFLLSALALLSLAGNPPAEVNGKTPNCPKQIMGCPRIYDPVCGTNGITYPSECSLCFENRKFGTSIHIQRRGTC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MYLVSLLSSCCLLVLLGTLPARAAHEDPVEKVIEGFSRGLSNAEREVGKALEGINNGITQAGREVEKIFGELSNMGSQAGKNVEHGLDKVAHDINNGIGHAGKEAEKFAHGVNHAAGQVGKETNKIIHHGVSQGGSEAGKFGQGSHHAFGQGGNVANKLGHETHHAFGQGGNVAHKLGHETHHAFGQGGNVADKLGHGGNVADKLGHGTHHAFGQGGNVADKLGHETHHAFGQGGNVADKLGHGTHHAFGQGGNVADKLGHETHHAFGQGGNVAEKLGHETHHAFGQGGNMADKFGQGAHHAFGQGGNMADKFGQGAHHAFGQGGNMADKFGQGAHHAFGQGGNMADKFGQGAHHAFGQGGNMADKFGQGAHHAFGQGGNMADKFGQGAHHAFGQGRDMAETFDQGAHHAFGQGGREGGRLVQGAGQGLSHAAKEAQQFGHGHGHGYYAAGQTWQEGDKVIHPGVSQAGEEMEQFGQGVRHTIKQAEKEAEKVAHGVQNGVNQAQKEAEKVAHGVQNGVNQAQKEAEKVAHGVQNGVNQAQKEAEKVAHGVQTGVNQAGKETQRVGQGVQTGFNQGQKEAEKVAHGVQTGVNQAGKETQKAGQGVNYAAGQAEKEAEKLGQGVHHAAGQEMNRLQQDVHNGVNQPSKEANQLLNGSHQGQGGYGGQHGGAATTTVVSGASVNKPFINFPALWRSIAATMP", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNSLLIITACFALVGTVWAKEGYLVKKSDGCKYDCFWLGKNEHCDTECKAKNQGGSYGYCYAFACWCEGLPESTPTYPLPNKSCGKK", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "SVIELGKMIVQLTNKTPASYVSYGCFCGGGDRGKPKDATDRCCFVHSCCYDTLPDCSPKTDQYKYKWENGEIICENSTSCKKRICECDKAVAICLRENLKTYNKKYKIYPNILCRGEPDKC", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "LTCVTGKSIGGISTEECAAGQKICFKKWTKMGPKLYDVSRGCTATCPKADEYGCVKCCKTDRN", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "SVIELGKMIIQLTNKTPASYVSYGCFCGGGDKGKPKDATDRCCFVHSCCYDTLPDCSPKTDQYKYKWENGEIICENSTSCKKRICECDKAVAICLRDNLNTYNKKYRIYPNFLCRGDPDKC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MWAQLLLGMLALSPAIAEELPNYLVTLPARLNFPSVQKVCLDLSPGYSDVKFTVTLETKDKTQKLLEYSGLKKRHLHCISFLVPPPAGGTEEVATIRVSGVGNNISFEEKKKVLIQRQGNGTFVQTDKPLYTPGQQVYFRIVTMDSNFVPVNDKYSMVELQDPNSNRIAQWLEVVPEQGIVDLSFQLAPEAMLGTYTVAVAEGKTFGTFSVEEYVLPKFKVEVVEPKELSTVQESFLVKICCRYTYGKPMLGAVQVSVCQKANTYWYREVEREQLPDKCRNLSGQTDKTGCFSAPVDMATFDLIGYAYSHQINIVATVVEEGTGVEANATQNIYISPQMGSMTFEDTSNFYHPNFPFSGKIRVRGHDDSFLKNHLVFLVIYGTNGTFNQTLVTDNNGLAPFTLETSGWNGTDVSLEGKFQMEDLVYNPEQVPRYYQNAYLHLRPFYSTTRSFLGIHRLNGPLKCGQPQEVLVDYYIDPADASPDQEISFSYYLIGKGSLVMEGQKHLNSKKKGLKASFSLSLTFTSRLAPDPSLVIYAIFPSGGVVADKIQFSVEMCFDNQVSLGFSPSQQLPGAEVELQLQAAPGSLCALRAVDESVLLLRPDRELSNRSVYGMFPFWYGHYPYQVAEYDQCPVSGPWDFPQPLIDPMPQGHSSQRSIIWRPSFSEGTDLFSFFRDVGLKILSNAKIKKPVDCSHRSPEYSTAMGAGGGHPEAFESSTPLHQAEDSQVRQYFPETWLWDLFPIGNSGKEAVHVTVPDAITEWKAMSFCTSQSRGFGLSPTVGLTAFKPFFVDLTLPYSVVRGESFRLTATIFNYLKDCIRVQTDLAKSHEYQLESWADSQTSSCLCADDAKTHHWNITAVKLGHINFTISTKILDSNEPCGGQKGFVPQKGRSDTLIKPVLVKPEGVLVEKTHSSLLCPKGKVASESVSLELPVDIVPDSTKAYVTVLGDIMGTALQNLDGLVQMPSGCGEQNMVLFAPIIYVLQYLEKAGLLTEEIRSRAVGFLEIGYQKELMYKHSNGSYSAFGERDGNGNTWLTAFVTKCFGQAQKFIFIDPKNIQDALKWMAGNQLPSGCYANVGNLLHTAMKGGVDDEVSLTAYVTAALLEMGKDVDDPMVSQGLRCLKNSATSTTNLYTQALLAYIFSLAGEMDIRNILLKQLDQQAIISGESIYWSQKPTPSSNASPWSEPAAVDVELTAYALLAQLTKPSLTQKEIAKATSIVAWLAKQHNAYGGFSSTQDTVVALQALAKYATTAYMPSEEINLVVKSTENFQRTFNIQSVNRLVFQQDTLPNVPGMYTLEASGQGCVYVQTVLRYNILPPTNMKTFSLSVEIGKARCEQPTSPRSLTLTIHTSYVGSRSSSNMAIVEVKMLSGFSPMEGTNQLLLQQPLVKKVEFGTDTLNIYLDELIKNTQTYTFTISQSVLVTNLKPATIKVYDYYLPDEQATIQYSDPCE", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "LTCLICPEKYCNKVHTCRNGENQCFKRFNERKLLGKRYTRGCAATCPEAKPREIVECCTTDRCNK", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "LTCLICPEKYCNKVHTCRNGENICFKRFYEGNLLGKRYPRGCAATCPEAKPREIVECCSTDKCNH", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "LECYQKSKVVTCQPEQKFCYSDTMTFFPNHPVYLSGCTFCRTDESGERCCTTDRCNK", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKTLLLTLLVVTIVCLDLASTMICYSHKTPQPSATITCEEKTCYKKSVRKLPAIVAGRGCGCPSKEMLVAIHCCRSDKCNE", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "LTCVTDKSFGGVNTEECAAGQKICFKNWKKMGPKLYDVKRGCTATCPKADDDGCVKCCNTDK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRLSNLIASASLLSAATLAAPANHEHKDKRAVVTTTVQKQTTIIVNGAASTPVAALEENAVVNSAPAAATSTTSSAASVATAAASSSENNSQVSAAASPASSSAATSTQSSSSSQASSSSSSGEDVSSFASGVRGITYTPYESSGACKSASEVASDLAQLTDFPVIRLYGTDCNQVENVFKAKASNQKVFLGIYYVDQIQDGVNTIKSAVESYGSWDDVTTVSIGNELVNGNQATPSQVGQYIDSGRSALKAAGYTGPVVSVDTFIAVINNPELCDYSDYMAVNAHAYFDKNTVAQDSGKWLLEQIQRVWTACDGKKNVVITESGWPSKGETYGVAVPSKENQKDAVSAITSSCGADTFLFTAFNDYWKADGAYGVEKYWGILSNE", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVAYRFLTLISLGLGSHCVSALQYGYNQLSTHKDPAVVAGAFPAINGTHLRSPAFTSPGTVSRGFSDGTSGPTRDETMEGFMRRLARSNSWMAYHEAGFKSEEGRKFPYMYLSASSSSVENPSSRKLRVWLQGGVHGNEPAGDQSMLVLLGDLAANQKWAAKLLEKMDILVLPRYNPDGVFYFQRYLATNFDPNRDHLKLARQQTRDIKELFAKFSPHIATDMHEFTAGRAFGPKKDFIYAADALFSAAKNLNIDEGIRQLSEKLFAKRMGKDIEAAGLRWDPYITLGESSSSKLLLREAGTDAKIGRNAMGLSQCVVFLCETRGIGIADQHFERRTLSGLVMAKSVLQTAVDNFDEVYNTIERGIRRFTNSRNDIVLTDKSPIIERTFGMLNTTDASLFDYPIDFATTTPAEPVLTRSRPRAYLIPPSWPDIVKRLEVFGVKADKLPYSYVGPVEALNVTSVTFDKEFYEGVVTTTVETKLVERSIRLPPGSYLVKTNQKNAALAFVALEPENIDSFASFGIIPVNTGDQYPIFRLK", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFNQTQFFVLLAVFTTSSVLGNNNDVKDGAASGAHSDRLGLWFGPRLGKRSLRISTEDNRQAFFKLLEAADALKYYYDQLPYEMQADEPETRVTKKVIFTPKLGRSLAYDDKSFENVEFTPRLGRRLSDDMPATPADQEMYRQDPEQIDSRTKYFSPRLGRTMNFSPRLGRELSYDMMPNKIRVVRSANKTRST", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRLFSLLPLLALLVVQAAGQSEVTSDDPATDAGSTTNSTTDTKPRIPSQDEILGQMPSINPIRTGNPQMDAFYMMFPALGSLLKWGSLFPAYSILGAIPDNLQPTAAASKVVLVLADDATAKTRVARQNPPPNPLGQLMNWPALPQDFQLPSMDLGPQVGSFLAQLPAMPTVPGLLGAAAPVPAPAPAPAAAPPPAPAPAADPPAAPVPDAPQPAILGQAALQNAFTFFNPANFDASSLLGQSVPTFAPPNLDFVAQMQRQFFPGMTPAQPAAAGTDAQASDISEVRVRPEDPYSQEAQMKIKSALEMEQERQQQAQVKDQEQVPLLWFRMPTTQNQDATEEKTLEDLRVEAKLRAFERQVIAELRMLQKIELMAKQMRSSAAAQNGDSPYRISYPLSRTPIHKITRADIEQALRDDYVRRLVNKEAQRRARNSGINTQKANALKRQAKSQDQTLSKEDIVQIMAYAYRMANEQMESEKGKQDKVYAAYRTEQNPMMMQQRQWSEEQAKIQQNQQQIQQNPMMMQQRQWSEEQAKIQQNQQQIQQNPMMMQQRQWSEEQAKIQQNQQQIQQNPMMMQQRQWSEEQAKIQQNQQQIQQNPMMVQQRQWSEEQAKIQQNQQQIQQNPMMMQQRQWSEEQAKIQHDQQMAQQMAQQGLMMTEQRQRQWSEDQAKIQQAQQMAQQTPMMMPQMQQRQWTEDPQMVQQMQQRQWAEDQTRMQMAQQNPMMQQQRQMAENPQMMQQRQWSEEQTKIEQAQQMAQQNQMMMQQMQQRQWSEDQAQIQQQQRQMMQQTPMMMKERQWAEENPQSVQQQGPMMMQQQMPSMMQREVEDEDNKAEDDLVGEAGPQMPENEGTARHKVDALGVGGNKRKKSKSKSAPPTVINYYYAAPQRPVVQSYGTSYGGGGYGSNAYGVPRPVNSYQSQGYRAAVGNDEVDEMLRQHQTMARTINPKQPGEVGGSESQKSNSNPPTTLTPAPQEQPQEHRVHKRLAHFHRFGREAGLNATTSKGCGCGRLDCLCGRSCRCGRRGLESRVVSSRTSGTCQCKASHRNKRSVEYGTLETIDEGSLNELRREYKLGLKEITLSPDEDPAEALMRYNAASIREALERASMEPLEIGGDQYEEDAQQEPMEEEQLQHDPNTEPQYNHKDFVRLTTSTASPITSTTEAATPTGSDSTSEATVTPEVTTTTSTSTTTTTESTKDEGLDMQQDSQAEAESSHVTKSISKQEAEIHQLHSIVEELKNEILKLNLRCSTIISNNVAKEPVTEKNPPVVEEPSKQEDKPKVEEKVIAEEQAPVEQEEELEEDEDSTSISTTTETPSPSGSYSTKPGLSLGSPRVDEQSGSSNKLDYDDDNNWQRILANRGYDTDYLTKSHERQFAQGQNLEMPKNCNYDGNGSQEYGPYPEFQADEPSTDTEGKAKRALSVKQQAQLLNAALNDSGSDSSDGTTTTTTPSPYAMRGKFVRRRSTARRVPIPKIGKASDEVWVRSPRQAKMPQRPKKSMSKPKKQSSQVTTQATVSSTKLDSLVDVLKDLVRLQIQKEKKSSLLRTQSNNLSKTKPKSIKPVKVIKRKRLRRRQHKSIATTIRSPIQTKA", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRLFSLLPLLALLVVQAAGQSEVTSDDPATDAGSTTNSTTDTKPRIPSQDEILGQMPSINPIRTGNPQMDAFYMMFPALGSLLKWGSLFPAYSILGAIPDNLQPTAAASKVVLVLADDATAKTRVARQNPPPNPLGQLMNWPALPQDFQLPSMDLGPQVGSFLAQLPAMPTVPGLLGAAAPVPAPAPAPAAAPPPAPAPAADPPAAPVPDAPQPAILGQAALQNAFTFFNPANFDASSLLGQSVPTFAPPNLDFVAQMQRQFFPGMTPAQPAAAGTDAQASDISEVRVRPEDPYSQEAQMKIKSALEMEQERQQQAQVKDQEQVPLLWFRMPTTQNQDATEEKTLEDLRVEAKLRAFERQVIAELRMLQKIELMAKQMRSSAAAQNGDSPYRISYPLSRTPIHKITRADIEQALRDDYVRRLVNKEAQRRARNSGINTQKANALKRQAKSQDQTLSKEDIVQIMAYAYRMANEQMESEKGKQDKVYAAYRTEQNPMMMQQRQWSEEQAKIQQNQQQIQQNPMMMQQRQWSEEQAKIQQNQQQIQQNPMMMQQRQWSEEQAKIQQNQQQIQQNPMMMQQRQWSEEQAKIQQNQQQIQQNPMMVQQRQWSEEQAKIQQNQQQIQQNPMMMQQRQWSEEQAKIQHDQQMAQQMAQQGLMMTEQRQRQWSEDQAKIQQAQQMAQQTPMMMPQMQQRQWTEDPQMVQQMQQRQWAEDQTRMQMAQQNPMMQQQRQMAENPQMMQQRQWSEEQTKIEQAQQMAQQNQMMMQQMQQRQWSEDQAQIQQQQRQMMQQTPMMMKERQWAEENPQSVQQQGPMMMQQQMPSMMQREVEDEDNKAEDDLVGEAGPQMPENEGTARHKVDALGVGGNKRKKSKSKSAPPTVINYYYAAPQRPVVQSYGTSYGGGGYGSNAYGVPRPVNSYQSQGYRAAVGNDEVDEMLRQHQTMARATHFRQ", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPINDFISCYLKQLQRISIVSIHQVVKMHGTHFLILLLLCGVLGSNGVTPDIKNVAKAERNMHNMLRCLKKNEPIVKSRILTLPPNCNQYVSAVVETWKPEGV", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLVVLLTAALLVLSSAQGVDEEVVYEDSSQQLELEQQSQGHGQHHPKPPPGGLPPRPPASDENGDGDDNDDGDDDGSGDDVNRPERPPQHGGNHHHPHHPPPAAGPQRPPQPGSPQGPPPPGGPQQRPPQGPPPQGGPQRPPQPGSPQGPPPPGGPQQRPPQGPPPQGGPQRPPQPGSPQGPPPPGGPQQRAPQGPPPQGGPQRPPQPGSPQGPPPPGGPQQRPPQGPPPQGGPQRPPQPGSPQGPPPPGGPQQRPPQGPPPQGGPQRPPQPGNPQGPPQQGGQQQSSFLWSFSA", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNFYKYLVVLVVLVLCLSATQTEARGFRKHFNKLVKKVKHTISETAHVAKDTAVIAGSGAAVVAATG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTSTFALVLLLGGMAVCVATGVFGGYSERANHQANPEFLNLAHYATSTWSAQQPGKTHFDTVAEVVKVETQVVAGTNYRLTLKVAESTCELTSTYNKDTCLPKADAAHRTCTTVVFENLQGDKSVSPFECEAA", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MARFFPLTLTILLFFIQRIDFCHTLVPANETFKFVNEGELGQYISEYFGDYRPLDPFTSPFQLCFYNQTPTAFTLALRMGLRRTESLMRWVWEANRGNPVDENATLTFGPDGNLVLARSNGQVAWQTSTANKGVVGLKILPNGNMVLYDSKGKFLWQSFDTPTDTLLVGQSLKMGAVTKLVSRASPGENVNGPYSLVMEPKGLHLYYKPTTSPKPIRYYSFSLFTKLNKNESLQNVTFEFENENDQGFAFLLSLKYGTSNSLGGASILNRIKYNTTLSFLRLEIDGNVKIYTYNDKVDYGAWEVTYTLFLKAPPPLFQVSLAATESESSECQLPKKCGNFGLCEESQCVGCPTSSGPVLAWSKTCEPPKLSSCGPKDFHYNKLGGWITT", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKTLLLSPVVVTIVCLDLGYTMTCCNQQSSQPKTTTNCAGNSCYKKTWSDHRGTIIERGCGCPQVKSGIKLECCHTNECNN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVKFHLLVLIAFTCYTCSDATLWNPYKKLMRGSASPRRPGESGEPLFLTPLLQDGKIEEARNKARVNHPMLSSVESYSGFMTVDAKHNSNLFFWYVPAKNNREQAPILVWLQGGPGASSLFGMFEENGPFHIHRNKSVKQREYSWHQNHHMIYIDNPVGTGFSFTDSDEGYSTNEEHVGENLMKFIQQFFVLFPNLLKHPFYISGESYGGKFVPAFGYAIHNSQSQPKINLQGLAIGDGYTDPLNQLNYGEYLYELGLIDLNGRKKFDEDTAAAIACAERKDMKCANRLIQGLFDGLDGQESYFKKVTGFSSYYNFIKGDEESKQDSVLMEFLSNPEVRKGIHVGELPFHDSDGHNKVAEMLSEDTLDTVAPWVSKLLSHYRVLFYNGQLDIICAYPMTVDFLMKMPFDGDSEYKRANREIYRVDGEIAGYKKRAGRLQEVLIRNAGHMVPRDQPKWAFDMITSFTHKNYL", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLLTFAPIFLLISSIVAAPTLQLQRKGLEWDYQNDKIRGVNLGGWFVLEPYITPSLFSVWSNGEDDLNTPVDEYHYTQKLGKETALSRLEAHWSSWYTEADFAQMKYLGINAVRIPIGYWAFQLLDNDPYVQGQVKYLDQALEWCRNNGLYAWVDLHGAPGSQNGFDNSGLRDSYKFQDDDDVKVTLEVLKTIGAKYGGSDYEDVVIGIELLNEPLGPVLDMDGLRQFYQDGYSEIRNNDGVESYNAIIIHDAFQQTDHYWDNFMQVSGGYWNVVVDHHHYQVFDQAALELLIEDHIKTACNWGTTHKDEAHWNIVGEWSSALTDCAKWLNGVGHGARWSGNYDNCPYIDSCLSYTDLSGWTDEYKTNVRKYTEAQLDAWEQVGGWFFWCWKTESAPEWDFQALTNAGLIPQPLNDRQYPNQCGY", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLFRWCPLVALAIASGTAATEQSWESSPYYPSPWTKGEGEWEAAYQKAVSFVSQLTLDEKVNLTTGVGWMQESCVGQVGSIPRLGFRSLCMQDGPLGIRFGTEARMDIFLYLTCNTNFYLPYVGDYVTAFPAGINVAATWSRELAYLRGKAMGEEFRGKGADVILGPAIGPIGRAPEGGRNWEGLGPDPVLAGKLVAETIKGMQKSGVIACAKHFIANEQERFRIAAEAQGYGFDIAESISSNVDDVTMHEIYLWPFADAVKAGVGSIMCSYNQINNSYGCGNSYTQNKLLKGELGFRGFIMSDWQAHHSGVGSAFAGLDMSMPGDTLFGTGVSYWGANLTIAVANGTIPEWRVDDMAVRIMAAYYKVGRDQVQVPINFNSWTTDVEGYQHALVKEGYGVVNQRVNVRDHHAQIARRVARDSTVLLKNKGVLPLTGTEQFTAIIGEDAGPNINGPNSCPDRGCDNGTLAMGWGSGTTNFPYLVTPDDAIQREIVGKGVGNVMSVLQNGDFKNIQAVAGQADVALVFINSDSGEGYISVDGNEGDRKNLTTWKGGDEMVKQVTSVCNNTVLVIHSSGPILAGQWHDNPNITAILWAGLPGQESGNALVDILYGKENPGGKSPFTWGRAAEDYGTTILREPNNGKGAPQHLFSEGIMFEYRHFDQKNITPVYEFGYGLSYTTFSYSDLRVRPMRANKYVPATGMTKPAPRLGHSSTKYADYLFPGGFKGVTKYVYPWLTSTDPKEASGDKNYGMPLEDYVPPNANNGDAQPVLPASGVPGGNPGLFEDLYEVSAVITNDGDRVGEEVPQLVRNLSFFPPILS", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "TICYNHLTRTSETTEICPDSWYFCYKISLADGNDVRIKRGCTFTCPELRPTGIYVYCCRRDKCNQ", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "LICVKEKFLFSETTETCPDGQNVCFNQAHLIYPGKYKRTRGCAATCPKLQNRDVIFCCSTDKCNL", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "LTCVKEKSIFGVTTEDCPDGQNLCFKRWHMIVPGRYKKTRGCAATCPIAENRDVIECCSTDKCNL", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKIIFLVLMMILSEVYSDRDGYPVHDGTNCKYSCDIREKWEYCTPLCKRRNAKTGYCYAFACWCIGLPDEVKVYGDDGIFCKSG", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "LECYQMSKVVTCKPEETFCYSDVFMPFRNHIVYTSGCSSYCRDGTGEKCCTTDRCNGARGG", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "LTCLNCPEMFCGKFQICRNGEKICFKKLHQRRPLSRYIRGCADTCPVGYPKEMIECCSTDKCNR", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "LTCLNCPEVYCRRFQICRDGEKICFKKFDQRNLLGKRYRRGCAATCPEAKPREIVQCCSTDKCNR", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGQTAGDLGWRLSLLLLPLLLVQAGVWGFPRPPGRPQLSLQELRREFTVSLHLARKLLSEVRGQAHRFAESHLPGVNLYLLPLGEQLPDVSLTFQAWRRLSDPERLCFISTTLQPFHALLGGLGTQGRWTNMERMQLWAMRLDLRDLQRHLRFQVLAAGFNLPEEEEEEEEEEEEERKGLLPGALGSALQGPAQVSWPQLLSTYRLLHSLELVLSRAVRELLLLSKAGHSVWPLGFPTLSPQP", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "RICYIAPYDHKTCAAGENICYLKAWCDAWCSSRGKKLEFGCAATCPTVKPGVDISCCDTDNCNPHPKL", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "IRCFITPDITSKDCPNGHVCYTKTWCDAFCSIRGKRVDLGCAATCPTVKTGVDIQCCSTDDCDPFPTRKRP", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "IRCFITPDITSKDCPNGHVCYTKTWCDGFCRIRGERVDLGCAATCPTVKTGVDIQCCSTDDCDPFPTRKRP", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "IRCFITPDITSKDCPNGHVCYTKTWCDGFCSRRGERVDLGCAATCPTVKTGVDIQCCSTDDCDPFPTRKRP", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "IRCFITPDVTSQACPDGHVCYTKMWCDNFCGMRGKRVDLGCAATCPTVKPGVDIKCCSTDNCNPFPTRKRS", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MICHNQQSSQPPTIKTCPGETNCYKKQWRDHRGTIIERGCGCPSVKKGVGIYCCKTDKCNR", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "FTCFTTPSDTSETCPIGNNICYEKRWSGHGMQIEKGCVASCPSFESHYKFLLCCRIENCNQ", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "LECYQMSKVVTCKPEEKFCYSDVFMPFRNHVYTSGCSSYCRDGTGEKCCTTDRCNGARGG", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MMSKLGVLLTVCPLLFPLTALPPDGDQPADRPAERMQDDISSDEHPLFDKRQNCCNGGCSSKWCRDHARCCGR", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MVRFIFVSFGLLVVFLSLSGIGAGFCCPWGWSSYDEHCYQVFQQKMNWEDAEKFCIQQHKGSHLVSFHSSEEVDLVTSKTFPILKHDFVWMGLSNVWNECTREWSDGTKLDYKAWSGQSDCIVSKTTDNQWLSMDCSSKRYIVCKFQA", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGRFTFVSFGLLVVFLSLSGTGADFDCIPGWSAYDRYCYQAFSEPKNWEDAESFCEEGVKTSHLVSIESSGEGDFVAQLVSEKIKTSFQYVWIGLRIQNKEQQCRSEWTDASSVNYENLIKQFSKKCYALKKGTELRTWFNVYCGTENPFVCKYTPEC", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVSFSSLLLAVSAVSGALAAPGDSTLVELAKRAITSSETGTNNGYYYSFWTNGGGDVEYTNGNGGQYSVKWTNCDNFVAGKGWNPGSAKTVTYSGEWESNSNSYVSLYGWTQNPLVEYYIVDKYGDYDPSTGATELGTVESDGGTYKIYKTTRENAPSIEGTSTFNQYWSVRQSGRVGGTITAQNHFDAWANVGLQLGTHNYMILATEGYKSSGSATITVE", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKIPILPVVALLSLLALHAVQGAALGHPTIYPEDSSYNNYPTATEGLNNEFLNFKRLQSAFQSENFLNWHVITDMFKNAFPFINWDFFPKVKGLRSAAPDSQ", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLPPWTLGLLLLATVRGKEVCYGQLGCFSDEKPWAGTLQRPVKLLPWSPEDIDTRFLLYTNENPNNFQLITGTEPDTIEASNFQLDRKTRFIIHGFLDKAEDSWPSDMCKKMFEVEKVNCICVDWRHGSRAMYTQAVQNIRVVGAETAFLIQALSTQLGYSLEDVHVIGHSLGAHTAAEAGRRLGGRVGRITGLDPAGPCFQDEPEEVRLDPSDAVFVDVIHTDSSPIVPSLGFGMSQKVGHLDFFPNGGKEMPGCKKNVLSTITDIDGIWEGIGGFVSCNHLRSFEYYSSSVLNPDGFLGYPCASYDEFQESKCFPCPAEGCPKMGHYADQFKGKTSAVEQTFFLNTGESGNFTSWRYKVSVTLSGKEKVNGYIRIALYGSNENSKQYEIFKGSLKPDASHTCAIDVDFNVGKIQKVKFLWNKRGINLSEPKLGASQITVQSGEDGTEYNFCSSDTVEENVLQSLYPC", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLIFWTITLFLLGAAKGKEVCYEDLGCFSDTEPWGGTAIRPLKILPWSPEKIGTRFLLYTNENPNNFQILLLSDPSTIEASNFQMDRKTRFIIHGFIDKGDESWVTDMCKKLFEVEEVNCICVDWKKGSQATYTQAANNVRVVGAQVAQMLDILLTEYSYPPSKVHLIGHSLGAHVAGEAGSKTPGLSRITGLDPVEASFESTPEEVRLDPSDADFVDVIHTDAAPLIPFLGFGTNQQMGHLDFFPNGGESMPGCKKNALSQIVDLDGIWAGTRDFVACNHLRSYKYYLESILNPDGFAAYPCTSYKSFESDKCFPCPDQGCPQMGHYADKFAGRTSEEQQKFFLNTGEASNFARWRYGVSITLSGRTATGQIKVALFGNKGNTHQYSIFRGILKPGSTHSYEFDAKLDVGTIEKVKFLWNNNVINPTLPKVGATKITVQKGEEKTVYNFCSEDTVREDTLLTLTPC", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "NNMLQRQANCNLKVNGCNKIYNPICGSDGITYANECLLCLENKKRQTSILVEKSGPC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGAAISQGALIAIVCNGLVGFLLLLLWVILCWACHSRSADVDSLSESSPNSSPGPCPEKAPPPQKPSHEGSYLLQP", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNKKTLLVIFFVTMLIVDEVNSFRFGSFLKKVWKSKLAKKLRSKGKQLLKDYANRVLNGPEEEAAAPAERRR", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRVLLHLPALLASLILLQAAASTTRAQTTRTSAISDTVSQAKVQVNKAFLDSRTRLKTAMSSETPTSRQLSEYLKHAKGRTRTAIRNGQVWEESLKRLRQKASLTNVTDPSLDLTSLSLEVGCGAPAPVVRCDPCSPYRTITGDCNNRRKPALGAANRALARWLPAEYEDGLSLPFGWTPGKTRNGFPLPLAREVSNKIVGYLNEEGVLDQNRSLLFMQWGQIVDHDLDFAPDTELGSSEYSKAQCDEYCIQGDNCFPIMFPPNDPKAGTQGKCMPFFRAGFVCPTPPYKSLAREQINALTSFLDASFVYSSEPSLASRLRNLSSPLGLMAVNQEVSDHGLPYLPYDSKKPSPCEFINTTARVPCFLAGDSRASEHILLATSHTLFLREHNRLARELKRLNPQWDGEKLYQEARKILGAFVQIITFRDYLPILLGDHMQKWIPPYQGYSESVDPRISNVFTFAFRFGHLEVPSSMFRLDENYQPWGPEPELPLHTLFFNTWRMVKDGGIDPLVRGLLAKKSKLMKQNKMMTGELRNKLFQPTHRIHGFDLAAINTQRCRDHGQPGYNSWRAFCDLSQPQTLEELNTVLKSKMLAKKLLGLYGTPDNIDIWIGAIAEPLVERGRVGPLLACLLGKQFQQIRDGDRFWWENPGVFTNEQKDSLQKMSFSRLVCDNTRITKVPRDPFWANSYPYDFVDCSAIDKLDLSPWASVKN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVRQLILVLSLILFCGSSHAVVSELARQSESAIQGLADIKMAPLRYLDVLFGGNPGGLRGLDGGNSASLSTLQAAKVANILARGDIASSGYSKISAGVGKGSDLITIIKNTRSYDPYLIPPGIPGYNYPLGWPLRYPLGPYWPNRPPWLPINSPPIRPGGLFPGGPSPGGPSPGEPSPGEPSPGGPSPGGPSPGGPSPGGPSPGGPSPGGPSPGGPFPGGSPPSPGGPLGPWQFPWILGGPRPNRPGRPFPGGILPGHLDGSVVPNSVLNVAGGIFGNGGLFGTGIFGQHGLFGTGFLSGPSLDPFGIFTPIGNFFGSLGNLFGFSSPSQIIPIFGGKFGPLGRGLQGSITLDVGGTVPSVKGILGQLLHPFLGFLG", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MAFHSMLLVFLAGLVFLTEAAPLVSHGSIDSKCPLMVKVLDAVRGSPAANVAIKVFKKTSDGDWQEFAAGKTTEFGEVHELTSDEKFVEGIYRVEFDTSSYWKALGLSPFHEYADVVFTANDSGHRHYTIAALLSPFSYSTTAVVSDPQE", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKTLLLTLVVMTIVCLDLGYTLICFISSHDSVTCAPGENVCFLKSWCDAWCGSRGKKLSFGCAATCPKVNPGIDIECCSTDNCNPHPKLRP", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "NSAHPCCDPVKCEPREGEHCISGPCCRNCKFLNAGTICKKAMLDGLNDYCTGISSDCPRNRYKGKEDD", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MDLHRAPWKSSAAAAVLLLALFSGAAASSVEKNLAACLRDNDYDQLLQTVQDGLPHINTSNHVVIVGAGVAGLTAAKLLQDAGHRVTIVEANSRIGGRVETYRNKEEGWYADLGAMRIPSDHSIFRWFAKTLGVKLNPFIMDDHNTFYFVNGLLKRTYTVEANPDILNYKVRSSEKGKSANTLFQDALQKVKDEVEAHGCRAALMKYDKYSAKEYLKEVAGLSSEALRMIGDLLNEQSLMYTALSEMIYDQADVNDNVQYDEVTGGTDLFPRAFLSVLDVPILLNSKVQRIRRSRDGVTVSFKESQRSSLTDLHADMVLVTTTAKAALYMDFEPSLSIRKMEALRAVHYDSSTKIILTFSSRFWEEDGIRGGKSITDRPSRYIYYPSHTFPANSSVGVLLASYTWSDDSLLLQAASDEELKEMALRDLVKIHGERVRALCTGVVVKKWSLDPYSFGAFALFTPYQHLEYAKELFRSEGRVHFAGEHTAFPHAWMESAMKSAIRAATNINKQTLLNEGMNECPAPDEL", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "RLCLSDYSIFSETIEICPEGHNYCFKKFPKGITRLPWVIRGCAATCPKPEAQVYVDCCARDKCNR", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "ELLQNSGNPCCDPVTCKPREGEHCISGPCCRNCKFKRAGTVCLDAKGDWMNNYCTGISSDCPRN", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "NSAHPCCDPVTCQPKKGEHCISGPCCRNCKFLNSGTVCKRAVGDDMDDYCSGITTDCPRNPYKGKD", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "NSANPCCDPVTCKPRRGEHCVSGPCCRNCKFLNAGTICRYARGDDMNDYCTGVTSDCPRNPYKS", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNSGNPCCDPVTCKPRRGEHCVSGPCCRNCKFLNAGTICKRARGDDMNDYCTGISPDCPRNPWKG", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "NSGNPCCDPVTCQPRRGEHCVSGKCCRNCKFLRAGTVCKRAVGDDMDDYCTGISSDCPRNPYKD", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "LECHNQQSSQTPTTTGCSGGENNCYKKEWRDNRGYRTERGCGCPSVKKGIGINCCTTDRCNN", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "SQSVVATQLIPMNTALTPVMMEGKVTNPIGIPFAEMSQIVGKQVNTPVAKGQTIMPNMVKTYAA", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "QSVVATQLIPINTALTPAMMEGKVTNPIGIPFAEMSQIVGKQVNTPVAKGQTIMPNMVKTYAA", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "LTCVTSKSIFGITTEDCPDGQNLCFKRRHYVVPKIYDITRGCVATCPIPENYDSIHCCKTDKCNE", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MINSFIVIFLSFLIFINYANLVCVEATHVYGRRSHSNGMHGNGARRAVAVLRGDAGVSGIIYFQQGSGGSITTISGSVSGLTPGLHGFHVHQYGDQTNGCTSAGDHYNPFGKTHGGPNDRIKHIGDLGNIVAGANGVAEVYINSYDIKLRGPLSVIGHSLVVHANTDDLGQGTGNMREESLKTGNAGSRLACGVIGIAAVS", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MQIVALTLVAFVAIAGASCPYAAPAPAYSAPAASSGYPAPPCPTNYLFSCQPNLAPAPCAQEAPAYGSAGAYTEQVPHYVGSPNREQLQQFHQRIGMAALMEELRGLGQGIQGQQY", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKLCILLVVLLITVVRAEEDILENEAEDISPAIKERSARGCIGRNESCKFDRHGCCWPWSCSCWNKEGQPESDVWCECSLKIGK", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKMKLLGIILLVSFPFVLGFAGIPIEEGENSVEVGEVERSCINVGDFCDGKKDDCQCCRDNAFCSCSVIFGYKTNCRCEVGTTATSYGICMAKHKCGRQTTCTKPCLSKRCKKNHG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKFFVLVAIAFALLACVAQAQPVSDVDPIPEDHVLVHEDAHQEVLQHSRQKRATCDLLSKWNWNHTACAGHCIAKGFKGGYCNDKAVCVCRN", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "DKLIGSCVWGATNYTSDCNAECKRRGYKGGHCGSFWNVNCWCEE", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "ETESTPDYLKNIQQQLEEYTKNFNTQVQNAFDSDKIKSEVNNFIESLGKILNTEKKEAPK", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "EADEPLWLYKGDNIERAPTTADHPILPSIIDDVKLDPNRRYA", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLLTISDFLFLSLTFSRYARMRDSRPWSDRKNNYSGPQFTYPPEKAPPEKLIKWNNEGSPIFEMPAEGGHIEP", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKQTIVIVLLAAVAMMACLQMVAAEPLPEAAPAPSPLAEAEALASPIAEALANPEALASPEAGRILSFIKGLAEHLGKK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKASMFLALAGLVLLFVVCYASESEEKEFPRELISKIFAVDDFKGEERECKGFGKSCVPGKNECCSGYACNSRDKWCKVLLGK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKASMFLALAGLVLLFVVCYASESEEKEFPRELISKIFTVDDFKGEERECKGFGKSCVPGKNECCSGYACNSRDKWCKVLLGK", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTMQCKTCSFYTCPNSETCPDGKNICVKRSWTAVRGDGPKREIRRECAATCPPSKLGLTVFCCTTDNCNH", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGKNILLLLLGLSFVIGFLQALRCLECDMLNSDGICEKGNSTCEAKEDQECGILVVSQGVDILFGMQDCSSHCLNKTFHHYNLTLDFTCCHDQSLCNEF", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKASMFLALAGLVLLFVVCYASESEEKEFPRELISKIFAVDDFKGEVRECKGFGKSCVPGKNECCSGYACNSRDKWCKVLLGK", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKASMFLALAGLVLLFVVGYASESEEKEFPRELLSKIFAVDDFKGEERGCKGFGDSCTPGKNECCPNYACSSKHKWCKVYLGK", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNVFFMFSLLFLAALGSCADDRNPLGECFRETDYEEFLEIAKNGLRATSNPKHVVIVGAGMSGLSAAYVLAEAGHQVTVLEASERAGGRVRTYRNDKEGWYANLGPMRLPEKHRIVREYIRKFGLQLNEFHQENDNAWHFIKNIRKRVGEVKEDPGLLQYPVKPSEEGKSAGQLYEESLGKVAEELKRTNCSYILNKYDTYSTKEYLLKEGNLSPGAVDMIGDLLNEDSGYYVSFIESLKHDDIFGYEKRFDEIVDGMDKLPTSMYQAIKEKVRFNARVIKIQQNDREVTVTYQTSANEMSPVTADYVIVCTTSRATRRITFEPPLPPKKAHALRSVHYRSGTKIFLTCTKKFWEDDGIRGGKSTTDLPSRFIYYPNHNFTSGVGVIIAYGIGDDANFFQALDFKDCGDIVINDLSLIHQLPKKDIQTFCYPSMIQRWSLDKYAMGGITTFTPYQFQHFSEALTAPFKRIYFAGEYTAQFHGWIDSTIKSGLTAARDVNRASENPSGIHLSNDNEL", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MARGSALLLASLLLAAALSASAGLWSPAKEKRGWTLNSAGYLLGPHAVGNHRSFSDKNGLTSKRELRPEDDMKPGSFDRSIPENNIMRTIIEFLSFLHLKEAGALDRLLDLPAAASSEDIERS", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVVLPLFFAFFFMVQGNNYQPRDEIPYVRKYFYVGGQYADDGNGDHIFRDQMYVEHLVPTKGPIKQQPIVLLHGQAQTGTNWLNKPDGGRGWASYFIEHGYECYIVDQTSRGRSPWVPENGTIAAIPAETIQRLFTATARYKLWPEAELHTQWPGSGVIGDPIFDAYYASTVQFLKSQIQQETTIQAAGAALLDRIGRPVILVTHSQAGAHGWLVADTRPELVHSIIALEPAGPPFENVIYKGPYSRVWGLTNAPLTYSPAVVDPETEIVKQTIDDRPGSHCIIQADSPPPRQLPNLRRIRTLVVTAEASFHRPTDWCVVRYMEQAGISVDHVQLGDIGIRGNGHMLFLERNSDEIAAVLRRWMEEKDTKPAKKAQEAQGAQGAQEAQGKEKEL", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTPEYFLRSLLMMILAVFSANASNWLYLAKLSSVGSISEEETCEKLKGPIQRQVQMCKRNLEVMDSVRRGAQLAIEECQYQFRNRRWNCSTLDTLPVFGKVVTQGTREAAFVYAISSAGVAFAVTRACSSGDLEKCGCDRTVHGVSPQGFQWSGCSDNILYGVAFSQSFVDVRERSKGGSSSRALMNLHNNEAGRKAILNNMRVECKCHGVSGSCEVKTCWKAMPTFRKVGNVLKEKFDGATEVEQKKIGSTKVLVPKNSQFKPHTDEDLVYLDSSPDFCDHDLKNGVLGTTGRQCNKTSKAIDGCELMCCGRGFHTEEVEIVERCSCKFHWCCFVKCKQCHKVVEMHTCR", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAGRILLGLTLLATSLPLLAMGDAAVVPCISYSTVPGYFLQDDPAVDPKTFDYAKEGFGLIDQAYDTDETLDAELKKLPWRRFEHKVRSLNKHAASNVRFAVLFLGRHGQGFHNVAEAYYGTKAWDDYWSKLDGDGTITWSDAHLTEEGISQAKVARDTWAGQMKNSIPLPEVYYTSPLDRCLATAKFTFSKLELPPSKPFIPTVKELLRETLGVHTCDRRSSRNYIESTYPTYKIEPGFTQKDMLWDPEVRESDSDRDARLKKLLDDIFSHDKSTFMSLTAHGGAIRSILNVIGHREFGLQTGAVIPVLIRIETSTDAPEDPEEDLTIKIQGLN", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKFFATIAALVVAAVAAPVAEADAEASSPMLIERAGPGGINYVQNYNGNLGQFTYNENAGTYSMYWTNGVSGDFVVGLGWSTGAARSITYSSSYTASGGSYLSVYGWINSPQAEYYIVESYGSYNPCGAGQSGVTQLGTVVSDGATYTVCTDERVNEPSITGTSTFKQYWSVRQTKRTSGTVTTGNHFAYWAKYGFGNSYNFQVMAVEAFSGTGSASVTVS", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MIPNITQLKTAALVMLFAGQALSGPVESRQASESIDAKFKAHGKKYLGNIADQGTLNGNPKTPAIIKANFGQLSPENSMKWDATEPSQGQFSFAGSDYFVEFAETNGKLIRGHTLVWHSQLPSWVSSITDKTTLTDVMKNHITTVMKQYKGKVYAWDVVNEIFEEDGTLRDSVFSRVLGEDFVRIAFETAREADPEAKLYINDYNLDSATSAKLQGMVSHVKKWIAAGVPIDGIGSQTHLGAGAGAAASGSLNALASAGTEEVAVTELDIAGASSTDYVDVVNACLDQPKCVGITVWGVADPDSWRADESPLLFDASYNPKEAYNAIAAAL", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASSSLHFFFFLTLLLPFTFTTATRDTCATAAPDGSDDLSIIPINAKCSPFAPTHVSASVIDTVLHMASSDSHRLTYLSSLVAGKPKPTSVPVASGNQLHIGNYVVRAKLGTPPQLMFMVLDTSNDAVWLPCSGCSGCSNASTSFNTNSSSTYSTVSCSTAQCTQARGLTCPSSSPQPSVCSFNQSYGGDSSFSASLVQDTLTLAPDVIPNFSFGCINSASGNSLPPQGLMGLGRGPMSLVSQTTSLYSGVFSYCLPSFRSFYFSGSLKLGLLGQPKSIRYTPLLRNPRRPSLYYVNLTGVSVGSVQVPVDPVYLTFDANSGAGTIIDSGTVITRFAQPVYEAIRDEFRKQVNVSSFSTLGAFDTCFSADNENVAPKITLHMTSLDLKLPMENTLIHSSAGTLTCLSMAGIRQNANAVLNVIANLQQQNLRILFDVPNSRIGIAPEPCN", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSPTRLVRVLLALALILPGKLCTKGTVGRSSMARCSLFGGDFINTFDESMYSFAGDCSYLLAGDCQEHSVSLIGGFQNGKRVSLSVYLGEFFDIHLFVNGTMLQGTQSISMPYASNGLYLEAEAGYYKLSSEAYGFVARIDGNGNFQVLLSDRYFNKTCGLCGNFNIFAEDDFRTQEGTLTSDPYDFANSWALSSGEQRCKRVSPPSSPCNVSSDEVQQVLWEQCQLLKSASVFARCHPLVDPEPFVALCERTLCTCVQGMECPCAVLLEYARACAQQGIVLYGWTDHSVCRPACPAGMEYKECVSPCTRTCQSLHVKEVCQEQCVDGCSCPEGQLLDEGHCVGSAECSCVHAGQRYPPGASLLQDCHTCICRNSLWICSNEECPGECLVTGQSHFKSFDNRYFTFSGVCHYLLAQDCQDHTFSVVIETVQCADDLDAVCTRSVTVRLPGHHNSLVKLKHGGGVSMDGQDIQIPLLQGDLRIQHTVMASVRLSYGEDLQMDWDGRGRLLVTLSPAYAGKTCGLCGNYNGNRGDDFVTPAGLAEPLVEDFGNAWKLLGACENLQKQHRDPCSLNPRQARFAEEACALLTSSKFEPCHRAVGPQPYVQNCRYDVCSCSDGRDCLCSAVANYAAACARRGVHIAWREPGFCALSCPQGQVYLQCGTPCNMTCRSLSYPEEDCNEVCLEGCFCPPGLYLDERGDCVPKAQCPCYYDGEIFQPEDIFSDHHTMCYCEDGFMHCTTSGGLGSLLPNPVLSSPRSHRSKRSLSCRPPMVKLVCPADNPRAEGLECAKTCQNYDLQCMSTGCVSGCLCPQGMVRHENRCVALERCPCFHQGQEYAPGETVKIDCNTCVCRDRKWNCTDHVCDATCSAIGMAHYLTFDGLKYLFPGECQYVLVQDYCGSNPGTFRILVGNEGCSYPSVKCKKRVTILVEGGEIELFDGEVNVKKPMKDETHFEVVESGQYVILLLGKALSVVWDHRLSISVTLKRTYQEQVCGLCGNFDGIQNNDFTSSSLQIEEDPVDFGNSWKVNPQCADTKKVPLDSSPAVCHNNIMKQTMVDSSCRILTSDIFQDCNRLVDPEPFLDICIYDTCSCESIGDCTCFCDTIAAYAHVCAQHGKVVAWRTATFCPQNCEERNLHENGYECEWRYNSCAPACPITCQHPEPLACPVQCVEGCHAHCPPGKILDELLQTCIDPEDCPVCEVAGRRLAPGKKIILNPSDPEHCQICHCDGVNFTCQACREPGSLVVPPTEGPIGSTTSYVEDTPEPPLHDFHCSRLLDLVFLLDGSSKLSEDEFEVLKVFVVGMMEHLHISQKRIRVAVVEYHDGSHAYIELKDRKRPSELRRITSQVKYAGSEVASTSEVLKYTLFQIFGKIDRPEASRIALLLMASQEPSRLARNLVRYVQGLKKKKVIVIPVGIGPHASLKQIHLIEKQAPENKAFVFSGVDELEQRRDEIINYLCDLAPEAPAPTQHPPMAQVTVGSELLGVSSPGPKRNSMVLDVVFVLEGSDKIGEANFNKSREFMEEVIQRMDVGQDRIHVTVLQYSYMVTVEYTFSEAQSKGEVLQQVRDIRYRGGNRTNTGLALQYLSEHSFSVSQGDREQVPNLVYMVTGNPASDEIKRMPGDIQVVPIGVGPHANVQELEKIGWPNAPILIHDFEMLPREAPDLVLQRCCSGEGLQIPTLSPTPDCSQPLDVVLLLDGSSSIPASYFDEMKSFTKAFISRANIGPRLTQVSVLQYGSITTIDVPWNVAYEKVHLLSLVDLMQQEGGPSQIGDALSFAVRYVTSEVHGARPGASKAVVILVTDVSVDSVDAAAEAARSNRVTVFPIGIGDRYSEAQLSSLAGPKAGSNMVRLQRIEDLPTVATLGNSFFHKLCSGFDRVCVDEDGNEKRPGDVWTLPDQCHTVTCLPDGQTLLKSHRVNCDRGPRPSCPNGQPPLRVEETCGCRWTCPCVCMGSSTRHIVTFDGQNFKLTGSCSYVLFQNKEQDLEVILHNGACSPGAKETCMKSIEVKHDGLSVELHSDMQMTVNGRLVSIPYVGGDMEVNVYGTIMYEVRFNHLGHIFTFTPQNNEFQLQLSPRTFASKTYGLCGICDENGANDFILRDGTVTTDWKALIQEWTVQQLGKTCQPVPEEQCPVSSSSHCQVLLSELFAECHKVLAPATFYAMCQPDSCHPKKVCEAIALYAHLCRTKGVCVDWRRANFCAMSCPPSLVYNHCEHGCPRLCEGNTSSCGDQPSEGCFCPPNQVMLEGSCVPEEACTQCISEDGVRHQFLETWVPAHQPCQICTCLSGRKVNCTLQPCPTARAPTCGPCEVARLRQNAEQCCPEYECVCDLVSCDLPPVPPCEDGLQMTLTNPGECRPNFTCACRKDECRRESPPSCPPHRTLALRKTQCCDEYECACNCVNSTVSCPLGYLASAVTNDCGCTTTTCFPDKVCVHRGTIYPVGQFWEEACDVCTCTDLEDSVMGLRVAQCSQKPCEDNCLSGFTYVLHEGECCGRCLPSACEVVIGSPRGDAQSHWKNVGSHWASPDNPCLINECVRVKEEVFVQQRNVSCPQLNVPTCPTGFQLSCKTSECCPTCHCEPLEACLLNGTIIGPGKSLMIDVCTTCRCTVQVGVISGFKLECRKTTCEACPLGYKEEKNQGECCGRCLPIACTIQLRGGQIMTLKRDETIQDGCDSHFCKVNERGEYIWEKRVTGCPPFDEHKCLAEGGKIMKIPGTCCDTCEEPECKDIIAKLQRVKVGDCKSEEEVDIHYCEGKCASKAVYSIHMEDVQDQCSCCSPTQTEPMQVPLRCTNGSLIYHEILNAMQCRCSPRKCSK", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MPPSGLRLLLLLLPLLWLLVLTPGRPAAGLSTCKTIDMELVKRKRIEAIRGQILSKLRLASPPSQGEVPPGPLPEAVLALYNSTRDRVAGESAEPEPEPEADYYAKEVTRVLMVETHNEIYDKFKQSTHSIYMFFNTSELREAVPEPVLLSRAELRLLRLKLKVEQHVELYQKYSNNSWRYLSNRLLAPSDSPEWLSFDVTGVVRQWLSRGGEIEGFRLSAHCSCDSRDNTLQVDINGFTTGRRGDLATIHGMNRPFLLLMATPLERAQHLQSSRHRRALDTNYCFSSTEKNCCVRQLYIDFRKDLGWKWIHEPKGYHANFCLGPCPYIWSLDTQYSKVLALYNQHNPGASAAPCCVPQALEPLPIVYYVGRKPKVEQLSNMIVRSCKCS", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNKFILLLSLVTLSCVLAVPQLPAAQQYYMKGSFNIPYFNIVEPIELIYDSVNNRQYISVYNGMDITINFYNQDNTYNVGPVKYDMVCTTTPGNGSLVNVLPTEPSSWVYNGTSTVNGVQVFGYSQKITQYGRTGFYNFYVDANGVPVQFYMDGVDYVFGSHPDVYVLNFDIYTTDISSYESYFDIPVLCNNAKEAPAKENQFDGLFSSIGDNLLAKEEQASNLFKEYKAQYNKEYSSQDEHDERFINFKAARKIIATHNAKESSYKLGMNHYADLSNKEFNTLVKPKVARPSVTGADSVHDDESLRSIPSTVDWRNQNCVTPVKDQGICGSCWTFGSTGSLEGTNCVTNGELVSLSEQQLVDCAILTGSQGCGGGFASSAFQYVMEIGSLATESNYPYLMQNGLCRDRTVTPSGVSITGYVNVTSGSESALQNAIATTGPVAIAIDASVDDFRYYMSGVYNNPACKNGLDDLDHEVLAIGYGTYQGQDYFLVKNSWSTNWGMDGYVYMARNDNNLCGVSSQATYPIPTKN", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "IVCHTTATSPISAVTCPPGENLCYRKMCDAICSSRGKVVELGCAATCPSKKPYEEVTCCSNDKCNPHPKQRPG", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "TKSVVANQLIPINTALTLVMMKAEEVSPKGIPAEEIPRLVGMQVNRAVYLDETLMPDMVKNYE", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "NKASVVANQLIPINTALTLIMMKAEVVTPMGIPAEDIPRIIGMQVNRAVPLGTTLMPDMVKNYE", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MQKLTIFVIFLCCWLVLTMADDYVKKCQHHCDLQLKRCARECHFPFHPYHKECLKICEKTKLHCFRWCAHA", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDILKKSLFLALFLGLVSISFCDEEKRQDDDESNESEEKKEIHEEGSQEERREKPPPWVPV", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRICFLLLAFLVAETFANELTRCCAGGTRHFKNSNTCSSIKSEGTSMTCQRAASICCLRSLLDNACDSGTDIAKEEESCPSNINILGGGLKKECCDCCLLAKDLLNRNEPCVAPVGFSAGCLRSFNKCCNGDIEITHASEIITGRPLNDPHVLHLGDRCASSHCEHLCHDRGGEKVECSCRSGFDLAPDGMACVDRNECLTRQSPCTQSEDCVNTIGGYICQRRISRLVPHRHRANRIGNAPRRMRDDPYSRAGEYREASQANTEFGCPMGWLFQHGHCVDVDECNLGSHDCGPLYQCRNTQGSYRCDAKKCGDGELQNPMTGECTSITCPNGYYPKNGMCNDIDECVTGHNCGAGEECVNTPGSFRCQQKGNLCAHGYEVNGATGFCEDVNECQQGVCGSMECINLPGTYKCKCGPGYEFNDAKKRCEDVDECIKFAGHVCDLSAECINTIGSFECKCKPGFQLASDGRRCEDVNECTTGIAACEQKCVNIPGSYQCICDRGFALGPDGTKCEDIDECSIWAGSGNDLCMGGCINTKGSYLCQCPPGYKIQPDGRTCVDVDECAMGECAGSDKVCVNTLGSFKCHSIDCPTNYIHDSLNKNQIADGYSCIKVCSTEDTECLGNHTREVLYQFRAVPSLKTIISPIEVSRIVTHMGVPFSVDYNLDYVGQRHFRIVQERNIGIVQLVKPISGPTVETIKVNIHTKSRTGVILAFNEAIIEISVSKYPF", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAQVLIVGAGMTGSLCAALLRRQTSGPLYLAVWDKAEDSGGRMTTACSPHNPQCTADLGAQYITCTPHYAKKHQRFYDELLAYGVLRPLSSPIEGMVMKEGDCNFVAPQGISSIIKHYLKESGAEVYFRHRVTQINLRDDKWEVSKQTGSPEQFDLIVLTMPVPEILQLQGDITTLISECQRQQLEAVSYSSRYALGLFYEAGTKIDVPWAGQYITSNPCIRFVSIDNKKRNIESSEIGPSLVIHTTVPFGVTYLEHSIEDVQELVFQQLENILPGLPQPIATKCQKWRHSQVTNAAANCPGQMTLHHKPFLACGGDGFTQSNFDGCITSALCVLEALKNYI", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLLFTLCFFADQENGGKALASPPGNWQKADVTFDSNTAFESLVVSPDKKTVENVGVPKGVPDSPERFSSSPCVLGSPGFRSGKHFFEVKYGTQREWAVGLAGKSVKRKGYLRLVPEERIWQKGLWWLRRLETDSDKLQKGSGKIIVFLDYDEGKVIFDLDGEVTTIQANFNGEEVVPFYYIGARVSLANL", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "DIEDFYTSETCPYKNDSQLAWDTCSGGTGNCGTVCCGQCFSFPVSQSCAGMADSNDCPNA", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLSWVLLAWAVACSALAGASRLTPSVLPLVVRNPYLSTWLADARHEPWSSWPIFWTGQHMGMSIMAHVPSTGNTYPLLGRPHDSLGPNNPNNGCFLGSKYDASTTNMTYLIQPEGKHLAGESVKITITFLSPITPTSTLRQSIPAGYVTIRVEGNMNVNIYMDMNGEWVTGDRGSSLIWKMDNIVDTGKGESLYQWQVSRKTEQLFTEFQDRAEWGMLHFLAPQGVRYESGTSMLLRTRFARTGVLQNRNDERFRTVMDEEPVFAYSKAFNLNGTDDEPNIEAIHDEVTFTIAHTQDPVVQFASARGLTLMKPLWESYFPDVKSLLNFHYFDLDKARILAHRYSNQLARDAQLSAAEDYVDVVALTARQVLGATSFSGTSDNPLLFLKEISSNGNCQTVDVIFPSFPFFLYTNPRWLAYLLEPLIEHMLSGQYPNNYSMHDLGAHFPNMTGHPDGKDEYMPVEECGNMLIMGLSIVNSLRFPPEANTTAPWYPGTLEARDAEPDVVGLFPLRDLQTVGGIDRLDSVWGVGPDATNLARKWVEKSYRLWRQWTGYLVEFSLEPHNQLSTDDFAGWLALQTNLALKGIVGINAMSEMSRFVGKTDDYKYFKNISDTYITKWEGFGFSRDGTHAKLSYDWYGSWTTLYNMFADALLCFHLDGTEYDTHPRTLDDQEPIAPPPGKTGFIPRRVYEKQSKWYANVRQKYGLPLDSRHLYTKSDWEFFSMAVSSPSVRSEILQSYAKWVNETSTDHPLTDLYKTEEDGGYPGPNFFARPVVGGHFAFLALEKACNGKATDGLKFLDDKDNNSPEDIPEDNVHDGDADNEDSQSPIQDSDGSEVKAGDQAQFPIQDMDDSQMTIVNEND", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPRGCALLLASLLLASALSATLGLGSPVKEKRGWTLNSAGYLLGPHAIDNHRSFHDKYGLAGKRELEPEDEARPGGFDRLQSEDKAIRTIMEFLAFLHLKEAGALGRLPGLPSAASSEDAGQS", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "VIGGDECNINEHPFLVLVYYDDYQCGGTLINEEWVLTAAHCNGKNMEIYLGVHSKKVPNKDVQRRVPKEKFFCDSSKTYTKWNKDIMLIRLDRPVRKSAHIAPLSLPSSPPSVGSVCRVMGWGTITSPQETYPDVPHCAKINLLDYSECRAAYPGLPPKSRTLCAGVLEGGKDTCGGDSGGPLICNGQFQGIVSWGGDPCAQPHEPGSYTNVFDHLDWIKGIIAGNTDATCPL", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKKNREAQLCLFSALLAFLPFASLLNGNSKYMVLVPSQLYTETPEKICLHLYHLNETVTVTASLISQRGTRKLFDELVVDKDLFHCLSFTIPRLPSSEEEESLDINIEGAKHKFSERRVVLVKNKESVVFVQTDKPVYKPGQSVKFRVVSMDKNLHPLNELFPLAYIEDPKMNRIMQWQDIKTENGLKQLSFSLSAEPIQGPYKIVILKQSGVKEEHSFTVMEFVLPRFGVDVKVPNAISVYDEIINVTACAIYTYGKPVPGHVKISLCHGNPSFSSETKSACKEEDSELDNNGCSTQEVNITEFQLKENYLKMHQAFHVNATVTEEGTGSEFSGSGRIEVERTRNKFLFLKADSHFRHGIPFFVKIRLVDIKGDPIPNEQVFIKAQEAGYTNATTTDQHGLAKFSIDTSSISGYSLNIKVYHKEESSCIHSSCTAERHAEEHHTAYAVYSLSKSYIYLDTEAGVLPCNQIHTVQAHFILKGQVLGVLPQIVFHYLVMAQGSILQTGNHTHQVEPGVSQVQGNFALEIPVEFSMVPVAKMLIYTILPDGEVIADSVTFQVEKCLRNKVHLSFSPSQSLPASQTHMRVTASPQSLCGLRAVDQSVLLLKPEAELSPSLIYDLPGMQDSNFIPSSYHPFEDEYDCLMYQPRDTEELTYSVPYGREKDVYRYVRDMGLTAFTNLKIKHPTYCYEMNMVVLSAPAVESELSPRGGEFEMMPLGVNKSPLPKEPPRKDPPPKDPVIETIRNYFPETWIWDLVTVNSSGVTEVEMTVPDTITEWKAGALCLSNDTGLGLSSVATLQAFQPFFVELTMPYSVIRGEAFMLKATVMNYLPTSLPMAVQLEASPDFTAVPVGNDQDSYCLGANGRHTSSWLVTPKSLGNVNFSVSVEAQQSPELCGSQVATVPETGRKDTVVKVLIVEPEGIKKEHTFSSLLCASDAELSETLSLLLPPTVVKDSARAHFSVMGDILSSAIKNTQNLIQMPYGCGEQNMVLFAPNIYVLKYLNETQQLTEKIKSKALGYLRAGYQRELNYKHKDGSYSAFGDHNGQGQGNTWLTAFVLKSFAQARAFIFIDESHITDAFTWLSKQQKDSGCFRSSGSLFNNAMKGGVDDEITLSAYITMALLESSLPDTDPVVSKALGCLEASWETIEQGRNGSFVYTKTLMAYAFALAGNQEKRNEILKSLDKEAIREDNSIHWERPQKPTKSEGYLYTPQASSAEVEMSAYVVLARLTAQPAPSPEDLALSMGTIKWLTKQQNSHGGFSSTQDTVVALDALSKYGAATFSKSQKTPLVTIQSSGSFSQKFQVDNSNRLLLQQVSLPDIPGNYTVSVSGEGCVYAQTTLRYNMPLEKQQPAFALKVQTVPLTCNNPKGQNSFQISLEISYTGSRPASNMVIADVKMLSGFIPLKPTVKKLERLEHVSRTEVTTNNVLLYLDQVTNQTLSFSFIIQQDIPVKNLQPAIVKVYDYYETDEVAFAEYSSPCSSDKQNV", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRFLVGAVLVVVLVACATAFESDAETFKSLVVEERKCHGDGSKGCATKPDDWCCKNTPCKCPAWSSTSECRCAMDCSRRCKGKRALLLPVETHRLLFPEQW", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKLRLIAFVLILWTETLADQSPGPGPEYADVVFLVDSSNYLGIKSFPFVRTFLNRMISSLPIEANKYRVALAQYSDALHNEFQLGTFKNRNPMLNHLKKNFGFIGGSLKIGNALQEAHRTYFSAPTNGRDKKQFPPILVVLASAESEDDVEEAAKALREDGVKIISVGVQKASEENLKAMATSQFHFNLRTARDLGMFAPNMTRIIKDVTQYREGTTVDLITAVAPTTPAAPATPAAPTIPAALTTAANHVDKTVPFPTSCQKDSLADLIFLVDESVGTTQNLRDLQNFLENVTSSVDVKDNCMRLGLMSFSDRAQTISSLRSSANQSEFQQQIQKLSLQTGASNVGAAIEQMRKEGFSESSGSRKAQGVPQIAVLVTHRASDDMVREAALDLRLEGVTMFAMGIEGANNTQLEDIVSYPSRQSISTHSSYSHLESYSGNFLKKIRNEIWTQVSTRAEQMELDKTGCVDTKEADIYFLIDGSSSIRKKEFEQIQIFMSSVIDMFPIGPNKVRVGVVQYSHKNEVEFPVSRYTDGIDLKKAVFNIKQLKGLTFTGKALDFILPLIKKGKTERTDRAPCYLIVLTDGKSNDSVLEPANRLRAEQITIHAIGIGEANKTQLRQIAGKDERVNFGQNFDSLKSIKNEIVHRICSEKGCEDMKADIMFLVDSSGSIGPTNFETMKTFMKNLVGKIQIGADRSQVGVVQFSDYNREEFQLNKYSTHEEIYAAIDRMSPINRNTLTGGALTFVNEYFDLSKGGRPQVRKFLILLTDGKAQDEVGGPATALRSKSVTIFSVGVYGANRAQLEEISGDGSLVFHVENFDHLKAIESKLIFRVCALHDCKRIELLDIVFVLDHSGSIGPREQESMMNLTIHLVKKADVGRDRVQIGALTYSNHPEILFYLNTYSSGSAIAEHLRRPRDTGGETYTAKALQHSNVLFTEEHGSRLTQNVRQLMIVITDGVSHDRDKLDEAARELRDKGITIFAVGVGNANQDELETMAGKKENTVHVDNFDKLRDIYLPLQETLCNNSQETCNLPEADVIFLCDGSDMVSDSEFVTMTTFLSDLIDNFDIESQRMKIGMAQYGSRYQEIIELESSLNKTQWKSQVHSVAQSKGLPRLDFALKHVSDMFDPSVGGRRNAGVPQTLVVITSSSPRYDVTDAVKVLKDLGICVLALGIGDVYKEQLLPITGNSEKIITFRDFNKLKNVDVKKRMVREICQSCGKANCFVDVVVGFDISTHRQGQPLFQGHPRLESYLPGILEDITSIRGVSCGAGAEAQVSLAFKVNSDQEFPAKFQIYQKAAFDSLLHVTVRGPTHLDAPFLQSLWDMFEERSASRGQVLLIFSDGLQGESITLLERQSDRLREAGLDALLVVSLNTFGHDEFSSFEFGKGFDYRTQLTIGMLDLGKTLSQYLGNIAERACCCTFCKCPGIPGPHGTRGLQASKGSSGPKGSRGHRGEDGDPGRRGEIGLQGDRGVVGCPGTRGQKGVKGFSGAQGEHGEDGLDGLDGEEGFYGFRGGKGQKGDPGNQGYPGIRGAAGEDGEKGFPGDPGDPGKDSNIKGQKGEKGERGRQGITGQKGTHGRPSSKGSRGMEGQRGPQGPSGQAGNPGPQGTQGPEGLQGSQGSSGNRGGKGDKGSQGYQGPQGSPGPAGPRGDIGRPGFGGRKGEPGVPGGPGPVGPPGQRGKQGDYGIPGYGQTGRKGVKGPTGFPGDPGQKGDAGNPGIPGGPGPKGFKGLTLSQGLKGRSGLQGSQGPPGRRGPKGTAGQPIYSPCELIQFLRDHSLIFTDKCPVYPTELVFALDQSSGITERRFNETRDTITSIVSDLNIRENNCPVGARVAVVSYDSDTSYLIRGSDYHNKKHLLQLLSQIKYQVPRKARDIGNAMRFVARNVFKRMSAGTNTRRVAVFFSNGQAASRASILTATMELSALDISLAVFAYNERVFLDEAFGFDDTGTFQVIPVPPVGDYEPLEKLRRCTLCYDKCFPNTCAEEPFFPENSYMDVAFLLDNSKNIASDDFQAVKALVSSVIDSFHITSNPSASESGDRVALLSYSPSESSRRKGRVKTEFAFTTYDNQSIMKNYIYTSLQQLNGDATIGLALQWAMEGLFLGTPNPRKHKVIIVISAGENHEEKEFVKTVALRAKCQGYVVFVISLGSTQRDEMEELASYPLDHHLIQLGRMYKPDLNYIVKFLKPFIYSVRRGFNQYPPPTLKDDCRLVELERGDTLPHGLRLTAKLREVPESTISLADQELNAGKDSSFVLEDHRGDHLVYVPSQMLEPHKLVSHYGNDRESVAMASLTSEHESHGREELGLAHEPGDASLQEYYMDVAFLIDASQRVGGRNEFKEVRTLITSVLDYFHIAPAPLTSVLGDRVAVLTYSPPGYLPNTEECPVYLEFDLVTYNTVHQMKHHLQESLQQLNGDVFIGHALQWTVDNVFVGTPNLRKNKVIFIVTAGETNPLDKEVLRNASLRAKCQGYSIFVFSFGPIHNDMELEELASHPLDHHLVRLGRVHRPDLDYVIKFIKPFVHSIRRAINKYPGRDLQAKCDNLTFPGPENAGTEDSALLIPEVYRIEAGENELSGDSGSQEQHFFLLGNSHGNHSESTADLMRQLYLLLSSGELMVNDKEEPCSAETPAPVNSKQDGEDAR", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "LPEQIDWRKKGAVTPVKNQGSCGSCWAFSTVSTVESINQIRTGNLISLSEQELVDCDKKNHGCLGGAFVFAYQYIINNGGIDTQANYPYKAVQGPCQAASKVVSIDGYNGVPFCNEXALKQAVAVQPSTVAIDASSAQFQQYSSGIFSGPCGTKLNHGVTIVGYQANYWIVRNSWGRYWGEKGYIRMLRVGGCGLCGIARLPYYPTKA", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MCGPSYCTLLLIAASCYILVCSHAKSLQGTSKLDLGNHISAGSARGSLSPASPALSEARQKRAMGDYKELTDIIDELEENSLAQKASATMQVAAMPPQGQEFDLDTMPPLTYYLLLQKLRQLQSNGEPAYRVRTPRLGRSIDSWRLLDAEGATGMAGGEEAIGGQFMQRMVKKSVPFKPRLGKRAQVCGGD", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MSKLGVVLFVFLLLLPLAAPQPVGDQPADQPADRNAEARARFLHPFQYYTLYRYLTRFLHRYPIYYIRY", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVNMKASMFLALAGLVLLFVVCYASESEEKEFSNELLSSVLAVDDNSKGEERECLEIFKACNPSNDQCCKSSKLVCSRKTRWCKYQIGK", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "NSVHPCCDPVICEPREGEHCISGPCCENCYFLNSGTICKRARGDGNQDYCTGITPDCPRNRYNV", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MGFITKAIPLALAAASVINGAEILETRAGVQTLADKYIVVMNDGISDKDFDSHRSWVNRNHRRRLIRRGAKAMGGMKHTYNFPTGLKGYSGHFDEQMINEISKRADVKYIERDARVQINAIEQQDNVPSWGLARVGSKEPGGTTYYYDSTAGEGSTAYVIDTGTDIQHEEFEGRATWGANFVDDMDMDCNGHGTHVSGTIGGKTFGVAKKSNVVAVKVLDCNGSGSNSGVIMGMEWATKDAQQKGADKAVANMSLGGAFSQASNDAAAAIAKGGVFLAVAAGNDNVDAADSSPASEPSICTIAASTEQDSKADFSNFGQVVDVYAPGDSITSAKPGGGSQVLSGISMATPHVAGLGAYLIGLGKGGGPGLCDTIKQMAIDVIQNPGASTTSKLINNGSGM", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGFITKAIPLALAAASVINGAEILETRAGVQTLADKYIVVMNDGMSDKDFDSHRSWVNRTHRRRLIRRGAKAMGGMKYTYNFPTGLKGYSGHFDEQMIKEISKRADVKYIERDARVQINAIEQQDNVPSWGLARVGSREPGGTTYYYDSTAGEGTTAYIIDTGTDIQHEEFDGGRATWGENFVDDMDMDCNGHGTHVSGTVGGRTFGVAKKSNIVAVKVLDCNGSGSNSGVIMGMQWATEDAQSKGADKAVVNMSLGGAFSQTSNDAAKAIAEGGVFLAVAAGNDNVDAAEASPASEPSICTVAASTEQDGKADFSNFGQVVDVYAPGDGITSAKPGGGSQVLSGTSMASPHVAGLAAYLIGLGKGGGPQLCDTIKQMAIDVIQNPGSSTTSKLINNGSGM", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGFITKAIPLALAAASVINGAEILETRAGVQTLADKYIVVMNDGISDKDFDSHRSWVNRNHRRRLIRRGAKAMGGMKHTYNFPTGLKGYSGHFDEQMINEISKRADVKYIERDARVQINAIEQQDNVPSWGLARVGSKEPGGTTYYYDSTAGEGSTAYVIDTGTDIQHEEFEGRATWGANFVDDMDMDCNGHGTHVSGTIGGKTFGVAKKSNVVAVKVLDCSGSGSNSGVIMGMEWATKDAQQKGADKAVANMSLGGAFSQASNDAAAAIAKGGVFLAVAAGNDNVDAADSSPASEPSICTIAASTEQDSKADFSNFGQVVDVYAPGDSITSAKPGGGSQVLSGTSMATPHVAGLGAYLIGLGKGGGPGLCDTIKQMAIDVIQNPGASTTSKLINNGSGM", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGFITKAIPIVLAALSTVNGARILEAGPHAEAIPNKYIVVMKREVSDEAFNAHTTWLSQSLNSRIMRRAGSSKPMAGMQDKYSLGGIFRAYSGEFDDAMIKDISSHDDVDFIEPDFVVRTTTNGTNLTHQDNVPSWGLARVGSKKPGGTTYYYDPSAGKGVTAYIIDTGIDIDHEDFQGRAKWGENFVDQQNTDCNGHGTHVAGTVGGTKYGLAKGVSLVAVKVLDCDGSGSNSGVIKGMEWAMRQASGGGNGTAKAAGKSVMNMSLGGPRSEASNQAAKAISDAGIFMAVAAGNENMDAQHSSPASEPSVCTVAASTKDDGKADFSNYGAVVDVYAPGKDITSLKPGGSTDTLSGTSMASPHVCGLGAYLIGLGKQGGPGLCDTIKKMANDVIQSPGEGTTGKLIYNGSGK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGFITKAIPIVLAALSTVNGAKILEAGPHAETIPNKYIVVMKREVSDEAFSAHTTWLSQNLNRRVMRRSGSSKAMAGMQDKYSLGGIFRAYSGEFDDAMIKDISSHDDVDYIEPDFVVRTSTNGTNLTRQDNVPSWGLARVSSKKAGGTTYYYDSSAGKGVTAYVIDTGIDINHEDFRGRAKWGKNFVDDMDEDCNGHGTHVAGTVGGTKYGLAKGVSLVAVKVLDCEGSGSNSGVIKGMEWAMREASGGGNGTAKAAGKAVMNMSLGGPRSQASNQAAKAISDAGIFMAVAAGNENMDAQHSSPASEPSVCTVAASTEDDGKADFSNYGQLVDVYAPGKDITSLKPGGSTDTLSGTSMASPHVCGLGAYLIGLGKQGGPGLCDTIKEMAHDAIQRPGEGTTSKLIYNGSGK", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGFITKAIPLALAAASVINGAEILETRAGVQTLADKYIVVMNDGMSDKDFDSHRSWVNRTHRRRLIRRGAKAMGGMKYTYNFPTGLKGYSGHFDEQMIKEISKRADVKYIERDARVQINAIEQQDNVPSWGLARVGSREPGGTTYYYDSTAGEGTTAYIIDTGTDIQHEEFDGGRATWGENFADDMDMDCNGHGTHVSGTVGGRTFGVAKKSNIVAVKVLDCNGSGSNSGVIMGMQWATEDAQSKGADKAVVNMSLGGAFSQTSNDAAKAIAEGGVFLAVAAGNDNVDAAEASPASEPSICTVAASTEQDGKADFSNFGQVVDVYAPGDGITSAKPGGGSQVLSGTSMASPHVAGLAAYLIGLGKGGGPQLCDTIKQMAIDVIQNPGSSTTSKLINNGSGM", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAELWLSLSCMFSLLLLTNSSPLTFQERMLLKALGLNTRPNPIAPAPVPKSLRDIFEKGINQDNPCMMEGFGVPGNIVRSYRDQGTIAAIEEPQGSLCLKKFLFFDLSAVENKEQLTLGQLEIKFKHNTYYGQQFHLRLYRTLQLSLKGMRDSKMNRKLLVTQSFRLLHKSLYFNLTKVAEDWKNPEKNMGLILEIYASSELAGGNRSFVVCEPIQSFIYTSLLTVSLDPSNCKTQRAKRSTHSSPPTPSNICKKRRLYIDFKDVGWQNWVIAPRGYMANYCHGECPYPLTEMLRGTNHAVLQTLVHSVEPENTPLPCCAPTKLSPISMLYYDNNDNVVLRHYEDMVVDECGCK", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MWATRGLAVALALSVLPDSRALRPGDCEVCISYLGRFYQDLKDRDVTFSPATIEEELIKFCREARGKENRLCYYIGATDDAATKIINEVSKPLAHHIPVEKICEKLKKKDSQICELKYDNQIDLSTVDLKKLRVKELKKILDDWGEMCKGCAEKSDYIRKINELMPKYAPKAASARTDL", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "GRDAYIAQPENCVYECAKNSYCNDLCTKNGAKSGYCQWLGKYGNACWCEDLPDNVPIRIPGKCHF", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MESLKSPVFLLILHLLEGVLSESLIQLNNNGYEGIVIAIDHDVPEDEALIQHIKDMVTQASPYLFEATGKRFYFKNVAILIPESWKAKPEYTRPKLETFKNADVLVSTTSPLGNDEPYTEHIGACGEKGIRIHLTPDFLAGKKLTQYGPQDRTFVHEWAHFRWGVFNEYNNDEKFYLSKGKPQAVRCSAAITGKNQVRRCQGGSCITNGKCVIDRVTGLYKDNCVFVPDPHQNEKASIMFNQNINSVVEFCTEKNHNQEAPNDQNQRCNLRSTWEVIQESEDFKQTTPMTAQPPAPTFSLLQIGQRIVCLVLDKSGSMLNDDRLNRMNQASRLFLLQTVEQGSWVGMVTFDSAAYVQSELKQLNSGADRDLLIKHLPTVSAGGTSICSGLRTAFTVIKKKYPTDGSEIVLLTDGEDNTISSCFDLVKQSGAIIHTVALGPAAAKELEQLSKMTGGLQTYSSDQVQNNGLVDAFAALSSGNAAIAQHSIQLESRGVNLQNNQWMNGSVIVDSSVGKDTLFLITWTTHPPTIFIWDPSGVEQNGFILDTTTKVAYLQVPGTAKVGFWKYSIQASSQTLTLTVTSRAASATLPPITVTPVVNKNTGKFPSPVTVYASIRQGASPILRASVTALIESVNGKTVTLELLDNGAGADATKNDGVYSRFFTAFDANGRYSVKIWALGGVTSDRQRAAPPKNRAMYIDGWIEDGEVRMNPPRPETSYVQDKQLCFSRTSSGGSFVATNVPAAAPIPDLFPPCQITDLKASIQGQNLVNLTWTAPGDDYDHGRASNYIIRMSTSIVDLRDHFNTSLQVNTTGLIPKEASSEEIFEFELGGNTFGNGTDIFIAIQAVDKSNLKSEISNIARVSVFIPAQEPPIPEDSTPPCPDISINSTIPGIHVLKIMWKWLGEMQVTLGLH", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "LKCFQHGKVVTCHRDMKFCYHNTGMPFRNLKLILQGCSSSCSETENNKCCSTDRCNK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKMLCWRLALWLAAWAVCGKPSFCSALDYDYTYDFTEEDKAEAIDYKDPCKAAVFWGDIALDDEDLKIFQIDRTIDLTQHSNERLGHNTGGFGEHGMSKKRGALYQLIERIRRFGSGFEQNNTSKGRTTVKFSGKNEKNRFPRAATSRTERIWPGGVIPYVIGGNFTGTQRAMFKQAMRHWEKYTCVTFIERSDEESYIVFTYRPCGCCSYVGRRGNGPQAISIGKNCDKFGIVVHELGHVIGFWHEHTRPDRDDHVTIIRENIQPGQEYNFLKMEPGEVNSLGEPYDFDSIMHYARNTFSRGMFLDTILPSRDDNGIRPAIGQRTRLSKGDIAQARKLYRCPACGETLQESTGNFSSPGFPNGYPSYTHCIWRISVTPGEKIVLNFTTMDLYKSSLCWYDYIEVRDGYWRKSPLLGRFCGDKLPEVLASSDSRMWIEFRSSSNWVGKGFAAVYEAICGGEIHKNEGQIQSPNYPDDYRPMKECVWKITVSENYNVGLTFQAFEIERHDNCAYDYLEIRDGMNENSPLIGHFCGYDKPEDIRSTSNTLWMKFVSDGTVNKAGFAANFFKEEMMCQPDNGGCEQRCVNTLGSYQCACDPGYELGPDKKSCEAACGGLLTKLNGTIPTPGWPKEYPPNKNCVWQVVAPTQYRISMKFEFFELEGNEVCKYDYVEIRSGLSSDSKLHGKFCGTEVPEVITSQYNNMRIEFRSDNTVSKKGFKAHFFSDKDECSKDNGGCQHECINTVGSYVCQCRNGFVLHENKHDCKEAECEQKIHSPNGIIMSPNWPDKYPSRKECTWEISATPGQRVKLTFNEFEIEQHQECAYDHLEVFDGESEKSPILGRLCGSKIPEPLIATGNKMFLRFISDASVQRKGFQATHSTECGGRLKAETKPKDLYSHAQFGDNNYPVQADCDWLLVAERGYRVELMFQTFEVEEEADCGYDYVELFDGHDKTAMRLGRFCGSGPPEEIYSAGETLLLHFHTDDTINKKGFHIRYRSIKYPDSVHTKK", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MQYLIVLALVAAASANVYHDGACPEVKPVDNFDWSNYHGKWWEVAKYPNSVEKYGKCGWAEYTPEGKSVKVSNYHVIHGKEYFIEGTAYPVGDSKIGKIYHKLTYGGVTKENVFNVLSTDNKNYIIGYYCKYDEDKKGHQDFVWVLSRSKVLTGEAKTAVENYLIGSPVVDSQKLVYSDFSEAACKVNN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MIIKALAIVSLCLASIQASKVGAPQLPKKHLVCYYDSASFVKEGLGKLVIDELEPALQFCDYLVYGYAGIERDSHKAVSLNQQLDLDLGKGLYRTVTRLKRKYPNVKILLSVGGDKDIELDKDAKELPNKYLELLESPTGRTRFVNTVYSLVKTYGFDGLDVAWQFPKNKPKKVHSGIGSLWKGFKKVFSGDSIVDEKSEEHKEQFTALLRDVKNAFRPDNLLLSTTVLPNVNSSLFYDIPAVVNYLDFVNLGTFDFFTPQRNPEVADYAAPIYELSERNPEFNVAAQVKYWLRNNCPASKINVGVATYGRPWKLTDDSGDTGVPPVKDVKDEAPVGGNTQVPGIYSWPEVCALLPNQNNAYLKGANAPLIKVQDPAKRFGSYAYRAADKKGDNGIWVSFEDPDTAADKAGYVRTENLGGVALFDLSYDDFRGLCTNEKYPILRAIKYRLTN", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKKFFTVAILAGSVLSTAHGSLLNLKAMVEAVTGRSAILSFVGYGCYCGLGGRGQPKDEVDWCCHAHDCCYQELFDQGCHPYVDHYDHTIENNTEIVCSDLNKTECDKQTCMCDKNMVLCLMNQTYREEYRGFLNVYCQGPTPNCSIYEPPPEEVTCSHQSPAPPAPP", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKLSVLLALGASSLAAAAPAATACDCGAAVTDRLLFSSSISTFQAARNALNPPCCDWSSDNCSSSPDKPRGYDFIPSCQRHDYGYRNGKRLNRFTEDYRKKVDDNFKADLYNYCSQFSGLESWKGVECRRYADIYYFFVRECGDGDCP", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKYFVVALALVAAFACIAESKPAESEHELAEVEEENELADLEDAVWLEHLADLSDLEEARGFFGNTWKKIKGKADKIMLKKAVKIMVKKEGISKEEAQAKVDAMSKKQIRLYVLKHYGKKALQKASEKL", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNSLLMITACFFLIGTVWAKEGYLVNKSTGCKYGCLLLGKNEGCDKECKAKNQGGSYGYCYAFGCWCEGLPESTPTYPLPNKSCSKK", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MIPQLNRNYAWAIALGLVARSSLVSAGPCDIYASGGTPCVAAHGTTRALHDSYTGPLYQVKRGSDGATTDIAPRHAGGVANATHQDTFCAGTTCLITIIYDQSGHGNHLSQAPPGHFIGPDSQGYDNLASAIGAPVTLNGQKAYGVFISPGTGYRNNAAKNTATGDEAEGLYAVLDGTHYNNGCCFDYGNAEVSGDDTGNGHMEAIYFGDLTAYGTGSGSGPWIMADLENGLFSGFNAKNNAEDPSLSYRFISAAVKGGPNKWAIRGGNAASGPLSTFYNGSRPNARGYNPMSKEGAIILGIGGHNSKLTVGSSISLRATTLCCTTRYVAHNGSTVNTQVVSSSSSAALKQQASWRVRTGLANSECFSFESVDTPNSFLMHNNFVLLLKSNDGTKALHEAATFCPQLGLNGKGNSIRSWSYPTRYFRHYDNVLYAASNGGVHKFDNPASFNDDVSWVVSASFA", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLKFVVVICLVIMAITFAEKCGDQECGEGTCCLDYSQQHCSRLGKLYDMCSDPNDKTDSGSHIFFCQCETGLRCDKTSWSCQKG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNCVCRLVLVVLSLWPDTAVAPGPPPGPPRVSPDPRAELDSTVLLTRSLLADTRQLAAQLRDKFPADGDHNLDSLPTLAMSAGALGALQLPGVLTRLRADLLSYLRHVQWLRRAGGSSLKTLEPELGTLQARLDRLLRRLQLLMSRLALPQPPPDPPAPPLAPPSSAWGGIRAAHAILGGLHLTLDWAVRGLLLLKTRL", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MQCCNQQSSQPKTTTTCPGGVSSCYKKTWRDHRGTIIERGCGCPRVKPGIRLICCKTDECNN", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MTCCNQQSSQPKTTTNCAESSCYKKTWRDFRGTRIERGCGCPQVKPGIKLECCHTNECNN", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "LECHNQQSSEPPTTTRCSGGETNCYKKRWRDHRGYRTERGCGCPTVKKGIELNCCTTDRCNN", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "LNCHNQMSAQPPTTTRCSRWETNCYKKRWRDHRGYKTERGCGCPTVKKGIQLHCCTSDNCNN", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "LECHNQQSSQTPTTQTCPGETNCYKKQWSDHRGSRTERGCGCPTVKPGIKLKCCTTDRCNK", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTLTCVKSNSIWFPTSEDCPDGQNLCFKRWQYISPRMYDFTRGCAATCPKAEYRDVINCCGTDKCNK", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQLYTYLYLLVSLVTFYLILGTGTLGHGGALTERRSTDATALKPEPVLLQKSSARSTDDNGNDRLTQMKRILKKRGNKARGEEEVAKMAAELARENIAKGCKVNCYP", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MDSRICTSFARLMASALCVSTLLVTAMPFDLRRGSSDTDLDLQGHVDLGLDDLDKLRLIFPPGLIEEAFSQAQGKVDMPLPRQRTSSRSSERWAPKSKRFDFGFAGLDTYDAIHRALEQPARGTSNSGSGYNMLMKMQRHG", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MHSKTAPRFLVFLLLTLLLLLAASPVASKGCVCKGKGQCLCAGTKGEKGEKGVPGSPGFPGQKGFPGPEGLPGPQGPKGSPGLPGLTGPKGIRGITGLPGFAGPPGLPGLPGHPGPRGLAGLPGCNGSKGEQGFPGFPGTPGYAGLPGPDGLKGQKGEPAQGEDRGFNGKGDPGPPGVPGFQGFPGLPGFPGPAGPPGPPGFFGLPGAMGPRGPKGHMGDSVIGQKGERGMKGLTGPPGPPGTVIFTLTQPYNKSDFKGEKGDEGERGEPGPPGPSGPPGDSYGSEKGAPGEPGPRGKPGKDGAPGFPGTEGAKGNRGFPGLRGEAGIKGRKGDIGPPGFPGPTEYYDAYLEKGERGMPGLPGPKGARGPQGPSGPPGVPGSPGLSRPGLRGPIGWPGLKGSKGERGPPGKDTVGPPGPLGCPGSPGPPGPPGPPGCPGDIVFKCSPGEHGMPGDTGPPGVPGLDGPKGEPGSPCTECHCFPGPPGVPGFPGLDGIKGIPGGRGVPGLKGNPGSPGSAGLPGFAGFPGDQGHPGLKGDKGDTPLPWGQVGNPGDPGLRGLPGRKGFDGTPGGPGAKGPPGPQGEPALSGRKGDQGPPGPPGFPGPPGPAGPAGPPGYGPQGEPGPKGAQGVPGVLGPPGEAGLKGEPSTSTPDLGPPGPPGPPGQAGPRGLPGLPGPVGKCDPGLPGPDGEPGIPEAGCPGPPGPKGNQGFPGTKGSPGCPGEMGKPGRPGEPGIPGAKGEPSVGRPGKPGKPGFPGERGNAGENGDIGLPGLPGLPGTPGRGGLDGPPGDPGQPGSPGAKGSPGRCIPGPRGTQGLPGLNGLKGQPGRRGDTGPKGDPGIPGMDRSGVPGDPGPPGTPGCPGEMGPPGQKGYPGAPGFPGPPGEKGEVGMMGYPGTTGPPGLPGKPGSQGQRGSLGIPGMKGEKGRPGAKGERGEKGKPGPSQTTLLKGDKGEPGLKGFVGNPGEKGNRGNPGLPGPKGLEGLPGLPGPPGPRGDTGSRGNPGRPGPHGMPGSMGIMGVPGPKGRKGTSGLPGLAGRPGLTGIHGPQGDKGEPGYSEGARPGPPGPKGDPGLPGDKGKKGERGVPGPPGQSGPAGPDGAPGSPGSPGHPGKPGPAGDLGLKGQKGFPGPPGSTGPPGPPGLPGLPGPMGMRGDQGRDGIPGPPGEKGETGLLGAYPGPKGSPGVPGAKGDRGVPGLSGLPGRKGVMGDVGPQGPPGTAGLPGPPGLPGAIIPGPKGDRGLPGLRGNPGEPGPPGPPGPIGKGIKGDKGFMGPPGPKGLPGTVGDMGPPGFPGAPGTPGLPGVRGDPGFPGFPGIKGEKGNPGFLGPIGHPGPVGPKGPPGPRGKPGTLKVISLPGSPGPPGVPGQPGMKGDPGPLGLPGIPGPCGPRGKPGKDGKPGTPGPAGTKGNKGLKGQQGPPGLDGLPGLKGNPGDRGTPATGTRMRGFIFTRHSQTTAIPSCPEGTQPLYSGFSLLFVQGNKRAHGQDLGTLGSCLQRFTTMPFLFCNINNVCNFASRNDYSYWLSTPALMPMDMAPISGRALEPYISRCTVCEGPAMAIAVHSQTTAIPPCPQDWVSLWKGFSFIMFTSAGSEGAGQALASPGSCLEEFRASPFIECHGRGTCNYYSNSYSFWLASLNPERMFRKPIPSTVKAGDLEKIISRCQVCMKKRH", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "DCPPDWSSYEGSCYRVFEQKMNWEDAEKFCTQQQTGGHLVSFQSSEEADFVVSLTSPILRDSFVWTGLSDVWKGCRFEWSDGSDLSYKDNYQFVFSEYECVASKTKNNKWRIIPCTKLEYFVCEFQA", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MRFAKALAITAVLLSGVVEATSDAVIEEALKAPTINPLPGPVTWYLHADEGRKYLAPFVSYHGPHKSGIRDAWERCYSTIRRLKWYPQALEGPIPKFDPFPDQSSKPKEKRQNAPPGAMIRRVRVKVKDVDAKLAHKVDESYSLTVSAKSEAIEIEAQTPWGARHAFTTLQQIVVYDEKSQRFYIERPFTISEGPLYPIRGILLDSGRNFISPSKIKEQLDAMALSKLNVLHWHITDTQSWPLQVNTYPQMTEDAYSKRMVYSHATIKEIIEYARQRGIRVIPEIDTPSHSSSGWKRIDPDLVACGNSWWSNDFFPHHTALEPNPGQLDIAYNKTYEVLENLYKEVSSLFEDEFHHLGGDELQPNCYKFSKHVTKWLAEHPDMTLNDLLQEYVDRTLPALDKIKHRRFIYWEDMLLSEQIHAERIPRNVVLQTWNGGLDNIKKLTSNGYDVIVSSADFFYLDCGNGGWVSNDPRYNVMRNPTPGTPNFNYGGDGGSWCAPYKTWQRIYDYDFASELTGPEKEHILGGIAPLWSEQIDDANITPKFWPRAAALAELLWSGNRDKEGKKRTYLMTARINNFREYLTANGIGAAPLQPRYCLKHPHHCDLYSDPNAVLG", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MDVVRTLILCVCLFGLTFAVPCIDGVCTSNELQCASGYVKGCHAGLCTCEHATTQSCTVVNNCLHLGTCSLHGRDGFWHCVDSVCKCFFF", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGAAISQGALIAIVCNGLVGFLLLLLWVILCWACHSRSADVDSLSESSPNSSPGPCPEKAPPPQKPSHEGSYLLQP", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRFLSFRRLLLYHVLCLTLTEVSAHTVELNEMFGQIQSPGYPDSYPSDSEVTWNITVPEGFRVQLYFMHFNLESSYLCEYDYVKVETEDQVLATFCGRETTDTEQTPGQEVVLSPGSFMSVTFRSDFSNEERFTGFDAHYMAVDVDECKEREDEELSCDHYCHNYIGGYYCSCRFGYILHTDNRTCRVECSGNLFTQRTGTITSPDYPNPYPKSSECSYTIDLEEGFMVTLQFEDIFDIEDHPEVPCPYDYIKIKAGSKVWGPFCGEKSPEPISTQSHSIQILFRSDNSGENRGWRLSYRAAGNECPKLQPPVYGKIEPSQAVYSFKDQVLISCDTGYKVLKDNEVMDTFQIECLKDGAWSNKIPTCKIVDCGVPAVLKHGLVTFSTRNNLTTYKSEIRYSCQQPYYKMLHNTTGVYTCSAHGTWTNEVLKRSLPTCLPVCGLPKFSRKHISRIFNGRPAQKGTTPWIAMLSQLNGQPFCGGSLLGSNWVLTAAHCLHHPLDPEEPILHNSHLLSPSDFKIIMGKHWRRRSDEDEQHLHVKHIMLHPLYNPSTFENDLGLVELSESPRLNDFVMPVCLPEHPSTEGTMVIVSGWGKQFLQRLPENLMEIEIPIVNYHTCQEAYTPLGKKVTQDMICAGEKEGGKDACAGDSGGPMVTKDAERDQWYLVGVVSWGEDCGKKDRYGVYSYIYPNKDWIQRVTGVRN", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTGCGRRSGWLPPLRLLLLPLLLGGPGVGAAQLAALYSASDPLTLLQADTVRSTVLNSPSAWAVEFFASWCGHCIAFAPTWKALAKDIKDWRPALNLAALNCADETNNAVCRDFNIAGFPSVRFFKAFSKNSTGTTLPVAGANVQMLRERLIDALESHHDTWPSACPPLEPVKPKEIDTFFARNNQEYLVLIFEQENSYLGREVTLDLSQHHDLVVRRVLSTEANVVRKFGVADFPSCYLLFRNGSVSRVPVLVESRRFYTAYLQRLSEVTREGTPTPAVPTISDQIAPTVWKFADRSKIYMADLESALHYILRVEVGRFSVLEGQRLMALKKFVTVLTKYFPGQPLVRNFLQSTNEWLKRQHKKKMPYSFFKTAMDSRNEEAVITKEVNWVGCQGSESHFRGFPCSLWILFHFLTVQASQKNAESSQKPANGQEVLQAIRNYVRFFFGCRDCANHFEQMAAGSMHRVKSPNDAVLWLWTSHNRVNARLAGAPSEDPQFPKVQWPPPELCSACHNELSGEPVWDVDATLRFLKTHFSPSNIVLNFPPAEPASRSSVHSWGATPHLELDALGLVTRNSALALERAEISESPGSNAMPNIPAERPELFEALSHSR", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "RTCNKTFSDQSKICPPGENICYTKTWCDAWCSRRGKIVELGCAATCPKVKAGVGIKCCSTDNCNLFKFGKPR", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "QVRPFPDVYQRSACQARETLVSILQEYPDEISDIFRPSCVAVLRCSGCCTDESLKCTPVGKHTVDMQIMRVNPRTQSSKMEVMKFTEHTACECRPRRKQGEPDGPKEKPR", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "GKEGYPADSKGCKVTCFFTGVGYCDTECKLKKASSGYCAWPACYCYGLPDSASVWDSATNKC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "IRCFITPDITSKDCPNGHVCYTKTWCDGFCSSRGKRVDLGCAATCPTVRTGVDIQCCSTDDCDPFPTRKRP", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "IRCFITPDITSKDCPNGHVCYTKTWCDGFCSIRGKRVDLGCAATCPTVRTGVDIQCCSTDDCDPFPTRKRP", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKCTLRWTITALVLLGICHLARPAYHKKCGRYSYCWIPYDIERDRYDNGDKKCCFCRYAWSPWQCNEEERYEWLRCGMRFYSLCCYTDDDNGNGNGNGNGNGLNYLKSLYGGYGNGNGEFWEEYIDERYDN", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGAARLLPNLTLCLQLLILCCQTQGENHPSPNFNQYVRDQGAMTDQLSRRQIREYQLYSRTSGKHVQVTGRRISATAEDGNKFAKLIVETDTFGSRVRIKGAESEKYICMNKRGKLIGKPSGKSKDCVFTEIVLENNYTAFQNARHEGWFMAFTRQGRPRQASRSRQNQREAHFIKRLYQGQLPFPNHAERQKQFEFVGSAPTRRTKRTRRPQSQT", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKFQGPLACLLLALCLGSGEAGPLQSGEESTGTNIGEALGHGLGDALSEGVGKAIGKEAGGAAGSKVSEALGQGTREAVGTGVRQVPGFGVADALGNRVGEAAHALGNTGHEIGRQAEDVIRHGADAVRGSWQGVPGHNGAWETSGGHGIFGSQGGLGGQGQGNPGGLGTPWVHGYPGNSAGSFGMNPQGAPWGQGGNGGPPNFGTNTQGAVAQPGYGSVRASNQNEGCTNPPPSGSGGGSSNSGGGSGSQSGSSGSGSNGDNNNGSSSGGSSSGSSSGGSSGGSSGGSSGNSGGSRGDSGSESSWGSSTGSSSGNHGGSGGGNGHKPGCEKPGNEARGSGESGIQNSETSPGMFNFDTFWKNFKSKLGFINWDAINKNQVPPPSTRALLYFSRLWEDFKQNTPFLNWKAIIEGADASSLQKRAGRDDQNYNYNQHAYPTAYGGKYSVKTPAKGGVSPSSSASRVQPGLLQWVKFW", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTRRGCWPHRIIFSLILLTWTHVTLAALIRSHTFSNWPKPPCKMYYPIDPDYEANCPDVIALVCATNGLNYKNECFFCIDRWEFGPHIEFVKYGKCE", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKLLVLAVLLTVAAADSGISPRAVWQFRKMIKCVIPGSDPFLEYNNYGCYCGLGGSGTPVDELDKCCQTHDNCYDQAKKLDSCKFLLDNPYTHTYSYSCSGSAITCSSKNKECEAFICNCDRNAAICFSKAPYNKAHKNLDTKKYCQS", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKLATITFFLLTEIFFYISYAEATRSGPDLCPTIISKRDWGGNAALRVGYTSKPLERVVIHHTVTPECANEARCSSRMVSMQNYHMDELGYDDISYNFVIGGDGRVYEGVGWHKKGSHSPGWDSQSIGIAFIGDFTNKLPSREMLDAAKDLIVCAIELGELTRGYKLLGARNVKATKSPGDKLYREIQNWEGFTRRP", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MEHKEVVLLLLLFLKSGQGEPLDDYVNTQGASLFSVTKKQLGAGSIEECAAKCEEDEEFTCRAFQYHSKEQQCVIMAENRKSSIIIRMRDVVLFEKKVYLSECKTGNGKNYRGTMSKTKNGITCQKWSSTSPHRPRFSPATHPSEGLEENYCRNPDNDPQGPWCYTTDPEKRYDYCDILECEEECMHCSGENYDGKISKTMSGLECQAWDSQSPHAHGYIPSKFPNKNLKKNYCRNPDRELRPWCFTTDPNKRWELCDIPRCTTPPPSSGPTYQCLKGTGENYRGNVAVTVSGHTCQHWSAQTPHTHNRTPENFPCKNLDENYCRNPDGKRAPWCHTTNSQVRWEYCKIPSCDSSPVSTEQLAPTAPPELTPVVQDCYHGDGQSYRGTSSTTTTGKKCQSWSSMTPHRHQKTPENYPNAGLTMNYCRNPDADKGPWCFTTDPSVRWEYCNLKKCSGTEASVVAPPPVVLLPDVETPSEEDCMFGNGKGYRGKRATTVTGTPCQDWAAQEPHRHSIFTPETNPRAGLEKNYCRNPDGDVGGPWCYTTNPRKLYDYCDVPQCAAPSFDCGKPQVEPKKCPGRVVGGCVAHPHSWPWQVSLRTRFGMHFCGGTLISPEWVLTAAHCLEKSPRPSSYKVILGAHQEVNLEPHVQEIEVSRLFLEPTRKDIALLKLSSPAVITDKVIPACLPSPNYVVADRTECFITGWGETQGTFGAGLLKEAQLPVIENKVCNRYEFLNGRVQSTELCAGHLAGGTDSCQGDSGGPLVCFEKDKYILQGVTSWGLGCARPNKPGVYVRVSRFVTWIEGVMRNN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MASLKKSLFLVLFLGFVSLSICEEEKRENEGNENEEEDENHEEGSEEKRGLLDLAKHVIGIASKLGKRSEEKRYHPFGKRSEEKRYHPFGKRSEEKRYPPIGK", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MWCLNMIMAESPGLVTICLLGYLLSAECTVFLDRENATKILHRPKRYNSGKLEEFVRGNLERECKEEKCSFEEAREVFENTEKTTEFWKQYVDGDQCESNPCLNGGMCKDDINSYECWCQAGFEGTNCELDATCSIKNGRCKQFCKRDTDNKVVCSCTDGYRLAEDQKSCEPAVPFPCGRVSVSHISKKLTRAETIFSNTNYENSSEAEIIWDNVTQSNQSFDEFSRVVGGEDAERGQFPWQVLLHGEIAAFCGGSIVNEKWVVTAAHCIKPGVKITVVAGEHNTEKPEPTEQKRNVIRAIPYHSYNASINKYSHDIALLELDEPLELNSYVTPICIADRDYTNIFLKFGYGYVSGWGKVFNRGRSASILQYLKVPLVDRATCLRSTKFSIYSHMFCAGYHEGGKDSCQGDSGGPHVTEVEGTSFLTGIISWGEECAMKGKYGIYTKVSRYVNWIKEKTKLT", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MQRVNMIMAESPGLITICLLGYLLSAECTVFLDHENANKILNRPKRYNSGKLEEFVQGNLERECMEEKCSFEEAREVFENTERTTEFWKQYVDGDQCESNPCLNGGSCKDDINSYECWCPFGFEGKNCELDVTCNIKNGRCEQFCKNSADNKVVCSCTEGYRLAENQKSCEPAVPFPCGRVSVSQTSKLTRAETVFPDVDYVNSTEAETILDNITQSTQSFNDFTRVVGGEDAKPGQFPWQVVLNGKVDAFCGGSIVNEKWIVTAAHCVETGVKITVVAGEHNIEETEHTEQKRNVIRIIPHHNYNAAINKYNHDIALLELDEPLVLNSYVTPICIADKEYTNIFLKFGSGYVSGWGRVFHKGRSALVLQYLRVPLVDRATCLRSTKFTIYNNMFCAGFHEGGRDSCQGDSGGPHVTEVEGTSFLTGIISWGEECAMKGKYGIYTKVSRYVNWIKEKTKLT", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKAFLVALVVAIELTLVFAGCPTIVSKNRWGGQQASQVQYTVKPLKYVIIHHTSTPTCTNEDDCSRRLVNIQDYHMNRLDFDDIGYNFMIGGDGQIYEGAGWHKEGAHARGWNSKSLGIGFIGDFQTNLPSSKQLDAGKKFLECAVEKGEIEDTYKLIGARTVRPTDSPGTLLFREIQTWRGFTRNP", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKTLPLFVCICALSACFSFSEGRERDHELRHRRHHHQSPKSHFELPHYPGLLAHQKPFIRKSYKCLHKRCRPKLPPSPNNPPKFPNPHQPPKHPDKNSSVVNPTLVATTQIPSVTFPSASTKITTLPNVTFLPQNATTISSRENVNTSSSVATLAPVNSPAPQDTTAAPPTPSATTPAPPSSSAPPETTAAPPTPSATTQAPPSSSAPPETTAAPPTPPATTPAPPSSSAPPETTAAPPTPSATTPAPLSSSAPPETTAVPPTPSATTLDPSSASAPPETTAAPPTPSATTPAPPSSPAPQETTAAPITTPNSSPTTLAPDTSETSAAPTHQTTTSVTTQTTTTKQPTSAPGQNKISRFLLYMKNLLNRIIDDMVEQ", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASLKKSLFLVLFLGLVSLSICEKEKRENEGNENEEEEENHEEGSEEKRGLLDLAKHVIGIASKLGKRSEEKRGLLDFAKHVIGIASKLGK", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MNYLLFCLFFAFSVAAPVTVTRFVDASPTGYDWRADWVKGFPIDSSCNATQYNQLSTGLQEAQLLAEHARDHTLRFGSKSPFFRKYFGNDTASAEVVGHFENVVGADKSSILFLCDDLDDKCKNDGWAGYWRGSNHSDQTIICDLSFVTRRYLSQLCSGGYTVSKSKTNIFWAGDLLHRFWHLKSIGQLVIEHYADTYEEVLELAQENSTYAVRNSNSLIYYALDVYAYDVTIPGEGCNGDGTSYKKSDFSSFEDSDSGSDSGASSTASSSHQHTDSNPSATTDANSHCHTHADGEVHC", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MASLKKSLFLVLFLGMVSLSICDKEKREGENEEEEEEHEEESEEKRGLLSFLPKVIGVIGHLIHPPS", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "DCASGPCCRDCKFLEEGTICNMARGDDMDDYCNGKTCDCPRNPHKWPAP", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MIQILLVIICLAVFPYQGCSIILGSGNVNDYEVVYPQKVTALPKGAVQQPEQKYEDAMQYEFEVKGEPVVLHLEKNKELFSEDYSETHYSSDDREITTNPSVEDHCYYHGRIQNDAESTASISACNGLKGHFKLRGETYFIEPLKIPDSEAHAVYKYENIENEDEAPKMCGVTQDNWESDEPIKKTLGLIVPPHERKFEKKFIELVVVVDHSMVTKYNNDSTAIRTWIYEMLNTVNEIYLPFNIRVALVGLEFWCNGDLINVTSTADDTLHSFGEWRASDLLNRKRHDHAQLLTNVTLDHSTLGITFVYGMCKSDRSVELILDYSNITFNMAYIIAHEMGHSLGMLHDTKFCTCGAKPCIMFGKESIPPPKEFSSCSYDQYNKYLLKYNPKCILDPPLRKDIASPAVCGNEIWEEGEECDCGSPADCRNPCCDAATCKLKPGAECGNGECCDKCKIRKAGTECRPARDDCDVAEHCTGQSAECPRNEFQRNGQPCLNNSGYCYNGDCPIMLNQCIALFSPSATVAQDSCFQRNLQGSYYGYCTKEIGYYGKRFPCAPQDVKCGRLYCLDNSFKKNMRCKNDYSYADENKGIVEPGTKCEDGKVCINRKCVDVNTAY", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPSLTSLFSFFALASGAFSATADLSKRESYTSSSTGTSNGYYYSFWTDGQGDITYSNGAAGEYSVTWSGDGNFVAGKGWNPGGSREVTFKGSYNPNGNSYLSVYGWTQNPLIEFYIVEDFGTYNPSSGATKKGTVTSDGSVYDIYTSERVNQPSIEGTATFTQYWSVRQNKRSEGTVTTGNHFNAWKNLGMDLGSFNYMIVATEGYYSSGSADITVS", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKTLLLTLVVVTIMCLDLGYTLECKICNFKTCPTDELRHCASGETICYKTFWNTHRGLRIDRGCAATCPTVKPGVNIICCKTDNCN", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKILVLAVVCTVLLQVALSADSEEVRDCIPTRHECTNNQQNCCEGHDCKCDYTEIGGAKKEICYCKKTLWQKTKDKLSTAGDILKS", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MCAPGYHRFWFHWGLLLLLLLEAPLRGLALPPIRYSHAGICPNDMNPNLWVDAQSTCKRECETDQECETYEKCCPNVCGTKSCVAARYMDVKGKKGPVGMPKEATCDHFMCLQQGSECDIWDGQPVCKCKDRCEKEPSFTCASDGLTYYNRCFMDAEACSKGITLSVVTCRYHFTWPNTSPPPPETTVHPTTASPETLGLDMAAPALLNHPVHQSVTVGETVSFLCDVVGRPRPELTWEKQLEDRENVVMRPNHVRGNVVVTNIAQLVIYNVQPQDAGIYTCTARNVAGVLRADFPLSVVRGGQARATSESSLNGTAFPATECLKPPDSEDCGEEQTRWHFDAQANNCLTFTFGHCHHNLNHFETYEACMLACMSGPLAICSLPALQGPCKAYVPRWAYNSQTGLCQSFVYGGCEGNGNNFESREACEESCPFPRGNQHCRACKPRQKLVTSFCRSDFVILGRVSELTEEQDSGRALVTVDEVLKDEKMGLKFLGREPLEVTLLHVDWTCPCPNVTVGETPLIIMGEVDGGMAMLRPDSFVGASSTRRVRKLREVMYKKTCDVLKDFLGLQ", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPASSPFLLAPKGPPGNMGGPVREPALSVALWLSWGAALGAVACAMALLTQQTELQSLRREVSRLQGTGGPSQNGEGYPWQSLPEQSSDALEAWENGERSRKRRAVLTQKQKKQHSVLHLVPINATSKDDSDVTEVMWQPALRRGRGLQAQGYGVRIQDAGVYLLYSQVLFQDVTFTMGQVVSREGQGRQETLFRCIRSMPSHPDRAYNSCYSAGVFHLHQGDILSVIIPRARAKLNLSPHGTFLGFVKL", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MASRRLLLLCLAGLVLVTEAGPTGTGQSKCPLMVKVLDAVQGSPAVNVAVRVFKKAADETWEPFASGKTSEFGELHGLTTDEKFVEGIIKVELDTKTYWKALGISPFHEYVEVVFHANDSGKRRYTIAALLSPYSYSTTALVSDPKE", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGAVWTVRLLCLFLLLLNTRQSAALPHNTDQCAEGSDACHIDAICQNTPTSYKCTCKTGFKGDGKHCEDIDECDVEYNGGCVHECNNIPGNYRCTCLDGFHLAHDGHNCLDVDECVFNNGGCQHVCVNTMGSYECRCKQGFFLSDNQHTCIHRSVEGLSCMNKEHGCGHICKESPKGGVACECRPGFELAKNQRGCILTCNHGNGGCQHICEDTEQGPICRCHVRYMLHADGRTCVERDEMAPTAPDHNATSLAEVDKRVKRRLLMETCAVNNGGCDSTCKDTSTGVRCSCPVGFTLQPDGKSCKDIDECELHNGGCDHYCRNTIGSFECSCRKGFKLLTDERSCQDIDECFFERTCDHTCVNSPGSFQCVCNKGYTLYGLAHCGDINECSFNNGGCEHTCENTMGSFGCHCRAGYKLHWNKKDCIEAEDSPLVPPPARPTLNCNKQGGGELCYLTCQSQVHISSGAEDSYTVTCGMPLPCHTGGQWNGSYCPGSGIKTIATFKSGQGKCNLKRSHENLAHSFKTALSDKRATENVQFSFVSLHCASSSRQQRSRHGRKAGEEEGSFITAQFELDVNLEEVTAEGCDLTCVRRRSEKRLRKTIRTLRKSINREQFHLHFAGSEYELAKKLVRPADTPDHCGTGQILLDKKCVKCSVGTYYDGEQGRCFLCPPGTYQDEEGQVSCDVCPGPEGRGIPETAGARNISECAGQCRPGQFSHDGFVPCLPCPQGTYQPEVGRTSCFTCGGSLTTKYDGSVSFQNCETKVQCSPGHYYNTSTHRCIRCPVGTYQMEFGQNYCIACPGNTTTDFDGSTNIMQCKNRHCGGELGEFTGYIESPNYPGNYPANIECTWTITPPPKRRILVVVPEIYLPIEDECGDYLVMRKSSLPNSVTTYETCQTYERPIAFTSRSKKLWIQFRSNEGNSGKGFQVPYVTYDEDYQELIEDIVRDGRLYASENHQEILKDKKLMRALFDVLAHPQNFFNYTAQESREMFPKSFIRFLRSKVLRFLRP", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTMKCKICHFDTCRAGELKVCASGEKYCFKESWREARGTRIERGCAATCPKGSVYGLYVLCCTTDDCN", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSLVRTVTIVLFIIAFLQNAAAQKRQQSIVKSRGAVATDDGRCSVIGMRVLREGGNAIDASVAAALCLGVVSPASSGIGGGAFTVVKIAGGKEIAYDSRETAPLRATENMYGGNVDLKKKGALSVGVPGEVAGLFTAWKQHGKLPWKRLVTPAEKLAEGFKISKYLYMQMNATRSDILADKGLSDLFVSNGELKKPGTICHNPKLALTLKLIGEYGPKAFYNGTVGVNLARDIKKSGGIITLKDLQSYRVKIKEPLSADILGYRVLGMPPPSSGGAAMMLVLNILSQYGIPSGVSGPLGVHRLIEALKHAFAVRMNLGDPDFTDVTKVVSDMLSPKFAKDLKSKINDQKTFDPKYYGGMWNQIDDHGTSHLSIIDRERNAVSMTSTINGYFGALMLSPSTGIVLNNEMDDFSIPMKSNGNLDVPPPAPANFIRPGKRPLSSMSPTIVLKDGKVKAAVGASGGANIIAGTTEVYLNHFFLKMDPLSSVLAPRIYHQLIPNRASYENWTTVFNDHFEIPKATRVVLEKKGHVLSPIAGGTIAQFIVQESGENSGGRSELVAVSDPRKGGFPSGY", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "NQASVVANQLIPINTALTLVMMRSEVVTPVGIPAEDIPRLVSMQVNRAVPLGTTLMPDMVKGYPPA", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "SQSVVATRLIPMNTALTPAMMEGKVTNPIGIPFAEMSQIVGKQVNRIVAKGQTLMPNMVKTYAA", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "SVVATQLIPINTALTPAMMEGKVTNPIGIPFAEMSQIVGKQVNRIVAKGQTLMPNMVKTYAA", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "SQSVVATQLIPMNTALTPAMMEGKVTNPIGIPFAEMSQIVGKQVNRIVAKGQTLMPNMVKTYAA", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKIIVVLAVLMLVSAQVCLVSAAEMGHSSDNELSSRDLVKRFFLPPCAYKGTCNH", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRTLWIMAVLLVGVEGSLWQFGKMINYVMGESGVLQYLSYGCYCGLGGQGQPTDATDRCCFVHDCCYGKVTGCDPKIDSYTYSKKNGDVVCGGDDPCKKQICECDRVATTCFRDNKDTYDIKYWFYGAKNCQEKSEPC", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKIIVFLAVLMLVSAQVCLVSAAEMEHSSDNELSSRDLVKRFFLPPCAHKGTCNH", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNTMTLLGKVFLLQFLIGVGFCMLMQDPKRNDTKGTYAACFRSQPQGNEPASPDCLKAFMAYAEDMKNIFHFTKEQINYLWSLERETQSLLHNHRRRKRQAVYLPVRKECRLLSELERQNLFYTVRSLKMDTSNPNEYDTLANLHRGAVQPHAHDGSNFLGWHRVYLMYYERALRRIRGDVTLCFWDTTMEFNLGMDNWEYTAVFSSDFFGNRRGQVITGPFRDWPLPPGLTESDYLYRNMTRGRGMPFDSRAASSIFYNPNTIIHSTITWEGFGFDTITNSQGQTRNITIEGEHNNVHNWVGGAMGFLDPAPQDPIFFFHHCYIDYVWERFREKMRRYFRDPTTDYPGHGNETLHDANYPMIGFEWYRNIDGYSDYFTQNVYRYESPTCQACYYSPYTVCGQGNQCIARMNYPGTEIEEGPQVPNGPVAAFSVAGGTMMMSASNGRGFIATSNSE", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLKLVCAVVLIATVNAKGSSPGFGIGQLPGITVVSGGVSGGSLSGGVSGGSLSGGIYGGYPRLYGGFGPGGVYGSINSFGGVNTNAYGLYGTSPAVRGAAQGAAATSVLGILSGVPSRISGYSVGTGGGRAFVSGSATPIGGLPYGGYGYGGYGYGGYGGYGYGGYGYPDIAYYGGSTYGNIASGVISSPTSGVSLPYGGILGLYGGYGGYGSGYGGYGMGSAYSIGNYLSGHGGYYGGSYPSYGSTLTGVSQSLSFGRAIMSGQAFGAGVPAFGSVNFGNFGVGTGGIGILGGGGVIGSGGVIGGGGVIGGGGVVGGGAVIGGGGVIGGGVPTGGIIRKKKY", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKWVKSGVGILGILLIICHAVTSQRRILDITDNLKITFKTISNFGPRAQSIQNVTIENVGIKDIPDFGWRCYFCHDQLLFPGTFNLARSQYFLRPILDNYVVLSDGFLLEFIKGCMYRITPIPRNAPIKTRDKREFTLLAEQFSVSKYDSFPNWYCETISGGNTEVANIRSTENLKYVEDFDSSYNWFRIPHDFRSVPLQPQDRYSANHKASSVEECKYKVIPTPVKASVRKVQRNFGTTVYYGTTDTSIRGKLFKVAEKLALKHKLGLVEMTPGQPVNNGISLVVTGNYIERNIPSPDEAYRLSVSADLISIEAPALPGLINGIETMHSLSAWDMALPYGGVKDFPRFPFRGIFLDIASNFPGYNYMMKFLTVMAQYKLNKLVLPLYNNEGFRLELNDSPGYEFQALHLVGGNRCHDLKEENCLFSQLGSFAGNSDGYLTKGDMVDLIKTADLLNIEIIMSLNIGESARGAIVPLKTSKHNRLLYDPEDTDFVDRFYPQKDSSMNPCREETMIFYDHMLKQLKAIYKAASVPLKTIMIGSKVNFDQVLNSKYCYPKNLNSTQRLMERENLERNINGFKLNFTKRLVKTAHDNGINEVMAIDDVFTTEFDAAGNTPNTVYDTVDSETNKTRFNATVTAVHSRYDTVRDERLWKRGDRFAELGYKVIISPPILDFNYAVEPDPDRPGDYDSVIRNISFSKLFRFVPDSHCCNIPNAIQHDCALESDCTTAGPPDSYIGTLGKLDTRKLRSLKDWNELLFPRLLIFAERSWHKSSWEDSFEPHRVRMNNITRQIITNYTVPNWNDIIQEESKVLGCISRKEKLRLMHEDGLKPYVEPPGARLLGGNTMRIAASTTEDSFWVQASVNGNPWTDNVKVLDVNPTDSVRLRTVHPAKAELRSKEVKLNLTSLPTPREQFRKIAQDALSRRIGIDIQRARMPPMPVNPTYRPPVPLPSFDPADDRAPDLAAIAAAHPPPLPPGMPPHMMPNMPFPPRPPFVPPLLPPGQMRALGQQAGQALRGQGQQTGQQTLPAQPRGPMGLTGQAAGTGVAGQSGQQPSAAGQGTQQGLPGQQRTGVVPGQWPFFPGMPAAQFPPMFNPQMQRALQMRGQGQIPQTQGAVAGAGQSRVPQQQAG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MPPSLSHLFLLSTFASLALCSFYCKNPGYPCLNGGTCLYNGECNCTSGFRGFNCGLDSSTISAACTVECHNKGICFNGDKCYCTKDYMGPTCQQAYDFADCNKSSMKIKAYRPTEFNGEIFLMQSMFGCKLTEVTSTIPGYKQYELDVPHDSTGPCKLKKTIDATTGDVHFEVNVSTIHHAGQFGMYDGLKTVSCHYSSRDQAIVKDVTNHELLVSVTTSDGNTQNIQEIQTNDVIHLTFNPVNLPGGYKGVKILDLEMYSVQWNEVNSILLLKDQCMTQKADELGYSVSNEVDGYSGRAILKAIPLFENVQASVYFNYRLRFCRNRCKIKSCPSQSPKPMPMGEIFKHQGQGIRIV", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPIFTLNTNIKATDVPSDFLSSTSALVGNILSKPGSYVAVHINTDQQLSFGGSTKPAAFGTLMSIGGIEPSRNRDHSAKLFDHLNKKLGIPKNRMYIHFVNLNGDDVGWNGTTF", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGILKLQVFLIVLSVALNHLKATPIESHQVEKRKCNTATCATQRLANFLVHSSNNFGAILSSTNVGSNTYGKRNAVEVLKREPLNYLPL", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MYTLYILSLLCAFVTFSECKYPPGPIYPHRPIYPIQPVYPDHCPGVCYIACPNGNIHDRNGCPICRCRPDECTLPRKIGPCRASIPRYYFNFVTKRCELFFWGGCQPNKNNFETIYDCQGYCGYAERRYPYPYVKRTY", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MCSKITLVLTLFSSYFISTDAVNGSFPALLAFGDSILDTGNNNFLLTFMKGNIWPYGRSFSMRRATGRFGNGRVFSDIVAEGLGIKKILPAYRKLFNSPSDLRTGVCFASGGAGVDPVTSKLLRVLTPKDQVNDFKGYIRKLKATAGPSRASSIVSNAVILVSQGNNDIGISYFGTPTAAFRGLTPNRYTTKLAGWNKQFMKELYDQGARKFAVMGVIPLGCLPMTRIFLGGFVITCNFFANRVAEQYNGKLRSGTKSWGREAGFRGAKFVYVDMYNTLMDVIKNYRRYGFSNEKNGCCCMITAIIPCPNPDKYVFYDFVHPSEKAYRTISKKLVQDIKNGLA", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MLLILLSVALLALSSAQNLNEDVSQEESPSLIAGNPQGPSPQGGNKPQGPPPPPGKPQGPPPQGGNKPQGPPPPGKPQGPPPQGDKSRSPRSPPGKPQGPPPQGGNQPQGPPPPPGKPQGPPPQGGNKPQGPPPPGKPQGPPPQGDKSQSPRSPPGKPQGPPPQGGNQPQGPPPPPGKPQGPPPQGGNKPQGPPPPGKPQGPPPQGDKSQSPRSPPGKPQGPPPQGGNQPQGPPPPPGKPQGPPQQGGNRPQGPPPPGKPQGPPPQGDKSRSPQSPPGKPQGPPPQGGNQPQGPPPPPGKPQGPPPQGGNKPQGPPPPGKPQGPPAQGGSKSQSARAPPGKPQGPPQQEGNNPQGPPPPAGGNPQQPQAPPAGQPQGPPRPPQGGRPSRPPQ", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKASMFLALAGLVLLFVVGYASESEEKEFPRELLSKIFALDDFKGEERGCKGFGDSCTPGKNECCPNYACSSKHKWCKVYLGK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKASMYLALAGLVLLFVVGYASESEEKEFPRELLSKIFAVDDFKGEERGCKGFGDSCTPGKNECCPNYACSSKHKWCKVYLGK", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKASRFLALAGLVLLFVVGYASESEEKEFPRELLSKIFAVDDFKGEERGCKGFGDSCTPGKNECCPNYACSSKHKWCKVYLGK", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKASMYLALAGLVLLFVVGYASESEEKEFPRELLSKIFAVDDFKGKERGCKGFGDSCTPGKNECCPNYACSSKHKWCKVYLGK", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "DKLIGSCVWGAVNYTSNCNAECKRRGYKGGHCGSFANVNCWCET", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAVRPTRRCLLALLLCFAWWAMAVVASKQGAGCPSRCLCFRTTVRCMHLLLEAVPAVAPQTSILDLRFNRIREIQPGAFRRLRSLNTLLLNNNQIKKIPNGAFEDLENLKYLYLYKNEIQSIDRQAFKGLASLEQLYLHFNQIETLDPESFQHLPKLERLFLHNNRITHLVPGTFSQLESMKRLRLDSNALHCDCEILWLADLLKTYAQSGNAQAAATCEYPRRIQGRSVATITPEELNCERPRITSEPQDADVTSGNTVYFTCRAEGNPKPEIIWLRNNNELSMKTDSRLNLLDDGTLMIQNTQEADEGVYQCMAKNVAGEAKTQEVTLRYLGSPARPTFVIQPQNTEVLVGESVTLECSATGHPLPQITWTRGDRTPLPIDPRVNITPSGGLYIQNVAQSDSGEYTCFASNSVDSIHATAFIIVQALPQFTVTPQSRVVIEGQTVDFQCAAKGHPQPVIAWTKGGSQLSVDRRHLVLSSGTLRISGVALHDQGQYECQAVNIIGSQKVVAHLTVQPRVTPVFASIPSDMTVEVGTNVQLPCSSQGEPEPAITWNKDGVQVTESGKFHISPEGFLTINDVGTADAGRYECVARNTIGYASVSMVLSVNVPDVSRNGDPYVATSIVEAIATVDRAINSTRTHLFDSRPRSPNDLLALFRYPRDPYTVGQARAGEIFERTLQLIQEHVQHGLMVDLNGTSYHYNDLVSPQYLSLIANLSGCTAHRRVNNCSDMCFHQKYRTHDGTCNNLQHPMWGASLTAFERLLKAVYENGFNTPRGINSQRQYNGHVLPMPRLVSTTLIGTEVITPDEQFTHMLMQWGQFLDHDLDSTVVALSQARFSDGQHCSSVCSNDPPCFSVMIPPNDPRVRSGARCMFFVRSSPVCGSGMTSLLMNSVYPREQINQLTSYIDASNVYGSTDHEARSIRDLASHRGLLRQGIVQRSGKPLLPFATGPPTECMRDENESPIPCFLAGDHRANEQLGLTSMHTLWFREHNRIAAELLKLNPHWDGDTVYHETRKIVGAEIQHITYRHWLPKILGEVGMKMLGEYRGYDPSVNAGIFNAFATAAFRFGHTLINPLLYRLDENFEPIPQGHVPLHKAFFSPFRIVNEGGIDPLLRGLFGVAGKMRIPSQLLNTELTERLFSMAHTVALDLAAINIQRGRDHGIPPYHDYRVYCNLSAAYTFEDLKNEIKSPVIREKLQRLYGSTLNIDLFPALMVEDLVPGSRLGPTLMCLLSTQFRRLRDGDRLWYENPGVFSPAQLTQLKQTSLARILCDNSDNITRVQQDVFRVAEFPHGYSSCEDIPRVDLRVWQDCCEDCRTRGQFNAFSYHFRGRRSLEFSYEDDKPTKRARWRKALSVKHGKHLSNATSATHEHLEGPATNDLKEFVLEMQKIITDLRKQINSLESRLSTTECVDDSGESHGGNTKWKKDPCTVCECKNGQITCFVEACQPAACPQPVKVEGACCPVCLKNTAEEKP", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "TPEQQRYVELFIVVDHGMFMKXXXXXXXXXXXIHQMVNIMKEAYRYLYIDILLTGVEIWSNKDLINVQPAAPQTLDSFGEWRXXXXXXXKSHDNAQLLTSTDFDGPTIGLAYVGSMCDPKRSTAVIQDHSEIDLLVAVTMDHELGHNLGIRHDTGSCSCGGYPCVMSPVISHDISKYFSDCSYIQCWDFIMKENPQCILNKR", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKAIVVLLILALILCLYAMTTVEGACQFWSCNSSCISRGYRQGYCWGIQYKYCQCQ", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLLSAPLRHLPGLLLLLWPLLLLPSLAAPGRLARASVRRLGTRVPGGSPGHLSALATSTRAPYSGGRGAGVCKSRPLDLVFIIDSSRSVRPLEFTKVKTFVSRIIDTLDIGATDTRVAVVNYASTVKIEFQLNTYSDKQALKQAVARITPLSTGTMSGLAIQTAMEEAFTVEAGARGPMSNIPKVAIIVTDGRPQDQVNEVAARARASGIELYAVGVDRADMESLKMMASKPLEEHVFYVETYGVIEKLSARFQETFCALDQCMLGTHQCQHVCVSDGDGKHHCECSQGYTLNADGKTCSAIDKCALSTHGCEQICVNDRNGSYHCECYGGYALNADRRTCAALDKCASGTHGCQHICVNDGAGSHHCECFEGYTLNADKKTCSVRNKCALGTHGCQHICVSDGAVAYHCDCFPGYTLNDDKKTCSDIEEARSLISIEDACGCGATLAFQEKVSSHLQKLNTKLDNILKKLKVTEYGQVHR", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKSLSLLGVSSLALTSLALPTMNQNQHRFVALADLADEDKPQAIKDAFNHAWKGYMTHAYPMDELRPVSNEGSNPLNGWGATPVDALSTAIIMGLPDVVNQILDHISKIDFSKTDDLCSLFETTIRYLGGLISGYDLLKNSGAMNADPEKVDVLLKKAVELADVLKFSFNSTTGIPSNTLNIPKQTTDGATSNGLATVGTLVLEWTRLSDLTNKEEYGKLAQKAEEYLLNPQPKSNEVFPGLVGGSINIQTGKFERASASWEGGDDSFYEYLIKMYVYDRSAFGKYKDRWVLAAQSTIEHLKSSPLLHPDTTFVGAWANGALVKSSQHLACFDGGNFILGGRELNRPEFTRFGLKLVDGCHKTYANTVTKIGPESFGWDEKNVPSDQASFFTKSGFYINSSGYVLRPEVIESFYYAYRVTGDKKYQRWIWDAFVAISETTKTDSGFSSISDVNAAKGGSKTDSQPSFFFAETLKYTYLAFSDEADWQISRSGKDKFVFNTEAHPIRVRN", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MASRMGMVAIVSLFVCALAASTSVNANVWQTDDIPVVNSNMVRHSNMERQQQQGGFIGHRPRLASFNRASNQDGDRKRTVPSGPDHMHHSIPSHTPQHPPVYVQALYEDDRSRTSSGPSKSIGPPPLSDRY", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRTGIFALAALLVSGVMADDGEWRKVEPHTMKALRELRARQSGGSSFIPGTTQGHGKNCVDAFGAGYELCADSKVCYNPTEGDLCCSEGYPCPNGSFCLTKGYCCPNGLDPKECAKQHNVELPPTYGNGNTKPSGAPYPTGSTPPTGGSHSTGAPKPTGTLPTRTGTLPTGTHTGPTATSPPIYTGAATHNTVQGAAAAIVALGLVQNFL", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAGGRHRRVVGTLHLLLLVAALPWASRGVSPSASAWPEEKNYHQPAILNSSALRQIAEGTSISEMWQNDLQPLLIERYPGSPGSYAARQHIMQRIQRLQADWVLEIDTFLSQTPYGYRSFSNIISTLNPTAKRHLVLACHYDSKYFSHWNNRVFVGATDSAVPCAMMLELARALDKKLLSLKTVSDSKPDLSLQLIFFDGEEAFLHWSPQDSLYGSRHLAAKMASTPHPPGARGTSQLHGMDLLVLLDLIGAPNPTFPNFFPNSARWFERLQAIEHELHELGLLKDHSLEGRYFQNYSYGGVIQDDHIPFLRRGVPVLHLIPSPFPEVWHTMDDNEENLDESTIDNLNKILQVFVLEYLHL", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MATSNDQTNTKSSHSRTLLLLFIFLSLLLFSSLTIPMTRHQSTSMVAPFKRVLLESSVPASSTMDLRPKASTRRSRTSRRREFGNDAHEVPSGPNPISN", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKMPLTWYSWFLLSAWILNTGAEISITPEPAQPAEGDNVTLVVHGLSGELLAYNWYAGPTLSLTFLVASYIVSTGDETPGPAHTGREAVRPDGSLDIHGALPGHTGTYILQTLNRQFQTEVGYGHMQVYEILAPPTVMANDTALVERRDTLRLVCSSPSPAEVRWFFNGDALPVAVRLGMSPDGRMLTRHGVRREEAGAYQCEVWNPVSVSRSEPLNLTVYFGPERVAILQDSTTRTGCTIKVDFNMSLTLWCVARSCPEPEYVWAFNGKALKNGQDHLNISSMTAAHEGTYTCIAKNSKTLLSGSASVVVKLSAAAVAMMIVPVPTKPTEGQDVTLTVQGYPKDLLVYAWYRGPASEPNRLLSQLPSGNWIAGPAHTGREVGFANCSLLVQKLNLTDAGRYTLKTVTLQGKTDTLEVELQVAPLE", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTRIKEFFTKLSESSTNQNISNIPKKKKKLFLALFATLLVVAAVIGIVAGVNSRKNSGDNGNEPHHAILKSSCSSTRYPDLCFSAIAAVPEASKKVTSQKDVIEMSLNITTTAVEHNYFGIQKLLKRTNLTKREKVALHDCLETIDETLDELHKAVEDLEEYPNKKSLSQHADDLKTLMSAAMTNQGTCLDGFSHDDANKHVRDALSDGQVHVEKMCSNALAMIKNMTDTDMMIMRTSNNRKLIEETSTVDGWPAWLSTGDRRLLQSSSVTPNVVVAADGSGNFKTVAASVAAAPQGGTKRYIIRIKAGVYRENVEVTKKHKNIMFIGDGRTRTIITGSRNVVDGSTTFKSATVAVVGEGFLARDITFQNTAGPSKHQAVALRVGADLSAFYNCDMLAYQDTLYVHSNRQFFVNCLIAGTVDFIFGNAAAVLQNCDIHARKPNSGQKNMVTAQGRADPNQNTGIVIQKSRIGATSDLKPVQGSFPTYLGRPWKEYSRTVIMQSSITDVIHPAGWHEWDGNFALNTLFYGEHQNAGAGAGTSGRVKWKGFRVITSATEAQAFTPGSFIAGSSWLGSTGFPFSLGL", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSIMRNFLSMIIMLCVCLNWCFAEGAEKSDSGKVLDSYTIQVSSLFPSSSSCVPSSKASNTKSSLRVVHMHGACSHLSSDARVDHDEIIRRDQARVESIYSKLSKNSANEVSEAKSTELPAKSGITLGSGNYIVTIGIGTPKHDLSLVFDTGSDLTWTQCEPCLGSCYSQKEPKFNPSSSSTYQNVSCSSPMCEDAESCSASNCVYSIVYGDKSFTQGFLAKEKFTLTNSDVLEDVYFGCGENNQGLFDGVAGLLGLGPGKLSLPAQTTTTYNNIFSYCLPSFTSNSTGHLTFGSAGISESVKFTPISSFPSAFNYGIDIIGISVGDKELAITPNSFSTEGAIIDSGTVFTRLPTKVYAELRSVFKEKMSSYKSTSGYGLFDTCYDFTGLDTVTYPTIAFSFAGSTVVELDGSGISLPIKISQVCLAFAGNDDLPAIFGNVQQTTLDVVYDVAGGRVGFAPNGC", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MQPVRFGSPWIMAIGLVLLLLAFVSAGKSRQRSPANCPTIKLKRQWGGKPSLGLHYQVRPIRYVVIHHTVTGECSGLLKCAEILQNMQAYHQNELDFNDISYNFLIGNDGIVYEGTGWGLRGAHTYGYNAIGTGIAFIGNFVDKLPSDAALQAAKDLLACGVQQGELSEDYALIAGSQVISTQSPGLTLYNEIQEWPHWLSNP", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKIKGLMILASSLLILAFIHQSESASMRSLLMNNGSYEEEEQVLKYDSMGTIANSSALDSKRVIPTGPNPLHNR", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKQFSAKYAIAVVVTAGHALAASTQGISEDLYSRLVEMATISQAAYADLCNIPSTIIKGEKIYNSQTDINGWILRDDSSKEIITVFRGTGSDTNLQLDTNYTLTPFDTLPQCNSCEVHGGYYIGWISVQDQVESLVQQQVSQFPDYALTVTGHSLGASLAALTAAQLSATYDNIRLYTFGEPRSNQAFASYMNDAFQASSPDTTQYFRVTHANDGIPNLPPADEGYAHGVVEYWSVDPYSAQNTFVCTGDEVQCCEAQGGQGVNNAHTTYFGMTSGHCTW", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKISFVLLLTLFICSIGWSEARPTDIKCSESYQCFPVCKSRFGKTNGRCVNGFCDCF", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRCAPTAGAALVLCAATAGLLSAQGRPAQPEPPRFASWDEMNLLAHGLLQLGHGLREHVERTRGQLGALERRMAACGNACQGPKGKDAPFKDSEDRVPEGQTPETLQSLQTQLKAQNSKIQQLFQKVAQQQRYLSKQNLRIQNLQSQIDLLAPTHLDNGVDKTSRGKRLPKMTQLIGLTPNATHLHRPPRDCQELFQEGERHSGLFQIQPLGSPPFLVNCEMTSDGGWTVIQRRLNGSVDFNQSWEAYKDGFGDPQGEFWLGLEKMHSITGNRGSQLAVQLQDWDGNAKLLQFPIHLGGEDTAYSLQLTEPTANELGATNVSPNGLSLPFSTWDQDHDLRGDLNCAKSLSGGWWFGTCSHSNLNGQYFHSIPRQRQERKKGIFWKTWKGRYYPLQATTLLIQPMEATAAS", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKQPNINLAACILWLLSIITAVAAETDAERNTGVFARNSAARNRSPGNEPPGYATRFKGVTWDVANWRLTTTELDQGHYQSRGSVANGYLGINVAAVGPFFELDIPVSGDVINGWPVFSRRQTFATISDFYSFQRSINATNFPWLDKYGGDLISGVPHWSGLILDLGDGNFLDATVKNSTISNFSSTLDMKGGILTWQYTWSPEKHNGTYDIFYQLVAHKLHVNQALVRLEITPSRDGNVSVVNVIDGYSAVRTDFKGSGQDGSAIYTSVNPEGISNVTAFIYAELSGTEGVDLSSSSLVDDKPYIHMNGSTIAQSVNVKLRAGQTTKIDKFVGAASTDGFKNPRQAAKEASARALRTGYEESLKSHIAEWATVFPSDSTEDYTIPGKKWLPLDHHIIEASIVSVVNPYYLLQSTVSNNALAAVKNAPLNRGSIAVGGLTSDSYGGLVFWDADIWMQPGLVVAFPEASQIFSNYRVDKYGQALRNAQTQHLSSKNDTYFSPDAAVYPWTSGRFANCTATGPCFDYQYHLNGDIGMQIVNNWVTTGDTEHFKSKLFPVYNSIATFFSQLVEKNGTKWTVTNMTDPDEYANLVDGGGYTMPLIATTLKYANQFREMFGIGANQTWNEIAQNVQVSRDQASQITLEYTTMNGSTQVKQADIVLNTFPLHYTEDYTHDNALRDLDYYAAKQSPNGPAMTYAIFSIVANEVSPSGCSAYTYGQYSFSPYVRAPFFQFSEQLMDDWSINGGTHPAYPFLTGNGGANQVAVFGYLGLRLIPDGILHLNPNLPPQIPHLRYRTFYWHGWPLEASANYTQTTIQRATNRRPLTSADPKYASAPITVHVGPANNITVYSLPPSGQLVIPNRQIGSISTVPGNLVQCQPVFSPNEFAPGQFPISAVDGAASTKWQPRRASSTSSLTVTLPDHASSATISGFAFDWAQAPPVSAKVVLHDEPLHPVTDPENGDASGSSPTTPASSVTVWESAKVPLSDPYDPIKIDLNMIMSYKGNTTNVTLPSTVPATKFATLLIRGNQALGPVEVRAGNGTGATVAEWSIVRSS", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MQLFLLLCLVLLSPQGASLHRHHPREMKKRVEDLHVGATVAPSSRRDFTFDLYRALASAAPSQSIFFSPVSISMSLAMLSLGAGSSTKMQILEGLGLNLQKSSEKELHRGFQQLLQELNQPRDGFQLSLGNALFTDLVVDLQDTFVSAMKTLYLADTFPTNFRDSAGAMKQINDYVAKQTKGKIVDLLKNLDSNAVVIMVNYIFFKAKWETSFNHKGTQEQDFYVTSETVVRVPMMSREDQYHYLLDRNLSCRVVGVPYQGNATALFILPSEGKMQQVENGLSEKTLRKWLKMFKKRQLELYLPKFSIEGSYQLEKVLPSLGISNVFTSHADLSGISNHSNIQVSEMVHKAVVEVDESGTRAAAATGTIFTFRSARLNSQRLVFNRPFLMFIVDNNILFLGKVNRP", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MILNLIILLAISIVASASNIAAYWGQNAGGDQQTLGDYCSSSPASIIILSFLDGFPNLSLNFANQCSGTFSSGLAHCSQIGSDIKSCQQQGKTILLSLGGATGNYGFSSDSEAVQFAGTLWNKFGGGKDSERPFDDAIVDGFDFDIENKDQTGYAALATQLRKYFSTGTKSYYLSAAPQCPYPDESVGDLMSQVDLDFAFIQFYNNYCSLNQQFNWNSWSNYARGKSIKLYLGLPGSSSSAGSGFVGLSTVQRVVASIKGDSSFGGISIWDISSAENGGYLNQLHQALSGSGSPAAPSNSYQPNTPLTRTYGGSTATASAYISVGFTAGATHGSTTTNDLLAWIDSLFGSSQSSVQQYATPVQSVTATPQPVAATTTSAPKPTASAFNWFGWFDGTTTSTTLQTVYSTVPADQTVYVTLTTTVGSQMLQSLFDKRDVIAEAKSTNLQICWLLFIPLLALICS", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MEKLTILLLVAAVLTSTQALIQGGGDERQKAKINFLSRSDRDCRGYDAPCSSGAPCCDWWTCSARTNRCF", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLSFLGKSVALLAALQATLSSPKPGHRRASVEKRANGYANSVYFTNWGIYDRNFQPADLVASDVTHVIYSFMNLQADGTVISGDTYADYEKHYADDSWNDVGTNAYGCVKQLFKVKKANRGLKVLLSIGGWTWSTNFPSAASTDANRKNFAKTAITFMKDWGFDGIDIDWEYPADATQASNMILLLKEVRSQRDAYAAQYAPGYHFLLTIAAPAGKDNYSKLRLADLGQVLDYINLMAYDYAGSFSPLTGHDANLFNNPSNPNATPFNTDSAVKDYINGGVPANKIVLGMPIYGRSFQNTAGIGQTYNGVGSGSWEAGIWDYKALPKAGATVQYDSVAKGYYSYNSATKELISFDTPDMINTKVAYLKSLGLGGSMFWEASADKKGADSVIGTSHRALGGLDTTQNLLSYPNSKYDNIKNGLN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "ETRYEKFLRQHVDYPRTAAPDTRTYCNQMMQRRGMTSPVCKFTNTFVHASAASITTICGPGGAPAGGNLRDSTASFALTTCRLQGGSQRPPCNYNGGTSTQRIRIACDGGLPVHYDRAI", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRCLPVFVILLLLIASAPSVDAQLKTKDDVPLASFHDNAKGTQHKRIINWCCLIFYQCC", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRPLSHLSFFNGLLLGLSALSAATSVVHERREATSSNWVKRARVNPSDKHVVRIGLTQSSLEEAHDLLMDVSNPSSPNYARFYSADEVAAKFAPSTETVNEVQNWLTEKGINASRVAQTQNHGWLVFHATSKEIENLFDTTYYEYHNRKTGKKAIACEQYHVPASVQKHIDYVHPGVNLNPSSGKPSSIRRRAAASKKTKLPARGPRPIQQHDVKGLNVTNCDQLITPECIRALYKIPSARAAPHPNNSLGIFEEGDYYAQEDLDLFFKTFAKDIPQGTHPIPAFIDGAEAPVPVTKAGGESDLDFELAYPIVHPQSITLYQTDDANWASNTTGFLNTFLDALDGSYCTYCAYGECGNDPSLDPVYPDDAGYDGQLMCGVFKPTNVISVSYGEQENDLPANYQQRQCMEFLKLGLQGVSVLFASGDNGVAGPPGDGNSVNGCLNNGTVFSPAFPNSCPYITNVGATKVYPGYTVSQPESAVYDPDGLYSYASGGGFSNIYPIPDYQAEAVATYFKDHNPPYPYYEGAENLGKNGGLYNRLGRGYPDVAANGDNIAVFNGGEFGSSGGTSASTPIFASIINRIIDERLAVGKGPVGFINPVLYKNPSVLNDITNGTNPGCGTDGFSTAPGWDPATGLGTPNYPKMLKLWLDLP", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "GIFSKFGRKKIKNLLISGLKNVGKEVGMDVVRTGIDIAGCKIKGEC", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MVAFSALSGVSALSLLLCLVQHAHGVSLKVSTQGGNSSSPILYGFMFEDINHSGDGGIYGQLLQNPGLQGTTPNLTAWAAVGDATIAIDGDSPLTSAIPSTIKLDVADDATGAVGLTNEGYWGIPVDGSEFQSSFWIKGDYSGDITVRLVGNYTGTEYGSATITHTSTADNFTQASVKFPTTKAPDGNVLYELTVDGSVAAGSSLNFGYLTLFGETYKSRENGLKPQLANVLADMKGSFLRFPGGNNLEGNSAENRWKWNETIGDLWDRPGREGTWTYYNTDGLGLHEYFYWCEDLGLVPVLGVWDGFALESGGNTPITGDALTPYIDDVLNELEYILGDTSTTYGAWRAANGQEEPWNLTMVEIGNEDMLGGGCESYAERFTAFYDAIHAAYPDLILIASTSEADCLPESMPEGSWVDYHDYSTPDGLVGQFNYFDNLDRSVPYFIGEYSRWEIDWPNMKGSVSEAVFMIGFERNSDVVKMAAYAPLLQLVNSTQWTPDLIGYTQSPDDIFLSTSYYVQEMFSRNRGDTIKEVTSDSDFGPLYWVASSAGDSYYVKLANYGSETQDLTVSIPGTSTGKLTVLADNDPDAYNSDTQTLVTPSESTVQASNGTFTFSLPAWAVAVLAAN", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAPFTFLVGILSLCICCIVLGAAAEPSYAVVEQLRNVPDGWIKHDAAPASELIRFRLAMNQERAAEFERRVIDMSTPGHSSYGQHMKRDDVREFLRPPEEVSDKVLSWLRSENVPAGSIESHGNWVTFTVPVSQAERMLRTRFYAFQHVETSTTQVRTLAYSVPHDVHRYIQMIQPTTRFGQPARHERQPLFHGTVATKEELAANCSTTITPNCLRELYGIYDTRAEPDPRNRLGVSGFLDQYARYDDFENFMRLYATSRTDVNFTVVSINDGLNLQDSSLSSTEASLDVQYAYSLAYKALGTYYTTGGRGPVVPEEGQDTNVSTNEPYLDQLHYLLDLPDEELPAVLSTSYGEDEQSVPESYSNATCNLFAQLGARGVSIIFSSGDSGVGSTCITNDGTKTTRFLPVFPASCPFVTAVGGTHDIQPEKAISFSSGGFSDHFPRPSYQDSSVQGYLEQLGSRWNGLYNPSGRGFPDVAAQATNFVVIDHGQTLRVGGTSASAPVFAAIVSRLNAARLEDGLLKLGFLNPWLYSLNQTGFTDIIDGGSSGCYVGTSNEQLVPNASWNATPGWDPVTGLGTPIYNTLVKLATSVSSTP", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFKQSYQLCLVFLLFVCFYQSVKGNYGKRSKYQKHKGTIPYYSNRYHHARRQTALSRTGKSDSSPFQIILRAINRRPGPQINGRGKKSVTRTLGMLQSVLSQRRGLYNHRSQKSQNVFGVLQNLLKNVRMFKRNIGRLYVSDIVRRRIPTVNAQATARVNVQATAGVNSLTTAVNTDSQTRTLNTLRATGWFKEVSENRLIMQQVLRASLNGVIAGWIETLARRDLMEEFIDYPQAFAIITNTVLSSSQKQLILDLGGNDQLQELLENVPAFTLILNYVQTQDRVQMIVTISETGNMDKLISNLGVLNRFLSNAITPGQTAAIRNLAENGQLEQFIDNQRG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKTLLLTLLVVTIVCLDLGYSLKCYQHGKVVTCHRDMKFCYHNTGMPFRNLKLILQGCSSSCSETENNKCCSTDRCNK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAPTRHWVTPLLLLCCSGICGAIQWLGLTVNGSRVAWNESEHCRLLDGLVPDQSQLCKRNLELMQSVVNAAKQTKLTCQMTLSDMRWNCSSVENAPSFTPDLSKGTRESAFVYALASATLSHTIARACASGELPTCSCGATPAEVPGTGFRWGGCGDNLHYGLNMGSAFVDAPMKSSKSAGTQATKIMNLHNNAVGRQVLMDSLETKCKCHGVSGSCSVKTCWKGLQDLPHIANELKSKYLGATKVIHRQTGTRRQLVPRELDIRPVRESELVYLVSSPDYCTKNPKLGSYGTQDRLCNKTSVGSDSCNLMCCGRGYNAYTETIVERCQCKYHWCCYVMCKKCERTVERYVCK", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MFQLGSLVVLCGLLIGNSESLLGELGSAVNNLKILNPPSEAVPQNLNLDVELLQQATSWPLAKNSILETLNTADLGNLKSFTSLNGLLLKINNLKVLDFQAKLSSNGNGIDLTVPLAGEASLVLPFIGKTVDISVSLDLINSLSIKTNAQTGLPEVTIGKCSSNTDKISISLLGRRLPIINSILDGVSTLLTSTLSTVLQNFLCPLLQYVLSTLNPSVLQGLLSNLLAGQVQLAL", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MVGLSRLAGGGLLLLLLLALLPLALDGKPAPLPQALPEALAGGTTALRRDVTEEQQQQLVAEESSGPAAGRSDPKIGDGCFGLPLDHIGSVSGLGCNRPVQNRPKQIPGGS", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MVFSLKVILFLSLLLSPVLKSSLVTLNNNGYDGIVIAINPSVPEDEKLIQNIKEMVTEASTHLFHATKQRAYFRNVSILIPMTYKSKSEYLIPKQETYDQADVIVADLYLKYGDDPYTLQYGQCGDKGQYIHFTPNFLLTNNLATYGPRGKVFVHGWAHLRWGVFDEYNVDQPFYISRRNTTEATRCSTRITVYMVLNECKGASCIARPFRRDSQTGLYEAKCTFIPKRSQTAKESIVFMQNLDSVTEFCTEKTHNKEAPNL", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKTLLLTLVVVTIVCLDLGHTLLCHNQQSSTSPTTTCCSGGESKCYKKRWPTHRGTITERGCGCPTVKKGIELHCCTTDQCNL", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKTLLLTLVLVTIVCLDLGYTLTCLICPKKYCNQVHTCRNGENLCIKTFYEGNLLGKQFKRGCAATCPEARPREIVECCSRDKCNH", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGHTFVCHNQQSSQPPTTTNCSGGENKCYKKQWSDHRGSITERGCGCPTVKKGIKLHCCTTEKCNN", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAPGPARISLGSQLLPMVPLLLLLRGAGCGHRGPSWSSLPSAAAGLQGDRDSQQSPGDAAAALGPGAQDMVAIHMLRLYEKYNRRGAPPGGGNTVRSFRARLEMIDQKPVYFFNLTSMQDSEMILTAAFHFYSEPPRWPRAREVFCKPRAKNASCRLLTPGLPARLHLIFRSLSQNTATQGLLRGAMALTPPPRGLWQAKDISSIIKAARRDGELLLSAQLDTGEKDPGVPRPSSHMPYILVYANDLAISEPNSVAVSLQRYDPFPAGDFEPGAAPNSSADPRVRRAAQVSKPLQDNELPGLDERPAPALHAQNFHKHEFWSSPFRALKPRTGRKDRKKKDQDTFTAASSQVLDFDEKTMQKARRRQWDEPRVCSRRYLKVDFADIGWNEWIISPKSFDAYYCAGACEFPMPKIVRPSNHATIQSIVRAVGIVPGIPEPCCVPDKMNSLGVLFLDENRNAVLKVYPNMSVETCACR", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MICHNQQSSQRPTIKTCPGETNCYKKRWRDHRGTIIERGCGCPSVKKGVGIYCCKTDKCNR", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MICHNQQSSQPPTIKTCPGETNCYKKRWRDHRGTIIERGCGCPSVKKGVGIYCCKTNKCNR", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MICYKQRSLQFPITTVCPGEKNCYKKQWSGHRGTIIERGCGCPSVKKGIEINCCTTDKCNR", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MELFYWCLLCLLLPLTSRTQKLPTRDEELFQMQIRDKEFFHDSSVIPDGAEVSSYLFRDTPRRYFFMVEEDNTPLSVTVTPCDAPLEWKLSLQELHEGSSADGSGDPELLDQQKQQMTDVEGTELFSYKGNDVEYFLSSSSPSGLYQLELLSTEKDTHFKVYATTTPESDQPYPELPYDPRVDVTSFGRTTVTLAWKPSPTASILKQPIEYCVVINKEHNFKSLCAAETKMNADDAFMVAPKPGLDFNPFDFAHFGFPTDNLGKDRSLLAKPSPKVGRHVYWRPKVDIQKICIGNKNIFTVSDLKPDTQYYFDVFMVNTNTNMSTAYVGAFVRTKEEAKQKTVELKDGRVTDVFVKRKGKKFLRFAPVSSHQKVTFFIHSCMDAVQVQVRRDGRLLLSQNVEGIRQFQLRGKPKGKYLIRLKGNRKGASKLKILATTRPSKHAFPSLPEDTRIKAFDKLRTCSSVTVAWLGTQERRKFCIYRKEVDGNYSEDQKRREQNQCLGPDTRKKSEKVLCKYFHSQNLQKAVTTETIRDLQPGKSYLLDVYVVGHGGHSVKYQSKIVKTRKVC", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "LECHNQQSSQPPTTKTCSGETNCYKKWWSDHRGTIIERGCGCPKVKPGVNLNCCRTDRCNN", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "LECHNQQSSQPPTTKTCPGETNCYKKVWRDHRGTIIERGCGCPTVKPGIKLNCCTTDKCNN", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "LECHNQQSSQPPTTKSCPGDTNCYNKRWRDHRGTIIERGCGCPTVKPGINLKCCTTDRCNN", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MECHNQQSSQPPTTKTCPGETNCYKKQWSDHRGTIIERGCGCPSVKKGVKINCCTTDRCNN", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSMASVLFAALAISGVQVTPSRGYGCFPQYSQFWGQYSPYFSLEGRSAISSAVPPGCKITFAQSLQRHGARFPTADKSATYSSLIKRIQEDATEFKDEFAFLKDYKYNLGADDLTPFGESQLYDSGINFFQRYHGLTKDSKVFVRSAGSERVVASAHKFVEGFNKAKGSEKGGATKLDLIISEEDRRKNPIAPQGCDAFDNDETADKITDQFRSTFTQPIVDRVNKKLPGANIKIGDIKSLMAMCPFDTVARTPDASKLSPFCHLFSHEEFRHYDYLETLGKFYGHGPGNSFGPAPGIGYVNELIARLTSSPVKDNTTVDHELDDNPKTFPLGLPLYADFSHDNSMTVIFTAMGLFNATKPLSPTKITDPADASGYSASWTVPFGARAYFEKMVCDHSPSAKQEYVRVLLNDRVFPLQDCHTDFLGRCKLDDFINGLTYARSNGNWDQCEVSPPK", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "RICYLAPRDTQICAPGQEICYLKSWDDGTGSIRGNRLEFGCAATCPTVKRGIHIKCCSTDKCNPHPKLA", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKTLLLAVAVVAFVCLGSADQLGLGRQQIDWGQGQAVGPPYTLCFECNRMTSSDCSTALRCYRGSCYTLYRPDENCELKWAVKGCAETCPTAGPNERVKCCRSPRCNDD", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKTLLLAVAVVAFVCLGSADQLGLGRQQIDWGKGQAKGPPYTLCFECNRETCSNCFKDNRCPPYHRTCYTLYRPDGNGEMKWAVKGCAKTCPTAQPGESVQCCNTPKCNDY", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAPFEPLASGILLLLWLIAPSRACTCVPPHPQTAFCNSDLVIRAKFVGTPEVNQTTLYQRYEIKMTKMYKGFQALGDAADIRFVYTPAMESVCGYFHRSHNRSEEFLIAGKLQDGLLHITTCSFVAPWNSLSLAQRRGFTKTYTVGCEECTVFPCLSIPCKLQSGTHCLWTDQLLQGSEKGFQSRHLACLPREPGLCTWQSLRTRIA", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASLFSSVLLSLCLLSSLFLSNANAKPKLGFTADLIHRDSPKSPFYNPMETSSQRLRNAIHRSVNRVFHFTEKDNTPQPQIDLTSNSGEYLMNVSIGTPPFPIMAIADTGSDLLWTQCAPCDDCYTQVDPLFDPKTSSTYKDVSCSSSQCTALENQASCSTNDNTCSYSLSYGDNSYTKGNIAVDTLTLGSSDTRPMQLKNIIIGCGHNNAGTFNKKGSGIVGLGGGPVSLIKQLGDSIDGKFSYCLVPLTSKKDQTSKINFGTNAIVSGSGVVSTPLIAKASQETFYYLTLKSISVGSKQIQYSGSDSESSEGNIIIDSGTTLTLLPTEFYSELEDAVASSIDAEKKQDPQSGLSLCYSATGDLKVPVITMHFDGADVKLDSSNAFVQVSEDLVCFAFRGSPSFSIYGNVAQMNFLVGYDTVSKTVSFKPTDCAKM", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRCFFRWTKSFVTAPWSLIFILFTIQYEYGSGKKYGGPCGGRNCSVCQCFPEKGSRGHPGPLGPQGPIGPLGPLGPIGIPGEKGERGDSGSPGPPGEKGDKGPTGVPGFPGVDGVPGHPGPPGPRGKPGVDGYNGSRGDPGYPGERGAPGPGGPPGQPGENGEKGRSVYITGGVKGIQGDRGDPGPPGLPGSRGAQGSPGPMGHAGAPGLAGPIGHPGSPGLKGNPATGLKGQRGEPGEVGQRGPPGPTLLVQPPDLSIYKGEKGVKGMPGMIGPPGPPGRKGAPGVGIKGEKGIPGFPGPRGEPGSHGPPGFPGFKGIQGAAGEPGLFGFLGPKGDLGDRGYPGPPGILLTPAPPLKGVPGDPGPPGYYGEIGDVGLPGPPGPPGRPGETCPGMMGPPGPPGVPGPPGFPGEAGVPGRLDCAPGKPGKPGLPGLPGAPGPEGPPGSDVIYCRPGCPGPMGEKGKVGPPGRRGAKGAKGNKGLCTCPPGPMGPPGPPGPPGRQGSKGDLGLPGWHGEKGDPGQPGAEGPPGPPGRPGAMGPPGHKGEKGDMVISRVKGQKGERGLDGPPGFPGPHGQDGGDGRPGERGDPGPRGDHKDAAPGERGLPGLPGPPGRTGPEGPPGLGFPGPPGQRGLPGEPGRPGTRGFDGTKGQKGDSILCNVSYPGKPGLPGLDGPPGLKGFPGPPGAPGMRCPDGQKGQRGKPGMSGIPGPPGFRGDMGDPGIKGEKGTSPIGPPGPPGSPGKDGQKGIPGDPAFGDPGPPGERGLPGAPGMKGQKGHPGCPGAGGPPGIPGSPGLKGPKGREGSRGFPGIPGSPGHSCERGAPGIPGQPGLPGTPGDPGAPGWKGQPGDMGPSGPAGMKGLPGLPGLPGADGLRGPPGIPGPNGEDGLPGLPGLKGLPGLPGFPGFPGERGKPGPDGEPGRKGEVGEKGWPGLKGDLGERGAKGDRGLPGDAGEAVTSRKGEPGDAGPPGDGGFSGERGDKGSSGMRGGRGDPGRDGLPGLHRGQPGIDGPPGPPGPPGPPGSPGLRGVIGFPGFPGDQGDPGSPGPPGFPGDDGARGPKGYKGDPASQCGPPGPKGEPGSPGYQGRTGVPGEKGFPGDEGPRGPPGRPGQPGSFGPPGCPGDPGMPGLKGHPGEVGDPGPRGDAGDFGRPGPAGVKGPLGSPGLNGLHGLKGEKGTKGASGLLEMGPPGPMGMPGQKGEKGDPGSPGISPPGLPGEKGFPGPPGRPGPPGPAGAPGRAAKGDIPDPGPPGDRGPPGPDGPRGVPGPPGSPGNVDLLKGDPGDCGLPGPPGSRGPPGPPGCQGPPGCDGKDGQKGPMGLPGLPGPPGLPGAPGEKGLPGPPGRKGPVGPPGCRGEPGPPADVDSCPRIPGLPGVPGPRGPEGAMGEPGRRGLPGPGCKGEPGPDGRRGQDGIPGSPGPPGRKGDTGEAGCPGAPGPPGPTGDPGPKGFGPGSLSGFLLVLHSQTDQEPACPVGMPRLWTGYSLLYMEGQEKAHNQDLGLAGSCLPVFSTLPFAYCNIHQVCHYAQRNDRSYWLSSAAPLPMMPLSEEEIRSYISRCAVCEAPAQAVAVHSQDQSIPPCPRTWRSLWIGYSFLMHTGAGDQGGGQALMSPGSCLEDFRAAPFVECQGRQGTCHFFANEYSFWLTTVNPDLQFASGPSPDTLKEVQAQRRKISRCQVCMKHS", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MIQALLVAICLAVFPYQGSSIILESGNVNDYEVVYPQKVPALSKGGVQNPQPETKYEDTMQYEFHVNGEPVVLHLERNKGLFSEDYTETHYAPDGREITTSSPVQDHCYYHGYIQNEADSSAVISACDGLKGHFKHQGETYFIEPLELSDSEAHAIYKDENVEEEEEIPKICGVTQTTWESDEPIEKSSQLTNTPEQDRYLQAKKYIEFYVVVDNVMYRKYTGKLHVITRRVYEMVNALNTMYRRLNFHIALIGLEIWSNGNEINVQSDVQATLDLFGEWRENKLLPRKRNDNAQLLTSTEFNGTTTGLGYIGSLCSPKKSVAVVQDHSKSTSMVAITMAHQMGHNLGMNDDRASCTCGSNKCIMSTKYYESLSEFSSCSVQEHREYLLRDRPQCILNKPSRKAIVTPPVCGNYFVERGEECDCGSPEDCQNTCCDAATCKLQHEAQCDSGECCEKCKFKGAGAECRAAKNDCDFPELCTGRSAKCPKDSFQRNGHPCQNNQGYCYNGTCPTLTNQCATLWGPGAKMSPGLCFMLNWNARSCGLCRKENGRKILCAAKDVKCGRLFCKKKNSMICHCPPPSKDPNYGMVAPGTKCGVKKVCRNRQCVKV", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "KDGYIIEHRGCKYSCFFGTNSWCNTECTLKKGSSGYCAWPACWCYGLPDNVKIFDSNNLKC", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "KDGYLVGNDGCKYSCFTRPGTYCANECSRVKGKDGYCYAWMACYCYSMPNWVKTWNRATNRCGR", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFTMKKSLLLLFFLGTVSLSLCEQERGADEDDGGEMTEELKRGVITDALKGAAKTVAAELLRKAHCKLTNSC", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MFTMKKSLLLLFFLGMISLSLCEQERGADEDEGEVEEQIKRSIWEGIKNAGKGFLVSILDKVRCKVAGGCNP", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLPLLLGLLGPAACWALGPTPGPGSSELRSAFSAARTTPLEGTSEMAVTFDKVYVNIGGDFDVATGQFRCRVPGAYFFSFTAGKAPHKSLSVMLVRNRDEVQALAFDEQRRPGARRAASQSAMLQLDYGDTVWLRLHGAPQYALGAPGATFSGYLVYADADADAPARGPPAPPEPRSAFSAARTRSLVGSDAGPGPRHQPLAFDTEFVNIGGDFDAAAGVFRCRLPGAYFFSFTLGKLPRKTLSVKLMKNRDEVQAMIYDDGASRRREMQSQSVMLALRRGDAVWLLSHDHDGYGAYSNHGKYITFSGFLVYPDLAPAAPPGLGASELL", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASMAAVLTWALALLSAFSATQARKGFWDYFSQTSGDKGRVEQIHQQKMAREPATLKDSLEQDLNNMNKFLEKLRPLSGSEAPRLPQDPVGMRRQLQEELEEVKARLQPYMAEAHELVGWNLEGLRQQLKPYTMDLMEQVALRVQELQEQLRVVGEDTKAQLLGGVDEAWALLQGLQSRVVHHTGRFKELFHPYAESLVSGIGRHVQELHRSVAPHAPASPARLSRCVQVLSRKLTLKAKALHARIQQNLDQLREELSRAFAGTGTEEGAGPDPQMLSEEVRQRLQAFRQDTYLQIAAFTRAIDQETEEVQQQLAPPPPGHSAFAPEFQQTDSGKVLSKLQARLDDLWEDITHSLHDQGHSHLGDP", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGVVEGLLALALVLSACVMCNGGSSSPFIRKVEKTVDMPLDSDVFAVPPGYNAPQQVHITQGDLVGKAVIVSWVTVDEPGSSEVHYWSENSDKKKIAEGKLVTYRFFNYSSGFIHHTTIRNLEYKTKYYYEVGLGNTTRQFWFVTPPEIGPDVPYTFGLIGDLGQSFDSNKTLSHYELNPRKGQTVLFVGDLSYADNYPNHDNIRWDSWGRFTERSVAYQPWIWTAGNHENHFAPEIGETVPFKPYTHRYHVPYKASQSTSPFWYSIKRASAHIIVLASYSAYGKYTPQYKWLEKELPKVNRTETPWLIVLMHSPWYNSYNYHYMEGETMRVMYEPWFVQYKVDVVFAGHVHAYERSERVSNVAYNIVNGLCAPVNDKSAPVYITIGDGGTLEGLATNMTEPQPKYSAFREASFGHAIFDITNRTHAHYSWHRNQDGVAVEADSLWSFNRYWHPVDDSTAHVSH", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKLTQFISYAILSLSGVQAATLNGPCTGARGAPGICISTSSCTKAGGSYISNACPGLPIGIKCCSKTSCGDGGNCRFTSACSSGNTQAGLCPGPSSFQCCLPKASGGGKFPPPKIPAVGRCKKTAVDGAKKIVAAHPGMVREIFCIRDCPCPSNSEHCCGLATDMMCTSEAGEYSKLTWHGIVKKRDRDAFGRVMAEWVMNHRKILNLKYVIWGQRIWNPSLDKVSPWTNWRQMEDRGSITQNHW", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKFTLPLVIFAAVASATPVAQPNAEAEAQWCRIHGQSCWKVKRVADAFANAIQGMGGLPPRDESGHQPAQVAKRQVDELAGIIALTQEDVNAYYDSLSLQEKFAPSTEEEKKTEKVAKREAEAEAQWCRIHGQSCWKKREAEAQWCRIHGQSCWKRDALPEAEPQWCRIHGQSCWKKRDAAPEAAPEAEANPQWCRIHGQSCWKAKRAAEAVMTAIQSAEAESALLLRDTTFSPVDRVGKRDPQVCNMRLHPKKVCWKRDASPEAACNAPDGSCTKATRDLHAMYNVARAILTAHSDEN", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRSPRMRVCAKSVLLSHWLFLAYVLMVCCKLMSASSQHLRGHAGHHQIKQGTCEVVAVHRCCNKNRIEERSQTVKCSCFPGQVAGTTRAQPSCVEASIVIQKWWCHMNPCLEGEDCKVLPDYSGWSCSSGNKVKTTKVTR", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MFLIYLFVQTAESSWLSKTAKKLENSAKKRISEGIAIAIQGGPRRRRFVAEQDAIHSRVSREVPTLSDSV", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYSLTCLNCPEQYCKRIHTCRNGENVCFKRFYEGKLLCKQFRRGCAATCPEAKSREIVQCCSTDECNH", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAASAGATRLLLLLLMAVAAPSRARGSGCRAGTGARGAGAEGREGEACGTVGLLLEHSFEIDDSANFRKRGSLLWNQQDGTLSLSQRQLSEEERGRLRDVAALNGLYRVRIPRRPGALDGLEAGGYVSSFVPACSLVESHLSDQLTLHVDVAGNVVGVSVVTHPGGCRGHEVEDVDLELFNTSVQLQPPTTAPGPETAAFIERLEMEQAQKAKNPQEQKSFFAKYWHIILGGAVLLTALRPAAPGPAPPPQEA", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTCCNQQSSQPKTTTNCAGNSCYKKTWSDHRGTIIERGCGCPQVKSGIKLECCHTNECNN", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSRVLVVGAGLTGSLCAALLRKEITAPLYLGLWDKGGDIGGRMITASSPHNPRCTADLGAQYITCSPHYVKEHQNFYEELLAHGILKPLTSPIEGMKGKEGDCNFVAPQGFSSVIKYYLKKSGAEVSLKHCVTQIHLKDNKWEVSTDTGSAEQFDLVILTMPAPQILELQGDIVNLISERQREQLKSVSYSSRYALGLFYEVGMKIGVPWSCRYLSSHPCICFISIDNKKRNIESSECGPSVVIQTTVPFGVQHLEASEADVQKLMIQQLETILPGLPQPVATICHKWTYSQVTSSVSDRPGQMTLHLKPFLVCGGDGFTHSNFNGCISSALSVMKVLKRYI", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKFRTLLIIFSLVFLLEIVSASEPQCGRDAGGALCHGNLCCSHWGFCGTTAIYCDVDQGCQSQCWSSPPPPSPPPPPPSPPPPSPPPPSPPPPSPPPPSPPPPSPPPPSPPPPSPPPPGGPERPDHRCGRALGNPPCNPGRCCSIHNWCGSTAAYCRGSSCQYQCWNSLLSALISNGNNAISKIISKSVFDEMFKHMKDCPSKGFYSYDAFIIATTSFPHFGTTGDITTRKRELAAFFAQTSLATTGQRFDSQDLYVWGYCHINETTNGNDNDYCTSAHWPCPSGKKYNSRGAVQLTHNYNYGLAGEALGLDLINNPDLVATDPVISFKTAIWFWMAQHDNKLSCHDILINANSGYVIGNIIKNSGYQNGLITNTISTMRGIGYYKRYCDMLGVSYGDNLDSWYDQTHFSEVARM", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "VVGGRVAQPNSWPWQISLQYKSGSSYYHTCGGSLIRQGWVMTAAHCVDSARTWRVVLGEHNLNTNEGKEQIMTVNSVFIHSGWNSDDVAGGYDIALLRLNTQASLNSAVQLAALPPSNQILPNNNPCYITGWGKTSTGGPLSDSLKQAWLPSVDHATCSSSGWWGSTVKTTMVCAGGGANSGCNGDSGGPLNCQVNGSYYVHGVTSFVSSSGCNASKKPTVFTRVSAYISWMNGIM", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MLFKSFVTFTVLANALAAPLAHQHHQHKEEKRAVHVVTTTNVVVVTIGNGDQTTTFAAPSVAAESSVSVSVNTEPPQNHPTTTQDVASASTYPSSTDGSAASSSAAASSSSQAGSEPSGGVGSGGAKGITYSPYSDNGGCKSESQIASEIAQLSGFDVIRLYGVDCDQVSAVLKAKTSSQKIFAGIFDVSNIASGIESLAEAVEACGSWDDIYTVSIGNELVNAGSATPSQIKAYVDEGRKALKAAGYTGPVVSVDTFIAVINNPELCEYSDYMAVNAHAFFDGHVAAENSGPWVLQQIQRVWTACSGKKNVLITETGWPSKGDSNGLAVPSKSNQQAAISSIKSSCGASALLFTAFNDLWKADGPYNAEKYWGIYSN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MMLIRVLANLLILQLSYAQKSSELVIGGDECNINEHRFLVALYDFWSGDFLCGGTLINQEYVLTAAHCKTRNMYIYLGMHNKSVQFDDEQRRYPKKKYFFRCRNNFTRWDKDIMLIRLNRPVRNSAHIAPLSLPSSPPTVGSVCRVMGWGTITSPNETLPDVPRCANINLFNYTVCHGVFPWLPARSRILCAGVLQGGIDTCKRDSGGPLICNGQFQGIVSWGPKPCAQPRKPALYTKVFDHLDWIQSIIAGNTTVTCPP", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "AASGLEAAMKAAGKQYFGTALTVRNDQGEIDIINNKNEIGSITPENAMKWEAIQPNRGQFNWGPADQHAAAATSRGYELRCHTLVWHSQLPSWVANGNWNNQTLQAVMRDHINAVMGRYRGKCTHWDVVNEALNEDGTYRDSVFLRVIGEAYIPIAFRMALAADPTTKLYYNDYNLEYGNAKTEGAKRIARLVKSYGLRIDGIGLQAHMTSESTPTQNTPTPSRAKLASVLQGLADLGVDVAYTELDIRMNTPATQQKLQTNADAYARIVGSCMDVKRCVGITVWGISDKYSWVPGTFPGEGSALLWNDNFQKKPSYTSTLNTINRR", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MHFSGVAFILISMVLIGSIFETTVEAGEGPKSDCKPDLCEAACKDLGKPMDFCKDGTCKCKD", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MIPRVAKFNFRLLSLALLGIQVARSAITYQNPTDLPGDVDYDFIVAGGGTAGLVVASRLSENPEWNVLVIEAGPSNKDVFETRVPGLSSELRPRFDWNYTTIPQDALGGRSLNYSRAKLLGGCSSHNGMVYTRCSRDDWDNYAEITGNQAFSWDSILPVMKRAEKFSKDSSHKPVKGHIDPSVHGGDGKLSVVASYTNASFNDLLLETAKELSGEFPFKLDMNDGRPLGLTWTQYTIDQRGERSSSATAYLEGTGNNVHVLVNTLVTRIVSAENGTDFRSVEFATDADSPKIQLRAKKEVIVSGGVINSPQILMNSGIGGREVLGANGIDTLVDNPSVGKNLSDQAATIIMLDTTLPITDYDVDAALIEWKKSHTGPLAQGGRLNHLTWVRLPDDKLDGLDPSSGENSPHIEFQFGQISHQLPPSGLTRFSFYRHCSPIPPLINLYTVSRGSISLSNNDPFSHPLIDLNMFGEEIDPAILREGIRSARRMLSSQAFKGFVGETVFPPSDATSDEDLDTFLKTSTFSYVHGVGTLSMSPQSASWGVVNPDFRVKGTSGLRVVDASVIPFAPAGHTQEPVYAFAEHASVLIAKSYS", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASLKKSFFLVLFLGLVSLSMCEEKKRENEDDAEDGNHEEESEEKRGLVDFAKHVIGIASKLGKRSEEKRYHPFGKRSEEKRYFPIPFGKRSEEKRYFPIPIGKRSEEKRYFPIPIGKRSEEKRYFPIPIGK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAAGSRTSLLLAFALLCLPWLQEAGAVQTVPLSRLFKEAMLQAHRAHQLAIDTYQEFISSWGMEAYITKEQKYSFLHDSQTSFCFSDSIPTSSNMEETQQKSNLELLHISLLLIESRLEPVRFLRSTFTNNLVYDTSDSDDYHLLKDLEEGIQMLMGRLEDGSHLTGQTLKQTYSKFDTNSHNHDALLKNYGLLHCFRKDMDKVETFLRMVQCRSVEGSCGF", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSVSTPPDPLLHHTPAAMKLTMFVVGLLGLLAAPGFAYTVNINGNDGNVDGSGQQSVSINGVHNVANIDNNNGWDSWNSLWDYENSFAATRLFSKKSCIVHRMNKDAMPSLQDLDTMVKEQKGKGPGGAPPKDLMYSVNPTRVEDLNTFGPKIAGMCRGIPTYVAEEIPGPNQPLYSKKCYTADILWILRMSFCGTSVETY", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MHGLLLAAGLLSLPLHVLAHPQPSTSTSLAGRAGAVDLNEFRIAHRSSYTSHDEMKKLPSIASFRQGTYLEVATELVKQTMPNMEFRLVDDHYVGDSGIGHVRFRQTMHGIDIDNSDFNVNVGKDGKVLSHGNSFYTGPAPSSNPMVKRDFIDPMQALHGVRKALNLPIKADGAHVEDMSEHKVMFKGTSGALSDPTAKLCYMAKEDGSLALTWRVETDIGDNWLLSYMDAKESSKVHNVVDYVAHATFQVYKWGLADPTEGKREIITNPWNLKTSPLTWLSDGHNNYTATRGNNAIAQYNPDGGNDYENNYRPSPKNLKFEYPYSPDMNPPKTYIDASVTELFYTSNICHDLYYMLGFNEKAGNFQVNNRGQGGKGNDFVILNAQDGSGTNNANFATPPDGQPGRMRAYIWTRANPPRDASFEAGTIIHEYTHGLSNRLCGGPANSRCLNALESGGMGEGWGDFYATAVRLKPNDTRKTNYVKGGWVNNSPKGVRMYPYSTDMNVNPLVYTSNNKLNEVHAIGTVWCTMLYEVLWNLIDKHGKNDGPVPIFENGVPNDGKYLAMKIVMDGMAIQPCNPNFVQARDAILDADMNLTKGANKCEIWKGFAKRGLGVGAKFDPKNRTGSTQVPNECK", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MARQGCFGSYQVISLFTFAIGVNLCLGFTASRIKRAEWDEGPPTVLSDSPWTNTSGSCKGRCFELQEVGPPDCRCDNLCKSYSSCCHDFDELCLKTARGWECTKDRCGEVRNEENACHCSEDCLSRGDCCTNYQVVCKGESHWVDDDCEEIRVPECPAGFVRPPLIIFSVDGFRASYMKKGSKVMPNIEKLRSCGTHAPYMRPVYPTKTFPNLYTLATGLYPESHGIVGNSMYDPVFDATFHLRGREKFNHRWWGGQPLWITATKQGVRAGTFFWSVSIPHERRILTILQWLSLPDNERPSVYAFYSEQPDFSGHKYGPFGPEMTNPLREIDKTVGQLMDGLKQLKLHRCVNVIFVGDHGMEDVTCDRTEFLSNYLTNVDDITLVPGTLGRIRPKIPNNLKYDPKAIIANLTCKKPDQHFKPYMKQHLPKRLHYANNRRIEDLHLLVERRWHVARKPLDVYKKPSGKCFFQGDHGFDNKVNSMQTVFVGYGPTFKYRTKVPPFENIELYNVMCDLLGLKPAPNNGTHGSLNHLLRTNTFRPTLPEEVSRPNYPGIMYLQSDFDLGCTCDDKVEPKNKLEELNKRLHTKGSTEERHLLYGRPAVLYRTSYDILYHTDFESGYSEIFLMPLWTSYTISKQAEVSSIPEHLTNCVRPDVRVSPGFSQNCLAYKNDKQMSYGFLFPPYLSSSPEAKYDAFLVTNMVPMYPAFKRVWTYFQRVLVKKYASERNGVNVISGPIFDYNYNGLRDIEDEIKQYVEGSSIPVPTHYYSIITSCLDFTQPADKCDGPLSVSSFILPHRPDNDESCNSSEDESKWVEELMKMHTARVRDIEHLTGLDFYRKTSRSYSEILTLKTYLHTYESEI", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MASRMGMVAIMSLFVYAIVVPTSVNANAWQTDDKPGVNRNMEMQQQQGGFIGHRPRLASFNRASNQEGDRKRTVPSGPNHKHNNIPSHTPHHPPSYVQALYEDDRTITSPGPSKSIGPPPLPDRY", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASRMGMVAIVSLFVCALVASTSVNANVWQTDEDAFYSTNKLGVNGNMEMAQQQSGFIGHRPRLASFNRASKQLDSEKRPVPSGPDPIHHSIPSHAPQHPPSYGKAPYEDDRSRASPGLSNPIGPPPFLDRY", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "HLLQFNKMIKFETRKNAIPFYAFYGCYCGWGGRGRPKDATDRCCFVHDCCYGKLAKCNTKWDIYPYSLKSGYITCGKGTWCEEQICECDRVAAECLRRSLSTYKYGYMFYPDSRCRGPSETC", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLRFIAIVALIATVNAKGGTYGIGVLPSVTYVSGGGGGYPGIYGTYGGGFPGIYGGFGPGGVYGSINSYGGVSTGAYGLYGTSPAVRGAAQGAAAASALGIASGVPSRVSGSSIGIGGGRALVSGSATPIGYYGVPYGGYGYGVPSYGYGYGYPSYGISYGYPGYGYGGYGGYGYPDVAYFGGSTYGNLATGAISSPTSGVTIPYGGALGLYGGYGGYGLGSTYGGYGYGVPSYGYGYGYPSYGISYGYPGYGYGGYGGYGYPDVAHFGGSTYGNLATGAISSPTSGVTIPYGGALGLYGGYGSYGYGPGIYGGGIYGSGGGIYSGGATIIRRKKY", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLAVLLFAALVATAYSQEYGPAKPDVKIIPHPKTVVHEDARHVHRQVHLVKQVPVHRTRVQTIINEVPRIIRKPKQIRKTRVFRQYYPVDVPVLRKVTVVKPVHLERKVPVPRMVVKDVPHHVVRTKKVDVPIDVPIKKIVEKKVVRYVENKIFRPRPVVQEKVRVEHVPQPFPVDQVVVKKNPRPRLIVEKKPVPVIRHIHTHKKQAVAVPRVKTVAEVVPNVVHQKVTYPVGKGGGSVQIPGGPLPVPEHYKYKGYDK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKASMFLALAGLVLLFVVGYASESEEKESPRELLSKIFAVDDFKGEERGCKGFGDSCTPGKNECCPNYACSSKHKWCKVYLGK", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKASMFLALAGLVLLFVVGYASESEEKEFPRELLSKVFAVDDFKGEERGCKGFGDSCTPGKNECCPNYACSSKHKWCKVYLGK", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKASMFLALAGLVLLFVVGYASESEEKEFPRELLSKIFAVDDFTGEERGCKGFGDSCTPGKNECCPNYACSSKHKWCKVYLGK", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRFSTLVSLAAWAAAALACDSCSEPGKPAEHKRLVRRMQPEALGALTKPKGPLEWGQINFLHTTDTHGWLEGHLKEQNYGADWGDYSSFVKHMRQKADRLRVDLLLIDCGDLHDGNGLSDSTSPNGVISNEIFSRVDYDLLSIGNHELYVTDVAYETFANFSKVYGERYVTSNVQIINKETGEYEYIGSKYRYFTTKHGLKVMAFGVLFDFTGNSNVSKVIKAADLVQESWFIDAVKTPKPVDLFIIFGHTPARTDDKFPTLRTLRQKIQELRPGVPIQAFGGHNHVRDFVVYDETSTALGSGRYCETLGWLSMTGINSRTFRGSMKPRGVPNPTRRAIKGNATTSTQPYQHPRKGLDLRYARRYLDWNRLTFAYHASKSQDRQFDTQKGLKITHDITDARKQLNTSTVLGCVPETYCMSCVPFEAKNNIYQLVIDMLAKVVVKEDRADKPRLLLLNTGGVRFDLVKGPFTKDDEYIVYPFKNQFQYLPDVPYSIAKELLDALNKGPYQRRSEEYSPMAPQLSTNEVCANPSPEFVQLKRREAPQPYRPITRRTIDSSMLYPGYVTSDDFGLDGDDTPHSKIPYFKVPIDIQANASFPTNGSMPTVVDLAFVDYIGAKYVIPALNKLGGKYSASDIQSYKDFGSSSFLREYALEFWQEGLPNCTTN", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MLFSMVMFTERWWVGSKDCPRVPALENSNNPWRLERTATAAELSQYGNPTTCEIDNGGVIVADGFQASKGYTGDSIVDYNDAHYKTSVDQDAWGFVRAAYNRGRNTNRQSSGPHPLCTRKCIAWLLMGRLMNAVLTQSDNPALVPNQNATGSNSRPWKPLGKAQSYSNEELNNAPQFNPETLYASDTLIRFNGVNYISQSKEQKVSPSDSNPWRVFVDWTGTKERVGTPKKAWPKHVYAPYVDFTLNTIPDLRALAKNHNVNHFTLAFVVSKDANTTCGTAYGMQNYAQYSKIKALREAGGDVMLSIGGANNAPLAASCKNVDDLMQHYYDIVDNLNLKVLDFDIEGTWVAVQASIERRNLAVKKVQDKWKSEGKDIAIWYTLPILPTGLTPEGMNVLSDAKAKGVELAGVNVMTMDYGNAICQSANTEGQNIHGKCATSAIAFLHSQLKGLHPNKSDAEIDAMMGTTPMVGVNDVQGEVFYLSDARLVMQDAQKRNLGMVGIWSIARDLPAALTCLRNSTA", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKFLYGIVFIALFLTVMFATQTDGCGPCFTTDANMARKCRECCGGNGKCFGPQCLCNRE", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MLTLKKSMLLLFFLGMVSLSLANSKRADEEGEDKRADEEGEDKRADEEGEDKRADEEGEEKRKRFLGGILNTITGLLG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAAFGINSKIFQSMEMAILFLLAISIDRYCFAADEDMLQDVCVADLHSKVKVNGFPCKTNFTAADFSSLAISKPGATNNKFGSVVTTANVEQVPGLNTLGVSLARIDYAPGGINPPHTHPRASEMVFVMEGELDVGFITTANVLVSKKIIKGEVFVFPRGLVHFQKNNGEVPAAVISAFNSQLPGTQSIPITLFGASPPVPDDVLAQTFQINTEDVQQIKSKFAPVKKF", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MYHQLILMALIGVIMANVVPFSMSNIPEEYKEFIPEEVKNFYKNLTQEDRQILRELASKHATFTNEDAALEALKNKSDKLYQKAVELRNFVKAKIDSLKPDAKAFVDEIIAKVRSLRPEDGQKLDMEKLKQAARDIIAKYEALNEETKEELKATFPNTTKIITNEKFKRIANSFLQKN", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKQNYILSIILCYLLANVHSAPAIITVWQTVTDAQVAAGPTAAAPAANANANVQQAAAASAPAPAPVASPAAPAPASSAPQSSTPSSSGWLSNLFNSFFGGSDSDSSSGSDTASAAPASTSPQSSSSSSSSSGNSFLSFLSGLFGSGSSSSTPSSISQQQQQQQGSPASGSNSPNSAQPDAAAASNPVPQSNNNQGSGLGSGFGSGFGSGSGSDSDSGSGLPSASSSTIIQQQPSSSNIGSSSTSSSSSSSSSSSSSSSSSGDIYAAISQCDGIDASFASEILDAHNKYRAQHKVGDLSWDVDTYNYAKNNADNYDCSGVLTHTHGKFGENLAAGFKDGASTVAAWVDEPISYSDASFVYNHFTQVIWKGSTKVGCAYKDCRKSNWGLYVVCEYDPYGNVIGQGSKNVFP", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "LTCVTSKSIFGITTEDCPDGQNLCFKRRHYVVPKIYDSTRGCAATCPIPENYDSIHCCKTDKCNE", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGCIKVISVFLAAIAAVDARAFFHNRGGNDVIPNSYIVVMKDGVTAEDFDSHISSVAATHSLNKAKRGSETVGHKDSFNINGWRAYNGHFDEATIESILKDDKVNYVEHDRVVKLAALTTQPNAPTWGLGRVSHKAPGNKDFVYDSSAGQGITIYGVDTGIDIRHPEFAGRIRWGTNTVDNDNTDGNGHGTHTAGTFAGTTYGVAKKANIVAVKVLSAGGSGSTSGVIKGIDWCVTDARSKNALGKAALNLSLGGSFSQASNDAVTRAQEAGIFVAVAAGNDNRDAKNSSPASAPAVCTAASSTIDDQKSSFSNWGTIVDIYAPGSNILSAAPGGGTRTLSGTSMASPHVCGVGAAMLAQGVSVAQACDRLKQIGNAVIRNPGTGTTNRLLYNGSGR", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MMPKHCLLGLLIILLSSATEIQPARVSLTPQKVRFQSRNFHNILHWQAGSSLPSNNSIYFVQYKMYGQSQWEDKVDCWGTTALFCDLTNETLDPYELYYGRVMTACAGRHSAWTRTPRFTPWWETKLDPPVVTITRVNASLRVLLRPPELPNRNQSGKNASMETYYGLVYRVFTINNSLEKEQKAYEGTQRAVEIEGLIPHSSYCVVAEMYQPMFDRRSPRSKERCVHIP", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MASFKSFLLLALLAIVSEAAPPGHASHGEADSKCPLMVKVLDAVRGIPAANLLVNVFRQTESGKWEQITSGKTTELGEIHNLTTDEQFTEGVYKIEFATKAFWGKLGLSPFHEYVDVVFTANDAGHRHYTIAVLLTPYSFSSTAIVSEPHDDL", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MFLKNIFIALAIALLVDATPTTTKRSAGFVALDFSVVKTPKAFPVTNGQEGKTSKRQAVPVTLHNEQVTYAADITVGSNNQKLNVIVDTGSSDLWVPDVNVDCQVTYSDQTADFCKQKGTYDPSGSSASQDLNTPFKIGYGDGSSSQGTLYKDTVGFGGVSIKNQVLADVDSTSIDQGILGVGYKTNEAGGSYDNVPVTLKKQGVIAKNAYSLYLNSPDAATGQIIFGGVDNAKYSGSLIALPVTSDRELRISLGSVEVSGKTINTDNVDVLLDSGTTITYLQQDLADQIIKAFNGKLTQDSNGNSFYEVDCNLSGDVVFNFSKNAKISVPASEFAASLQGDDGQPYDKCQLLFDVNDANILGDNFLRSAYIVYDLDDNEISLAQVKYTSASSISALT", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "LTCLICPEKYCNKVHTCRNGEKICFKKFTQRKLLGKRYIRGCAATCPEAKPREIVECCSTDKCNH", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAFHSLLLLCLAGLAFVSETAAVHHESEHSKCPLMVKVLDAVRGRPAVNVDVKVFKKTEEQTWELFAAGKTNDNGEIHELTTDDKFGEGLYKVEFDTISYWKALGVSPFHEYADVVFTANDAGHRHYTIAAQLSPYSFSTTAIVSNPTE", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKFSQSLIALAACFLPLIAAAPVEAQHAKIRSPRAQDIIPDSYIVVFNKGVNDADIESEFSSVSRILSKRRSAHKGVGHKYNITGFKGYQIETDTGSIGEIAASPLVAWIEMDGKVQANALETRSGATWGLGRISHKATGSNSYIYDGSAGSGSTVYVLDTGIYIEHSEFEGRAKWGANYISGSPDTDENGHGTHCAGTIAGATYGVASKANLVAVKVLDRDGFGATSATIAGINFVGQNGKDGKSVISMSLRGHYSAAVNSAVESTVSNGVTIVVAAGNDGDDASNYSPASAKNAITVGSVDSTDTRASSSNYGSVVDIFAPGVNVKSASIGGKSAFSIKSGTSMATPHVAGLAAYLIGLGGLSSPAAIASKIASIGTKGSVKDPKGSVNLIAYNGNGA", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKLTCVLVVLLLLLPYGDLITNNYIRGAARKVTPWRRNLKTRDVCDSLVGGHCIHNGCWCDQEAPHGNCCDTDGCTAAWWCPGTKWD", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKLTCVLVVLLLLLPYGDLITNNYIRGAARKVTPWRRNLKTRDVCDSLVGGHCIHNGCWCDQDAPHGNCCDTDGCTAAWWCPGTKWD", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPSLTALASLLALVPSALAGWNVNSKQNIAVYWGQNSANSQSTQQRLSFYCNDANINVIDIAFLNGITPPMTNFANAGDRCTPFSDNPWLLQCPEIEADIKTCQANGKTILLSLGGDSYTQGGWSSTGAAQSAADQVWAMFGPVQSGSSVHRPFGSAVVDGFDFDFEATTNNLAAFGAQLKSRTNAAGGKKYYFSAAPQCFFPDAAVGALINAVPMDWIQIQFYNNPCGVSGFTPGTSTQNNYNYQTWENWAKTSPNPNVKLLVGIPAGPGAGRGYVSGSQLTSVFQYSKGFSTFAGAMMWDMSQLYQNTGFETQVVNALR", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKQFSAKYALILLATAGQALAASTQGISEDLYNRLVEMATISQAAYADLCNIPSTIIKGEKIYNAQTDINGWILRDDTSKEIITVFRGTGSDTNLQLDTNYTLTPFDTLPQCNDCEVHGGYYIGWISVQDQVESLVKQQASQYPDYALTVTGHSLGASMAALTAAQLSATYDNVRLYTFGEPRSGNQAFASYMNDAFQVSSPETTQYFRVTHSNDGIPNLPPADEGYAHGGVEYWSVDPYSAQNTFVCTGDEVQCCEAQGGQGVNDAHTTYFGMTSGACTW", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKWLVLLGLVAFSECIFKIPLRRVKTMRKTLSGKNMLNDVLKEHPYRLPQISFRGSNLIIHPLRNIRDTFYVGNITIGTPPQEFQVLFDTGSSVLWVPSVLCNSSTCSIHVRFRHLQSSTFRTTNKTFWITYGAGTMKGVVAHDTVRIGDLVSIDQPFGLSMAEYGFHGRRFDGVLGLNYPRQSCCRPTPIFDKLKNQGAISEPVFAFYLSKDEQEGSVVMFGGVDHRYYKGELNWVPLVKADDWTIQVDRISMRREVIACSDGCDALLDTGASFIHGPGRLIDDIQKLIGSEPRDLKHYISCSAVNTLPSIIFTINGINYPVPAQAYILKGSTGHCYTAFRAKRVRTSTESWVLGDVFLRLYFSVFDRGNDRIGLAPAM", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "QAIGPPYGLCFQCNQKTSSDCTEARRCSPFHEKCYTLYQPDENWMKSSGLSHFGCGKQCPTAGPEGRVTCCLTPRCN", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MFTSKKPLLLLFFLGTINLSLCEQERDADEEERRDDPDERDVEVEKRFLPLIASLAANFVPKIFCKITKKC", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSAIPLNRILPLGFLLIFSFISLSSCMEFVEDPNNQGGLNLQQRLGNQWAVGHLMGKKSLQDTDFEEMESFAKRNVENMKAESERELRHAQLVVRNILEQYLKNMQN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKGPITFLLQLGAVYTSIASACKLSDLPILSAHGSYGSNQCIAFGGEQAVIDRLIDPQACDIPKLIEATADQLQDGLTKGCFTSVDLVKVRITLQTPYRQGNVLIIVVVQTYVARIAEVNSTVRAVTEINPDALTIAKQMDNERKMGKLRGPLHGLPIVIKNNIFTDDKMSSTAGSYAIFGARTSADATVATKLREAGLVIMGKSGASQWANFRSLNSTNGWSAYGGQVTAAYIKNQDPSGSSSGSGVASDLGLAFATLGTETSGSIVSPADKSNIVGLKPTVGLTSRRFVVPISERQDTVGPMARSVKDAAYLLQVIAGKDSNDNYTSAIPFDTIPDYVKACDINALKGKRIGVPRNVIKIFGSPQTVVDQFNQALAVMKKAGAIIVENTDFTSFAEFAQSPIPDDILYADSLTNLPAFFKQLKVNPHNITDLESLRRFTQHHRLEEYPSRDTARWDIALQKGIKNTDPKFWPMYQKNVKFGNEGGILGALRRHKLDAAVLPTDLSPYIPALIGSPIITVPMGVYPNGTKVNHDRELVTSGPGIPIGIGFMGDLWSEEKLIGLAYAFEQKTHARPKLKRFIQPKKEVKGIL", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKLQIILLISAFILLLSISHTEAFLGFLKNLFGKRALRDLNKYDYMSDPSFSAEDIKALRELFR", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MQRNLVVLLFLGMVALSSCGLREKHVQKLVKYAVPVGTLRTILQTVVHKVGKTQFGCPAYQGYCDDHCQDIKKEEGFCHGFKCKCGIPMGF", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "GIFSKLAGKKLKNLLISGLKNVGKEVGMDVVRTGIDIAGCKIKGEC", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFHGSGLFALLLMVLEWTRPGLSSPLRPICDLRVLDHFIKEAWDAEAAMRTCKDDCSIATNVTVPLTRVDFEVWEAMNIEEQAQEVQSGLHMLNEAIGSLQISNQTEVLQSHIDASIRNIASIRQVLRSLSIPEYVPPTSSGEDKETQKISSISELFQVHVNFLRGKARLLLANAPVCRQGVS", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLSLRVTCLILSVASTVWTTDTEDKGEFLSEGGGVRGPRVVERHQSQCKDSDWPFCSDDDWNHKCPSGCRMKGLIDEANQDFTNRINKLKNSLFDFQRNNKDSNSLTRNIMEYLRGDFANANNFDNTYGQVSEDLRRRIEILRRKVIEKAQQIQALQSNVRAQLIDMKRLEVDIDIKIRSCKGSCSRAVNREINLQDYEGHQKQLQQVIAKELLPTKDRQYLPALKMSPVPDLVPGSFKSQLQEAPPEWKALTEMRQMRMELERPGKDGGSRGDSPGDSRGDSRGDFATRGPGSKAENPTNPGPGGSGYWRPGNSGSGSDGNRNPGTTGSDGTGDWGTGSPRPGSDSGNFRPANPNWGVFSEFGDSSSPATRKEYHTGKAVTSKGDKELLIGKEKVTSSGTSTTHRSCSKTITKTVTGPDGRREVVKEVITSDDGSDCGDATELDISHSFSGSLDELSERHPDLSGFFDNHFGLISPNFKEFGSKTHSDSDILTNIEDPSSHVPEFSSSSKTSTVKKQVTKTYKMADEAGSEAHREGETRNTKRGRARARPTRDCDDVLQTQTSGAQNGIFSIKPPGSSKVFSVYCDQETSLGGWLLIQQRMDGSLNFNRTWQDYKRGFGSLNDKGEGEFWLGNDYLHLLTLRGSVLRVELEDWAGKEAYAEYHFRVGSEAEGYALQVSSYRGTAGDALVQGSVEEGTEYTSHSNMQFSTFDRDADQWEENCAEVYGGGWWYNSCQAANLNGIYYPGGTYDPRNNSPYEIENGVVWVPFRGADYSLRAVRMKIRPLVGQ", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MFPFMLFSTLFSSIFTEPREKMWICNSSDASLWYNYCDDMKFPISVKVEPCVTIKGTKGKLHLYYIARRDIQKLYLNLHISIKSMTLPMRKEVICREYGGDYSFCGALKGETVNTTIPFSFQGIRFSPGQYHCVVEAISGNSEEMLFCLNFTIIHYSSLN", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAAATRGCRPWGSLLGLLGLVSAAAAAWDLASLRCTLGAFCECDFRPDLPGLECDLAQHLAGQHLAKALVVKALKAFVRDPAPTKPLVLSLHGWTGTGKSYVSSLLAHYLFQGGLRSPRVHHFSPVLHFPHPSHIERYKKDLKSWVQGNLTACGRSLFLFDEMDKMPPGLMEVLRPFLGSSWVVYGTNYRKAIFIFIRWLLKLGHHGRAPPRRSGALPPAPAAPRPALRAQRAGPAGPGAKG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAKYDFVMLWILTLTAAIAAARPMVVDKGRQITYTGLDRNGIEVFLGIPFGHDTGGKNRFKPPVAVVPPRGSHINATVYGPICPQELRAGSRGKLVISENCLNLNIGRPKNMTSHDKLAVMVTIYGGGYWVGHNQDPRWHADNMVKESVANGRPIIHVAMNYRLGVFGFAQTTALRTERSENAALRDQRLALEWVRDNIAAFGGDPKRVTIFGQSSGGVSVGMQMLAYGGKQPVPYQQGICQSQVLEPGITGNFTSTAMELVTDKANCTSGDFNSEAALACLRELDTETLLAAAIATYQNGVDHNIGDIWLPSVDGDFLPDAPSVLVAQRRFAPVTSMMGWCEDDVTRFVYPNITTSKGVADFIASYAPNVSRKNIDTLLKLYPTDEFPENKTAGLSRDFYRTARIFRDIVMTCEPFLVGEHAAAEGADAYFFSWNQTIAPSALGVLHGADLPYVYANLSAYIPPGSPIRPTASDYELSHRASRSWSTFGSTKEPSLPGHNTFKGFRKSFSKHNEILVFVAGGPNEGLSNIDDHGPHSVIGGQKLRERCAFINSPEMIHELRF", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MPKLAVVLLVLLILPLSYFDAAGGQAVQGDRRGNGLARYLQRGDREVQECQVDTPGSSWGKCCMTRMCGTMCCSRSVCTCVYHWRRGHGCSCPG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MPKLAVVLLVLLILPLSYFDAAGGQVVQGDRRGNGLARYLQRGDRDVRECQVNTPGSSWGKCCMTRMCGTMCCARSGCTCVYHWRRGHGCSCPG", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MTPSISWGLLLLAGLCCLVPSFLAEDVQETDTSQKDQSPASHEIATNLGDFAISLYRELVHQSNTSNIFFSPVSIATAFAMLSLGSKGDTHTQILEGLQFNLTQTSEADIHKSFQHLLQTLNRPDSELQLSTGNGLFVNNDLKLVEKFLEEAKNHYQAEVFSVNFAESEEAKKVINDFVEKGTQGKIAEAVKKLDQDTVFALANYILFKGKWKKPFDPENTEEAEFHVDESTTVKVPMMTLSGMLHVHHCSTLSSWVLLMDYAGNATAVFLLPDDGKMQHLEQTLSKELISKFLLNRRRRLAQIHFPRLSISGEYNLKTLMSPLGITRIFNNGADLSGITEENAPLKLSQAVHKAVLTIDETGTEAAAVTVLQMVPMSMPPILRFDHPFLFIIFEEHTQSPIFLGKVVDPTHK", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFAIAFAVVLCLLVSVDAKFPEDPKPCKYGDGECIMKLCNTLFSENSAEGDPGLNLMQLDPLKVDRMVISQGESSSPVGITLTFTDNLLYGIKDQRIVKVKGFGRDLTAKHEVKIVTKTFSLVGPYNIQGKVLILPISGTGQSNMTMVNVRAIVSFSGKPLVKNGETYLDVTDLKITMKPESSHYHFSNLFNGDKALGDNMNVFLNENSEAIYKETAKAIDRSFGKLYLGVVKGVFSKLPYAKFFADES", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGRMWASEVLLLLLLGSSRAVTPGLDVSTAPGLDGSIPPGLDGSVSPGLDGSVSPGLDGSASPGLDGSVSPGLDGSASPGLDGSTPAGRDGTITPKLEGTITPKQDGSISPSWPWRWPITYLDAILAAVRLLNQKISGPCILRLREAQPRPGWVGTLQRRREVSFLVEDGPCPPGVDCRSCEPGALQHCVGTVSIEQQPTAELRCRPLRPQPIRNWWIRIWEWLNGIRKRLRQRSPFYVRGHLNVTSTPQP", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MMFIPATLGTFVLASLLPATVGAGIPNAAADVAVRALPNAPDGYAPAEVDCPSTKPAVRSAAKLSQQEQDWLKKRRMKTTGAMADFFSRVKIEGFDAVAYLVGNADNVAKLPNVAIAVSGGGYRALMNGAGALKAFDSRTDNSTEPGQLGGLLQSATYLSGLSGGGWLLGSMYVNNDSTITELQKGGSNSLWKFNRSILEGPDDGSSGVVDTAEYYKEMIKEISRKKAAGFETSITDIWGRALSYQLINAPKGGPAYTWSSISQNSKFQSGDVPFPLLVADGRNPGEKLIGGNATIFEFNPYEFGTWDPTIFGFVPTQYIGSKFEAGTLPSDEKCVRGMDNAGFIMGTSSSLFNQFALHLDSQDLPKVVKDSLRDFLSSLDEANNDIAEYKPNPFFGYAKSTSPFAGVKSLPVVDGGEDKQNIPFHPLIQPARHVDVIFAIDSSADTELAWPNGDSIIATYQRSLNSTGIANGTSFPAIPDNNTFINLGLNHNPTFFGCDSSNTTNPTPLIVYIPNSPYVTHSNVSTFNLKYNTTQRDAIILNGYNVATMANATRDGNWPTCVGCAMLSRSLERTKTAVPDACKQCFKMYCWDGTLNSTKPDVYDPKLFLTEVDLQSAAKGLHASGKLSLVAAVVTLLSILLV", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "GIFPKIIGKGIKTGIVNGIKSLVKGVGMKVFKAGLSNIGNTGCNEDEC", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "LICHNRPLPFLHKTCPEGQNICYKMTLKKTPMKLSVKRGCAATCPSERPLVQVECCKTDKCNW", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVSTMLSGLVLWLTFGWTPALAYSPRTPDRVSETDIQRLLHGVMEQLGIARPRVEYPAHQAMNLVGPQSIEGGAHEGLQHLGPFGNIPNIVAELTGDNTPKDFSEDQGYPDPPNPCPIGKTDDGCLENTPDTAEFSREFQLHQHLFDPEHDYPGLGKWNKKLLYEKMKGGQRRKRRSVNPYLQGQRLDNVVAKKSVPHFSDEDKDPE", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSWLLSTMCLVHVCGNIFCLFETTTNPEAYMKVSKIVNHWGYTSEEYEAVTEDGYILPLNRIPHGKNNINSTAPKKVVLCQHGLFSTAGVWVSNPPSNSLAFILADAGFDVWMGNSRGSTWAKKHLYLDPNSKEFWAFSFDEMIKYDLPATINFILKKTGQKQIYYIGHSQGALIALGAFSTNQKLAEKIKLCFLLAPIATLKHVEGIVSLLPYFYPTAFKVVFSEKEFLSAVAFSKLHGYSCNAKVINDGCVAIFLSMTGYVPQHLNKSRVDVYIRHSLAGTSVQTLLHYRQAIKKGVFEAYDWGSQSLNMLHYNQTTPPLYNVEDMKIPTAMWSGGKDSLADTKDVAHLVPKISNLIYHKITADFSHLDFTVGKNAYYVSNDILKLLDKSETENLH", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "LKCHNKVVPFLSKTCPEGKNLCYKMTLKKVPKIPIKRGCTDACPKSSLLVNVMCCKTDKCN", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "LKCHNKLVPFLSKTCPDGKNLCYKMSMEVTPMIPIKRGCTDTCPKSSLLVKVVCCKTDKCN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLILWTIPLFLLGAAQGKEVCYDNLGCFSDAEPWAGTAIRPLKLLPWSPEKINTRFLLYTNENPTAFQTLQLSDPSTIEASNFQVARKTRFIIHGFIDKGEENWVVDMCKNMFQVEEVNCICVDWKRGSQTTYTQAANNVRVVGAQVAQMIDILVRNFNYSASKVHLIGHSLGAHVAGEAGSRTPGLGRITGLDPVEANFEGTPEEVRLDPSDADFVDVIHTDAAPLIPFLGFGTNQMVGHFDFFPNGGQYMPGCKKNALSQIVDIDGIWSGTRDFVACNHLRSYKYYLESILNPDGFAAYPCASYRDFESNKCFPCPDQGCPQMGHYADKFANNTSVEPQKFFLNTGEAKNFARWRYRVSLTFSGRTVTGQVKVSLFGSNGNTRQCDIFRGIIKPGATHSNEFDAKLDVGTIEKVKFLWNNHVVNPSFPKVGAAKITVQKGEERTEHNFCSEETVREDILLTLLPCKTSDTM", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKTLLLTLLVVTIVCLDLGYTLECHNQQSSQTPTTTGCSGGETNCYKKRWRDHRGYRTERGCGCPSVKNGIEINCCTTDRCNN", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTLTCVTTKSIGGVTTEDCPAGQNVCFKRWHYVTPKNYDIIKGCAATCPKVDNNDPIRCCGTDKCND", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "LTCKTCPFNTCANSETCPAGKNICYQKKWEEHRGERIERRCVANCPKLGSNDKSLLCCRRDDCN", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAVARHGCPPWGSILGLLVLALAAAAAWDVSFLRCSLGSFCECDFWPDLPGLECDLARHLAGQHLAKALVVKSLKAFVQDPAPSKPLVLSLHGWTGTGKSYVSSLLAQYLFRGGLRSPHVHHFSPIIHFPHPSHTEQYKNELKSWVQGNLTACGRSLFLFDEMDKLPPGLMEVLKPFLGPSWVVYGTNYRKAIFIFIRWLLAVWHHGGAAAGCCGPLPPPPAASCAPLCAQ", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKIRNACAVLIEVLLFILEGVTGARKISTFSGPGSWPCNPKCDGRTYNPSEECCVHDTILPFKRINLCGPSCTYRPCFELCCPESYSPKKKFIVKLKVHGERSHCSSSPISRNCKSNKIFHGEDIEDNQLSLRKKSGDQP", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKFLKAKGSLLSSGIYLIALAPFVNAKCALPSTYSWTSTDALATPKSGWTALKDFTDVVSNGKHIVYASTTDTQGNYGSMGFGAFSDWSDMASASQTATSFSAVAPTLFYFQPKSIWVLAYQWGSSTFTYRTSQDPTNVNGWSSEQALFTGKISGSSTGAIDQTVIGDDTNMYLFFAGDNGKIYRSSMSINDFPGSFGSQYEEILSGATNDLFEAVQVYTVDGGEGDSKYLMIVEAIGSTGHRYFRSFTASSLGGEWTAQAASEDQPFAGKANSGATWTDDISHGDLVRNNPDQTMTVDPCNLQLLYQGHDPNSNSDYNLLPWKPGVLTLKQ", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGRYSVSPVPKCLLLMFLGWSGLKYYQVNAAQLREYRLAAQLEDWDYNPQPEELSRLSESDLTFKKIVYREYELDFKQEKPRDELSGLLGPTLRGEVGDSLIIYFKNFATQPVSIHPQSAVYNKWSEGSSYSDGTSDVERLDDAVPPGQSFKYVWNITAEIGPKKADPPCLTYAYYSHVNMVRDFNSGLIGALLICKEGSLNADGAQKFFNREYVLMFSVFDESKNWYRKPSLQYTINGFANGTLPDVQACAYDHISWHLIGMSSSPEIFSVHFNGQTLEQNHYKVSTINLVGGASVTANMSVSRTGKWLISSLVAKHLQAGMYGYLNIKDCGNPDTLTRKLSFRERRRIMKWEYFIAAEEITWDYAPEIPSSVDRRYKAQYLDNFSNFIGKKYKKAVFRQYEDSNFTKPTYAIWPKERGILGPVIKAKVRDTVTIVFKNLASRPYSIYVHGVSVSKDAEGAVYPSDPKENITHGKAVEPGQVYTYKWTVLDTDEPTVKDSECITKLYHSAVDMTRDIASGLIGPPLVCKRKALSIRGVQNKADVEQHAVFAVFDENKSWYLEDNIKKYCSNPSSVKKDDPKFYKSNVMYTLNGYASDRTEVWGFHQSEVVEWHLTSVGTVDEIVPVHLSGHTFLSKGKHQDILNLFPMSGESATVTMDNLGTWLLSSWGSCEMSNGMRLRFLDANYDDEDEGNEEEEEDDGDIFADIFNPPEVVIKKEEVPVNFVPDPESDALAKELGLFDDEDNPKQSRSEQTEDDEEQLMIASMLGLRSFKGSVAEEELKHTALALEEDAHASDPRIDSNSAHNSDDIAGRYLRTINRRNKRRYYIAAEEVLWDYSPIGKSQVRSLPAKTTFKKAIFRSYLDDTFQTPSTGGEYEKHLGILGPIIRAEVDDVIEVQFRNLASRPYSLHAHGLLYEKSSEGRSYDDNSPELFKKDDAIMPNGTYTYVWQVPPRSGPTDNTEKCKSWAYYSGVNPEKDIHSGLIGPILICQKGMIDKYNRTIDIREFVLFFMVFDEEKSWYFPKSDKSTCEEKLIGVQSRHTFPAINGIPYQLQGLMMYKDENVHWHLLNMGGPKDVHVVNFHGQTFTEEGREDNQLGVLPLLPGTFASIKMKPSKIGTWLLETEVGENQERGMQALFTVIDKDCKLPMGLASGIIQDSQISASGHVGYWEPKLARLNNTGMFNAWSIIKKEHEHPWIQIDLQRQVVITGIQTQGTVQLLKHSYTVEYFVTYSKDGQNWITFKGRHSKTQMHFEGNSDGTTVKENHIDPPIIARYIRLHPTKFYNTPTFRIELLGCEVEGCSVPLGMESGAIKDSEITASSYKKTWWSSWEPFLARLNLKGRTNAWQPKVNNKDQWLQIDLQHLTKITSIITQGATSMTTSMYVKTFSIHYTDDNSTWKPYLDVRTSMEKVFTGNINSDGHVKHFFNPPILSRFIRIIPKTWNQYIALRIELFGCEVF", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MRAWIFFLLCLAGRALAAPQTEAAEEMVAEETVVEETGLPVGANPVQVEMGEFEEGAEETVEEVVAENPCQNHHCKHGKVCELDESNTPMCVCQDPTSCPAPIGEFEKVCSNDNKTFDSSCHFFATKCTLEGTKKGHKLHLDYIGPCKYIAPCLDSELTEFPLRMRDWLKNVLVTLYERDEGNNLLTEKQKLRVKKIHENEKRLEAGDHPVELLARDFEKNYNMYIFPVHWQFGQLDQHPIDGYLSHTELAPLRAPLIPMEHCTTRFFETCDLDNDKYIALEEWAGCFGIKEQDINKDLVI", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAPQLLLCLILTFLWSLPEAESNVFLKSKVANRFLQRTKRANSLYEEFRSGNIERECIEERCSKEEAREVFEDDEKTETFWNVYVDGDQCSSNPCHYRGTCKDGIGSYTCTCLSGYEGKNCERVLYKSCRVDNGNCWHFCKPVQNDIQCSCAEGYLLGEDGHSCVAGGNFSCGRNIKTRNKREASLPDFVQSQNAILLKKSDNPSPDIRIVNGMDCKLGECPWQAVLVDEKEDAFCGGTILSPIYVLTAAHCINQTKMISVVVGEINISRKNPGRLLSVDKIYVHQKFVPPKKGYEFYEKFDLVSYDYDIAILQMKTPIQFSENVVPACLPTADFANQVLMKQDFGIVSGFGRIFEKGPQSKTLKVLKVPYVDRHTCMLSSESPITPTMFCAGYDTLPRDACQGDSGGPHITAYRDTHFITGIVSWGEGCAQTGKYGVYTKVSKFILWIKRIMRQKLPSTESSTGRL", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MFSGLTLNCVLLLLQLLLARSLENAYVFEVGKNAYLPCSYTLSTPGALVPMCWGKGFCPWSQCTNELLRTDERNVTYQKSSRYQLKGDLNKGDVSLIIKNVTLDDHGTYCCRIQFPGLMNDKKLELKLDIKAGYSCKKKKLSSLSLITLANLPPGGLANAGAVRIRSEENIYTIEENVYEVENSNEYYCYVNSQQPS", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MAPQLLLCLILTFLWSLPEAESNVFLKSKVANRFLQRTKRSNSLFEEIRPGNIERECIEEKCSKEEAREVFEDNEKTETFWNVYVDGDQCSSNPCHYRGTCKDGIGSYTCTCLPNYEGKNCEKVLFKSCRAFNGNCWHFCKRVQSETQCSCAESYLLGVDGHSCVAEGDFSCGRNIKARNKREASLPDFVQSQKATVLKKSDNPSPDIRIVNGMDCKLGECPWQAVLINEKGEVFCGGTILSPIHVLTAAHCINQTKSVSVIVGEIDISRKETRRLLSVDKIYVHKKFVPPNSYYQNIDRFAYDYDIAIIRMKTPIQFSENVVPACLPTADFAKEVLMKQDSGIVSGFGRTQSIGYTSNILKVITVPYVDRHTCMLSSNFRITQNMFCAGYDTLPQDACQGDSGGPHITAYGDTHFVTGIISWGEGCARKGKYGVYTKVSNFIPWIKKIMSLK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVLLSFSLRFIAFTLTITLTQIADGFQSRMLMNNGLALSPQMGWNSWNHFQCNINETLIKQTADAMVSSGLSAIGYKYINIDDCWGELKRDSQGSLVAKASTFPSGIKALSDYVHSKGLKLGIYSDAGTLTCSQTMPGSLGHEEQDAKTFASWGIDYLKYDNCENTGTSPRERYPKMSKALLNSGRSIFFSLCEWGQEDPATWAGDIGNSWRTTGDIQDNWKSMTLIADQNDRWASYARPGSWNDPDMLEVGNGGMTKEEYMSHFSIWALAKAPLLIGCDLRSMDKVTFELLSNKEVIAVNQDKLGIQGKKVKKEGDLEVWAGPLSKKRVAVILWNRGSASANITARWAEIGLNSSDIVNARDLWEHSTYSCVKKQLSALVEPHACKMYTLTRRKA", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MVLIRVLANLLLLQLSYAQESSELVIGGDECDINEHPFLVALHTARSKRFHCAGTLLNKEWVLTAARCDRKNIRIKFGVHNKNVQNEDEEMRVPKEKHFCVSSKTYTRWDKDIMLIRLKRPVNDGTHIAPLSLPSNPPSVGSVCRIMGWGSITTTKVTYPDVPHCANIKLFDYSVCRDAYKGLPEKSRTLCAGILEGGIDSCKVDNGGPLICNGQFQGIGSWEGHPCAQPLKPALYTNVFEYTDWIEGIIARNTTVTCPP", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKWLVLLGLVAFSECIVKIPLRRVKTMRNTLSGKKMLNSFLKEHAYRLSQISFRASNLTIHPLRNIMDMLYVGNITIGTPPQEFQVVFDTGSSDLLVPSINCLSPTKRPCSKQDKFKHHQSSTFRFTNDTFRIYFGSGTMRGFVAHDTVRIGDLVSTDQPFGLIFLESWLDIPFDGILGLNYPKISFSGAIPIFDKLKNEGAFSEPVFAFYLNKDKQEGSVVMFGGVDHRYYKGELNWVPLIHPGEWSIPLDRISMRRKVIACSGGCEALVGTGTSLILGPRTVVENIQKHIGATQQCFEYFVSCSAVYALPSIVFTINGINYPVPPQAYLVKDSRGQCYSPFQVNRANPSAENWILGDVFLRRYFSVFDRGNDRIGLARAV", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MVSFSSLLLACSAVTAFAAPSDQSIAERSLSERSTPSSTGTSGGYYYSFWTDGGGDVTYTNGDGGSYTVEWTNVGNFVGGKGWNPGSSQTISYSGSFNPSGNGYLSVYGWTQNPLIEYYIVESYGDYNPGTAGTHQGTLESDGSTYDIYTATRENAPSIEGTATFTQFWSVRQSKRTSGSVTTQNHFDAWSQLGMTLGTHNYQIVAVEGYQSSGSASITVS", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKVFVVLLCLSLAAVYALEERLDKDADIMLDSPADMERAKDGDVEGPAGCKKYDVECDSGECCQKQYLWYKWRPLDCRCLKSGFFSSKCVCRDV", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSKLFFVVFLCLIISVFAISPADIGCTDISQADFDEKNNNCIKCGEDGFGEEMVNRCRDKCFTDNFYQSCVDLLNKVYEEKDTPPVQE", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MFARMSDLHVLLLMALVGKTACGFSLMSLLESLDPDWTPDQYDYSYEDYNQEENTSSTLTHAENPDWYYTEDQADPCQPNPCEHGGDCLVHGSTFTCSCLAPFSGNKCQKVQNTCKDNPCGRGQCLITQSPPYYRCVCKHPYTGPSCSQVVPVCRPNPCQNGATCSRHKRRSKFTCACPDQFKGKFCEIGSDDCYVGDGYSYRGKMNRTVNQHACLYWNSHLLLQENYNMFMEDAETHGIGEHNFCRNPDADEKPWCFIKVTNDKVKWEYCDVSACSAQDVAYPEESPTEPSTKLPGFDSCGKTEIAERKIKRIYGGFKSTAGKHPWQASLQSSLPLTISMPQGHFCGGALIHPCWVLTAAHCTDIKTRHLKVVLGDQDLKKEEFHEQSFRVEKIFKYSHYNERDEIPHNDIALLKLKPVDGHCALESKYVKTVCLPDGSFPSGSECHISGWGVTETGKGSRQLLDAKVKLIANTLCNSRQLYDHMIDDSMICAGNLQKPGQDTCQGDSGGPLTCEKDGTYYVYGIVSWGLECGKRPGVYTQVTKFLNWIKATIKSESGF", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "RICYNHQSTTPATTKSCGENSCYKKTWSDHRGTIIERGCGCPKVKRGVHLHCCQSDKCNN", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKLTFFLGLLALISCFTPSESQRFSRRPYLPGQLPPPPLYRPRWVPPSPPPPYDSRLNSPLSLPFVPGRVPPSSFSRFSQAVILSQLFPLESIRQPRLFPGYPNLHFPLRPYYVGPIRILKPPFPPIPFFLAIYLPISNPEPQINITTADTTITTNPPTTATATTSTSTKPTMTISSSTVPISSTPEPATSISAATPAASTENTTQILANRPHTVLLNATVQVTTSNQTILSSPAFKSFWQKLFAIFG", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKNNTTQSLLLLLLFFFFFFEISHSLSISSNAPLSDTEVRFIQRRQLLYYRDEFGDRGENVTVDPSLIFENPRLRSAYIALQAWKQAILSDPNNITVNWIGSNVCNYTGVFCSKALDNRKIRTVAGIDLNHADIAGYLPEELGLLTDLALFHVNSNRFCGTVPHKFKQLKLLFELDLSNNRFAGKFPTVVLHLPSLKFLDLRFNEFEGTVPKELFSKNLDAIFINHNRFRFELPENFGDSPVSVIVLANNHFHGCIPTSLVEMKNLNEIIFMNNGLNSCLPADIGRLKNVTVFDVSFNELVGPLPESVGGMVEVEQLNVAHNLLSGKIPASICQLPKLENFTYSYNFFTGEAPVCLRLSEFDDRRNCLPGRPAQRSSRQCSAFLSRPSVDCGSFGCGRSVVKPSPPIVALPPPPPPSPPLPPPVYSPPPSPPVFSPPPSPPVYSPPPPPSIHYSSPPPPPVHHSSPPPPSPEFEGPLPPVIGVSYASPPPPPFY", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MAFLKKSLFLVLFLGLVSLSICEEEKRETEEEENDQEEDDKSEEKRFLGLLPSIVSGAVSLVKKLG", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAFLKKSLFLVLFLGLVSLSICEEEKRETEEEENDQEEDDKSEEKRFLSLLPSLVSGAVSLVKKLG", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MAPACQILRWALALGLGLMFEVTHAFRSQDEFLSSLESYEIAFPTRVDHNGALLAFSPPPPRRQRRGTGATAESRLFYKVASPSTHFLLNLTRSSRLLAGHVSVEYWTREGLAWQRAARPHCLYAGHLQGQASTSHVAISTCGGLHGLIVADEEEYLIEPLHGGPKGSRSPEESGPHVVYKRSSLRHPHLDTACGVRDEKPWKGRPWWLRTLKPPPARPLGNETERGQPGLKRSVSRERYVETLVVADKMMVAYHGRRDVEQYVLAIMNIVAKLFQDSSLGSTVNILVTRLILLTEDQPTLEITHHAGKSLDSFCKWQKSIVNHSGHGNAIPENGVANHDTAVLITRYDICIYKNKPCGTLGLAPVGGMCERERSCSVNEDIGLATAFTIAHEIGHTFGMNHDGVGNSCGARGQDPAKLMAAHITMKTNPFVWSSCSRDYITSFLDSGLGLCLNNRPPRQDFVYPTVAPGQAYDADEQCRFQHGVKSRQCKYGEVCSELWCLSKSNRCITNSIPAAEGTLCQTHTIDKGWCYKRVCVPFGSRPEGVDGAWGPWTPWGDCSRTCGGGVSSSSRHCDSPRPTIGGKYCLGERRRHRSCNTDDCPPGSQDFREVQCSEFDSIPFRGKFYKWKTYRGGGVKACSLTCLAEGFNFYTERAAAVVDGTPCRPDTVDICVSGECKHVGCDRVLGSDLREDKCRVCGGDGSACETIEGVFSPASPGAGYEDVVWIPKGSVHIFIQDLNLSLSHLALKGDQESLLLEGLPGTPQPHRLPLAGTTFQLRQGPDQVQSLEALGPINASLIVMVLARTELPALRYRFNAPIARDSLPPYSWHYAPWTKCSAQCAGGSQVQAVECRNQLDSSAVAPHYCSAHSKLPKRQRACNTEPCPPDWVVGNWSLCSRSCDAGVRSRSVVCQRRVSAAEEKALDDSACPQPRPPVLEACHGPTCPPEWAALDWSECTPSCGPGLRHRVVLCKSADHRATLPPAHCSPAAKPPATMRCNLRRCPPARWVAGEWGECSAQCGVGQRQRSVRCTSHTGQASHECTEALRPPTTQQCEAKCDSPTPGDGPEECKDVNKVAYCPLVLKFQFCSRAYFRQMCCKTCHGH", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "NKYCNIKCSKVAHTVCQTGESTKPSSKNCAKVSITSVGVTEEEKKLIVDEHNRFRQKVAQGLETRGNPGPQPAASDMNNLVWNDELAYIAQVWASQCQFFVHDKCRNTAQYQVGQNIAYSASTAAYPGVVKLIVLWENEVKDFNYNTGITKENFAKVGHYTQVVWAKTKEVGCGSIKYIEKGMKSHYLVCNYGPAGNVLGAQIYEIK", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MHFSSCVLVSALAIVTNVATASPPPLHQLSRPALKDSTIFRSTVHCADCPEGNCYKCTLGHNNTLIANTGGMAYLRALVGFQLPVPAKKVKQCTVQFPAFVKLMEAPINITVSEAKSNDWDEDTVTGENAPDSGEPFSTSHVPALTNPPALDATEACKNAAENGDFSIYVGAQFGRFEIWSKDSGNPAILHTYYK", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSWSLHPRNLILYFYALLFLSSTCVAYVATRDNCCILDERFGSYCPTTCGIADFLSTYQTKVDKDLQSLEDILHQVENKTSEVKQLIKAIQLTYNPDESSKPNMIDAATLKSRKMLEEIMKYEASILTHDSSIRYLQEIYNSNNQKIVNLKEKVAQLEAQCQEPCKDTVQIHDITGKDCQDIANKGAKQSGLYFIKPLKANQQFLVYCEIDGSGNGWTVFQKRLDGSVDFKKNWIQYKEGFGHLSPTGTTEFWLGNEKIHLISTQSAIPYALRVELEDWNGRTSTADYAMFKVGPEADKYRLTYAYFAGGDAGDAFDGFDFGDDPSDKFFTSHNGMQFSTWDNDNDKFEGNCAEQDGSGWWMNKCHAGHLNGVYYQGGTYSKASTPNGYDNGIIWATWKTRWYSMKKTTMKIIPFNRLTIGEGQQHHLGGAKQVRPEHPAETEYDSLYPEDDL", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRRNQLPIPVFLLLLLLLPRDATAATGKPRYVVLVPSELYAGVPEKVCVHLNHLNETVTLNVTLEYGVQYSNLLIDQAVDKDSSYCSSFTISRPLSPSALIAVEIKGPTHHFIKKKSMWITKAESPVFVQTDKPIYKPGQTVKFRVVSVDISFRPVNETFPVVYIENPKRNRIFQWQNVDLPGGLHQLSFPLSVEPALGIYKVVVQKDSGKKIEHSFEVKEYVLPKFEVQVKMPKTMAFLEEELVVTACGLYTYGKPVPGLVTMKVCRKYTQSYSNCHGQHSKSICEEFSKQADEKGCFRQVVKTKVFQPRQKGYDMKIEVEAKIKEDGTGIELTGTGSCEIANTLSKLKFTKANTFYRPGLPFFGQVLLVDEKGQPIPNKNLTVQVNSVRSQFTFTTDEHGLANILIDTTNFTFSFMGIRVIYKQNNICFDNWWVDEYHTQADHSAARIFSPSRSYIQLELVLGTLACGQTQEIRIHFLLNEDALKDAKDLTFYYLIKARGSIFNSGSHVLPLEQGKVKGVVSFPIRVEPGMAPVAKLIVYTILPNEELIADVQKFDIEKCFANTVNLSFPSAQSLPASDTHLTVKATPLSLCALTAVDQSVLLLKPEAKLSPQSIYNLLPQKAEQGAYLGPLPYKGGENCIKAEDITHNGIVYTPKQDLNDNDAYSVFQSIGLKIFTNTRVHKPRYCPMYQAYPPLPYVGEPQALAMSAIPGAGYRSSNIRTSSMMMMGASEVAQEVEVRETVRKYFPETWIWDMVPLDLSGDGELPVKVPDTITEWKASAFCLSGTTGLGLSSTISHKVFQPFFLELTLPYSVVRGEAFILKATVLNYMPHCIRIHVSLEMSPDFLAVPVGSHEDSHCICGNERKTVSWAVTPKSLGEVNFTATAEALQSPELCGNKVAEVPALVQKDTVVKPVIVEPEGIEKEQTYNTLLCPQDAELQENWTLDLPANVVEGSARATQSVLGDILGSAMQNLQNLLQMPYGCGEQNMVLFVPNIYVLEYLNETQQLTEAIKSKAISYLISGYQRQLNYQHSDGSYSTFGDRGMRHSQGNTWLTAFVLKAFAQAQSYIYIEKTHITNAFNWLSMKQRENGCFQQSGSLLNNAMKGGVDDEVTLSAYITIALLEMPLPVTHSVVRNALFCLETAWASISNSQESHVYTKALLAYAFALAGNRAKRSEVLESLNKDAVNEEESVHWQRPKNVEENVREMRSFSYKPRAPSAEVEMTAYVLLAYLTSASSRPTRDLSSSDLTTASKIVKWISKQQNSHGGFSSTQDTVVALQALSKYGAATFTKSNKEVSVTIESSGTVSGTLHVNNGNRLLLQEVRLADLPGNYITKVSGSGCVYLQTSLKYNILPEAEGEAPFTLKVNTLPLNFDKAEHHRKFQIHINVSYIGERPNSNMVIVDVKMVSGFIPVKPSVKKLQDQSNIQRTEVNTNHVLIYIEKLTNQTMGFSFAVEQDIPVKNLKPAPVKVYDYYETDEFAIEEYSAPFSSDSEQGNA", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRVTSLLWSSLVIPAAVGFQVRFKPSEDTALDTVDDGTLQSLLDNIGLNGSNAWDTRPGLVIASPSKKDPNYFFTWTRDSALVLKCITDAFAAGNTALQETIHEYISSQARIQLLNTRSGGLSSGGLGEPKYRVDETPYNEDWGRPQADGPALRATALIAYARWLLENDYYDVAKSIVWPVVKNDLSYVSEHWNTTAFDLWEEVNSPSFFTTIVQHRALVEGINIARALDETCPHCESQAPQALCYLQSYWTGTAVRSNYGQGRSGLDVASILGSIHTFDPEGECDDTTFQPCSARALANHKAVTDSFRSIYKINGGIKQGEAVAVGRYPEDVYFNGNPWYLATYAAAEQLYDAMYQWNKIGKITVTDVSMPFFKDIYPEVQTGTHESSSPEFGNIIAAVKAYAEGYIEVAKKYTPCTGMLSEQFSRDNGTPLSVADLTWSYASYLTVMARRNSVVPASWGEKNARDIPSTCVPSSATGPYQTATITHWPPNLTPTAQPSPCPTALPTKNNVRFRLLATTQVGEDVFLVGSIPELGSWDVKKAVPLNADIYADNCHQWYVDIELPTAVAFEYKFIRKRGGEVVWEQDPNRKYTVPQTCGVSGAIKRDTWR", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTMTHLNRLILISLLFVSLLLKSSTASSTVVDEGNRTSRNFRYRTHRFVPRFNHHPYHVTPHRSCDSFIRPYARSMCIELQRIHRSSRKQPLLSPPPPEIDPRYGVDKRLVPSGPNPLHN", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKTLALFLVLVCVLGLVQSWEWPWNRKPTKFPIPSPNPRDKWCRLNLGPAWGGRC", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKYSKLLLLLVSVVQALDVPRKPHAPTGEGSKRLTFNETVVKQAITPTSRSVQWLSGAEDGSYVYAAEDGSLTIENIVTNESRTLIPADKIPTGKEAFNYWIHPDLSSVLWASNHTKQYRHSFFADYYVQDVESLKSVPLMPDQEGDIQYAQWSPVGNTIAFVRENDLYVWDNGTVTRITDDGGPDMFHGVPDWIYEEEILGDRYALWFSPDGEYLAYLSFNETGVPTYTVQYYMDNQEIAPAYPWELKIRYPKVSQTNPTVTLSLLNIASKEVKQAPIDAFESTDLIIGEVAWLTDTHTTVAAKAFNRVQDQQKVVAVDTASNKATVISDRDGTDGWLDNLLSMKYIGPIKPSDKDAYYIDISDHSGWAHLYLFPVSGGEPIPLTKGDWEVTSILSIDQERQLVYYLSTQHHSTERHLYSVSYSTFAVTPLVDDTVAAYWSASFSANSGYYILTYGGPDVPYQELYTTNSTKPLRTITDNAKVLEQIKDYALPNITYFELPLPSGETLNVMQRLPPGFSPDKKYPILFTPYGGPGAQEVTKRWQALNFKAYVASDSELEYVTWTVDNRGTGFKGRKFRSAVTRQLGLLEAEDQIYAAQQAANIPWIDADHIGIWGWSFGGYLTSKVLEKDSGAFTLGVITAPVSDWRFYDSMYTERYMKTLSTNEEGYETSAVRKTDGFKNVEGGFLIQHGTGDDNVHFQNSAALVDLLMGDGVSPEKLHSQWFTDSDHGISYHGGGVFLYKQLARKLYQEKNRQTQVLMHQWTKKDLEE", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKRLGLAALYIGSALAWPEPHGPPSRNVPRDDFPMFNPLPSTDLNTRLIRCEYPSMKGWTYSNKKNGDWLKYVGSKPGEITEYNIDTDNDKYVPQGITRKYHLEVTDESVNMDGTMFDQAKVFNKQYPGPWIQITVTNKLKHNGTAIHWHGIRMMENMFNDGVPGVTQCPIPPGSSMTYRFKASQYGSSWYHSHYSLQYADGLFGPMTIHGPTSAGYDKAVDPLLMTDHLHSSAFEEYHKELEGKPPAMDSIILNGKGDYDQTGDLKKKYRTVLKPGKKYLLRLINTSVATTFVFSIDGHKFQVVGSDFVPIEPYVTDHIAVGIGQRYHVILEGLSEEEAKKNGRYWVRTTPAKGCSKFAPGRGTDDRTGVIYYNKDDGVSPTTEIGAFSLDCRDEPLEKLVPKVKWTVPDPGLNMVGAFEKPADVQLGKWHRPGYPDTDNLVSNWEFGPSPMWINYSEPIIKNLDKDSFPSTWVVYPADDYVNDKWVYLVITGKKLKPLSSQVAVAHPIHLHGHDFVLLQQSMEPWDSTEVNLKLDNPPRREVTLLPAGGFIVIAFKPDNPGSWLLHCHIAWHASAGLALQVLERKEDLKALTLNNPDFDFMQENCRKWDAWHSDKTNYWNPSGHFQDDSGV", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "FNPCPYSDDTVKMIVLTRENKKYDFYTLDTIKNHNEFKDTITLKPHVFITHGFTSSATAENFVVMAKALLDKGNYLVILTDWRMAACTNEIAGLKLAYYPYAASNTRLVGNYIATVTKMLVQKYNVPMANIRLIGHSLGAHISGFAGKKVQELGLGKYPEIIGLDPAGPSFKSNDCSQRICETDANYVQIIHTSNRLGTERTLGTVDFYMNNGYNQPGCGLPIIGETCSHTRAVKYFTECIKHECCLIGVPKSKNPQPVSKCTRNECVCVGLNAKTYPKTGSFYVPVESKAPYCNNKGKII", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "GSKCPFSDDTVAMVIVTRENRNRDFYTLQTLRNHDEFKKKAITRPVVFITHGFTSSATVESFVDLQTAILEXXXXKVTVSDWRVAACNRTTGLLYYVTAVSNTRLVGRYIATVTKKLVTDYNVSMADIRLIGHSLGAHVSGFAGKEVQKLKLEKYSEIIGLDPAGPSFESNDCAERLCKTDAHYVQIIHTSKKFGIEKSIGHVDFYVNQGNNQPGCGIIPLKDVCSHSRAITYMTECIKRECCLIGIPQSKSSKSISSCTRQECVCVGLKAKSYPNTGSFYVPVESTAPFCNNKGKII", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNSANPCCDPITCKPRRGEHCVSGPCCRNCKFLNPGTICKRTMLDGLNDYCTGVTSDCPRNPWKSEEED", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLRKLVTGALAAALLLSGQSNAQNACQQTQQLSGGRTINNKNETGNGNGNYKYEIWRDGNGGSLTLYPKDAAFKASWNNSGDFLGRVGLTFNKPAATNLGGDLIANYNYKKSGSDGGTYSYIGIYGWMDNPQIEYYVVDDWMHNRGAPGGSYMGSQKGTITVDGGTYKVWSGQRTGASKWGTSTFTQIFSIRTSPRQCGSINVSEHFRQWQKLGLRLGGLMEAQLLAESGGGSGYVDFTYATITIGGSSSNASAPSNNNNNNNNNNDNNGNWNNWNNNNNNNNNNNNNNNNNNNNQGGGNCAAIWGQCGGSGYNGPKCCKQGSCKQINQWYSQCQ", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "NNCPHDWLPMNGLCYKIFDELKAWEDAERFCRKYKPGCHLASFHTYGESLEIAEYISDYHKGQAEVWIGLWDKKKDFSWEWTDRSCTDYLTWDKNQPDVYQNKEFCVELVSLTGYRLWNDQVCESKNAFLCQCKF", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MSMLCYTLIIAFLIGIWAAPKSEDNVSLGSPATPDLSDTSCAKTHEALKTSRNTDQHYPAPKKAEDQEFGSAANIIVDPKLFQKRRFQSPRVLFSTQPPPLSRDEQSVEFLDNADSLNRNIRAKRATHPVHNRGEFSVCDSVSVWVANKTTATDIRGNVVTVMVDVKLNNNVYRQYFFETKCKNPSPVSSGCRGIDAKHWNSYCTTTDTFVRALTMEGNQASWRFIRIDTACVCVISRKNDNFG", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "YKQCHKKGGHCFPKEVLICIPPSSDFGKMDCRWKRKCCKKRS", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "YKRCHIKGGHCFPKEKLICIPPSSDIGKMDCPWKRKCCKKRS", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGRFIFGSFGLLVVFLSLSGTGADFDCPPGWSAYDRYCYQAFSEPKTWEDAESFCMEGVKDSHLVSVESSGEADFVAQLVNENIKTSFRYVWIGLRIQNKEQQCRSEWSDASSVSYENLIKKVSKKCYGLKKGTELRTWFNVYCAELNPFICKFPPEC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGRFISVSFGLLVVFLSLSGAGAGLCCPLDWSSYDLYCYKVFKQQMNWTDAEQFCTQQHTGSHLVSFHSTEEVDFVVQMSYKSLDTTFFWIGVNNIWNGCNWQWSDGTGLDYKEWREQFECLVAKTFDNQWWSMDCNSTYSFVCKFQA", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "DLECPSGWSSYDRYCYKPFKQEMTWADAERFCSEQAKGRHLLSVETALEASFVDNVLYANKEYLTRYIWIGLRVQNKGQPCSSIYSENLVDPFDCFMVSRDTRLREWFKVDCEQQHSFICKFTRPRR", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MASWTSPWWVLIGMVFMHSPLPQTTAEKSPGAYFLPEFALSPQGSFLEDTTGEQFLTYRYDDQTSRNTRSDEDKDGNWDAWGDWSDCSRTCGGGASYSLRRCLTGRNCEGQNIRYKTCSNHDCPPDAEDFRAQQCSAYNDVQYQGHYYEWLPRYNDPAAPCALKCHAQGQNLVVELAPKVLDGTRCNTDSLDMCISGICQAVGCDRQLGSNAKEDNCGVCAGDGSTCRLVRGQSKSHVSPEKREENVIAVPLGSRSVRITVKGPAHLFIESKTLQGSKGEHSFNSPGVFLVENTTVEFQRGSERQTFKIPGPLMADFIFKTRYTAAKDSVVQFFFYQPISHQWRQTDFFPCTVTCGGGYQLNSAECVDIRLKRVVPDHYCHYYPENVKPKPKLKECSMDPCPSSDGFKEIMPYDHFQPLPRWEHNPWTACSVSCGGGIQRRSFVCVEESMHGEILQVEEWKCMYAPKPKVMQTCNLFDCPKWIAMEWSQCTVTCGRGLRYRVVLCINHRGEHVGGCNPQLKLHIKEECVIPIPCYKPKEKSPVEAKLPWLKQAQELEETRIATEEPTFIPEPWSACSTTCGPGVQVREVKCRVLLTFTQTETELPEEECEGPKLPTERPCLLEACDESPASRELDIPLPEDSETTYDWEYAGFTPCTATCVGGHQEAIAVCLHIQTQQTVNDSLCDMVHRPPAMSQACNTEPCPPRWHVGSWGPCSATCGVGIQTRDVYCLHPGETPAPPEECRDEKPHALQACNQFDCPPGWHIEEWQQCSRTCGGGTQNRRVTCRQLLTDGSFLNLSDELCQGPKASSHKSCARTDCPPHLAVGDWSKCSVSCGVGIQRRKQVCQRLAAKGRRIPLSEMMCRDLPGLPLVRSCQMPECSKIKSEMKTKLGEQGPQILSVQRVYIQTREEKRINLTIGSRAYLLPNTSVIIKCPVRRFQKSLIQWEKDGRCLQNSKRLGITKSGSLKIHGLAAPDIGVYRCIAGSAQETVVLKLIGTDNRLIARPALREPMREYPGMDHSEANSLGVTWHKMRQMWNNKNDLYLDDDHISNQPFLRALLGHCSNSAGSTNSWELKNKQFEAAVKQGAYSMDTAQFDELIRNMSQLMETGEVSDDLASQLIYQLVAELAKAQPTHMQWRGIQEETPPAAQLRGETGSVSQSSHAKNSGKLTFKPKGPVLMRQSQPPSISFNKTINSRIGNTVYITKRTEVINILCDLITPSEATYTWTKDGTLLQPSVKIILDGTGKIQIQNPTRKEQGIYECSVANHLGSDVESSSVLYAEAPVILSVERNITKPEHNHLSVVVGGIVEAALGANVTIRCPVKGVPQPNITWLKRGGSLSGNVSLLFNGSLLLQNVSLENEGTYVCIATNALGKAVATSVLHLLERRWPESRIVFLQGHKKYILQATNTRTNSNDPTGEPPPQEPFWEPGNWSHCSATCGHLGARIQRPQCVMANGQEVSEALCDHLQKPLAGFEPCNIRDCPARWFTSVWSQCSVSCGEGYHSRQVTCKRTKANGTVQVVSPRACAPKDRPLGRKPCFGHPCVQWEPGNRCPGRCMGRAVRMQQRHTACQHNSSDSNCDDRKRPTLRRNCTSGACDVCWHTGPWKPCTAACGRGFQSRKVDCIHTRSCKPVAKRHCVQKKKPISWRHCLGPSCDRDCTDTTHYCMFVKHLNLCSLDRYKQRCCQSCQEG", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "DLWQFGQMILKETGKLPFPYYTTYGCYCGWGGQGQPKDATDRCCFVHDCCYGKLTNCKPKTDRYSYSRENGVIICGEGTPCEKQICECDKAAAVCFRENLRTYKXXYMAYPDVLCKKPAEKC", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "SLFELGKMILQETGKNSVKSYGVYGCNCGVGGRGKPKDATDRCCYVHKCCYKKLTGCDPKKDRYSYSWKDKTIVCGENNPCLKELCECDKAVAICLRENLGTYNKKYRYHLKPFCKKADPC", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAFLKKSLFLVLFLGLVSLSICEEEKRETEEEEYNQEDDDKSEEKRFLSLIPTAINAVSALAKHFG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAFLKKSLFLVLFLGLVSLSICEEEKRETEEKENEQEDDDKSEEKRFLSLIPHAINAVSAIAKHFG", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKFLYGVILIALFLTVMTATLSEARCGPCFTTDPQTQAKCSECCGRKGGVCKGPQCICGIQY", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKTLLLSLVVLTIACLDLGYTKTCFNDDLTNPKTTELCRHSMYFCFKNSWIAGGVERIERGCSLTCPDIKYNGKYIYCCTRDNCNA", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTLTCLNCPEMFCGKFQICRNGEKICFKKLHQRRPFSLRYIRGCAATCPETKPRDMVECCSTDRCNR", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MALLWLLSVFLLVPGTQGAKDGDMRLVNGASASEGRVEIFYRGRWGTVCDNLWNLLDAHVVCRALGYENATQALSRAAFGPGKGPIMLDEVECTGNESSLANCSSLGWMVSHCGHEKDAGVVCSNDSRGIHILDLSGELPDALGQIFDSQQDCDLFIQVTGQGHGDLSLCAHTLILRTNPEAQALWQVVGSSVIMRVDAECMPVVRDFLRYFYSRRIEVSMSSVKCLHKLASAYGATELQGYCGRLFVTLLPQDPTFHTPLELYEYAQATGDSVLEDLCVQFLAWNFEPLTQAEAWLSVPNALIQALLPKSELAVSSELDLLKAVDQWSTATGASHGDVERLVEQIRFPMMLPQELFELQFNLSLYQGHQALFQRKTMEALEFHTVPLKVLAKYRSLNLTEDVYKPRLYTSSTWSSLLMAGAWSTQSYKYRQFYTYNYGSQSRYSSYQNFQTPQHPSFLFKDKLISWSATYLPTIQSCWNYGFSCTSDELPVLGLTTSSYSDPTIGYENKALILCGGYSVVDVTTFIGSKAPIPGTQETNSSKTPSLFPCASGAFSSFRVVIRPFYLTNSTDTE", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRWLLLYYALCFSLSKASAHTVELNNMFGQIQSPGYPDSYPSDSEVTWNITVPDGFRIKLYFMHFNLESSYLCEYDYVKVETEDQVLATFCGRETTDTEQTPGQEVVLSPGSFMSITFRSDFSNEERFTGFDAHYMAVDVDECKEREDEELSCDHYCHNYIGGYYCSCRFGYILHTDNRTCRVECSDNLFTQRTGVITSPDFPNPYPKSSECLYTIELEEGFMVNLQFEDIFDIEDHPEVPCPYDYIKIKVGPKVLGPFCGEKAPEPISTQSHSVLILFHSDNSGENRGWRLSYRAAGNECPELQPPVHGKIEPSQAKYFFKDQVLVSCDTGYKVLKDNVEMDTFQIECLKDGTWSNKIPTCKIVDCRAPGELEHGLITFSTRNNLTTYKSEIKYSCQEPYYKMLNNNTGIYTCSAQGVWMNKVLGRSLPTCLPVCGLPKFSRKLMARIFNGRPAQKGTTPWIAMLSHLNGQPFCGGSLLGSSWIVTAAHCLHQSLDPEDPTLRDSDLLSPSDFKIILGKHWRLRSDENEQHLGVKHTTLHPQYDPNTFENDVALVELLESPVLNAFVMPICLPEGPQQEGAMVIVSGWGKQFLQRFPETLMEIEIPIVDHSTCQKAYAPLKKKVTRDMICAGEKEGGKDACAGDSGGPMVTLNRERGQWYLVGTVSWGDDCGKKDRYGVYSYIHHNKDWIQRVTGVRN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNFKYIVAVSFLIASAYARSVQNDEQSLSQRDVLEEESLREIRGIGGVLLSAGKAALKGLAKVLAEKYANGKRTAEDHEVMKRLEAVMRDLDSLDHPEEASERETRGFNQDEIAKEKRILGPVLGLVGNALGGLIKKIG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKLYALFSLLVGSLAIGQISAAGSHHLLCYYDGNSFVREGLSKLILTDLEPALQYCTHLVYGYAGINPSSNKLVSNNEKLDLDLGSSLFRQVTGLKRKYPALKVLLSVGGDKDTVDPENNKYLTLLESSNARIPFINSAHSLVKTYGFDGLDLGWQFPKNKPKKVHGSIGKFWKGFKKIFSGDHVVDEKAEEHKEAFTALVRELKNAFRPDGYILGLSVLPNVNSSLFFDVPAIINNLDYVNLHTYDFQTPERNNEVADFPAPIYELNERNPEFNVNYQVKYWTGNRAPAAKINVGIATYGRAWKLTKDSGLTGLPPVAEADGVAPAGTQTQIPGLLSWPEVCAKLPNPANQHLKGADGPLRKVGDPTKRFGSYAYRSADDSGENGVWVGYEDPDTAAIKAEYVKREGLGGIAVVDLSFDDFRGGCTGHDKFPILRQVKSKL", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNFKYIVAVSFLIASAYARSVQNDEQSLSQRDVLEEESLREIRSIGAKILGGVKTFFKGALKELASTYLQQKRTAEEQHEVMKRLEAVMRDLDSLDHPEEASERETRGFNQEEIANLFTKKEKRILGPVISKIGGVLGGLLKNLG", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MNFKYIVAVSFLIASAYARSVQNDEQSLSQRDVLEEESLREIRSIGAKILGGVKTFFKGALKELASTYLQRKRTAEDHEEMKRLEAVMRDLDSLDYPEEASERETRGFNQEEIANLFTKKEKRILGPVISKIGGVLGGLLKNLG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MTPSISWGLLLLAGLCCLVPSFLAEDVQETDTSQKDQSPASHEIATNLGDFAISLYRELVHQSNTSNIFFSPVSIATAFAMLSLGSKGDTHTQILEGLQFNLTQTSEADIHKSFQHLLQTLNRPDSELQLSTGNGLFVNNDLKLVEKFLEEAKNHYQAEVFSVNFAESEEAKKVINDFVEKGTQGKIVEAVKKLDQDTVFALANYILFKGKWKKPFDPENTEEAEFHVDESTTVKVPMMTLSGMLDVHHCSTLSSWVLLMDYAGNATAVFLLPDDGKMQHLEQTLSKELISKFLLNRRRRLAQIHFPRLSISGEYNLKTLMSPLGITRIFNNGADLSGITEENAPLKLSQAVHKAVLTIDETGTEAAAVTVLLAVPYSMPPILRFDHPFLFIIFEEHTQSPLFVGKVVDPTH", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTPSISWSLLLLAGLCCLVPSFLAEDVQETDTSQKDQSPASHEIATNLGDFALRLYRELVHQSNTSNIFFSPVSIATAFAMLSLGSKGDTHTQILEGLQFNLTQTSEADIHKSFQHLLQTLNRPDSELQLSTGNGLFVNNDLKLVEKFLEEAKNHYQAEVFSVNFAESEEAKKVINDFVEKGTQGKIVEAVKKLDQDTVFALANYILFKGKWKQPFDPENTEEAEFHVDESTTVKVPMMTLSGMLDVHHCSMLSSWVLLMDYAGNTTAVFLLPDDGKMQHLEQTLNKELISQFLLNRRRSDAQIHIPRLSISGNYNLKTLMSPLGITRIFNNGADLSGITEENAPLKLSKAVHKAVLTIDETGTEAAAATVLQVATYSMPPIVRFDHPFLFIIFEEHTQSPIFVGKVVDPTHK", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MTPSISWCLLLLAGLCCLVPSFLAEDVQETDTSQKDQSPASHEIATNLGDFAISLYRELVHQSNTSNIFFSPVSIATAFAMLSLGSKGDTHTQILEGLQFNLTQTSEADIHNSFQHLLQTLNRPDSELQLSTGNGLFVNNDLKLVEKFLEEAKNHYQAEVFSVNFAESEEAKKVINDFVEKGTQGKIVEAVKKLEQDTVFVLANYILFKGKWKKPFDPENTKQAEFHVDESTTVKVPMMTLSGMLDVHHCSTLSSWVLLMDYAGNATAVFLLPDDGKMQHLEQTLNKELISKFLLNRRRRLAQIHIPRLSISGNYNLETLMSPLGITRIFNSGADLSGITEENAPLKLSQAVHKAVLTIDETGTEAAAATVLQGGFLSMPPILHFNRPFLFIIFEEHSQSPLFVGKVVDPTHK", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSGAPTAGAALMLCAATAVLLSAQGGPVQSKSPRFASWDEMNVLAHGLLQLGQGLREHAERTRSQLSALERRLSACGSACQGTEGSTDLPLAPESRVDPEVLHSLQTQLKAQNSRIQQLFHKVAQQQRHLEKQHLRIQHLQSQFGLLDHKHLDHEVAKPARRKRLPEMAQPVDPAHNVSRLHRLPRDCQELFQVGERQSGLFEIQPQGSPPFLVNCKMTSDGGWTVIQRRHDGSVDFNRPWEAYKAGFGDPHGEFWLGLEKVHSITGDRNSRLAVQLRDWDGNAELLQFSVHLGGEDTAYSLQLTAPVAGQLGATTVPPSGLSVPFSTWDQDHDLRRDKNCAKSLSGGWWFGTCSHSNLNGQYFRSIPQQRQKLKKGIFWKTWRGRYYPLQATTMLIQPMAAEAAS", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAAYLLAVAILFCIQGWPLGTVQGQVMPFMEVYRHSVCQTRETLVSILEEHPDEVSHIFRPSCVTALRCGGCCTDESLKCTATGKRSVGREIMRVDPHKGTSKTEVMQFTEHTDCECRPRSASGVNSRKHKRNPEEGEPRAKFPFV", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKTYAVLLALVVAFVCIAESTGYPVEDLEDDELTELEAEALLEDLLEDLELEDLDYNEEARSWASMAKKLKEYMEKLKQRAG", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKLLPGVGVFGTGSSARVLVPLLRAEGFTVEALWGKTEEEAKQLAEEMNITFYTSRTDDVLLHQDVDLVCINIPPPLTRQISVKALGIGKNVVCEKAATSMDAFRMVTASRYYPQLMSLVGNVLRFLPAFVRMKQLIAEHYVGAVMICDARIYSGSLLSPSYGWICDELMGGGGLHTMGTYIVDLLTHLTGQKAEKVHGLLKTFVRQNATIRGIRHVTSDDFCFFQMLMGGGVCSTVTLNFNMPGAFVHEVMVVGSAGRLVARGADLYGQKNSAAQEELLVRDSLAVGAGLPEQGPQDVPLLYLKGMVYMVQALRQSFQGQGDRRTWDRTPVSMAASFEDGLYMQSVVDAIKRSSRSGEWETVEMLAEEPDANQNLSETLQRNNL", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MHPLWAFLLGLSLTNGLSANCPGRCSCDSMQSVQCYRLMELPSGIPSTTKRLYISHSRIQHLQLSNFTGLLALEDFILLASGTESIENDTFKTLSTLKTLELWKNKLRQVPSALPANLEVLKLNDNAICALRGSEFEGLKNLKVLELKNNLISSLSPSMLSPLASLQSLMVDGNNIESVVGPLSLPHLKYMSMENNQLHLIPGNVFTSLQNLQFLSFSGNFLTKIPINLPKSLLSLKMERNQLKVVRFRDMKHLENLSHLYLSENFLSSIDGAQQLTNLTTLEVSQNQLQMLPPRLPSRLQKLDCSSNFIQRVTAPEFQDLRDLKHLFLDNNVVSLFEAGALQRCSQLSNLALEQNLLLSIPLRLPKTLARLDLKGNAIQDMAERELRDLKQLQVLNLRNNRISALDFKALEGLPRLRHLYLDGNPWNCTCSLLRAREVLKAKGTDVKGGQCAAPAERQGESWMSSKKILRQCEHHLQQSEKSKETKKKPKPEDSSSIRLNMDDDDDDYEID", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVVFSKVTAVVVGLSTIVSAVPVVQPRKGFTINQVARPVTNKKTVNLPAVYANALTKYGGTVPDSVKAAASSGSAVTTPEQYDSEYLTPVKVGGTTLNLDFDTGSADLWVFSSELSASQSSGHAIYKPSANAQKLNGYTWKIQYGDGSSASGDVYKDTVTVGGVTAQSQAVEAASHISSQFVQDKDNDGLLGLAFSSINTVSPRPQTTFFDTVKSQLDSPLFAVTLKYHAPGTYDFGYIDNSKFQGELTYTDVDSSQGFWMFTADGYGVGNGAPNSNSISGIADTGTTLLLLDDSVVADYYRQVSGAKNSNQYGGYVFPCSTKLPSFTTVIGGYNAVVPGEYINYAPVTDGSSTCYGGIQSNSGLGFSIFGDIFLKSQYVVFDSQGPRLGFAPQA", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKLTCMMIVAVLFLTAWTFATADDSGNGLENLFSNAHHQMKNPEASKLNKRWCKQSGEMCNLLDQNCCDGYCIVLVCT", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRTLWIMAVLLVGVEGNLWQFGKMMNYVMGQSVVYKYFYYGCYCGWGGIGQPRDATDRCCFVHDCCYGKVTGCDPKTDSYTYSKENGDVVCGGDDPCKKQICECDRVAATCFRDNKDTYDMKYWLYGAKNCQEESEPC", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKLDMTGDCTPVLVLMAAVLTVTGAVPVARLHGALPDARGCHIAQFKSLSPQELQAFKRAKDALEESLLLKDCRCHSRLFPRTWDLRQLQVRERPMALEAELALTLKVLEATADTDPALVDVLDQPLHTLHHILSQFRACIQPQPTAGPRTRGRLHHWLYRLQEAPKKESPGCLEASVTFNLFRLLTRDLNCVASGDLCV", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "DRTIWPKKGFSIYWNIPTHFCHNFGVYFKELKQFNIKYNSMNNFRGETISLFYDPGNFPSMVLLKNGTYEIRNEGVPQKGNLTIHLEQFTKELDEIYPKKIAGGIGVIHFHNWRPIFRRNVDNLKINKDISIDLVRKEHPKWDKSMIEKEASNRFETSAKIFMEKTLKLAKEIRKKTEWGYHGYPHCLSGSTDKPSFDCDALSMSENDKMSWLFNNQNVLLPSIYLKNVLKPDEKIHLVQERLKEAIRISKNFKHLPKVLPYWWYTYQDKESIFLTEADVKNTFKEILTNGADGIIIWGVSYELTDRKRCEKLKEYLMKILGPIAFKVTKAVKENTPLNF", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MYGAVLPGLFFIFISCVVASSNDVKDGGADRGAHSDRGGMWFGPRIGKRSLRMATEDNRQAFFKLLEAADALKYYYDQLPYEMQADEPEARVTKKVIFTPKLGRSLSYEDKMFDNVEFTPRLGRRLADDTPATPADQEMYRPDPEQIDSRTKYFSPRLGRTMNFSPRLGRELAYEMLPSKVRVVRSTNKTQST", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MSAFRFWSGLLMLLGFLCPRSSPCGISTHIEIGHRALEFLHLQDGSINYKELLLRHQDAYQAGSVFPDSFYPSICERGQFHDVSESTHWTPFLNASVHYIRKNYPLPWDEDTEKLVAFLFGITSHMVADVNWHSLGIEQGFLRTMAAIDFHNSYPEAHPAGDFGGDVLSQFEFKFNYLSRHWYVPAEDLLGIYRELYGRIVITKKAIVDCSYLQFLEMYAEMLAISKLYPTYSVKSPFLVEQFQEYFLGGLEDMAFWSTNIYHLTSYMLKNGTSNCNLPENPLFITCGGQQNNTHGSKVQKNGFHKNVTAALTKNIGKHINYTKRGVFFSVDSWTMDSLSFMYKSLERSIREMFIGSSQPLTHVSSPAASYYLSFPYTRLGWAMTSADLNQDGYGDLVVGAPGYSHPGRIHVGRVYLIYGNDLGLPRIDLDLDKEAHGILEGFQPSGRFGSAVAVLDFNVDGVPDLAVGAPSVGSEKLTYTGAVYVYFGSKQGQLSSSPNVTISCQDTYCNLGWTLLAADVNGDSEPDLVIGSPFAPGGGKQKGIVAAFYSGSSYSSREKLNVEAANWMVKGEEDFAWLGYSLHGVNVNNRTLLLAGSPTWKDTSSQGHLFRTRDEKQSPGRVYGYFPPICQSWFTISGDKAMGKLGTSLSSGHVMVNGTRTQVLLVGAPTQDVVSKVSFLTMTLHQGGSTRMYELTPDSQPSLLSTFSGNRRFSRFGGVLHLSDLDNDGLDEIIVAAPLRITDATAGLMGEEDGRVYVFNGKQITVGDVTGKCKSWVTPCPEEKAQYVLISPEAGSRFGSSVITVRSKEKNQVIIAAGRSSLGARLSGVLHIYRLGQD", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "VVYTDCTESGQNLCLCEGSNVCGQGNKCILGSDGEKNQCVTGEGTPGPQSHNDGDFEEPEEYL", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MYLTIWLVSILALGTWGQKFNRFCHYNSWALSRNPQHGLVPEDIDPFLCTHLILGFAEIDESGLRLKDPNHYQQEYLYQRIVRLRRINPRLNMILSVGGWDKSQEGYSKLVSSRENILFFTKWIITYLRRHDFDGLDLDWEYPTFKGSPMGDKKKFVDLVENLAYEFDIEEIPDIKWKLTLTWTADPLESVRTSAYDIKGIASKVHNVNLKMYDFHGHWDDPLQVNHHSPLTSPNSPRNVNELAKSWVKAGVRIEKLILGIPFFGRSFTLKTANMSVPGSPAVGPGSDFGDGIPIHNLCHIIRGGTKELYLPEKKVPYIVSGSEWIGYDNPRSVMEKAQLVFNNALAGVMIYSLDMDDHHGTCGRKWPMMMAVIHGLNAYMEYIDSKHKSLELTFNKKILRARVSLRNYRRRNQQEKVAEMEQRIRHLEQELQQSMGNMAYERQQAQAMLNRGVSLPPIEQQSWSW", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MTSLPVLLFLIILLLPSMITEGRVLTQTGKEATIFADQKTNHEADLKNPDPQEVQRALARILCALGELDKLVKDQANAGQQEFKLPKDFTGRSKCRSLGRIK", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MQKLLLAVLFFSLLAIATARPKYHKQGRRKGDACRLHCLFDNIVCETPCRVLFRSRFSYHVCARDCRKDRVDCYIGCKNIDANPKAEAEPGSLDKEAGTKGEKEKNGKKEKKEKKEKGEYAIGNAESGTGSSGGSNKTHDDDDDDHDDVYENDDENEE", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MNSVPVMLFSISILLAAMLTEGRGLTQTQKESIFSAEHKSDLKSYLEMLVCRRLRDVPESVIHISKVSKEILPDDLLSTYLLELLVCFDKDKLVQSKGIVFNTIKRLLTNT", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MALLWLLSVFLLVPGTQGTEDGDMRLVNGASANEGRVEIFYRGRWGTVCDNLWNLLDAHVVCRALGYENATQALGRAAFGPGKGPIMLDEVECTGTESSLASCRSLGWMVSRCGHEKDAGVVCSNDTTGLHILDLSGELSDALGQIFDSQQGCDLFIQVTGQGYEDLSLCAHTLILRTNPEAQALWQVVGSSVIMRVDAECMPVVRDFLRYFYSRRIEVSMSSVKCLHKLASAYGATELQDYCGRLFATLLPQDPTFHTPLDLYAYARATGDSMLEDLCVQFLAWNFEPLTQSESWSAVPTTLIQALLPKSELAVSSELDLLKAVDQWSTETIASHEDIERLVEQVRFPMMLPQELFELQFNLSLYQDHQALFQRKTMQALEFHTVPVEVLAKYKGLNLTEDTYKPRLYTSSTWSSLVMASTWRAQRYEYNRYNQLYTYGYGSVARYNSYQSFQTPQHPSFLFKDKQISWSATYLPTMQSCWNYGFSCTSNELPVLGLTTSSYSNPTIGYENRVLILCGGYSVVDVTSFEGSKAPIPTALDTNSSKTPSLFPCASGAFSSFRVVIRPFYLTNSTDMV", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRNALNNLADTVENPEQTTRFENEMDNFFTLFRRYLTEKASGSTLDWDKIRSPSSDEVVEYGDLNSANNSANLSKLAVLKLNGGLGTSMGCVGPKSVIEVRDGNNFLDLAVRQIEHLNRKYDADVPLLLMNSFNTDADTEKIIKKYQSHRIRVKTFNQSRFPRIYKDSLLPVPESFDDSLEAWYPPGHGDLFEALVQSGELDALLAQGREILFVSNGDNLGATVDSKILDHMIETGAEYIMELTPKTRADVKGGTLINYQGEVRLLEIAQVPKEHVEEFKSIKKFKYFNTNNLWINLRAIKKLVEANAIEVEIIPNQKTISHGKSDINVLQLETAVGAAIRHFKGAHGVVVPRSRFLPVKTCSDLLLVKSDLFYLEHGALVLDPTRDGFSNPLIKLGSHFKKVSGFQSRIPYIPKILELDHLTITGNVTIGKGVQLKGTVIIVCNDGDKIDIPNGAILENVVVTGNLTILEH", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSIFPIVLALLLIGLEETEALDGYPLSKINNCKIYCPDDDVCKWTCKHRAGATNGKGDCIWYGCYCYDVAPGTKMYPGSSPCYA", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSIFPIILALLLIGLDEGEALDGYPLSKNNYCKIYCPDEKVCKWSCKHRAGATNGKGDCINKGCYCYDVAPGTEMYPGRLPCNPY", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKLTCMMIVAVLFLTAWTFATADDPRNGLGNLFSNAHHEMKNPEASKLNKRWCKQSGEMCNLLDQNCCDGYCIVLVCT", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "VTCDLLSFEAKGFAANHSICAAHCLAIGRKGGSCQNGVCVCRN", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "VTCDLLSFEAKGFAANHSICAAHCLVIGRKGGACQNGVCVCRN", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MYFSSFLALGALVQAAAATYFAPNSTGLRIQHGFETILIQPFGYDGFRVRAWPFRPPSGNEISFIYDPPIEGYEDTAHGMSYDTATTGTEPRTLRNGNIILRTTGWGGTTAGYRLSFYRVNDDGSETLLTNEYAPLKSLNPRYYYWPGPGAEFSAEFSFSATPDEQIYGTGTQQDHMINKKGSVIDMVNFNSYIPTPVFMSNKGYAFIWNMPAEGRMEFGTLRTRFTAASTTLVDYVIVAAQPGDYDTLQQRISALTGRAPAPPDFSLGYIQSKLRYENQTEVELLAQNFHDRNIPVSMIVIDYQSWAHQGDWALDPRLWPNVAQMSARVKNLTGAEMMASLWPSVADDSVNYAALQANGLLSATRDGPGTTDSWNGSYIRNYDSTNPSARKFLWSMLKKNYYDKGIKNFWIDQADGGALGEAYENNGQSTYIESIPFTLPNVNYAAGTQLSVGKLYPWAHQQAIEEGFRNATDTKEGSACDHVSLSRSGYIGSQRFCSMIWSGDTTSVWDTLAVQVASGLSAAATGWGWWTVDAGGFEVDSTVWWSGNIDTPEYRELYVRWLAWTTFLPFMRTHGSRTCYFQDAYTCANEPWSYGASNTPIIVSYIHLRYQLGAYLKSIFNQFHLTGRSIMRPLYMDFEKTDPKISQLVSSNSNYTTQQYMFGPRLLVSPVTLPNVTEWPVYLPQTGQNNTKPWTYWWTNETYAGGQVVKVPAPLQHIPVFHLGSREELLSGNVF", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "TKCYKTGDRIISEACPPGQDLCYMKTWCDVFCGTRGRVIELGCTATCPTVKPHEQITCCSTDNCDPHHKMLQ", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSNIISQAGQKAKEALTSAPSSKKVDDLKNEFKETDKSARLTTDYGVKQTTADDWLRIVSDDKIGPSLLEDPFARERIMRFDHERIPERVVHARGSGAFGKFKVYESASDLTMAPVLTDTSRETPVFVRFSTVLGSRGSADTVRDVRGFAVKFYTEEGNWDLVGNNIPVFFIQDAIKFPDVIHAGKPEPHNEVPQAQSAHNNFWDFQFNHTEATHMFTWAMSDRAIPRSLRMMQGFGVNTYTLINAQGKRHFVKFHWTPELGVHSLVWDEALKLAGQDPDFHRKDLWEAIENGAYPKWKFGIQAIAEEDEHKFDFDILDATKIWPEDLVPVRYIGEMELNRNPDEFFPQTEQIAFCTSHVVNGIGFSDDPLLQGRNFSYFDTQISRLGVNFQELPINRPVCPVMNFNRDGAMRHTISRGTVNYYPNRFDACPPASLKEGGYLEYAQKVAGIKARARSAKFKEHFSQAQLFYNSMSPIEKQHMINAFGFELDHCEDPVVYGRMVQRLADIDLGLAQTIAEMVGGEAPTTTNHPNHGRKTINLSQTEFPPATPTIKSRRVAIIIADGYDNVAYDAAYAAISANQAIPLVIGPRRSKVTAANGSTVQPHHHLEGFRSTMVDAIFIPGGAKAAETLSKNGRALHWIREAFGHLKAIGATGEAVDLVAKAIALPQVTVSSEAEVHESYGVVTLKKVKPESFTDAVKIAKGAAGFLGEFFYAIAQHRNWDRELDGLHSMIAY", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MTDAKNNLLYFFDRPNEPCFMQKGEDKVVFEIPDHYYPDKYKSLSNTLSNRFGNEATKRIPIRNITLPNLEVPMQLPYNDQFSLFVPKHRTMAAKLIDIFMGMRDVEDLQSVCSYCQLRINPYMFNYCLSVAILHRPDTKGLSIPTFAETFPDKFMDSKVFLRAREVSNVVISGSRMPVNVPINYTANTTEPEQRVAYFREDIGINLHHWHWHLVYPFDSADRSIVNKDRRGELFYYMHQQIIGRYNVERMCNGLPQVKPFSDFSAPIEEGYFPKLDSQVASRTWPPRFAGSVFRNLDRTVDQVKIDVRKLFTWRDQFLEAIQKMAIKMPNGRELPLDEVTGIDMLGNLMESSIISPNRGYYGDLHNMGHVFAAYTHDPDHRHLEQFGVMGDSATAMRDPFFYRWHRFVDDVFNIYKEKLTPYTNERLDFPGVRVSSVGIEGARPNTLRTLWQQSTVELGRGLDFTPRGSVLARFTHLQHDEFQYVIEVNNTTGGNLMGTVRIFMAPKVDDNGQPMSFNKQRRLMIELDKFSQALRPGTNTIRRRSVDSSVTIPYERTFRNQSERPGDPGTAGAAEFDFCGCGWPHHMLIPKGTAQGYPVVLFVMISNWNNDRIEQDLVGSCNDAASYCGIRDRKYPDKQAMGYPFDRKMANDAATLSDFLRPNMAVRDCSIQFSDTTVERGQQG", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRLLKFVCLLASVAAAKPTPGASHKVIEHLDFVPEGWQMVGAADPAAIIDFWLAIERENPEKLYDTIYDVSTPGRAQYGKHLKREELDDLLRPRAETSESIINWLTNGGVNPQHIRDEGDWVRFSTNVKTAETLMNTRFNVFKDNLNSVSKIRTLEYSVPVAISAHVQMIQPTTLFGRQKPQNSLILNPLTKDLESMSVEEFAASQCRSLVTTACLRELYGLGDRVTQARDDNRIGVSGFLEEYAQYRDLELFLSRFEPSAKGFNFSEGLIAGGKNTQGGPGSSTEANLDMQYVVGLSHKAKVTYYSTAGRGPLIPDLSQPSQASNNNEPYLEQLRYLVKLPKNQLPSVLTTSYGDTEQSLPASYTKATCDLFAQLGTMGVSVIFSSGDTGPGSSCQTNDGKNATRFNPIYPASCPFVTSIGGTVGTGPERAVSFSSGGFSDRFPRPQYQDNAVKDYLKILGNQWSGLFDPNGRAFPDIAAQGSNYAVYDKGRMTGVSGTSASAPAMAAIIAQLNDFRLAKGSPVLGFLNPWIYSKGFSGFTDIVDGGSRGCTGYDIYSGLKAKKVPYASWNATKGWDPVTGFGTPNFQALTKVLP", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKTNFLVLLSALLAASSAVTATLIPAKCKHEAFSQRAGSSLNAAIKSDGRKYFGTCADPGTLGNWQISNIIKAEMGQVTPENSMKWDATQPQRGTFNFGNADRLVDFATSNGKLIRGHTLVWHSQLPSWVSSITDANDLTNVIQNRIATVVGRYKGKVYAWDVVNEMFNENGSFRESVFYKLLGEDFVKIAFEAARKADPNAKLYINDYNLDDPDYPKLKSLVANVKKWRSQGVPIDGIGSQSHLQAAGHFLDASKVGGAMQALCAAASECAMTELDIAQASPDQYTKATEACLNQKNCVGITVWGVSDNTSWRKNANPLLWNSSYQKKPAYNAVLSTLNSYQA", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "DRDSCVDKSRCSKYGYYQECQDCCKKAGHNGGTCMFFKCKCA", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "DRDSCIDKSRCSKYGYYQECQDCCKKAGHNGGTCMFFKCKCA", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKSITVICFLALCTVAITSAYPQEPVLADEARPFANSLFDELPEETYQAAVENFRLKRATCDLLSGFGVGDSACAAHCIARGNRGGYCNSKKVCVCRN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "RTCNKTPSDQSKICPPGENICYTKTWCDAWCSQRGKIVELGCAATCPKVKAGVEIKCCSTDNCNKFKFGKPR", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MICYNQQSSQPPTTKTCSEGQCYKKTWSDHRGTISERGCACPNVKPGVKISCCSSDKCNG", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MACTLRLTIAALVLLGICHLSRPVAAYQRCSRYWYSWLPYDIERDRYDDGYRKCCYCRNAWTPWQCREDEQFERMRCGSRYYTLCCYTDDDNGNGNGNGNGYGNGNGNGNGNNYLKYLFGGNGNGNGEYWEEYIDERYDK", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MWRMTTLLHLTALLVLIPLCHCASMHRHDHYMDMDQTYPNGLGYCEPSGESSCKAGFSYNRDICQGPYHWHTISSCYKACGHKRRQSPINIWSHKAVFLPYLPRLKFKPHMKSLDTDVTNHQNRAPEFEPEDGDKLHVKLKNLVDGHYKFHNLHIHNGKSRRKGSEHSVNRHFTPMEAHLVFHHDDKKEIKPPRVKLGGVYAGRNKFVVVGVFLEVGDEGYGDEPDDDECKRILKGHCENNGDNGNNCDNGNNGNNDNNGNNGNNGNGNNGYNGNNGDNGNNGNGNGNNGYNGNNGYNGNNGNNGNGNNDNNGNDNNGNNGGNGNNGNNGNGNNGNNGNGNNGNNGGNGNNGNNGNSNNGNNGNGNNGNNGGNGNNGNNGNGNNENNGNGSNGNNGGNGNNGNNGDNGNGDNGYNGDNGNSDGRLRRWDLANVRRMHAERYHFSGGCIVKKAKRLSRILECAYRHKKVREFKRNGEEKGLDVDITPEMVLPPMKYRHYYTYEGSLTTPPCNETVLWVVEKCHVQVSRRVLDALRNVEGYEDGTTLSKYGTRRPTQRNKHPLRVYKNSI", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKLSLLTVAAAAGAAVAAPAAEIDTRAGSVQGFDISGYQPNVDFRAAYNGGARFVMIKATEGTTFKSSTFNSQYTGATNNKFIRGGYHFAHPDTSATAQCDYFLANGGGWSNDGITLPGMIDLEGTSGKPKCYGLSASAMIAWIKAFSDRYNAKTGRYPMIYTSPDWWQSCTGNTKTFGTTIPLVLARWASSPGTPPGGWPYHTFWQNADTYRFGGDSEIFNGGMDQLQRFAKGG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSVLSRAVQLAFVALGLCLFFSNLVAAQPIAGPSLGVSVKSVNGVCRASKPCELEVTVRNTNTKKPATVLNWNTPLDPYADQLGVFEVRDSKGAVVPLDFIQIRRITPPPASDLVEIKAASSVKVKVALETLSRAELPAGTKYTITATGWWQAVWDQPKEQVVQSHLQELSGAFSGNFNSNSVQVTKVCSSSSPQQ", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MFSSLLNRGALLAVVSLLSSSVAAEVFEKLSAVPQGWKYSHTPSDRDPIRLQIALKQHDVEGFETALLEMSDPYHPNYGKHFQTHEEMKRMLLPTQEAVESVRGWLESAGISDIEEDADWIKFRTTVGVANDLLDADFKWYVNEVGHVERLRTLAYSLPQSVASHVNMVQPTTRFGQIKPNRATMRGRPVQVDADILSAAVQAGDTSTCDQVITPQCLKDLYNIGDYKADPNGGSKVAFASFLEEYARYDDLAKFEEKLAPYAIGQNFSVIQYNGGLNDQNSASDSGEANLDLQYIVGVSSPIPVTEFSTGGRGLLIPDLSQPDPNDNSNEPYLEFLQNVLKMDQDKLPQVISTSYGEDEQTIPEKYARSVCNLYAQLGSRGVSVIFSSGDSGVGAACLTNDGTNRTHFPPQFPAACPWVTSVGGTTKTQPEEAVYFSSGGFSDLWERPSWQDSAVKRYLKKLGPRYKGLYNPKGRAFPDVAAQAENYAVFDKGVLHQFDGTSCSAPAFSAIVALLNDARLRAHKPVMGFLNPWLYSKASKGFNDIVKGGSKGCDGRNRFGGTPNGSPVVPYASWNATDGWDPATGLGTPDFGKLLSLAMRR", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MQARALLLAALAALALAREPPAAPCPARCDVSRCPSPRCPGGYVPDLCNCCLVCAASEGEPCGGPLDSPCGESLECVRGLCRCRWSHAVCGTDGHTYANVCALQAASRRALQLSGTPVRQLQKGACPLGLHQLSSPRYKFNFIADVVEKIAPAVVHIELFLRHPLFGRNVPLSSGSGFIMSEAGLIITNAHVVSSNSAAPGRQQLKVQLQNGDSYEATIKDIDKKSDIATIKIHPKKKLPVLLLGHSADLRPGEFVVAIGSPFALQNTVTTGIVSTAQREGRELGLRDSDMDYIQTDAIINYGNSGGPLVNLDGEVIGINTLKVTAGISFAIPSDRITRFLTEFQDKQIKDWKKRFIGIRMRTITPSLVDELKASNPDFPEVSSGIYVQEVAPNSPSQRGGIQDGDIIVKVNGRPLVDSSELQEAVLTESPLLLEVRRGNDDLLFSIAPEVVM", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MAVYLLAVAILFCIQGWPSGTVQGEVMPFMEVYDRSACQTREMLVPILKEYPNEVSHLFKPSCVPVLRCGGCCSDESLTCTATGKRSVGREVMRVDPHKGTSKIEVMQFKEHTACECRPRSPGDVNDGRNPKEGEPRARFPFV", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLPWLLVFSALGIQAWGDSSWNKTQAKQVSEGLQYLFENISQLTEKGLPTDVSTTVSRKAWGAEAVGCSIQLTTPVNVLVIHHVPGLECHDQTVCSQRLRELQAHHVHNNSGCDVAYNFLVGDDGRVYEGVGWNIQGVHTQGYNNISLGFAFFGTKKGHSPSPAALSAMENLITYAVQKGHLSSSYVQPLLGKGENCLAPRQKTSLKKACPGVVPRSVWGARETHCPRMTLPAKYGIIIHTAGRTCNISDECRLLVRDIQSFYIDRLKSCDIGYNFLVGQDGAIYEGVGWNVQGSSTPGYDDIALGITFMGTFTGIPPNAAALEAAQDLIQCAMVKGYLTPNYLLVGHSDVARTLSPGQALYNIISTWPHFKH", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGTLPWLLAFFILGLQAWDTPTIVSRKEWGARPLACRALLTLPVAYIITDQLPGMQCQQQSVCSQMLRGLQSHSVYTIGWCDVAYNFLVGDDGRVYEGVGWNIQGLHTQGYNNISLGIAFFGNKIGSSPSPAALSAAEGLISYAIQKGHLSPRYIQPLLLKEETCLDPQHPVMPRKVCPNIIKRSAWEARETHCPKMNLPAKYVIIIHTAGTSCTVSTDCQTVVRNIQSFHMDTRNFCDIGYHFLVGQDGGVYEGVGWHIQGSHTYGFNDIALGIAFIGYFVEKPPNAAALEAAQDLIQCAVVEGYLTPNYLLMGHSDVVNILSPGQALYNIISTWPHFKH", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "TKCYITPDVKSETCPDGENICYTKSWCDVFCTSRGKRIDLGCAATCPKVKPGVDIKCCSTDNCNPFTPWKRH", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKTLLLTLVVVTIMCLDLGYTTKCYVTPDVTSQTCPDGQNICYTETWCDAWCGSRGKRVNLGCAATCPKVNPGVDIICCSTDNCNPFPKRS", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKNLLLTFLVVTIVCLDLGYTLICHQRHGLQTCEPAQKFCFAQTVMPFPNHPLTLMGCTYSCPTEKNAVCCSTDKCNR", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTTKCYVTPDVKSETCPAGQDICYTETWCDAWCTSRGKRVNLGCAATCPIVKPGVEIKCCSTDNCNPFPTRKRP", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKFFKAKGSLLSSGIYLIALTPFVNAKCALPSSYSWSSTDALATPKSGWTALKDFTDVVSDGKHIVYASTTDEAGNYGSMTFGAFSEWSNMASASQTATPFNAVAPTLFYFKPKSIWVLAYQWGSSTFTYRTSQDPTNVNGWSSEQALFTGKISDSSTNAIDQTVIGDDTNMYLFFAGDNGKIYRSSMSINDFPGSFGSQYEVILSGARNDLFEAVQVYTVDGGEGDTKYLMIVEAIGSTGHRYFRSFTASSLGGEWTAQAASEDQPFAGKANSGATWTEDISHGDLVRNNPDQTMTVDPCNLQLLYQGHDPNSSGDYNLLPWKPGVLTLKQ", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKSMLVHIVLVIFIIAEFSTAETDHDKNRRGANMGLYAFPRVGRSDPSLANSLRDGLEAGVLDGIYGDASQEDYNEADFQKKASGLVAFPRVGRGDAELRKWAHLLALQQVLDKRTGPSASSGLWFGPRLGKRSVDAKSFADISKGQKELN", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTRPSLVRCYSLFFTALIVMAIICPAWSEEIPKSRKKRAIPIAYVGMAVAPQVFRWLVRAYGAAAVTAAGVTLRRVINRSRSNDNHSCYGNRGWCRSSCRSYEREYRGGNLGVCGSYKCCVT", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MLPILLSVALLALSSARSPFFDLEDANSNSAEKFLRPPPGGGPPRPPPPEESQGEGHQKRPRPPGDGPEQGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPLGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPPPPPPADEPQQGPAPSGDKPKKKPPPPAGPPPPGPPSPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPGPPPPGPAPPGARPPPGPPPPPPGPSPPRPPPGPPPQ", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MALSLFTVGQLIFLFWTMRITEASPDPAAKAAPAAAAAPAAAAPDTASDAAAAAALTAANAKAAAELTAANAAAAAAATARG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MAKNGLVICILVITLLLDQTTSHTSRLKARKHSKRRVRDKDGDLKTQIEKLWTEVNALKEIQALQTVCLRGTKVHKKCYLASEGLKHFHEANEDCISKGGILVIPRNSDEINALQDYGKRSLPGVNDFWLGINDMVTEGKFVDVNGIAISFLNWDRAQPNGGKRENCVLFSQSAQGKWSDEACRSSKRYICEFTIPQ", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAKFSALCSLALLGLATAQKPVGTETHEKLTTFRCTVAGGCVEKTNYIVLDSITGHRIYQPAAETLDCGARGAAPNVTACPTKEACAENCAMEGRTDYGSQGVSTDGASLRLQILHDGKKVAPRVYLLDETEAKYEMLRLTGNEFAFEVTMDKLPCGMNSALYLSEMEEDGGKSELNPGGAPWGTGYCDAQCYVTPFINGEGNIKGNGACCAEMDIWEANSRATHIAPHPCSKPGLYLCEGDECGSTGVCDKSGCAWNPNRIAQPHYYGNNDTFKVDTLKPMTVVTQFPTDASGKLAAIRRLYVQGGVVIKAETVHKAGLPEVDALTDPFCEAFGSQRYMALGATGGMGDALARGMVLVMSIWWDETGGNMQWLDGIASGSGPCNATEGAPANIPLVEPNPEVTFSNLKWGEIGSTFQGGARRL", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAPSLSPGPAALRRAPQLLLLLLAAECALAALLPAREATQFLRPRQRRAFQVFEEAKQGHLERECVEELCSREEAREVFENDPETDYFYPRYLDCINKYGSPYTKNSGFATCVQNLPDQCTPNPCDRKGTQACQDLMGNFFCLCKAGWGGRLCDKDVNECSQENGGCLQICHNKPGSFHCSCHSGFELSSDGRTCQDIDECADSEACGEARCKNLPGSYSCLCDEGFAYSSQEKACRDVDECLQGRCEQVCVNSPGSYTCHCDGRGGLKLSQDMDTCELEAGWPCPRHRRDGSPAARPGRGAQGSRSEGHIPDRRGPRPWQDILPCVPFSVAKSVKSLYLGRMFSGTPVIRLRFKRLQPTRLVAEFDFRTFDPEGILLFAGGHQDSTWIVLALRAGRLELQLRYNGVGRVTSSGPVINHGMWQTISVEELARNLVIKVNRDAVMKIAVAGDLFQPERGLYHLNLTVGGIPFHEKDLVQPINPRLDGCMRSWNWLNGEDTTIQETVKVNTRMQCFSVTERGSFYPGSGFAFYSLDYMRTPLDVGTESTWEVEVVAHIRPAADTGVLFALWAPDLRAVPLSVALVDYHSTKKLKKQLVVLAVEHTALALMEIKVCDGQEHVVTVSLRDGEATLEVDGTRGQSEVSAAQLQERLAVLERHLRSPVLTFAGGLPDVPVTSAPVTAFYRGCMTLEVNRRLLDLDEAAYKHSDITAHSCPPVEPAAA", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKTLLLTLVVVTIVCLDLGYTRECYLNPHDTQTCPSGQEICYVKSWCNAWCSSRGKVLEFGCAATCPSVNTGTEIKCCSADKCNTYP", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNTLLWTILLPLLFSFCVCQQPEHQDLEMSVQYYDDNVIDLLEALNVTRSVKGVTKAKGSDPASPAWKFRQRVPHLTLPRDYSVYLLSTTQESLGLHFVAKQAKNNRGTLVAFLSPAATKIDGRPLLRLISDTHTDQLYFEYRTAQTMEPASLHFPGSSPFSGSQWARVALNVNTHKVTLFLDCEEPVVFGKEGAEEMLSLILPLDLEITFASTPSDKESKFLGYWQTAEISPTGFTRRPWHCENRSDSLPLPYSLSGERQMEDEEIQREPRAPDLSDTDHYQQQQSEVPAQLLAKDDRLQRLEEAVKGLTNMIDMIKSQNADLQARVIALESCECRRSTCVWEDKEYQDSETWKKDACNICVCVGGSVTCSVRKDWPQCLGCFHEGRNYNNKDIFSVGPCMSCICQSGEVSCTPKLCPPVTCSDPVTLPNECCPLCATGCSDGHKEGDTWRKDTCTTCTCQNGTISCEREQCPELTCLKRHTPPGQCCAKCQQGCEYEGLIYRNGDYFLSQSNPCVNCSCLNNLVRCLPVQCPLPACTNPVPIPGQCCPSCPVCELDGHPLIPGQNVTTKDGCRLCSCQDGKVQCTESVQCPHICTHGVRSNSCCLDCSACEMHGDIIPNGLTFQGNMDPCESCTCQDGNVHCVRVSCPELSCVLHEKIPGECCSQCQSCMDGTVKRKHGEEWKPQGDPCQSCRCLEGRVQCRKRHCAALCRNPLPPRPGTCCPMCDGCLYNGRSYLNGQPVRSTDQCNRCFCENGNVQCEPIACPQAPCRNPVRRTGECCPRCEGCEYDSRHFAEGVVFTTAHDPCLQCTCLSGEVSCEHLDRKCPPSQCSHPGKAAGQCCPSCDVCDFEGILYTDRQTFQPPGHGPCLKCFCTIGNVRCVEETCPPAPCPNPVRDPEQCCPVCKVCVQDGVEFLEGIEWELDGNPCSSCTCRNGDTVCGVSECPPVSCLHPTRREGECCPVCDSCSYNQRLYSNEQIFTDPDNPCQDCQCKDGTVQCSSIVCPPVLCTIPERTPGQCCAKCPDCRYQDQIFLEGEQFSNPLNQCQECWCRDGHVTCTDRGCTGALCSYPLPGTCCQNNCNGCNYAGKEYPNGADFPHPTDKCRQCHCINGNVQCLAQRCPPLLCAEPFPVPGECCPQCPVPPADCPYSGVTYRHMQRFYDPSDKCRDCICNNGTVTCQRKPCAPTPCLHPLQGDCCRSCDGCLMSGKELANGEQFPQPSDPCSVCVCWEGSVTCQPKTCPVLNCPFPAPGQCCKECQDCQYFGEVYLNGQEFSAPEDSCSRCVCADGFVTCSKKPCYKAGCTHPSTPPGKCCPVCDGCSYNGDALINSQSVPDPSNPLCSECTCRAGSVQCVRKLCGPTSCPHPVTGPCDCPICQGCHFQGHNYIDGEVFTSAQSQCEQCRCMRGHVTCGPRPCDQVTCPHPAEDPCMCPVCDGCNYSGRDCTNGESFPDPEDECSHCTCRNGEVACISVPCPRVSCMYPITPRGECCPRCTGICKHNGRVYQSGDTFHPPGDLCTKCSCQNEMVNCQRVRCSQECSHPVLSPASSCCPVCDRCFYENREYANHETFTSTSDPCQRCVCLDGSVTCTHVVCPYVSCANPITKPGQCCRECPVCRYQGKEFSEGAHWVPHTDPCLKCTCSNGHVDCEPPQCPPLPCTQQVTDPGTCCPRCRGCVYNGREYRDNSNWLSSSDHCMSCMCVDGVTTCSKLQCITSCTNQITIPGECCPVCADCISNSKVYLPGDSYNPSKDPCEICTCESLPNGQQYRHCTKKQCPSLLDCPRSYILPPAEGQCCSSCAQALSNCTNTLVGNEIQATDDPCYTCHCKDLTWVCVHQPCPALSCPRSEQFTHSGSCCPVCNECVVEIEGRRVPDGETWTDRQDPCVTCTCTLGHVECQIEECQPVQCQEGERKVKRPGTCCHECQASAVSCWYQGQRFLSNEHWQVDECTACTCVSGEVHCHSERCPQVSCTAEETPALIPGMCCPHCIPRPATCIAFGDPHYRTFDGKMYHFQGSCTYVLSEDCEGGDFSIHVTNDDRGLRGVSWTKEVTVLIGDAVVQLLQDWVVMVDYQTVELPFLKEPYIYIERKTNTILLNSNIGVKVQWNGRSHLEVSVPGTYRDHLCGLCGNFNNYPQDDLRDRRGQILMSEAAFGNSWRVQSSNDSSSSCWDGQDVDPCKQAGYRARKEANGRCKLLKSSVFEPCHRVVPPEMFFASCVYDLCACGAGDECLCDVLEAYASECREAGVILQWRSPALCAVGCPHDRGYVFDECGPPCPKTCFNKDVPLGVLESHCFKPCVPGCQCPAGLVEHESHCIPPESCPKIIHGNL", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "RKCLNTPLPLFYKTCPEGKDLCYKMNFKLLPKKLSIKRGCTDTCPKSSLLVKVVCCDTDKCNK", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "RKCFNSPGRLVSKPCPEGNNLCYKMSNRMYPPGFNVRRGCAETCPRRNRLLEVVCCCDTDNCNK", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MGVKGLLFSIVLINLSLLGLCGYPRKPVDVPFWKNYEPSWASHHIKYLSGGSTVDLVLDRSSGAGFQSKKSYLFGHFSMKLKLVGGDSAGVVTAFYLSSNNAEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKGYHSYSVLWNTFQIVIFVDDVPIRAFKNSKDLGVKFPFNQPMKIYSSLWDADDWATRGGLEKTDWSNAPFTASYTSFHVDGCEAATPQEVQVCNTKGMRWWDQKAFQDLDALQYRRLRWVRQKYTIYNYCTDRKRYPTLPPECTKDRDI", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MARLLKAVTVCALAGIAHAFNYDQPYRGQYHFSPQKNWMNDPNGLLYHNGTYHLFFQYNPGGIEWGNISWGHATSEDLTHWEEQPVALLARGYGSDVTEMYFSGSAVADVNNTSGFGKDGKTPLVAMYTSYYPVAQTLPSGQTVQEDQQSQSIAYSLDDGLTWTTYDAANPVIPNPPQPYQAQYQNFRDPFVFWHDESHKWVVVTSIAELHKLAIYTSDNLKDWKLVSEFGPYNAQGGVWECPGLFKLPLDGGSSTKWVITSGLNPGGPPGTVGSGTQYFVGEFDGTTFTPDADTVYPGNSTANWMDWGPDFYAAAGYNGLSIKDHVHIGWMNNWQYGANIPTYPWRSAMAIPRHLALKTINNKTTLVQQPQEAWSSISSKHPLYSRTYSTFSEGSTNASTTGETFRVDLSFSATSKASTFAIALRASANFTEQTLAGYDFAKQQIFLDRTKSGDVSFDNTFASVYHGPLVPDSTGMVRLSIFVDRSSVEVFGGQGETTLTAQIFPSNDAVHARLVSTGGATEDVRVDVHNITSTWN", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAPQLLLCLILTFLWSLPEAESNVFLKSKVANRFLQRTKRSNSLFEEIRPGNIERECIEEKCSKEEAREVFEDNEKTETFWNVYVDGDQCSSNPCHYRGTCKDGIGSYTCTCLPNYEGKNCEKVLYQSCRVDNGNCWHFCKRVQSETQCSCAESYRLGVDGHSCVAEGDFSCGRNIKARNKREASLPDFVQSQKATLLKKSDNPSPDIRIVNGMDCKLGECPWQAVLINEKGEVFCGGTILSPIHVLTAAHCINQTKSVSVIVGEIDISRKETRRLLSVDKIYVHTKFVPPNYYYVHQNFDRVAYDYDIAIIRMKTPIQFSENVVPACLPTADFANEVLMKQDSGIVSGFGRIQFKQPTSNTLKVITVPYVDRHTCMLSSDFRITQNMFCAGYDTLPQDACQGDSGGPHITAYRDTHFITGIISWGEGCARKGKYGVYTKVSKFIPWIKKIMSLK", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MKTLLLTLVVVTIVCLDFGGGLICYMGPKTPRTCPPGQNLCYTKTWCDGFCGSRGKVVVLGCAATCPTVKPGVDITCCATDKCNPFPKTKAPWERP", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVSFKLPAFLCVAVLSSMALVSHGAVLGLCEGACPEGWVEHKNRCYLHVAEKKTWLDAELNCLHHGGNLASEHSEDEHQFLKDLHKGSDDPFWIGLSAVHEGRSWLWSDGTSASAEGDFSMWNPGEPNDAGGKEDCVHDNYGGQKHWNDIKCDLLFPSICVLRMVE", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKCFAQIVVLLLVIAFSHGAVITGACDKDVQCGSGTCCAASAWSRNIRFCIPLGNSGEDCHPASHKVPYDGKRLSSLCPCKSGLTCSKSGEKFKCS", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MISLSSVAIALTTVVGALALPSDQSVNLAARQAITSSQTGTNNGYYYSFWTNGAGSVSYSNGAAGQFSVNWANQGGGDFTCGKGWNPGKAQDISFSGTFTPNGNAYLSIYGWTTGPLVEYYILENFGSYNPGNGMTHVGTLTSDGSDYDIYKHTQVNQPSIVGTSTFDQYWSIRKNKRSSGTVTTANHFSAWASHGMNLGSHNYQILSVEGYQSSGSASMTVSAGSSSSGGSGSGSGSGSGSGSGSGSQTTTAGSSTGTGTGSGSGSGSGGSGGNCAAQWGQCGGQGWNGPTCCSSGTCKASNQWYSQCL", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKLLAATVLLLTICSLEGALVRRQAKEPCVESLVSQYFQTVTDYGKDLMEKVKSPELQAEAKSYFEKSKEQLTPLIKKAGTELVNFLSYFVELGTQPATQ", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MQLLKALWALAGAALCCFLVLVIHAQFLKEGQLAAGTCEIVTLDRDSSQPRRTIARQTARCACRKGQIAGTTRARPACVDARIIKTKQWCDMLPCLEGEGCDLLINRSGWTCTQPGGRIKTTTVS", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKSLLFTLAVFMLLAQLVSGNLYVKRCLNDIGICKKTCKPEEVRSEHGWVMCGKRKACCVPADKRSAYPSFCVHSKTTKTSTVTARATATTATTATAATPLMISNGLISLMTTMAATPVSPTT", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MMKLMLFSIIVILFSLIGSIHGADVPGNYPLDSSDDTYLCAPLGENPSCIQICRKHGVKYGYCYAFQCWCEYLEDKNVKI", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MMKLVLFSVIVILFSLIGSIHGADVPGNYPLDRSGKKYPCTITWKKNPSCIQICKKHGVKYGYCFDFQCWCEIFGRLKTFKI", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKSAAILALLAQALAVTAQPVEGDRTPGTRTLDLPNFPGGSVPTRGVEKRADLPPDNGGGNAPDPDKVHIVGVTYGGTGCPDGTVSHVLSDDRQIMTLIFDQYVAQIGPGVNTKENRKNCQLNINLRYPGGFQFSVFSADYRGYANLEKGVSGTQKSIYYFSGQTEQTSTSTTWKGPIDKDYILHDEANQTSTVWSPCGANGALNINSQVRLTATDRNARGILTNDSVDTSFKQIVHVRWQQCTN", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKMWFRLATFVTLIIEFAHCQLGAGLTSALTGNTGNAAHAGNSGNMATSMAMAAAMGSDFAALGPLGAKLFSGAGMSPAVAYKMFDGSVADFQKYKAKMNLMKRLNAGGSGGIAGGGGGGATGGLGALAMGKLALFTGGGMDPVVAYKMFDGSVSDFQKYKMKKKLAAAAGAGGGMGIGTLAMMDGSMADMAKFNMLSKVLSPPTGSQTGAAANGTSAGAAVRGGSSPLRRIANMRMTRKLCEDTPVVYRMRRCSPQLPCRHALTTCKQTLRYGSVCCAKNLYAAAMFENMPGF", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKYVALAFVLSLVILQISAQGGGLTSLLLQKEYMPDSWFDYKLAQMILGGPTGRKSRTQSGRNQRKSNSDSWLWLALAN", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MGLSIGSLLICVFLGIVPFATANTNSSSYEEHRNYLLNIFHNPFVNDSIKEKNIPQLIAFYQRYPTDVPLSDADRQQFERFIHDYREYRAVLVDGAPPQGGSFGNIFGHFLGRVGTRYISSLFNKKREERKSNHAYIIEDYN", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MYFAIRLSFVLAVLICLTGNGSARMLDADRNRLQQLQIRSQQSADANTQVDIAYEVIGIYDKYKGQGGSNVLREAQLNSQVNDFKRKTMVIDGVPAQGGVWGILGAIKKAADAVPDNVKKDAENLVKSSTKVLVRGIYDYLMGKMKH", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLVFGFAFLSLLLIETEAQQQNGMMGGGAAGPGINKMERGCYDTPPIQRRARRQCGGGMLVPRFFYSNRLNRCIMRRACFFMRTGMRSMIECNKECRCMQPPSEGTGGGALCEREVMRWMFVGEMCVQRMFSGCGGNGNNFKTQGECMKFCKPREPEFMMGEMPSEMAAAMAFNNRGRNNFGGSPGGWGGSPGGWEGSPGGWGGSPGGWGGGGNQGRRVNRGGGGGGGARGGGGGGGGNGGAGRMNGNNQQGGNNQMGPTAATPPSPRPTMTTGPVTRPIPSVRRPKPKPKRWNNNNNNRNNNLMIQGTTSGGWGGTTTPSYYNPYQSYIAHEYLFK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MPYLLVFLSALCLHGLVYYGSNAQMLSLSPMIGPNVPGLLPGNNIQGLAGLGGAGGFGMPIQLGNVDGLQGPIQLQDLNQRFQSLNSRRVGVGSLQNNRNFLNLNGNRLGILTADAASQFVESVRGNSDTVQADSPQVDFNQLSDVRNRFGVASGRSLMHQLSPPPERSRGTESSSSGQSVNEASNGMSFQSISQGFNPNELSLNQIHLSKSRQ", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRRSVLVVFLVLAVTNVAVEAISRRGSFLAGGLLGLGLGAAASRGFGFPGYYGGYYGGGYYPMGGYYPMGGYYPMGGFYPSYHTFGGYYG", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRYAVLLAVVLLLGAFTAEAFSPPPFHICKWKLWKCLKWCAPWDWKCRRKCFWKYWWCLKKFGGHYGGYGYGDDGYGGGGYGGGGYGGGYGGGYGGGYGGGYGDVGYGGGYSGGYSGGYSGGYGSYGHRRKY", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSRLTLLVLLVIAAVIQKVHGQGRENEKKNEHEPGNQDGGNQNEKTERNLREPNRQTRRFNTRNDNRNRNIMQKRAMLLWQRRMNQRSNQNNFGNNRSIPPTNTFNRNRSRTKSNKSEVEKENGSNKASKGKMQSGDGGGNGSEKEGPERRKVQHRIAKRFQKRHPSNSPKPKPARKTTNQQYRRHFMNNYNNKYWNWRRNMLNRRRTSPQHYQNQQARWRYYRYGPYTWYRYKNKWRLVRYNNMYRRNIKTNQSKKSNQNNQGD", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MIRLVTLAALPVLVLCQFDPSKWFMGSNLGGLQGFGVLPARCLNYLEAGYSRGNRLPSHRFFFNSTSGNCEQFVYYGRGGNRNNFRDVFKCMKSCGCKQQRNGGVPCNPPSQPVVRYYYDTFTKLCNTFQHTGCGGNSNHFKDWNDCFFTCGNGFET", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MDSRNVLIIYAVNVERKLNAAAHHTSEYQTKKLVLRRGQIFTLKVILNRPLQPQDELKVTFTSGQRDPPYMVELDPVTSYRSKGWQVKIAKQSGVEVILNVISAADAVVGRYKMRVNEYKAGVFYLLFNPWCSDDSVFMASEEERAEYILNDTGYMYMGFAKQIKEKPWTFGQFEKHILSCCFNLLFQLENNEMQNPVLVSRAICTMMCAANGGVLMGNWTGDYADGTAPYVWTSSVPILQQHYVTRMPVRYGQCWVFSGILTTALRAVGIPARSVTNFESAHDTEKNLTVDIYLDESGKTIPHLTKDSVWNFHVWTDAWMKRQDLPHGYDGWQVLDSTPQEISDGGFRTGPSPLTAIRQGLIQMKYDTTFVFTEVNGDKFIWLVKQNQEREKNILIAVETASLGKKISTKMVGENRREDITLQYRFPEGSPEERKVMAKASGKPSDDKLNSRTLNNSLQISVLQNSLELGAPIYLTITLKRKTATPQNVNISCSLNLQTYTGNKKTNLGVIQKTVQIHGQESRVFLTMDASYYIYKLGMVDDEMVIGGFIIAEIVDSGERVATDTTLCFLYSAFSVEMPSTGKVKQPLVITSKFTNTLPIPLTNIKFSVESLGLANMKSWEQETVPPGKTITFQMECTPVKAGPQKFIVKFISRQVKEVHAEKVVLISK", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRCVAIFLVVICAFVLQALAEVQCPASGASDQDNLDFCMEYPELIEKCELKSCEGFIKVVS", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKFIVLLGALLALLVAVSADRIAREAPEMESVDEAVLTRQAREAEDPAVVEDAIRKFVRWLVQKYGINIHDLIDHFKH", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MTLEGLYLARGPLARLLLAWSALLCMAGGQGRWDGALEAAGPGRVRRRGSPGILQGPNVCGSRFHAYCCPGWRTFPGRSQCVVPICRRACGEGFCSQPNLCTCADGTLAPSCGVSRGSGCSVSCMNGGTCRGASCLCQKGYTGTVCGQPICDRGCHNGGRCIGPNRCACVYGFMGPQCERDYRTGPCFGQVGPEGCQHQLTGLVCTKALCCATVGRAWGLPCELCPAQPHPCRRGFIPNIHTGACQDVDECQAVPGLCQGGSCVNMVGSFHCRCPVGHRLSDSSAACEDYRAGACFSVLFGGRCAGDLAGHYTRRQCCCDRGRCWAAGPVPELCPPRGSNEFQQLCAQRLPLLPGHPGLFPGLLGFGSNGMGPPLGPARLNPHGSDARGIPSLGPGNSNIGTATLNQTIDICRHFTNLCLNGRCLPTPSSYRCECNVGYTQDVRGECIDVDECTSSPCHHGDCVNIPGTYHCRCYPGFQATPTRQACVDVDECIVSGGLCHLGRCVNTEGSFQCVCNAGFELSPDGKNCVDHNECATSTMCVNGVCLNEDGSFSCLCKPGFLLAPGGHYCMDIDECQTPGICVNGHCTNTEGSFRCQCLGGLAVGTDGRVCVDTHVRSTCYGAIEKGSCARPFPGTVTKSECCCANPDHGFGEPCQLCPAKDSAEFQALCSSGLGITTDGRDINECALDPEVCANGVCENLRGSYRCVCNLGYEAGASGKDCTDVDECALNSLLCDNGWCQNSPGSYSCSCPPGFHFWQDTEICKDVDECLSSPCVSGVCRNLAGSYTCKCGPGSRLDPSGTFCLDSTKGTCWLKIQESRCEVNLQGASLRSECCATLGAAWGSPCERCEIDPACARGFARMTGVTCDDVNECESFPGVCPNGRCVNTAGSFRCECPEGLMLDASGRLCVDVRLEPCFLRWDEDECGVTLPGKYRMDVCCCSIGAVWGVECEACPDPESLEFASLCPRGLGFASRDFLSGRPFYKDVNECKVFPGLCTHGTCRNTVGSFHCACAGGFALDAQERNCTDIDECRISPDLCGQGTCVNTPGSFECECFPGYESGFMLMKNCMDVDECARDPLLCRGGTCTNTDGSYKCQCPPGHELTAKGTACEDIDECSLSDGLCPHGQCVNVIGAFQCSCHAGFQSTPDRQGCVDINECRVQNGGCDVHCINTEGSYRCSCGQGYSLMPDGRACADVDECEENPRVCDQGHCTNMPGGHRCLCYDGFMATPDMRTCVDVDECDLNPHICLHGDCENTKGSFVCHCQLGYMVRKGATGCSDVDECEVGGHNCDSHASCLNIPGSFSCRCLPGWVGDGFECHDLDECVSQEHRCSPRGDCLNVPGSYRCTCRQGFAGDGFFCEDRDECAENVDLCDNGQCLNAPGGYRCECEMGFDPTEDHRACQDVDECAQGNLCAFGSCENLPGMFRCICNGGYELDRGGGNCTDINECADPVNCINGVCINTPGSYLCSCPQDFELNPSGVGCVDTRAGNCFLETHDRGDSGISCSAEIGVGVTRASCCCSLGRAWGNPCELCPMANTTEYRTLCPGGEGFQPNRITVILEDIDECQELPGLCQGGDCVNTFGSFQCECPPGYHLSEHTRICEDIDECSTHSGICGPGTCYNTLGNYTCVCPAEYLQVNGGNNCMDMRKSVCFRHYNGTCQNELAFNVTRKMCCCSYNIGQAWNRPCEACPTPISPDYQILCGNQAPGFLTDIHTGKPLDIDECGEIPAICANGICINQIGSFRCECPAGFNYNSILLACEDVDECGSRESPCQQNADCINIPGSYRCKCTRGYKLSPGGACVGRNECREIPNVCSHGDCMDTEGSYMCLCHRGFQASADQTLCMDIDECDRQPCGNGTCKNIIGSYNCLCFPGFVVTHNGDCVDFDECTTLVGQVCRFGHCLNTAGSFHCLCQDGFELTADGKNCVDTNECLSLAGTCLPGTCQNLEGSFRCICPPGFQVQSDHCIDIDECSEEPNLCLFGTCTNSPGSFQCLCPPGFVLSDNGHRCFDTRQSFCFTRFEAGKCSVPKAFNTTKTRCCCSKRPGEGWGDPCELCPQEGSAAFQELCPFGHGAVPGPDDSREDVNECAENPGVCTNGVCVNTDGSFRCECPFGYSLDFTGINCVDTDECSVGHPCGQGTCTNVIGGFECACADGFEPGLMMTCEDIDECSLNPLLCAFRCHNTEGSYLCTCPAGYTLREDGAMCRDVDECADGQQDCHARGMECKNLIGTFACVCPPGMRPLPGSGEGCTDDNECHAQPDLCVNGRCVNTAGSFRCDCDEGFQPSPTLTECHDIRQGPCFAEVLQTMCRSLSSSSEAVTRAECCCGGGRGWGPRCELCPLPGTSAYRKLCPHGSGYTAEGRDVDECRMLAHLCAHGECINSLGSFRCHCQAGYTPDATATTCLDMDECSQVPKPCTFLCKNTKGSFLCSCPRGYLLEEDGRTCKDLDECTSRQHNCQFLCVNTVGAFTCRCPPGFTQHHQACFDNDECSAQPGPCGAHGHCHNTPGSFRCECHQGFTLVSSGHGCEDVNECDGPHRCQHGCQNQLGGYRCSCPQGFTQHSQWAQCVDENECALSPPTCGSASCRNTLGGFRCVCPSGFDFDQALGGCQEVDECAGRRGPCSYSCANTPGGFLCGCPQGYFRAGQGHCVSGLGFSPGPQDTPDKEELLSSEACYECKINGLSPRDRPRRSAHRDHQVNLATLDSEALLTLGLNLSHLGRAERILELRPALEGLEGRIRYVIVRGNEQGFFRMHHLRGVSSLQLGRRRPGPGTYRLEVVSHMAGPWGVQPEGQPGPWGQALRLKVQLQLL", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "TTCTTTQQTAAYVALVSILSDSSFNQCATDSGYSMLTATALPTTAQYKLMCASTACKTMITKIVSLNAPDCELTVPTSGLVLNVYSYANGFSSTCASL", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MLMLLCIIATVIPFSLVEGRKGCWADPTPPGKECLYGKEIHGGRNLGIHYIFTSKFTRICCQALECQYWGLCRFKDVWCGQSVSGVPIKANALLWGQRDVEPIMRCYDSRGAGAYYSFTVQVVPIEDR", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MILVVTLACLIAVVCCQCPTTDQGQISKVFKAYDIDGNNKISRVEGTMVFRDADLNRDGALDNNEFSSEWAFYHNDYYSPFFNVADRNHNGRIEFVEGNQGFDHFDKNRDNEISSWEFTQTWMETVRPSSRPIDF", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MHQSSLGVLVLFSLIYLCISVHVPFDLNGWKALRLDNNRVQDSTNLAVEHLAGQLKQPITAFKLEENIPNWDLYRVSFIGTYFKGEEYECHSDVVWIFNPFNVTVFNTGCLPTSQLNFKY", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MARQGCLGSFQVISLFTFAISVNICLGFTASRIKRAEWDEGPPTVLSDSPWTNTSGSCKGRCFELQEVGPPDCRCDNLCKSYSSCCHDFDELCLKTARGWECTKDRCGEVRNEENACHCSEDCLSRGDCCTNYQVVCKGESHWVDDDCEEIKVPECPAGFVRPPLIIFSVDGFRASYMKKGSKVMPNIEKLRSCGTHAPYMRPVYPTKTFPNLYTLATGLYPESHGIVGNSMYDPVFDASFHLRGREKFNHRWWGGQPLWITATKQGVRAGTFFWSVSIPHERRILTILQWLSLPDNERPSVYAFYSEQPDFSGHKYGPFGPEMTNPLREIDKTVGQLMDGLKQLRLHRCVNVIFVGDHGMEDVTCDRTEFLSNYLTNVDDITLVPGTLGRIRAKSINNSKYDPKTIIANLTCKKPDQHFKPYMKQHLPKRLHYANNRRIEDIHLLVDRRWHVARKPLDVYKKPSGKCFFQGDHGFDNKVNSMQTVFVGYGPTFKYRTKVPPFENIELYNVMCDLLGLKPAPNNGTHGSLNHLLRTNTFRPTMPDEVSRPNYPGIMYLQSEFDLGCTCDDKVEPKNKLEELNKRLHTKGSTEAETGKFRGSKHENKKNLNGSVEPRKERHLLYGRPAVLYRTSYDILYHTDFESGYSEIFLMPLWTSYTISKQAEVSSIPEHLTNCVRPDVRVSPGFSQNCLAYKNDKQMSYGFLFPPYLSSSPEAKYDAFLVTNMVPMYPAFKRVWAYFQRVLVKKYASERNGVNVISGPIFDYNYDGLRDTEDEIKQYVEGSSIPVPTHYYSIITSCLDFTQPADKCDGPLSVSSFILPHRPDNDESCNSSEDESKWVEELMKMHTARVRDIEHLTGLDFYRKTSRSYSEILTLKTYLHTYESEI", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAPRGCIVAVFAIFCISRLLCSHGAPVAPMTPYLMLCQPHKRCGDKFYDPLQHCCYDDAVVPLARTQTCGNCTFRVCFEQCCPWTFMVKLINQNCDSARTSDDRLCRSVS", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKVTLIAILTCAAVLVLHTTAAEELEAESQLMEVGMPDTELAAVDEERLFECSFSCEIEKEGDKPCKKKKCKGGWKCKFNMCVKV", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNFKYIIAVSFFIASAYARRNEKDVQSLSQRDVLEEESLREIRGIGGVLLSAGKAALKGLAKVLAEKYANGKRTAEDHEVMKRLEAVMRDLDSLDHPEEASERETRGFNQEEIANLFTKKEKRILGPVLGLVGNALGGLIKKIG", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNFKYIVAVSFLIASAYARSEENDEQSLSQRDVLEEESLREIRGIGAKILGGVKTALKGALKELASTYVNGKRTAEDHEVMKRLEAVMRDLDSLDYPEEAAERETRGFNQEEIANLFTKKEKRILGPVISTIGGVLGGLLKNLG", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MNFKYIVAVSFLIASAYARSVQNDEQSLSQRDVLEEESLREIRGIGGVLLSAGKAALKGLAKVLAEKYANGKRTAEDHEVMKRLEAIMRDLDSLDYPEEASERETRGFNQDEIAKEKRILGPVLGLVGNALGGLIKKIG", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAPAAMNLLCTVLYLLSSFAQVSDAAPWLFSRSIPASYHDGRLGAVASENSMCSEYGADMLKIGGNAADAVCIYRLSLFFAFLPYPALQEDRAMYHSGIGGGGFMLIRAPNGTYEFIDFRETAPAAAFQDMFKNNTSGSTSGGLASGVPGEVRGLEYLHKNYGKLPWKTVMEPAIRTARDGFRVTEDLSRIMLHSTKNGNFLAENAAWALDFAPQGTLLKVGDIITRRRYGDTLDKIAKYGADAFYTGPMAQAMVNALRAANGTMTLEDLKNYTVVSRPTAQIEYRGMTVTSTTAPSSGVVLLSILKLLNGYKNFFRMDPGPLSTHRMDEAIRFGYGQRTELGDPLFFSNLTDYQKKMISDEAANKNRMNISDEYTQDIAVYDPKGLESLNTPGTSHISTADRSGMAVSLTTTINLYFGSRVIVPETGIIMNNEMDDFSVPGRSNSFGYKPSPSNFIRPGKRPLSSICPTIITRPDGSLYFVSGAAGGSQIITGTLQSVINVMDRKMNVRQALKAPRLHDQLVPNVALMEDEFDKKTVDFMISRKHNVTREKSGSTVESIMRLKNGVFEASGEPRLANSGGVVV", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MRTLTVVCFVALCLSAIFTTGNALPGELADDVRPYANSLFDELPEESYQAAVENFRLKRATCDLLSGFGVGDSACAAHCIARRNRGGYCNAKKVCVCRN", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKSITVICFLALCTVAITSAYPQEPVLADEARPFANSLFDELPEETYQAAVENFRLKRATCDLLSGFGVGDSACAAHCIARGNRGGYCNSQKVCVCRN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNSLVLPLCLLALFGFSQGELLVTKKVYFDIKIGNEPIGRIVMGLFGNVVPRTTENFYQLCTGQNGYGYTGSKFHRVIPKFMIQGGDFTKGDGTGGKSIYGAKFADENFQLEHYGAGWLSMANAGQDANESQFFVTTVKCPWLDGRHVVFGKVLEGMDIVKHIEQMPTDSTDRPIEDVVISESGAIELDTPFAVDKTGVGADEEEE", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKTAVVLAAFSALMALARAQSPLASMGGGGSPMGMGMGMGMNRMMLPMLMGGMDMKHFALMNMLGGGGGMGGSRMLPLMAALRGNENMLPILLAAKGGMSNPLAMMAMLGGNDNLMNMLPLMMSSGMGMGGGGGMMRGAGAAGGGAAGPGM", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLSLWAIGLLGLLNQVEALWSPSSYQSPAQQRSAQFSSSGWGTSPAAQNPWSFNRPMPNTNMPNMNTGSLPGSMPGAMPGSMPGAMPGSMPGAMPGSMPGSMPGSMPNAMPGAMPGGTGSGFMAGAMPGAMPNMRSSNNMMGNMRNGYPPSYMTPSPRNTICVAPVSQPRLCMVNGENTHKYRLKDVIEFLGHPNIEKVPKCVPGVPRVNCADYYAAQYIWKRGYCYCKNFHSNLPSYQMGSTQIRCVLNNCGACSQEFYVDKQRVVKCE", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKGLTLACIAATVVAASHAMTTIIAPIAEISTTSSPSTTTINPLLQSRIDFEISRLTRRLERRIRGLQVGSGRLDRSITSLQRELDFNNAVLAQLPELIKNIMINNPTQRLSSRTVASIMRSVQNAATKASNEESAEVEDRRRRAIFEVTSSIVIVEGTTDSTTTTQIPEVTTQEVDTTTEMVTTAAPEQEVTSTATETTTEMTTQGTTLPSFLVSRINSVVSRIGRFFQRRIQRIQRSLSRLSRFRPLYSRLIDENTSALNNLPLLVRGLVTSPFQRRLRLSQVFRALRFRLTITTPSQPDVSPMSVRKRRQAESAEEDDDLVGDMEDLKELEQEIQEALEEVEKLDV", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLFKSVLLSTLIAVQALAENSAHQEIVTVTKTTHISNPCLENYAKKLLPNNPTGLTTGIPIVIVYAPQQPDNQVKQSESLQPTQSSKAQQQQQIQGSSVPASIHDTPTPTDHTKITVTSTTICNQKVCTVKTFSTIISHSESPTTTTTTKQNEEKSIADTKSFTHKALPSSNGAVTLKTTSVKTALTTTSTVFSATSLPSTTYTPSTSVSLVSTTKKNPVSSQSVVSTTKTISINTSLITDAVTITKEATTLPNSKHSSIFTNGSISFISTSANKVKSTVTSDISNNSQNGSSLSLTSTINQLASITNSTLTTITKPTSLVPDFSYTNSSRVSSTMRNSSEESSMVLEKSSSKLLSSTSFLNSSSIASTTESSELASATTSDSSLNHSSSSSVELSSSLSSEADSSSSSESVETGSSDETASNYSGDLFKAIDTNAPPTVFARSEIPLTIPAGVDNNGKPIGTNKFYTNLLLGNQDFMVYPLPYGLYWSKTSYYGFAVQHNNVSDRVFGSINTNNKGVASYYFNPTNNAELIFSATSFSKDSMHMKVSQMAELSALVTLSSSSNDESNYLDIPLVQGMGFVTGIYNGNLTPLLNSLFGVKDLSLETSDALLSNVLKYRATLLNNVQWLIYVTLPDKDTDFKLEVEDFYNLKGSKPVDGLIIQVAIAPEDNDNDKYYDAAAGMYVTGATVSGSVSQGTAASYKFSYTTAGKSSSNNPIVFALPHHMDSLTGSALDALTGITVTSTTKGEMTGFLTNELEFSETINQDVEFLPWTENMTGSLTYTKDQLELLASAANKELAANIAATVKNMNSNYFSGKVLDKYAQILLVVSEIIQDEEVTKDALNAMKDAFKVFTQNKQYYPLMYDTKFGGVTSTSAQDGDPNADFGSAYYNDHDFHYGYFIHAAAIVGYVDKKLGGTWAQSNKDWVNSLVRDASNPSADDTYFPVSRMFDWFSGHSWATGLFVTYKNIESSSESLHFAAAIKLWGKVVGDQSMEARGGLMISIMARSFNMYFYYKSDNTVEPKQILPNKVSGIFFENKVDYTTFFGTPADHPEYVHGIHMLPITPSSSLVRKTSYVQEEWKDQIAGFIDNVDSGWTGILRLNQALFDPKSSYEFFASNNWDDKWLDNGQSRTWSLAFAAGALNAS", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MNLKVGIAVLIIALIVPSAQPYIYRGYDRSSDPNFDMFGRSVAGDNAYRQPLRTLQSPAPMVPRAMPLQRQMYVPPRAPMIAPRVPIRAPMSPVRPGVLQTQPVMPIPYHHPHYYPGYDPPSYDPPDISQPDPPGEYFYHPRPRPNLGIYNPSRITAFNRAYLR", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "GLFSKFNKKKIKSGLFKIIKTAGKEAGLEALRTGIDVIGCKIKGEC", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVYLDLGYTTKCYNHQSTTPETTEICPDSGYFCYKSSWIDGREGRIERGCTFTCPELTPNGKYVYCCRRDKCNQ", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MQCVCLCVFVLLLAGCVTSQEEVEVDCYCVYFKYDCPEDYIESNRYNNQCQIRHKCCVPPPKYFFFVFPKGYRMP", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSCGTYKRGSLTFLLVVALAVPVFCQSRTRLKWKTRISTTPEVMVEERALVRNETNNRYVKIYSTRHPKKTAISNATSTIDFEKRKVAIKVRTYVNSQRTEACYLMDPINTHDMTMTVNVIKSRNQTQVIDKTAVMDMVVNSNKLTIDDLKKDYELSRIYKECDKAVVESGLYTIIKGTPSASATSTDPPIDVLGLIPQSGIHSQHIRIHFNTNPQTAPV", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKCLVVLTALFGISTASFLGCNLSPVWGVKNAYRSGLQTYWCPPGLKFDPFGCCCDFPFDDLVEDLAGLLGGAGNGGNGGGGNGGNGGGGNGGNNGNGNGNNGLKKYETCYDC", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MIRLYIQCLILWIIILPLLAAREGFGGRCLKPKDKGNRSCGRSQKYYYFNAEKGRCYRFTYYGCKGNSNRFKKKSDCVSSCACQAVLDHGSHCKSKAKRKEGSKVRYYFDSESGLCRKFWYVGCGGNHNKFTSKTSCKKVCVKDAPKRSKSSKPTSKTFSKNAQYSMNSLLRMLKNLSKKVM", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MVSFSSILLACSAAIGALATPIEPLADHPNEAFNETAFNDLVGRSTPSSTGYNNGYYYSFWTDGGGDVTYTNGNGGSYSVQWSNVGNFVGGKGWNPGSSRAITYSGSFNPSGNGYLAVYGWTTDPLIEYYIVESYGTYNPGSGGTYKGQVTSDGGTYNIYTSVRTNAPSIIGTATFTQFWSVRTSKRVGGTVTTGNHFNAWAKYGLTLGTHNYQIVATEGYQSSGSSAITVY", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MWVLMSWLAFAAGLVAGTQCPDGQFCPVACCLDQGGANYSCCNPLLDTWPRITSHHLDGSCQTHGHCPAGYSCLLTVSGTSSCCPFSKGVSCGDGYHCCPQGFHCSADGKSCFQMSDNPLGAVQCPGSQFECPDSATCCIMVDGSWGCCPMPQASCCEDRVHCCPHGASCDLVHTRCVSPTGTHTLLKKFPAQKTNRAVSLPFSVVCPDAKTQCPDDSTCCELPTGKYGCCPMPNAICCSDHLHCCPQDTVCDLIQSKCLSKNYTTDLLTKLPGYPVKEVKCDMEVSCPEGYTCCRLNTGAWGCCPFAKAVCCEDHIHCCPAGFQCHTEKGTCEMGILQVPWMKKVIAPLRLPDPQILKSDTPCDDFTRCPTNNTCCKLNSGDWGCCPIPEAVCCSDNQHCCPQGFTCLAQGYCQKGDTMVAGLEKIPARQTTPLQIGDIGCDQHTSCPVGQTCCPSLKGSWACCQLPHAVCCEDRQHCCPAGYTCNVKARTCEKDVDFIQPPVLLTLGPKVGNVECGEGHFCHDNQTCCKDSAGVWACCPYLKGVCCRDGRHCCPGGFHCSARGTKCLRKKIPRWDMFLRDPVPRPLL", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRCISPTALVTFCAGFCISNPVLAQGLEAGVGPRADCEVCKEFLDRFYNSLLSRGIDFSADTIEKELLNFCSDAKGKENRLCYYLGATTDAATKILGEVTRPMSVHIPAVKICEKLKKMDSQICELKYGKKLDLASVDLWKMRVAELKQILQRWGEECRACAEKSDYVNLIRELAPKYVEIYPQTEL", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MGLPGSPWQRVLLLLGLLLPPATPFWLLNVLFPPHTTPKAELSNHTRPVILVPGCLGNRLEAKLDKPDVVNWMCYRKTEDFFTIWLDFNLFLPLGVDCWIDNTRIVYNHSSGRVSNAPGVQIRVPGFGKTESVEYVDDNKLAGYLHTLVQNLVNNGYVRDETVRAAPYDWRLAPHQQDEYYKKLAGLVEEMYAAYGKPVFLIGHSLGCLHVLHFLLRQPQSWKDHFIDGFISLGAPWGGSIKAMRILASGDNQGIPILSNIKLKEEQRITTTSPWMLPAPHVWPEDHVFISTPNFNYTVQDFERFFTDLHFEEGWHMFLQSRDLLERLPAPGVEVYCLYGVGRPTPHTYIYDHNFPYKDPVAALYEDGDDTVATRSTELCGQWQGRQSQPVHLLPMNETDHLNMVFSNKTLEHINAILLGAYRTPKSPAASPSPPPPE", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MYKTNIVFNVLALALFSIFFASCTDMKDESDRGAHSERGALWFGPRLGKRSMKPSTEDNRQTFLRLLEAADALKFYYDQLPYERQADEPETKVTKKIIFTPKLGRSVAKPQTHESLEFIPRLGRRLSEDMPATPADQEMYQPDPEEMESRTRYFSPRLGRTMSFSPRLGRELSYDYPTKYRVARSVNKTMDN", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MARLGLLLLLLLALPPHFSSVSWPDTAQGTMANLILTALEKATLFLEDRLPTINLDGVVGFQVLEVQLRGVQEKWAHKPLLQPLSMRAGQMANTLSALLQKSIFYLKQSDPTYLREFQPSIQPGFWKLPNDWTRTNASLVYPWLEPLDSFSEESSDVCLVQLLGTGTDSSQPCRLSNFCRTLMTKAGCSGYSLSHQLLFFLWARMQGCTEGLFLQSQHYMDIFCANMMELNHRAEAVGYAYPTQDLFMENIMFCGMAGFSDFYKLRWLEAILSWQNPQVGCFGRPDTKGEPSEVPHQQGILRRVRRREKLFADGCSCHNTATAVAALGGFLYILAEYHPDNGDAHPEYYPNHGDPYSSSQSPASNYQDGAAGPDVQRTGRPLSVS", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MNLLVFFVYLXVCVIGSHSIXGSQQLSTKKCGGAICAMACTYGFVLDKDGCPICKCRDAPCPLVKCLPCKYGYVIDDNGCQTCECKPLDCGLVCLIYCPYGNIPDERGCPTCFCRPRPCKELECEKKCRNNVLDEIGCPTCKCKECLEPKKVGPCRALIPRYFYDVWSGKCKKFYWGGCQANGNNFKRKSQCCKRCKS", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKSCAILAALGCLAGSVLGHGQVQNFTINGQYNQGFILDYYYQKQNTGHFPNVAGWYAEDLDLGFISPDQYTTPDIVCHKNAAPGAISATAAAGSNIVFQWGPGVWPHPYGPIVTYVVECSGSCTTVNKNNLRWVKIQEAGINYNTQVWAQQDLINQGNKWTVKIPSSLRPGNYVFRHELLAAHGASSANGMQNYPQCVNIAVTGSGTKALPAGTPATQLYKPTDPGILFNPYTTITSYTIPGPALWQG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MIRLVTLAALPVLVLCQFDPSKWFMGSNLGGLQGFGALPARCLKYLEPGLSRGNRPPSHRFFFNSTSGNCEQFVYYGRGGNRNNFRDVFKCMKSCGCKQQRNGGVPCNPPSQPVIRYYYDTFTKLCNTFQHTGCGGNSNHFKEWNDCFFTCGSGFEW", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKYVALAFVLSLVILQISAQGGGLTSLLLQKEYMPDNWFDYKLAQMLLGGTRGRKSRTQSGRNQGKSTSDSWLWLALAS", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MLASCHITSLSGILLLLCVQCSAQILINPCGDVPGVRGPCSANLRRFTFAYGRCVPFYYGGCLGTRNRFDSFVECERRCLGGPCNQTPGVVGRCYAAIPRYTYVPFPINSCVSFTYGGCEGNDNNFEDVNVCFNLCVFRRG", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MYDTWFVLTAVVLFVLVLIGNVHGYPPWAWSGFMGSKWYNSWGPWAWRGWDDDWFDD", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLFRFLSVRAVFFLAVFSVVILWTYACDCPDETRQQKCRRTDFTFLGNANFVALTSVQSSLYIRYCFSIWRVFKDRSFALSSPCIVTPFDTIACGIRIQLYEPYIVSGVHTRSGNIAQACDWNERWSDVPFFYRFQVLNQPDYCLP", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVCRFSYVQVVLILVVLSVIISWANACSCFPPDETRQQKCRRADFVFLGRGYVTGIQQIGSFFYLRYCFLIDRVFKDRASSLNIPCALTNVESSYCGVRFERGRRYIVSGYLTRSGNQIGACEWNERWSNVPFLTRLQLFNDPQWCLP", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "NLLQFNRMIKLETKKNAVPFYAFYGCYCGWGGQGQPKDATDRCCFEHDCCYGKLTKCNTKSDLYSYSSKYGFLLCGKGTWCEEQICECDRIAATCLRRSLDTYKLKYMFYLDSYCKGPSEKC", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKAIIFFIGCLMLIDLVAGSRSGYPVTQKGCVYSCFWGSNWWCNAECTALGGSSGYCAWPSCWCYSLPDNRNIWGSYPNNCGKK", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MPLKWSLLLGTFVLISCSSVEAAVTVGRACKVTDTMPGICRTSSDCEPLIDGYIKSGVLTLNDVPSCGLGAWGEIFCCPTKPCCDNSTITSVSTSSTTSTKAPMTSGRVDVPTFGSGDRPAVAACKKIRERKQQRSGNQLVIHIVGGYPVDPGVYPHMAAIGYITFGTDFRCGGSLIASRFVLTAAHCVNTDANTPAFVRLGAVNIENPDHSYQDIVIRSVKIHPQYVGNKYNDIAILELERDVVETDNIRPACLHTDATDPPSNSKFFVAGWGVLNVTTRARSKILLRAGLELVPLDQCNISYAEQPGSIRLLKQGVIDSLLCAIDQKLIADACKGDSGGPLIHELNVEDGMYTIMGVISSGFGCATVTPGLYTRVSSYLDFIEGIVWPDNRV", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MPIKSFASRLALSLAICGTAMGQKVNGADYNKPDGGPPAKFFQASSSIPVAAIQAAAAKASKVPSHATYPIGQGSTKSTIHSDWAGFSEGAAFSFIADMDVDCDGLNHGCKGNPDGQKETNWGALSAYEVPFIVIPQEFLDANKGTLKGNAVAAVICNGKMFYGIFGDSNGDSPQVTGEASWLMARTCFPKEDLNGNKGHTAADVTYIVFTGDKAVLPSSALNKNYITNFDTLRSMGDSLVGALAKNLNLGGGGGNPPTTLTTTSIPEPTGGSGSCSWPGHCAGATCSSNDDCSDDLTCQNGKCASDGSAETCSWEGHCKGATCSSNDDCSDELACISGICSVDNGVETCEWEGHCEGASCSSHDDCDGNLACKNGKCSA", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLSSGTICSLLLLSMLWMDMAMAGSSFLSPEHQKAQQRKESKKPPAKLQPRALEGWLHPEDRGQAEETEEELEIRFNAPFDVGIKLSGAQYQQHGRALGKFLQDILWEEVKEAPADK", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKVAILFLSILVLAVASESIEESRDDFAVEELGRATCAGQDQPCKETCDCCGERGECVCGGPCICRQGYFWIAWYKLANCKK", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MNYLLVLTLASLLALGVNGKKDGYPVDHANCKYECWYDDKYCDDLCKKRKADSGYCYKLNISCYCLGLPDNAAIKDYGRCRP", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKVAILILSILVLAVASETIEEYRDDFAVEELERATCAGQDKPCKETCDCCGERGECVCALSYEGKYRCICRQGNFLIAWHKLASCKK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKCTLRWTITALVLLGICHLARPAYHKKCGRYSYCWIPYDIERDRRDNGGKKYCFCRYAWSPWQCNEEERYEWLRCGMRFYSLCCYTDDDNGNGNGNGNGNGLNYLKSLYGGYGNGNGEFREEYIDERYDN", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "SLLEFGMMILEETGKLAVPFYSSYGCYCGWGGKGTPKDATDRCCFVHDCCYGNLPDCTPKPDRYKYKRVNGAIVCEQGTSCENRICECDKAAAICFTKNLNTYSKIYMLYPDFLCKGELKC", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKGNIGIAVFYMLLLLLPTDSIGKKMEEEQEKLFRQKRNPLIPAIYIGATVGPSVWAYLVALVGAAAVTAANIRRASSDNHSCAGNRGWCRSKCFRHEYVDTYYSAVCGRYFCCRSR", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MAVAALALLALLPQALGQHNSSYVDYNVEANPDLFPQCLDTISLSFPDCQSGPLSKNLVCDSTASPYDRAAALVSLFTLEELIANTGNTSPGVPRLGLPPYQVWSEALHGLARANFTDNGAYSWATSFPSPILSAAAFNRTLINQIASIISTQGRAFNNAGRFGLDVYSPNINTFRHPVWGRGQETPGEDAYTLTAAYAYEYITGIQGGVNPEHLKLAATAKHFAGYDIENWDNHSRLGNDVNITQQDLAEYYTPQFLVAARDAHVHSFMCSYNAVNGVPSCSNTFFLQTLLRDTFSFVDHGYVSGDCGAVYGVFNPHGYAANEPSAAADAILAGTDIDCGTSYQYHFNESITTGAVARDDIERGFIRLYANLVELGYFDGNSSSSNPYRSLGWPDVQKTDAWNISYEAAVEGIVLLKNDGTLPLASPSEGKNKSIALIGPWANATTQLQGNYYGDAPYLISPVDAFTAAGYTVHYAPGTEISTNSTANFSAALSAARAADTIVFLGGIDNTIEAEAQDRSSIAWPGNQLELISQLAAQKSDDQPLVVYQMGGGQVDSSALKSNAKVNALLWGGYPGQSGGLALRDILTGARAPAGRLTTTQYPAAYAESFSALDMNLRPNETTQNPGQTYMWYTGEPVYAFGHGLFYTTFNASSAQAAKTKYTFNITDLTSAAHPDTTTVGQRTLFNFTASITNSGQRDSDYTALVYANTSTAGPSPYPNKWLVGFDRLAAVAKEGGTAELNVPVAVDRLARVDEAGNTVLFPGRYEVALNNEREVVVEVELVGEQVVLLKWPEEVQGVAGDE", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNTATGFIVLLVLATVLGAIEAEDAVPDFEGGFASHAREDTVGGKIRRSSVCIPSGQPCPYNEHCCSGSCTYKENENGNTVQRCD", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVTLPLLLSILPLAAVFSSAASLQVRENHDCASIQPPQVPGAEILSVIGVQRRVEVAPFPPSPSKPNTTIDICSVNVTLSHMGVNDKVVVSVWLPLPDKWNGRFQATGGGGWAAGTFDLLMGPAALEGYSTAGTDAGVTVDPGSADKWALKEDGTVNYDLLENFASRSIHDMAIVGKAVTESYYKKPANYSYFYGCSNGGRQGMVEAQKYPDDFDGILAGAPAIYWPQFLTSTEWPQVVMQSEKVFPSQCVFEAFRKAGIAACDKLDGVEDGVVSNLDGCEFNPFALVGKKVKCGEESTTITLAQAWVAKKIYDGPKSTAKHALWDVLPVGASYVGLANSTIENGVPKIAPFVIGSSWIRSFLKKDVNFDLSTITYADMPKLFQQSIDEFDKIAGGSNPDLSALKKSGTKLLSWHGLADELIHPQGSIKYRQAVEHRMGGGSEVDNYYRLFLAPGVTHCGIGVNDGAAPIDTLKVLVRWVEKGEAPETMPATATDASGTTTLFTRNLCRYPLVPRYKGGDKNSADSFECAKDFGSHH", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKTLLLTLLVVTIVCLDLGYTLECHNQQSSQTPTTKTCSGETNCYKKWWSDHRGTIIERGCGCPKVKPGVNLNCCTTDRCNN", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSEMGFLRSVAAVLLLAVFSHAAVVTENGLPIQWGKAPSDLSHLPISDTGVQVNPWDYSQRMTMYKMLINATNAYMSSMGPGEQENPLWSLPLQLGWKLKSGRLADPTLDSSSTCGSEASDPVCISPLSWFACVNYYLSVLPFLAAVETGVVSSGGHQVLIQVPAEVAQDYCSSYSDCSTKHPNAMAKWHLFFQSLRQVSQSEDSDFNKKDSILGLMWAAEEESLQTASGACTERQKLYSSPEVSFQQSWLNSAAFVSAAHFHANIERSEKFMAPLPSRVLQEADSPPNIADLSTEENHTLYIFGWMNSVNQLLGGSLVNLWRKAMCSAQAREKGQALLHDLILDPKFPGSSLWSILSEMSTSC", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "ECADVYKECWYPEKPCCKDRACQCSLGMNCKCKATLGDIF", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNFLLTWIHWGLAALLYFHNAKVLQAAPAQGDGERQQGEVIPFLKVYERSICRPVETMVDIFQEYPDEVEYIFKPSCVALMRCGGCCNDEALECVPTEMYNVTMEVMKLKPFQSQHIHPVSFQQHSKCECRPKKDIRNKDNHCEPCSERRKHLYKQDPLTCKCSCKAPDLRCKSKQLELNERTCRCERPRR", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MASFKLWVCLILLLLEFSVHQCRPLVAEESPSDSGNIRKIMRELLKRSEELKVRSKDGQTVLGTLDSKRLSPGGPDPRHH", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "CGDINAPCQSDCDCCGYSVTCDCYWSKDCKCRESNFVIGMALRKAFCKNK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "ACLARGETCKDDCECCDCDNQCYCPFDWFGGKWHPVGCSCAHANKYFCDHKKEKCKKA", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "GCLDIGKTCKDDCECCGCGNVCYCPFDWFGGSWQPFGCSCAYGLKYVCAHKQKKCPNV", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRLWFCLSFLIILCVEHFPGTLAVERNVPESEEKTEQFLRDLFEISRLQRRPAGFTPFRGKFHSQSLRGLSETKRIYNAIWPCKHCNKCKPGLLCKK", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MIPARFAGVLLALALILPGTLCAEGTRGRSSTARCSLFGSDFVNTFDGSMYSFAGYCSYLLAGGCQKRSFSIIGDFQNGKRVSLSVYLGEFFDIHLFVNGTVTQGDQRVSMPYASKGLYLETEAGYYKLSGEAYGFVARIDGSGNFQVLLSDRYFNKTCGLCGNFNIFAEDDFMTQEGTLTSDPYDFANSWALSSGEQWCERASPPSSSCNISSGEMQKGLWEQCQLLKSTSVFARCHPLVDPEPFVALCEKTLCECAGGLECACPALLEYARTCAQEGMVLYGWTDHSACSPVCPAGMEYRQCVSPCARTCQSLHINEMCQERCVDGCSCPEGQLLDEGLCVESTECPCVHSGKRYPPGTSLSRDCNTCICRNSQWICSNEECPGECLVTGQSHFKSFDNRYFTFSGICQYLLARDCQDHSFSIVIETVQCADDRDAVCTRSVTVRLPGLHNSLVKLKHGAGVAMDGQDVQLPLLKGDLRIQHTVTASVRLSYGEDLQMDWDGRGRLLVKLSPVYAGKTCGLCGNYNGNQGDDFLTPSGLAEPRVEDFGNAWKLHGDCQDLQKQHSDPCALNPRMTRFSEEACAVLTSPTFEACHRAVSPLPYLRNCRYDVCSCSDGRECLCGALASYAAACAGRGVRVAWREPGRCELNCPKGQVYLQCGTPCNLTCRSLSYPDEECNEACLEGCFCPPGLYMDERGDCVPKAQCPCYYDGEIFQPEDIFSDHHTMCYCEDGFMHCTMSGVPGSLLPDAVLSSPLSHRSKRSLSCRPPMVKLVCPADNLRAEGLECTKTCQNYDLECMSMGCVSGCLCPPGMVRHENRCVALERCPCFHQGKEYAPGETVKIGCNTCVCQDRKWNCTDHVCDATCSTIGMAHYLTFDGLKYLFPGECQYVLVQDYCGSNPGTFRILVGNKGCSHPSVKCKKRVTILVEGGEIELFDGEVNVKRPMKDETHFEVVESGRYIILLLGKALSVVWDRHLSISVVLKQTYQEKVCGLCGNFDGIQNNDLTSSNLQVEEDPVDFGNSWKVSSQCADTRKVPLDSSPATCHNNIMKQTMVDSSCRILTSDVFQDCNKLVDPEPYLDVCIYDTCSCESIGDCACFCDTIAAYAHVCAQHGKVVTWRTATLCPQSCEERNLRENGYECEWRYNSCAPACQVTCQHPEPLACPVQCVEGCHAHCPPGKILDELLQTCVDPEDCPVCEVAGRRFASGKKVTLNPSDPEHCQICHCDVVNLTCEACQEPGGLVVPPTDAPVSPTTLYVEDISEPPLHDFYCSRLLDLVFLLDGSSRLSEAEFEVLKAFVVDMMERLRISQKWVRVAVVEYHDGSHAYIGLKDRKRPSELRRIASQVKYAGSQVASTSEVLKYTLFQIFSKIDRPEASRITLLLMASQEPQRMSRNFVRYVQGLKKKKVIVIPVGIGPHANLKQIRLIEKQAPENKAFVLSSVDELEQQRDEIVSYLCDLAPEAPPPTLPPDMAQVTVGPGLLGVSTLGPKRNSMVLDVAFVLEGSDKIGEADFNRSKEFMEEVIQRMDVGQDSIHVTVLQYSYMVTVEYPFSEAQSKGDILQRVREIRYQGGNRTNTGLALRYLSDHSFLVSQGDREQAPNLVYMVTGNPASDEIKRLPGDIQVVPIGVGPNANVQELERIGWPNAPILIQDFETLPREAPDLVLQRCCSGEGLQIPTLSPAPDCSQPLDVILLLDGSSSFPASYFDEMKSFAKAFISKANIGPRLTQVSVLQYGSITTIDVPWNVVPEKAHLLSLVDVMQREGGPSQIGDALGFAVRYLTSEMHGARPGASKAVVILVTDVSVDSVDAAADAARSNRVTVFPIGIGDRYDAAQLRILAGPAGDSNVVKLQRIEDLPTMVTLGNSFLHKLCSGFVRICMDEDGNEKRPGDVWTLPDQCHTVTCQPDGQTLLKSHRVNCDRGLRPSCPNSQSPVKVEETCGCRWTCPCVCTGSSTRHIVTFDGQNFKLTGSCSYVLFQNKEQDLEVILHNGACSPGARQGCMKSIEVKHSALSVELHSDMEVTVNGRLVSVPYVGGNMEVNVYGAIMHEVRFNHLGHIFTFTPQNNEFQLQLSPKTFASKTYGLCGICDENGANDFMLRDGTVTTDWKTLVQEWTVQRPGQTCQPILEEQCLVPDSSHCQVLLLPLFAECHKVLAPATFYAICQQDSCHQEQVCEVIASYAHLCRTNGVCVDWRTPDFCAMSCPPSLVYNHCEHGCPRHCDGNVSSCGDHPSEGCFCPPDKVMLEGSCVPEEACTQCIGEDGVQHQFLEAWVPDHQPCQICTCLSGRKVNCTTQPCPTAKAPTCGLCEVARLRQNADQCCPEYECVCDPVSCDLPPVPHCERGLQPTLTNPGECRPNFTCACRKEECKRVSPPSCPPHRLPTLRKTQCCDEYECACNCVNSTVSCPLGYLASTATNDCGCTTTTCLPDKVCVHRSTIYPVGQFWEEGCDVCTCTDMEDAVMGLRVAQCSQKPCEDSCRSGFTYVLHEGECCGRCLPSACEVVTGSPRGDSQSSWKSVGSQWASPENPCLINECVRVKEEVFIQQRNVSCPQLEVPVCPSGFQLSCKTSACCPSCRCERMEACMLNGTVIGPGKTVMIDVCTTCRCMVQVGVISGFKLECRKTTCNPCPLGYKEENNTGECCGRCLPTACTIQLRGGQIMTLKRDETLQDGCDTHFCKVNERGEYFWEKRVTGCPPFDEHKCLAEGGKIMKIPGTCCDTCEEPECNDITARLQYVKVGSCKSEVEVDIHYCQGKCASKAMYSIDINDVQDQCSCCSPTRTEPMQVALHCTNGSVVYHEVLNAMECKCSPRKCSK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRFQLFYILGLLSVTSLTHAASNLICYYDSNSYLRQGLAKMHTNELDLALQFCTHLVYGYAGLKSGTLELFSLNVDLDMFYYKDITALRQKFPQLKILLSVGGDRDVDEAHPNKYVELLEANRTAQQNFIDSSMILLKRNGFDGLDLAFQLPRNKPRKVHGSLGSYWKSFKKLFTGDFVVDPQAEEHKSQFTDLVGNIKNAFRSANLMLSLTVLPNVNSTWYFDVPKLHPQFDYINLAAFDFLTPLRNPEEADFTAPIFFQDEQNRLPHLNVEFQINYWLQNHCPGQKLNLGIASYGRAWKLSKGSGLSGAPIVHETCGVAPGGIQIQSAEGLLSWPEICSKLSQNASAQYRGELAPLRKVTDLTQKYGNYALRPADDNGDFGVWLSFDDPDFAGIKAVYAKGKGLGGIALFDLSYDDFRGLCTGQKYPILRSIKYFMG", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MRLWFCLSFFVVLCLEHFPGTLADERNNRDYPIRTHLHGPHIPRNNRDYPIRTHLHGHHIPRNVPESEEKTEQFLRDLSEISRLQRRPPGFTPFRGKFHSQSLRDLSEISRLQRRPPGFTPFRGKFHSQSLRDMYEIKGFKSAHGRPRVCPPGEQCPIWVG", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MFKFSASLAALAALVPFVAAQSPEWGQCGGIGWTGPTTCVAGTTCVESNPYYSQCLPGAASVAPPPPSGTSSAGGSTPSSSAKLHTLAKAAGKLYFGTATDNNELTDTAYTAILDDNTMFGQITPANSMKWDATEPQQGVFTFSGGDQIATLAKTNGMLLRGHNCVWYNQLPSWVSSGSFTAAQLTSIIQNHCSTLVTHYKGQVYAWDVVNEPFNDDGTWRTDVFYNTLGTSYVQIALEAARAADPNAKLYINEYNIEFAGAKATSLLNLVKSLKAADVPLDGIGFQCHLIVGEFSGPGLQTQLSTFAAQGVEVAITELDIRMTLPSTPALLAQQQTDYNSVITACMNVESCIGVTVWDWTDKYSWVPNTFSGQGAACPWDQNFVKKPAFNGIAAGFSA", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVSFNSLLVAVSAATCALAFPFEFHNGTHVFPRQSTPAGTGTNNGYFYSFWTDGGGSVTYNNGPAGEYSVTWSNADNFVAGKGWNPGSAQAISFTANYQPNGNSYLSVYGWSTNPLVEYYILEDFGTYNPAVSLTHKGTLTSDGATYDVYEGTRVNEPSIQGTATFNQYWSIRSSKRSSGTVTTANHFAAWKQLGLPLGTFNYQIVATEGYQSSGSSTVTVNPAGGVTSPIAPTGPSSVSTTPSGPSSSPSPVGTCSALYGQCGGQGWTGPTCCSSGTCKFSNNWYSQCL", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MMQVLLVTICLAVFPYQGSSIILESGNVNDYEIVYPQKVTALPIEAILQPEQKYEDAMQYEFEVNGEPVVLHLEKNKNLFTKDYSETHYSPDGREITTKPLIEDHCYYHGRIQNDAHSTASISACNGLKGHFKLQGETYLIEPLKIPDSEAHAVYKYENIEKEDEALKMCGVKHTNWESDEPIKEASQLFATSEQHRFRERYIEFFIVVDQRMYNKHNNDSAAIRTWIFEMLNTVNEIYLPWNIHVPLVGLEFWTQGDLINVVSSADKTLDSFGEWRRRDLLNRKAHDNAHLITAMHFDAQTLGLAYTGSMCHPKYSTGVFQDSSEINIFVAITLAHELGHNLGISHDVPSCTCQTKACIMSPYLSDQPTKLFSNCSEIQYERFLTQRNPKCMINKPLRTDIISPPVCGNGLLEREEECDCGSPENCRDPCCDAASCKLHSWVECESGECCDQCRFKRAGTLCRPARDDCDMAESCSGHSADCPIDGFHANGQPCSHNLGYCYNGKCPLTLYQCRAFLGKDVVGVQESCFQYNRLGNTYAYCRKENGRKIPCAPKDEKCGRLYCSYKSFGDYISCLPCYRANEEDKGMVDEGTKCGEGKVCSNGYCVDLNVAY", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MDFLKKSLFLVLFLGLVSISLCDEEKRQDDDEASEREEKKEIHEEGNQEERRDRPPSWIPK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "EDHPVHNLGEHSVCDSVSAWVTKTTATDIKGNTVTVMENVNLDNKVYKEYFFETKCKNPNPEPSGCRGIDSSHWNSYCTETDTFIKALTMEGNQASWRFIRIDTACVCVITKKTGN", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLSGRLVLGLVSMAGRVCLCQGSAGSGAIGPVEAAIRTKLEEALSPEVLELRNESGGHAVPPGSETHFRVAVVSSRFEGLSPLQRHRLVHAALAEELGGPVHALAIQARTPAQWRENSQLDTSPPCLGGNKKTLGTP", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTRFVLFISCFFVIGMVVECKDGYLVGNDGCKYNCLTRPGHYCANECSRVKGKDGYCYAWMACYCYSMPDWVKTWSRSTNRCGRGK", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MTRFVLFISCFFLIGMIVECKDGYLMEYGGCKMSCLMKKGTFCAEECTRMKGKDGYCYAWLACYCYNMPDWVKIWNRATNKCGKRK", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTRFVLFISCFFLIDMIVECKKEGYLVGNDGCKYGCITRPHQYCVHECELKKGTDGYCAYWLACYCYNMPDWVKTWSSATNKCKGK", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MELKSGLSILLCFGICIAVINAGCFEDWSRCSPSTSRGTGVLWRDCDSYCKVCFKADRGECFDSPSLNCPQRLPNNKQCRCINARTAKDNRNPTCWA", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MGRSIFVNLGLLVVAFSLRGSEADCPSGWSSYDKYCYKVFDERKNWDEAESFCMEQKTGSHLASILSSEEGSYVANLAFKRVKHPSMWIGLSNIWNQCSWQWSDGSSLGYEAWVEGPDCVMMRLQPGFIDWYSVECKSTLPFTCKFLAKREDPAPE", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MSHQTVALASKAKSPKPKRGKLDKEKMTALEKHVSFFDRNKDGTVYPWETYQGFRALGTGRLLAAFVAIFINMGLSKKTRPGKGFSPLFPIDVKNSHLCMHGSDTDVYDDDGRFVESKFEEIFNKHARTHKDALTAEEIQKMLKTNRDPFDITGWLSDYGEWKILHTLAQDKNGLLSEKSVRAIYDGSLFHQLEKKRSSSSSRGKKQKLP", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVASRLAAGGLLLLALLALALDGKPAPPQPLRKAPAGGTTAWRRELTEQPEGASRPAAGGGGGGGRSGSKAANAAPTAPKSKGGAAAAAAAAARLMRDLRPDSKQARAAWGRMVHPEHHAGGGGGGGGGGGASRRLKGVAKKGLGKGCFGLKLDRIGSMSGLGC", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MDSVRPLWLMLLSLLLVGTALGDASQAPPGNNAEICLLPPDDGPCRARIPSYYYDRYTQSCREFMYGGCEGNANNFETLEACNEACWKIEKVPKICRLKVNKKQCGELREQYFFNLSSMTCKKFISGGCHSNENRFPDEATCMDFCAPKRAPVFCYSPKDEGLCSANVTRYYFNPRHKACEAFNYTGCGGNDNNFVNLKDCKRTCVKALKKEKNKKMPRLLLANRRLKIKKKQF", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVAKWCVLAMCLLVAVGADKCPRATDQEAKQKRMLEVLQHVNKPYVAETKDPKIPAGSEDVFKHLGILEKHEVFSLFDERQWDEATTAAVYMLTAPSFDEFIDRAEIVRHRINEDMFYYAFSVAAVHRDDTRGINLPRIHEIYPDKFLKHKVIVEVKNSINSGQEDPLIDATHEFTDLRDPNSKLHYFLEDVGLNSHHYHWHVIHPAVWQESLEELTHQHKDRKGELFYFMHHQMVNRYDAERLSNGLPRSTTFENWNDPIETGYAPHLTIDRTGYRYQFRPDNLVVRDLPELTKNHMRQWRDRILYAVHRGEALAANGSSVSLRDERGIDVLGNMVESSLQSINRPFYGNVHCYAHVIAARIADPDGKYGEDNGAMYDVATSARDPLFYQWHKFIDNLFHEYKDALKAYSSEDLTYNDITIEEVNVQGEGGSPANTVTTFLENSIVHLDEGFSFTARGHARVKVQHLQHEGFNYQIKVNNAGGEHKVVFRVFLAPKYDEEHHEFDFNEQRGMAIELDKFVATVPAGSSTVEQHSSKSSVTQSNDNFYGSSATRSSENHCSCGWPDYLLIPKGNHQGVQFNVYVIATSYDEDHVESDESCHCGDSLSYCGALYDKYPDRRPMGYPFDRHADAQTFDEFKTKNMNSVTVTIKHTGEVKDA", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKNLLLTFLVVTIVCLDLGYTLICHRVHGLQTCEPDQKFCFRKTTMFFPNHPVLLMGCTYSCPTEKYSVCCSTDKCNK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "KKDGYPVEGDNCAFACFGYDNAYCDKLCKDKKADDGYCVWSPDCYCYGLPEHILKEPTKTSGRC", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKTLLLTLVVVTIVCLDLGHTLICVKQYTIFGVTPEICADGQNLCYKTWHMVYPGGYDHTRGCAATCPKMKNHDTVHCCTTDKCNL", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MFVSYLLLTLFHTETAMLARPGGESIGCDDFLGSDKVLDKCGVCGGDNTGCQVVSGVFKHALTSLGYHRVVEIPQGATKINITEMHKSNNYLALRSRSGRSIINGNWAIDRPGKYEGGGTMFTYKRPNEVSSTAGESFLAEGPTNEILDVYMIHQQPNPGVHYEYVIMRNNAISPQVPPHRRPGEPFNGQLEEEDRGQEDREEREKNQEKEDSQVEAPEVFTSESTQTFPVRHPERFPSHRPDNLVPPAPQPPRRSRDHNWKQLGTTECSTTCGKGSQYPIFRCVHRNTHEEVPESYCDSSMKPTPEEEPCNLFPCPAFWDIGEWSECSKTCGLGMQHRQVLCRQVYANRSLTVQPYRCQHLEKPETTSTCQLKICSEWQIRTDWTSCSVPCGVGQRTRDVKCVSNIGDMVHDEECNMKLRPNDIENCDMGPCAKSWFLTEWSERCSAECGAGVRTRSVVCMTNHVSSLPLEGCGNNRPVEATPCDNGPCTGKVEWFTGSWSQCSIECGSGTQQREVICVRKNADTFEVLDPYECSFLEKPPSQQACHLKPCGAKWFSTEWSMCSKSCQGGFRVREVRCLSDDMTPSSLCDPQLKPEERESCNTQDCVPEVDENCKDKYYNCNVVVQARLCVYNYYKTACCASCTRVANRHVGFLGSR", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAAINVIFISGAIALFALTGSCSESTNPFTNKPYATQNPYSPPQTNQPTKRPYQPGPAPTPAPYIPQKTNPPTKRPLNPTPSPTAKPPSENSESENSEGPVLIEEDHFTVDANFKCGIPPVEPDLKKGKIVGGAEAVPNSWPYAAAFGTYDISGGKLEVSQMCGSTIITPRHALTAAHCFMMDPDIDQTYYIFMGLHDETTYKGVRPNKIVGVRYHPKTNVFTDDPWLVYDFAILTLRKKVIANFAWNYACLPQPKKIPPEGTICWSVGWGVTQNTGGDNVLKQVAIDLVSEKRCKEEYRSTITSKSTICGGTTPGQDTCQGDSGGPLFCKEDGKWYLQGIVSYGPSVCGSGPMAAYAAVAYNLEWLCCYMPNLPSCEDIECDESGEN", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MWSRQRMRHKPLWALISLTVLLLVLDKSNANTEPVETSTTSEPDASPGCRAPDVRFTIVKPEATTEQPLAKFETTQIYLPEDFTTADVEFVDSVPRHPNENHAAVINPYSLDLGDDHLHVGDAAASLVGDDDLGDEDEDHHGDPEDKRLNANRKQGKRRRAGSGRRRRIENENGQTGRGRGSRYKRHAILHDTEASPETDRWAGSKLAAEGDVYYVHIADILKSREPNRELKSKLHKLKMKARLNKCLAEGGKEKCTRLLKKKPKKKVVEKEQTLKKEKKFPKEEQSKEKVPENGQTPKEDELELDHPETAAAHHRRRGDSHAAELDQRDRSPRWRRRRSTEFKGDLGQLPPESGIGPEPEPLADQDLKDLQQYGNQSSSARVALLWQRVKRKSGKTTGALSRPKGGGDSSSKTTSRKDKGIYDEEAGYTPIHPDDPEFDEEEEEDEEVDILQQFTEVSEIRFPGEIGPMGDRRLCKIRCVKGKWVGPLCATNEEDDNGNVKFQPLYKSCHVNRIPSHLLLSYRNISVTPIPPNRGWRKTRLSKSTLLSNTEINVGWDLPHGHSLQARCQELGIYKLLGESRVLCSNGLWAPRMPSCVPTTVLTNYSEDSAPSIRIKIFNGSHSFEPSGVMAVPPHSTVLMDCMYPRVRGTPEWSWTSWYMQYSTGWSPAQEEKAVRYRLSIKNIENNDSGTFTCTSPRGLTNSIAVVVATSTCPQLTEPLAPLKLRLEGNKLGQRAHYECPEGFRLDGAWNATCLASGNWSSPTPTCHAIQCPRLELDDPHLSLIELNTSAWGRAVFKCQWGFKLTGPAQLDCEPSGVWSGPVPRCKVIQCVMPVAPLNGRIGGTSLSQRRLTVGALVTFSCNDGHSLVGESSIICTENGQWSHSPPFCKSQCPYPGDPPNGLIAPLKFNYDAGDYLSVQCRPGFVQSYEGPPERPKCQPDGRWSGPMPKCKSYEEV", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "DPDGCGPGWVPTPGGCLGFFSRELSWSRAESFCRRWGPGSHLAAVRSAAELRLLAELLNASRGGDGSGEGADGRVWIGLHRPAGSRSWRWSDGTAPRFASWHRTAKARRGGRCAALRDEEAFTSWAARPCTERNAFVCKAAA", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MRLFILAVLTVGVLGSNDDLWHQWKRMYNKEYNGADDQHRRNIWEKNVKHIQEHNLRHDLGLVTYTLGLNQFTDMTFEEFKAKYLTEMSRASDILSHGVPYEANNRAVPDKIDWRESGYVTEVKDQGNCGSCWAFSTTGTMEGQYMKNERTSISFSEQQLVDCSGPWGNNGCSGGLMENAYQYLKQFGLETESSYPYTAVEGQCRYNKQLGVAKVTGYYTVHSGSEVELKNLVGARRPAAVAVDVESDFMMYRSGIYQSQTCSPLRVNHAVLAVGYGTQGGTDYWIVKNSWGTYWGERGYIRMARNRGNMCGIASLASLPMVARFP", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "GKCADAWKSCDNLPCCVVNGYSRTCMCSANRCNCEETKKLREHFG", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MSEMGFLRSVAAVLLLAVFSHAAVVTENGLPIQWRKAPSDLSHLPISNTGVQVNPWVYSQRMTMLKMVINATNAYMSSMGPGEQENPLWSLPLQLGWKLKSGRLADPTPDSSSTCGSEASDPVCISPLSFFGCVNYYLSVLPFLAAVETGVVSSGGHQMLIQVPAEVAQDYCSSYSDCSTKHPNTMAKWHLFFQSLRQVSQSKESDFNKKDSILGLMWAAEEESIQTASGACTERQKLYSSPEVSFQQSSVNLGAFISAAHYHASIERSGKFMSHLPSRVLQEADSPPNIADLSTEENHALYMLSWMNSINQLLGGSLVDLWRKAMCSAQAREKGQAFLDDLILDPKFPGSSLWSIICVMSTSC", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MWYKVLGIVSLCSVYVSTQGSNLQCKIAGGGELIPIRTPEYRAWVPLGEVGLNVSDSKVLIFAARSCFGAHILLQNNAEDFKNAVYEIVIGGMKNTRSGIRQCVGCKFDEWVKDKALNCRFFNYFWISWCGDEVWVGHGYRPPHNRILRYKYENQPVINAASVRSESDTYWAL", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSSKFLILLAFCGATLVAAEQLPEKFYGTFDLDHSENFDEYLTAKGYGWFTRKLVTFATFKKVFAKNANKNLFDYSNLTSKKDVFYKNVQIGSKFEGEGLDNTKHEVTFTLKDGHLFEHHKPLEEGESKEETYEYYFDGDFLIQKMSFNNIEGRRFYKRLP", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MCAKIALLLVLVGAASAAVLPDKFYGTFDLDHSENFDEYLTAKGYGWFTRKLVTFATFKKVFTKTSNKNLFDYSNLTSKKDVHYKNVQLGKAFQGEGLDSTKHEITFTLKDGHLFEHHKPLEGGDAKEETYEYLFDKEFLLVRMSFNGVEGRRFYKRLP", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MNLYLTLFSFCFLAIMAEAASEIPEKFFGKYDLDRSENFDEFLAAKGVSWFVRQMIKLAKVSKVLAKNETPGKYNMENLTSKKNTLYHGWELGKTFEAEGLDGVAHKITFSFKDGVLSEHHIRLNDPEHSAETYYYTIENDQLVMKMVNNGITCRRWFKRSTGKK", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "IRCFITPDVTSQICADGHVCYTKTWCDNFCASRGKRVDLGCAATCPTVKPGVNIKCCSTDNCNPFPTRNRP", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MTGSLWLSLALSLAVLAQFKVSAAPNLVCFYDSQGSQRQGLAQFSMIDIELALQFCTHLVYGYAGVNADNYEMQSINKRLDLEQRHLAQITSMKERYPHIKFLLSVGGDADTNEGNQYIKLLESGQQGHRRFIESARDLVRRYNFDGLDLALQLPRNKPRKVHGDVGSAWKSFKKFFTGDFIVDTESETHKGQVTALIKDLSAALKQNDLLLSLTVLPNVNSSWYYDAPSIAPSLDFINLGTFDFLTPQRNPEEADFSAPTYEAVGQNRLGHYNLNFQMEHWLLQRVPANKINIGIATYGRSWKMSKDSGDSGMPVVPSTQGPAPAGPQSKQEGLLNWAEICSLMPNPSNSNARGPNAPVKRVVDPTKRYGSYAFRAADENGDHGLWISYDDPDSASSKAMYARARNLGGVALFDLTQDDFRGQCTNDRFPMLRAIKYRLL", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "TKCYVTPDATSQTCPDGQDICYTKTWCDGFCSSRGKRIDLGCAATCPKVKPGVDIKCCSTDNCNPFPTWKRKH", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "TKCYVTPDVKSETCPAGQDICYTETWCDAWCTSRGKRVDLGCAATCPIVKPGVEIKCCSTDNCNPFPTWRKRP", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGDSLICYMGPKTPRTCPRGQNLCYTKTWCDAFCSSRGKVVELGCAATCPIAKSYEDVTCCSTDNCNPFPVRPRPHP", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "VICYRGYNNPQTCPPGENVCFTRTWCDAFCSSRGKVVELGCAATCPIVKSYNEVKCCSTDKCNPFPVRPRRPP", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "ITCYKTPIPITSETCAPGQNLCYTKTWCDAWCGSRGKVIELGCAATCPTVESYQDIKCCSTDDCNPHPKQKRP", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "KRCYRTPDLKSQTCPPGEDLCYTKKWCADWCTSRGKVIELGCVATCPKVKPYEQITCCSTDNCNPHPKMKP", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "LSCYLGYKHSQTCPPGENVCFVKTWCDGFCNTRGERIIMGCAATCPTAKSGVHIACCSTDNCNIYAKWGS", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "LSCYLGYKHSQTCPPGENVCFVKTWCDAFCSTRGERIVMGCAATCPTAKSGVHIACCSTDNCNIYTKWGSGR", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTVSRVCLLLLVALVYLDCCYAASIPRNFDPRLSEEIVMAPKKRPFCNAFTGCGRKRSQGPPGMPAQDLRTKQYLDEEALGSILDSESAIDELSRQILSEAKLWEAIQEASAEIARRKQKEAYIQ", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MGCIKVISVFLAAVAAVDARAFFHNRGGNDVIPNSYIVVMKDGVTAEDFDSHISSVAATHSLNKAKRGSETVGHKDSFNINGWRAYNGHFDEATIESILKDDKVNYVEHDRVVKLAALTTQPNAPTWGLGRVSHKAPGNKDFVYDSSAGQGITIYGVDTGIDIHHSEFAGRIRWGTNTVDNDNTDGNGHGTHTAGTFAGTTYGVAKKANIVAVKVLSAGGSGSTSGVIKGIDWCVTDARSKNTLGKAALNLSLGGSFSQASNDAVTRAQEAGIFVAVAAGNDNRDAKNSSPASAPAVCTAASSTIDDQKSSFSNWGTIVDIYAPGSNILSAAPGGGTRTLSGTSMASPHVCGVGAAMLAQGVSVAQACNRLKQIGNAVIRNPGTGTTNRLLYNGSGR", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "LTCNTCAFKTCANSETCAAGKNICYQRKWNEHHGERIERKCVANCPELGSHDTSLLCCRIPDCN", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "LTCFNDFSPTAHTVEDCQRGITTCYMKTWRVHRETVIERGCGCPKVKPGIRLKCCTGNTCNY", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MTCKTCPFETCANSEYCPAGNDICYQKKWNDHREEMIERGCVANCPQMESHHTSLLCCRRDNCN", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "LICYVSKDGETATCPPGQKCEKYAVSASHTGHWFYMYDCTSTCHIGPYNVCCSTDLCNR", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNMFITVQIVIVLVLAVLSEAASLPTATERKDAMDEGPNQSDEPEGSVADPSTKDDDYSDSLKQDEKYYKVRLLNTGDKFYGLMG", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MSALNSFNMYKSALILGSLLATAGAQQIGTYTAETHPSLSWSTCKSGGSCTTNSGAITLDANWRWVHGVNTSTNCYTGNTWNTAICDTDASCAQDCALDGADYSGTYGITTSGNSLRLNFVTGSNVGSRTYLMADNTHYQIFDLLNQEFTFTVDVSNLPCGLNGALYFVTMDADGGVSKYPNNKAGAQYGVGYCDSQCPRDLKFIAGQANVEGWTPSTNNSNTGIGNHGSCCAELDIWEANSISEALTPHPCDTPGLTVCTADDCGGTYSSNRYAGTCDPDGCDFNPYRLGVTDFYGSGKTVDTTKPFTVVTQFVTDDGTSSGSLSEIRRYYVQNGVVIPQPSSKISGISGNVINSDFCAAELSAFGETASFTNHGGLKNMGSALEAGMVLVMSLWDDYSVNMLWLDSTYPANETGTPGAARGSCPTTSGNPKTVESQSGSSYVVFSDIKVGPFNSTFSGGTSTGGSTTTTASGTTSTKASTTSTSSTSTGTGVAAHWGQCGGQGWTGPTTCASGTTCTVVNPYYSQCL", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MHSIIFKAAVALLGVSTAAGFSYQNSRLCLKLLAQGVEVDLPNSTDYETEQQNYWSTACTALRPDCIIAPKNARDMSRAVAAIQESKTTRFAIKSGGHSPNQLFSSIHDGVLISTRNLKQITYNEHTQTAVLGPGLKWEEAVGGLKDKGQTVVGGRLGGIGVGGLILGGGLSFLSGQYGWATNNVVNFEVVLANGTIVNANATSNPDLYAVMKGGSGNFGIVTAFTVKTHTQDPEIWGGSMFFDGNHTESLTRAIRDFAEYNTDDKASIIGTVNRNPSLIWVVFLTYDGPSPPEDVFRNFTQIPNIRNTVKRQSYHSLMLANDEYIRHGNRFSIGAETSVNPSGTHGYDIFKSFIDHWNDVTDDFIDIPGSASSLALQPLPRSISTKAKESGGDVAGFDPRYDYLLLQIAVSWNSSTSDSVIEAATRKYYTVQGDMIKQFTNEGKLPKAYCPLYLNDLNANQDFWGRVAPSTREKALAVRRAVDPTLFFQNRVTGGFRLG", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "RICLNQQQSTPEDQPTNGQCYIKTDCQNKTWNTHRGSRTDRGCGCPKVKPGINLRCCKTDKCNE", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MENVSLALGRALWVFLLAMIGSTTSQPLGGESVCTARPLARYSITFIGKWSQTAFPKQYPLFRPPAQWSSLLGAAHSSDYSMWRKNEYVSNGLRDFAERGEAWALMKEIEAAGEKLQSVHAVFSAPAIPSGTGQTSTELEVHPRHSLVSFVVRIVPSPDWFVGIDSLDLCEGGRWKEQVVLDLYPHDAGTDSGFTFSSPNFATIPQDTVTEITASSPSHPANSFYYPRLKSLPPIAKVTFVRLQQSPRAFAPPSLDLASRGNEIVDSLSVPETPLDCEVSLWSSWGLCGGPCGKLGAKSRTRYVRVQPANNGTPCPELEEEAECAPDNCV", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MKTPLFLLLVVLASLLGLALSQDRNDTEWIQSQKDREKWCRLNLGPYLGGRCRK", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKLQLMICLVLLPCFFCEPDEICRARMTNKEFTYKSNVCNGCGDQVAACEAECFRNDVYTACHEAQKG", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MGIVVPTMKASVIEVLLVLLVTGIHSNKETPKKTKRPKLTVPQINCDVKAGKIINPEFMVKCPAGCQDPKYHVYGTGVYASYSSVCGAAIHSGVLDNSGGKILVRKVAGQSGYKGSYSNGVQSLSLPRWRESFIVAESKPQKGVAYPSTLTYSSSKTAAAKAGETTKAYEKPSIPGTTIQPVTLTQAQATPVAEVTHRSTSKPFAASVTNSPRPQPVGHRSQEMEEVDGWKPGPVLLDSGFVPKEELSTQSSEPVPQGDPNCKIDLSFLIDGSTSIGKRRFRIQKQFLADVVQALDIGPAGPLVGVVQYGDNPATQFNLKTHMNSQDLKTAIEKITQRGGLSNVGRAISFVTKTFFSKANGNRGGAPNVAVVMVDGWPTDKVEEVSRVARESGINVFFITVEGAAERDIQHVVEPGFASKAVCRTNGFYSFNVQSWLSLHKTVQPLVKRVCDTDRLACSKTCLNSADIGFVIDGSSSMGTSNFRTVLQFVANLSKEFEISDTDTRVGAVQYTYEQRLEFGFDKYNSKADILSAIRRVGYWSGGTSTGAAIQYALEQLFKKSKPNKRKVMIIITDGRSYDDVRIPAMAAYQKGVITYAIGIAWAAQDELEVMATHPAKDHSFFVDDFDNLYKIAPRIIQNICTEFNSQPRN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKLQLMICLVLLPCFFCEPDEICRARMTHKEFNYKSNVCNGCGDQVAACEAECFRNDVYTACHEAQKG", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLWFFSVRALAERPCRRSPGITCCVLLLLNCSGVPMSLASSFLTGSVAKCENEGEVLQIPFITDNPCIMCVCLNKEVTCKREKCPVLSRDCALAIKQRGACCERCKGCTHEGRTYNSSFKWQTPAEPCVLRQCQEGVVTESEVRCVVHCKNPAEHQGACCPTCPGCVFEGVQYREGEEFQPEGNKCITCSCVGGRTQCVREVCPILSCPQHLSHTPSGQCCPKCLGQRKVFDLPFGSCLFRSDVYDNGASFVYDNCTVCTCKDSTMVCKKKCSHPGVCNSDEDACCEDCLLRVPPEDIKVCKFGSKIFRDGEMWSSVNCSICACVKGKTECRKKQCVPVSSCPQGKILNRKGCCPICTEKPGVCTVFGDPHYNTFDGRTFNFQGTCQYVLTKDCSSPASPFQVLVKNDARRTRSFSWTKSVELMLGESTVSLQQHLTVRWNGSRIALPCHTPHFHIDLDGYLLKVTTRAGLEISWDGDSFVEVMAAPHLKGKLCGLCGNYNGHKRDDLIGGDGNFKFDVDDFAESWRVESNEFCNRPQRKPVPELCQGTVKVKLRAHRECQKLKSWEFQTCHSTVDYTTFYRSCVTDMCECPVHKNCYCESFLAYTRACQREGIKVHWEPQQSCAATQCKHGAVYDTCGPGCVKTCDNWNEIGPCNKPCIAGCHCPANLVLHKGRCIKPVLCPQR", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLAYTRKCYKTHPYKSEPCASGENLCYTKTWCDFRCSQLGKAVELGCAATCPTTKPYEEVTCCSTDDCNRFPNWERPRPRPRGLLSSIMDHP", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MNSLIFLAVICSTAYVAFAQNTPIRPPFLPGPPAAGAPRIPAKGVAGLARQPQKSLLNNPYLMMMGDKYFEVAALDMLMDPSSQVSPIQQMVIAKSADLSPVDMMVANRLRGAQGTGMRSMYFPAILAMM", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSSGGLLLLLGLLTLWEVLTPVSSKDRPKFCHLPPKPGPCRAAIPRFYYNPHSKQCEKFIYGGCHGNANSFKTPDECNYTCLGVSLPK", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MLRVPLLVLCLALSVGADYYGYGWGRNGGGGGSGGGSGSSRASASASASARANSIGNLVGRLTSLVDASASARASASANAGGFGGSGAGGSGGNGFGGGSGGSGFGGGSGGSGFGGGSGGSGFGGGSGGSGFGGGSGGSGFGGGSGGSGFGGASASASAQALASATAELQAAQDAYDQASAYAEATARAAANGGSLDSSALASAIASAEASVSARGASIIARARARAEATVRAARRSFASAQASAEASVSAVRSAEGRARSFARAVARARASARAAIAGVRSSGRAFASATARARASVSAAARAVARARAQAVARARASIRASASASARASASAAAEARAAAYARVQVAAAAAARAAASAASASASASASGSSFGSGGSGGSGNGGFGSFGASANAVANAFAQAFGGGLGNGGNGGNGNGGNGGNGGNGNGGNGGNGNGGNGGNGNGGNGGNGGNGNGGNGGNGNGGNGRNGNGGNGRNGNGGNGGNGNGRNGRGGRYYYGSSDYYY", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVLHVITALLSIGLCYGMPPSGTTAAPTAYNGAAAQESLIPQQAHHARASLFPQQQRNPSYQTMGSYGSSLFDPSVFPVAHAPASQAGGLLGRAVARTSGGQANAGASSQNLMRSIMQNTMTGRLMGLDNQEIAHLNSVRTLGVGHATIHRLMKIDQIPSYNYYLALKNKPAQFSKAQNYLMTLNRMENHATDSQLEAMGARMLMQRNMDPDLARMVQLDAARGVYGDRLQRVLLGGSQMSLLG", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MILQAALFLAGLTVVSGSICCPPKQFNSYQYVTFVNSTTTIRALYYIVYDGDNQRYLITGDRNNKQLVGTTKVIYDYKKRIAYSIDAKARTCSKFPVQGQFEDQENVCVPGGAEILGPLFYGYNQSRLNSQSYAYNTTSLDGSHHNVVTTVSEDDCVPIVICTITTGGPGGNSLYTVGYNDFYPGIKDITVFDIPPYCNA", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MSWSSHPPSVIFYILSLLSSACLAYVATRDNCCILDERFGSYCPTTCGIADFLNNYQTSVDKDLRTLEGILYQVENKTSEARELVKAIQISYNPDQPSKPNNIESATKNSKSMMEEIMKYETLISTHESTIRFLQEVYNSNSQKIVNLRDKVVQLEANCQEPCQDTVKIHDVTGRDCQDVANKGAKESGLYFIRPLKAKQFLVYCEIDGSGNGWTVFQKRLDGSLDFKKNWIQYKEGFGHLSPTGTGNTEFWLGNEKIHLISTQSSIPYVLRIQLEDWNGRTSTADYASFKVTGENDKYRLTYAYFIGGDAGDAFDGYDFGDDSSDKFFTSHNGMQFSTWDSDNDKYDGNCAEQVGIGWWMNKCHAGHLNGVYYQGGTYSKTSTPNGYDNGIIWATWKSRWYSMKKTTMKIIPLNRLAIGEGQQHQLGGAKQVGVEHHVEIEYD", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVSSLIYSLCAVSGLLATTVNGLPKKGHRAETSLPIVKQTKCGSHTYQYNGLVGYGTVPSNAVDKYGDTLGGFGSSIAIEQASWKKNSDGTYEGIAWAIPDRGWNTQGTLNVQSRIQKLGLKLTLAPGATVSNPSNPNLEIKLLDTLLLTDPDGTPMTGLDADFSGNISYPGFPGMPVATYPGDGFGGSGAGGRRISLDSEGIVIGNDGAFWVSDEYGPYVYKFSREGRMLQAIQPPDAYIPRRNGKVSFSAASPPIYEPDRQTDPEDPETGRNNNQGFEGLTISRDGKTLYVLIQSALNNDGGPKKRYRKQARMLEYDISGTTPKYTHEYVVTLATFVDPHEQDPSKATITASQSEIHYLPTGDFLILSRDSNAGRAAQYTESVYRHADIISKSTQTTDIKSKSNDKADGSIASSEGVLDDGINPLDYCSFVDYNLNSELGKFRLHNGGAQDEHLLNEKWESLALVPVDPSKEFEDNGKNEYFLISFSDNDYITQDGTSSSLLLFFSSASE", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MAAWSPAAAAPLLRGIRGLPLHHRMFATQTEGELRVTQILKEKFPRATAIKVTDISGGCGAMYEIKIESEEFKEKRTVQQHQMVNQALKEEIKEMHGLRIFTSVPKR", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MTKEYQDLQHLDNEESDHHQLRKDSQLQEELRGLRETFSNFTASTEAQVKGLSTQGGNVGRKMKSLESQLEKQQKDLSEDHSSLLLHVKQFVSDLRSLSCQMAALQGNGSERTCCPVNWVEHERSCYWFSRSGKAWADADNYCRLEDAHLVVVTSWEEQKFVQHHIGPVNTWMGLHDQNGPWKWVDGTDYETGFKNWRPEQPDDWYGHGLGGGEDCAHFTDDGRWNDDVCQRPYRWVCETELDKASQEPPLL", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNFKYIVAVSFLIASAYARSEENDEQSLSQRDVLEEESLREIRGIGTKIIGGLKTAVKGALKELASTYVNGKRTAEDHEVMKRLEAVMRDLDSLDYPEEASERETRGFNQEEIANLFTKKEKRILGPVISTIGGVLGGLLKNLG", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MNFKYIFAVSFLIASAYARSVQNDEQSLSQRDVLEEESLREIRGIGGVLLSAGKAALKGLAKVLAEKYANGKRTAEEHEVMKRLEAVMRDLDSLDHPEEASERETRGFNQDEIAKEKRILGPVLGLVGNALGGLIKKIG", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKFGSALVAAVAAVAGVAAKDYGGVPGQPIQKSGKGAVFSGATNPQLDLQNPSNINGQPATDIGLVPNLKWSFSLSKTRMFHGGWIREQVISDLPASHDIAGAQVHLTKGGIRQMHWHRVAEWGYVYAGSILVFAVTEDGQYQIDKLTPGDIYYFPKGAAHSFQGIEDENEVLVAFDEGDFDKIGYLFPPYYSNINLQLSNAFNYRTTFQVAEWIAHTPQDVLAKNFNISTGGTFDKTKSNMLEIINSTTSTHNVTGPNGALMGNSSYTFHIRDAPEIQVPGGGGTIQIVDSKNFPISKTIACAIVRLKPGALRELHWHPTAEEWLYFHSGNARATVYVSGGLSRTFDFTAGDTGVFPDNAGHYIENVSEDEDLVYLELYKADRVADVSLSQWLALTPHDIAAAAINVPIDVIDKLKKDKQYIIQ", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MGCIKVISVFLAAVAAVDARAFFHNRGGNDVIPNSYIVVMKDGVTAEDFDSHISSVATTHSINKAKRGSETVGHKDSFNINGWRAYNGHFDEATIESILNDDKVDYVEHDRVVKLAALTTQPNAPTWGLGRVSHKAPGNKDFVYDSSAGQGVTIYGVDTGIDINHPEFRGRIRWGTNTVDNDNTDGNGHGTHTAGTFAGTTYGVAKKANIVAVKVLSAGGSGSTAGVIKGIDWCVTDAKAKGALGKAALNLSLGGAFSQANNDAVTRAQNAGIFVAVAAGNDNKDAKNSSPASAPAVCTAASSTIDDQKSSFSNWGTIVDIYAPGSNILSAAPGGGTRTLSGTSMASPHVCGVGAAMLAQGVSVAQACDRIKQIANAVIKNPGTGTTNKLLYNGSGR", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MHGLLLAGLLALPMNVLAHPAEQQTSSVLSRRGVDIDSFRLPLKAKYMDSDATAQKIQALSFSKEDDYVSTATKLVKSTFPKSTFRVVDDHYIGTNGIGHVHFKQTAHGLDIDNSDFNVNIDRDGKVFSFGNSFFTGEIPKESPMVKREFSDPVKALKGAVKALNLPVKSENAKAKTVEGKESFEFQGTSGALSAPKAKLVYLQKEDGSLALTWKVETDVGDNWLLSYVDAHDSETVHNVVDYVASAEFKVFAWGLNDPTEGNPTSFRDPWTASSPFTWHSDGTNKYPTTRGNNAIAQDNPTGGSTYLNNYRPQSANLIFNYPWTAAMTPPSSYKDFSITQLFYTTNRYHDLLYSFGFNEAAGNFQVNNNNKGGKGNDFAIVNAQDGSGTNNANFATPPDGSPGRMRMYNWTTARPNRDGCLEAGIVIHEYTHGLSNRLCGGPANSACLNALESGGMGEGWGDFYATAIRLKPRDTKNTNYSMGAWAANDPKGIRAYLYSTNLQTNPYMYTSVNNLREVHGIGTVWATMLYELMWGLIEAHGGTYSADPVFRNGVPQDGRHLAMKIVMDGMALQPCNPNFVQARDAIIDADRALTNGANKCTIWKAFAKRGLGYGAKYDPRTRTGSNQLPPGC", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MHGLLLAAGLISLPLHVLAHPQPSSTSLAGRAVDLNEYRIGHRSSYTSNDEMMKQPSIASFRAGTYVEVATEMVKQTMPNMEFRLVDDHYIGQSGIGHVRFRQTMHGIDIDNSDFNVNIGQDGKVLSHGNSFYTGPAPESSPVQKRDFSDPMQALHGVRKALNLPIKAEGATVENMSEHKVMFKGTSGALSDPTAKLCYMAKEDGSLALTWRVETDIGDNWLLSYMDAKDTGKVHNVVDYVAHATFQVYKWGLADPTEGNREILTNPWNLQTSPLTWLADGQNNFTATRGNNAIAQYNPDGGNDYENNYRPSPKNLKFEYPYSANMDPPKTYIDASVTQLFYTSNVCHDLYYMLGFNEKAGNFQVNNRGQGGKGNDYVILNAQDGSGTNNANFATPPDGQPGRMRAYIWTRANPPRDASFEAGTIIHEYTHGLSNRLCGGPANSRCLNAIESGGMGEGWGDFYATAVRLKPKDTRKTNYVKGGWVNNSPKGVRMYPYSTDMSVNPLVYTSNNQLNEVHAIGTVWATMLYELLWNLIDKHGKNDGPVPIFKNGIPSDGKYLAMKIVMDGMAIQPCNPNFVQARDAILDADKNLTKASNKCEIWKAFAKRGLGVGAKFDPKNRIGSNEVPKECK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MSKFLLQSHSANACLLTLLLTLASNLDISLANFEHSCNGYMRPHPRGLCGEDLHVIISNLCSSLGGNRRFLAKYMVKRDTENVNDKLRGILLNKKEAFSYLTKREASGSITCECCFNQCRIFELAQYCRLPDHFFSRISRTGRSNSGHAQLEDNFS", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRLHLAAILILCIEHVTKAVAQGMPISPCPKVFQYRFDGSEWFGLMAVRSPDGHQPLHIRVTLSMRGKPTTYTQNYLGEIELLTRGKFTHNAPVLYKIRFPKHHFPPKLLLMSANNHVICFGSGEHSIFMTQIQLEHIRKLSFIPDKKSSLLLDPEEEEVRKTDDKPPSTPHIQFKKKPFAQAPKEICGRIDRDLDFHLSQRTESLHVAIGEPKSSDGITSPVFVDDDEDDVLEHQFVDESEAEAIESDSADSLPSITRGSWPWLAAIYVNNLTSLDFQCGGSLVSARVVISSAHCFKLFNKRYTSNEVLVFLGRHNLKNWNEEGSLAAPVDGIYIHPDFNSQLSSYDADIAVIILKDEVRFNTFIRPACLWSGSSKTEYIVGERGIVIGWSFDRTNRTRDQKLSSELPGKKSTDASAPKVVKAPIVGNAECFRANAHFRSLSSNRTFCAGIQAEERDTHQSGASIYTGISGAGLFIRRNNRWMLRGTVSAALPAVETPDAESSHKLCCKNQYIIYADVAKFLDWITAFVI", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MVLLLVILIPVLVSSAGTSAHYEMLGTCRMVCDPYGGTKAPSTAATPDRGLMQSLPTFIQGPKGEAGRPGKAGPRGPPGEPGPPGPVGPPGEKGEPGRQGLPGPPGAPGLNAAGAISAATYSTVPKIAFYAGLKRQHEGYEVLKFDDVVTNLGNHYDPTTGKFTCSIPGIYFFTYHVLMRGGDGTSMWADLCKNNQVRASAIAQDADQNYDYASNSVVLHLEPGDEVYIKLDGGKAHGGNNNKYSTFSGFIIYAD", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "DQDCLSGWSFYEGHCYQLFRLKTWDEAEKYCNQWDGGHLVSIESNAKAEFVAQLISRKLPKSAIEDRVWIGLRDRSKREQCGHLWTDNSFVHYEHVVPPTKCFVLERQTEFRKWIAVNCEFKFPFVCKAKIPR", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MAPFAPMASGILLLLWLTAPSRACTCVPPHPQTAFCNSDVVIRAKFVGTAEVNETALYQRYEIKMTKMFKGFSALRDAPDIRFIYTPAMESVCGYFHRSQNRSEEFLIAGQLSNGHLHITTCSFVAPWNSMSSAQRRGFTKTYAAGCEECTVFPCSSIPCKLQSDTHCLWTDQLLTGSDKGFQSRHLACLPREPGLCTWQSLRAQMA", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MICHNQQSSQPPTIKTCSEGQCYKKTWRDHRGTISERGCGCPTVKPGIHISCCASDKCNA", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "AELKSCFPVGHECDDDASNCNCCGDDVYCACGWGRWNCKCKVADQSYAYGICKDKVNCPNRHLWPAKECKMPCRRNCG", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MVSLKVCFLLLASSELAFGAAPGARSRRRGTAPANPYDKDTTSYCTWWLDYNEELPCDQVLQANSITLEKFRRWVSGGQSNTPINQWENHKANHKAKNPSITGNCEGMTVGKSYCVEAAFEPTPTASPTGPSGPTGTPGTIETPLPTQPEIAPNCDAFHLVKQGEDCGTISATYGITSAQFLAWNPSAGKDCTGLWANAYACVSIVGHEPPKTTSQAPQPTPTKPSNGIETPLPTQPKIVDNCDKFHLVQSGEGCAAITSKYGISLAQFTQWNPAAGSNCEGLWANAYACVSIIGHEPMPTPTKPSNGIETPLPTQPEIVDNCNKFYLVQSGDTCTTIVSKYGITLSDFTKWNPKAGNTCAGLWANAYSCVSIIGYTPKPSPTPTPTKPPNGIQTPTPIQNGMVTNCNKFHFVENGNTCPVIQAKYKVTLADLVRWNPAIKADCTGLWAKTYLCVGTL", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MAGILSVVHIIIIFIVRFKSSTDSLITVVVSASFQRANIYKFSLLAKLAHGAALIPRADADTWTYLGCYTDQVGARTLGQVGYTLGGPGNMTVANCQNGCASQGYSLAGVEYSSECWCDNQLRNGGGPAPDGEAMCSMPCNGNPEQKCGGPGRLNLYQNTAIKPTDTMTTSAPSTETGSPTTTSVPEPTQGLPDGWQYSGCYQDNVNGGRVMFKMLPDSSTLTIESCVAMCVKLGYTVAGAEYSKQCFCDNYLRNAAPQAIESECSMTCSGNTQQKCGGPSRLSVYSKGNLTVLPIPVPQTGGLPGGWKYQGCLQDNVNMKRTFPYQIVDKTNNTATNCLSRCSKFGFGAGGMTYSEECFCGDFEDIAAAGAKLVPEAMCSQTCSGNATAICGAGNLITYYRWMDEPLQKWNRPTGINAGRYDFLIGGVLVPLITTVGINGKITFQEKSGTGDPNTTGAYEFDPYYEKDFSKAWREMHVKTDIFCAGGLVLPDKVGRQLTVGGWSGISTEGVRLYWPDGSPGKPGVNDWHESPDDLRLQNGRWYPTAMTMSNGSILVVGGEEGSNGAPVPTLEILPRVGPVLFMDWLKRTDPNNLYPYLTPLPGGNILAAYYNEARILDERTFDTVKTLPNIPGAVNNDAGGRTYPLEGTMVLLPQKAPYTEPLGVLICGGSTPYGGDALDNCVSIQPEVPNAEWVIERMPSKRVLTCMAGLPDGTFLILNGARKGVAGFGLAEDPNLGAVLYDPSKPVNQRMSIMANTTIARMYHSEAILMADGRVLVSGSDPQDPRFPQERRVEVFLPPYILSGARRPTFTIANKDWAYGGKYKIKITSGNQSRIKISLMGMVSSTHGNSFGSRTIFPAFSCSFGTCTITAPPDSHTCPPGWFMLFVLDGPTPSVASFVRIGGDPGRLGDWPATGGFPLPGV", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLINLSAVWAAFALSGVLAPPTWPASIDELEDLMFLNTGYHARGFSAGVTPCSFSQQGPSRVASAEWVRTAFHDMATGNSFTGVGGLDASIVFELGGKGGENIGAGFNTTLETYTPFFSTRSSMADLIALGVYTAVRSCGGPVVQVRTGRIDATARGPIGVPQPENSQGTFINQFTRMGFNVSDMIAVTACGHTMGGVHASNFPQIVVPGSAPNDFQLFDSTVSFDEKVAVDFVGGVAGNPLTSTTAKRSQRDADMKVFTADRNVTIKALADQVTFRSTCARVLQKMIEVVPSGVNLTAPIAPYEVKPGRLQLSLASNGSTIAFTGEIRVRTTSRPVTSISKVELVYKDRTGGSSCGSCIITTEYKGTAEGFDDSFAFYGFEARFPVETAISKFNVRVVLNTGETVVYNNNDEEDDNRLIISQKVRKNANTGSVKLSVTTKTPRSCCVTPALTTQSVPMSPQTTVGPYTLYAGNLTLAAAYRSNAKFDVSLTSGGAVISDSFKNTADLSSTCAPFGSNDPTMPDYTFDGCYTDTPESRALTSAAFVKENMTIAACSSLCKGYQFFGLEYGTECYCGDTRSNSSMQAPKSECNQPCGGDSSETCGAGYRIAIYKDDKWVPITSPQIPGYNYTGCYSDSPSNRTLSGSFTYNEKMTVELCASFCNGTKYFGVEYFSECYCGANMFPGSTIQPESDCGFFFSANKTQHCGGSNRINIYTKLDM", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLTLKKSMLLLFFLGMVSFSLADDKREDEAEEGEDKRADEGEEKRAAEKKRFLEGLLNTVTGLLG", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKIPVLPAVVLLSLLVLHSAQGATLGGPEEESTIENYASRPEAFNTPFLNIDKLRSAFKADEFLNWHALFESIKRKLPFLNWDAFPKLKGLRSATPDAQ", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MVNMKASMFLTFAGLVLLFVVCYASESEEKEFPKEMLSSIFAVDNDFKQEERDCAGYMRECKEKLCCSGYVCSSRWKWCVLPAPWRR", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MWRCPLGLLLLLPLAGHLALGAQQGRGRRELAPGLHLRGIRDAGGRYCQEQDLCCRGRADDCALPYLGAICYCDLFCNRTVSDCCPDFWDFCLGVPPPFPPIQGCMHGGRIYPVLGTYWDNCNRCTCQENRQWQCDQEPCLVDPDMIKAINQGNYGWQAGNHSAFWGMTLDEGIRYRLGTIRPSSSVMNMHEIYTVLNPGEVLPTAFEASEKWPNLIHEPLDQGNCAGSWAFSTAAVASDRVSIHSLGHMTPVLSPQNLLSCDTHQQQGCRGGRLDGAWWFLRRRGVVSDHCYPFSGRERDEAGPAPPCMMHSRAMGRGKRQATAHCPNSYVNNNDIYQVTPVYRLGSNDKEIMKELMENGPVQALMEVHEDFFLYKGGIYSHTPVSLGRPERYRRHGTHSVKITGWGEETLPDGRTLKYWTAANSWGPAWGERGHFRIVRGVNECDIESFVLGVWGRVGMEDMGHH", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MPLPLLLAALCLAASPAPARACQLPSEWRPLSEGCRAELAETIVYAKVLALHPEVPGLYNYLPWQYQAGEGGLFYSAEVEMLCDQAWGSMLEVPAGSRLNLTGLGYFSCHSHTVVQDYSYFFFVRMDENYNLLPHGVNFQDAIFPDTQENRRMFSSLFQFANCSQGQQLTTFSSDWEVQEDNRLMCSSVQKALFEEEDHVKKLQQKVATLEKRNRQLRERVKKVKRSLRQARKNSRHLELVNQKLNEKLGASSAQQHINALGREPVRAPYLHG", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "LTCVTSKSIFGITTENCPDGQNLCFKKWYYLNHRYSDITWGCAATCPKPTNVRETIHCCETDKCNE", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKFATVLAFATAAGAAFASPLASSETTEAGQLSKRQSINYVQNYNGNAANFKYDQHAGTYSTRWTNPPDFVVGLGWSPGNSYRTIKFSGSYSSSSSSYSAVYGWLNNPLTEYYVVENYSYDPCSNSGAQVVGSVTSDGSNYKICKHTQYDQPSIQGTKTFGQYFSVRANKRNSGSVTLSKHFNAWKQHGFANGAANPDFNYQVFATEAFGGTGSASMSVSG", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MRLHSVLAVATAVGCAVAHDPVVQVPMCPWNAVINFDKTVPDQKPFPQTTIRLCYNSKTLSLKFEAKDEKYFYFDPSQKINDDIWKYEVMEAFISTGHADPSTYLEFEVSPNNVTYQAFVYNPSKNRTDGAPFDHAFISNPIADGITSKTSLAQTQGKWTSNVQIPLALFNVDTPQGSKWRMNFFRTVTSKETYPDQILGAWNSPDKASFHITSFFRKIVFV", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "TPEQQRYVDLFIVVDHGMFMKYNGNSDKIRRRIHQMVNIMKEAYSTMYIDILLTGVEIWSNKDLINVQPAAPQTLDSFGEWRKTDLLNRKSHDNAQLLTSTDFNGPTIGLAYVGSMCDPKRSTGVIQDHSEQDLMVAITMAHELGHNLGISHDTGSCSCGGYSCIMSPVLSHEPSKYFSDCSYIQCWDFIMKENPQCILNKR", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKFALFSVLVVLLIATFVAADECPRICTADYRPVCGTPSGGRRSANRTFGNQCSLNAHNCLNKGDTYDKLHDGECK", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MEKSICTSVLVLGLFISSAIGQFCPRDRYEFPPIQCKTHADCGYRSFCEPSGTISRCCTKCPIGTIMVYPRCNWPSPGGCPPFSTCENDPVGLGRFAAVCCSRPYPFYG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKNAVLVFLLLSVFALSVNAYGYGCGWYGCPYGSKCICPYYGKCYCVPVYGKNCYIYGCPYPKVCVYGVCKWRYKY", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLPLLLCIVPYCWSSRLDPRASSFDYNGEKVRGVNLGGWLVLEPWITPSIFDAAGAEAVDEWSLTKILGKEEAEARLSAHWKSFVSAGDFQRMADAGLNHVRIPIGYWALGPLEGDPYVDGQLEYLDKAVEWAGAAGLKVLIDLHGAPGSQNGFDNSGRRGAIQWQQGDTVEQTLDAFDLLAERYLGSDTVAAIEAINEPNIPGGVDQGKLQEYYGSVYGIVNKYNAGTSVVYGDGFLPVESWNGFKTEGSKVVMDTHHYHMFDNGLIAMDIDSHIDAVCQFAHQHLEASDKPVIVGEWTGAVTDCAKYLNGKGNGARYDGSYAADKAIGDCSSLATGFVSKLSDEERSDMRRFIEAQLDAFELKSGWVFWTWKTEGAPGWDMSDLLEAGVFPTSPDDREFPKQC", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "CTTGPCCRQCKLKPAGTTCWKTSLTSHYCTGKSCDCPLYPG", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MKGTSALLLIGFFHATISQDPGGTVVIGSLSGRKRGNLNTGGQITSNSAILGDVNAGSKLSEPPKRRNTDKTARMLENNPRIGGSLIPPPGVIMEPNPYDINPPYFVSNKNSQSTNSATNTMLQSLTSDTKTTTRTSQTSSTRASSSITQGINTMNRNSMRFNQVDRNKISGFVNSGGQIQTNPLNTNSQSSPILAAQRQITRQKSENTQGNSIVRNGGTNSLNIPSSTRRSQPPNMAVQIGQNTATFNMGTDGKVLHKFLPTNLFENINSVSKEPRNTASVPGIGGMRNPGPSISIRNIFGTNNIEGSSVQLTGSSGVFVSDPGPKGNPTDVPQFVPSGISPTVRDPNALDPFKSIRNQIVPDIKRNEVNRGNSMISAPVIDNPTNSNSMVELNSILQNVQNGFLSEALGNSNNQNNRVTNIVNQINSADPQPVRRCQFLPYRDLRTNKIDRRYFRQLVNGKWLNLKCADGAGFNETTCLCSIHLTGDAQCSPEVRLNFNDGTIQNLTPINVHIDAEGVDASKGWAHFNGSTQMKFEYFNAYDVQRDFLIKLRFKADSYIPNQSHPIVTNCVAGQENTDPSIGVFLTGNYPHKIVFILQTDKSKLLQHLIFDVPRDGWHDITYKYDGSTLTGILDGKEKSLPTEGRIENRQAVLVFGGCGNRIFRGNIDDIQIYTCIPPSHRNKG", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MQKLLLAVLFFSLLAVATARPKYHKQGRRKGDACRLNCIFDNVVCEIPCKLLFRSRSKYIDCVLPCRRDRVDCYIHCNHFDATPKTEAEPGSLDKGEGTKGEKGKEGKKEKGEYAIGNAESGNGSSGGSNKTHDDDDDDRDDVHENDDENED", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MYTLFILSLLCVLVTFSECKYPTGPIYPHKPIYPIRPVRPDPCPAVCLILCHKGNIHDKNGCPICRCRPDECALPLKVGPCRARFPRYYFNFMRKRCEFFFWGGCLPNKNNFETIYDCQETCGYAERRYPYPYIKRTY", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MQFRPSIALVLSIVGILSLEISWTDGCTCFMETRREKCQRSTFGFIGYPYFAGRTNIGGMEYNRFCFFIVRIHKGLSVVFGEPCVYSSVSSAACGTGFYSGSLSIVNGYIESGVKQVNLCGWNERWRSVPSFVKFMFLTQPNWCYA", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MSLHYYLFIFWILAFVQFSHATYQYEVRMCVVGKSTPQNTYGYGSKRVGRYGIYGDCGCFQKPVGCPRLWYPQYNKACAPMDHWINIPQKQTQLEEVTNWRTATVEEVQRTPLETWNVERTPVETWNLQKNQQGPHSVVKSKVYKKSVYNAKRKVKSLYTKTKKTYAKPVKKYKTIEVITYRKVKVEHIQCCPPLKFWFFDFPDFVEVSDANGNGAKSTNEQFSK", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MRSLLVLLALAACASAQFFIRGGDDDNGFFGDDDNGYYYPRYFPIYNRFPIYRPIYYRPQIYYPIYRPIFGYGGYGYGGYGGYGYGGYGYGGYGYGGYGGYGYNPYSYGGFYRFGDDDNGFDD", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNLFICHVFLLLLHGYLIICQDIPPDTIISAVNTAQQEVTQREASSFSQQQARASVAAFAGAPQPAAVLAASRPARTGLDRFNRRNDPQRNTELSIGGQVTQRATLALRSSDPASAAAPAARTLTPLDARAPAAGFSASSTMTFRNAAAQSCTDSRPVTVCDPQQRYRETDGQCNNLVFPSFPSGAFKLGAAFTAQGRFLFPAYDDGVSSPRIRSVIPGFLLPNARLVSRNVHSGTAFDSDRHTPFLTHFGQFIDHDIVSTPETEPKFTMPNSHCCLEPNLEECFNINFEPDPLLQGSCIRFNRADTAPSYFCNPGPRLQQNQRSSFVDGTMVYGWDVEQENRLREPGTGRLISEGDDQLKLEPVADPLNPPCFPVDNRCFEAGDHRSLETVPLTVMHIMFLRRHNLIVQELQNLPLPWTPELLFQEAKRIVVAELQHITYNEFLPRVLGPQFMTIFRLWPAPLFSDTYSPLVDPRTTSGFSVAAYRFGHSLVRNVHDQIGPGGLPVNNLLLQDHFDRLQTHLNVFPGGNTEGFARWMKLSQKSRADRTLVDGLQNNLFPCEDPDCPMGGGVTKSFDLAALNIQRGRDHGLPPYTAWRYWCTGRRAFVFTPNAVGLSDHSPFEANILSNTYRHVDDIDLFTGGMTEMRRPGALLGPTLSCIIGLQFSNYKRGDRFFYERPDPVMAFTPGQLQAIKETSLAKILCSTMRSFSNVQIBAMDRVSPSNPIVNCDELRSQDIIAKIPFLWNQLPNRAIQSAAARASNISGRTGLRVSTRFEDPAMLRLIGRRRLYKH", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKTSSLTIIFIAVIITIICLNIHDIEAREIEFNAGRVVRSEKDCIKHLQRCRENKDCCSKKCSRRGTNPEKRCR", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKLWDVVAVCLVLLHTASAFPLPAGKRPPEAPAEDRSLGRRRAPFALSSDSNMPEDYPDQFDDVMDFIQATIKRLKRSPDKQMAVLPRRERNRQAAAANPENSRGKGRRGQRGKNRGCVLTAIHLNVTDLGLGYETKEELIFRYCSGSCDAAETTYDKILKNLSRNRRLVSDKVGQACCRPIAFDDDLSFLDDNLVYHILRKHSAKRCGCI", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGSSSLLLVCLAGMVYLTEAAPLVSHGSIDSKCPLMVKVLDAVRGRPATSIAVKVSKMSEEGDWKEFANGKTNEFGEIHELTTDEQFVQGLYKVEFDTSSYWKALGVSPFHEYADVVFSANDSGHRHYTIAALLSPFSYSTTAVVSDPKE", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MPGIKRILTVTILALCLPSPGNAQAQCTNGFDLDRQSGQCLDIDECRTIPEACRGDMMCVNQNGGYLCIPRTNPVYRGPYSNPYSTPYSGPYPAAAPPLSAPNYPTISRPLICRFGYQMDESNQCVDVDECATDSHQCNPTQICINTEGGYTCSCTDGYWLLEGQCLDIDECRYGYCQQLCANVPGSYSCTCNPGFTLNEDGRSCQDVNECATENPCVQTCVNTYGSFICRCDPGYELEEDGVHCSDMDECSFSEFLCQHECVNQPGTYFCSCPPGYILLDDNRSCQDINECEHRNHTCNLQQTCYNLQGGFKCIDPIRCEEPYLRISDNRCMCPAENPGCRDQPFTILYRDMDVVSGRSVPADIFQMQATTRYPGAYYIFQIKSGNEGREFYMRQTGPISATLVMTRPIKGPREIQLDLEMITVNTVINFRGSSVIRLRIYVSQYPF", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVSIRVICYLLVFSVLQVHAKVSNANFNSQAPQMKNSEGLGASNGTQIAKKHAEDVIENRKTLKHVNVKVEANEKNGLEIESKEMVKKRKNKKRLTKTESLTADYSNPGHHPPRHN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "LICYNQLGTKPPTTETCGDDSCYKMIWTYDGVIRRGCGCFTPRGDMPRPRCCKSDKCNL", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MNCLKICGFFFALIAALATAEAGTQVIHAGGHTLIQTDRSQYIRKN", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MAAAKWLIASLAFASSGLAFTPEDFISAPRRGEAIPDPKGELAVFHVSKYNFDKKDRPSGWNLLNLKNGDINVLTTDSDVSEITWLGDGTKVVYINGTDSVKGGVGIWISDAKNFGNAYKAGSVNGAFSGLKLAKSGDKINFVGYGQSTTKGDLYNEAAAKEAVSSARIYDSLFVRHWDTYVGTQFNAVFSGTLTKSGDKYSFDGKLKNLVQPVKYAESPYPPFGGSGDYDLSSDGKTVAFMSKAPELPKANLTTSYIFLVPHDGSRVAEPINKRNGPRTPQGIEGASSSPVFSPDGKRIAYLQMAAKNYESDRRVIHIAEVGTNKPVQRIASNWDRSPEAVKWSSDGRTLYVTAEDHATGKLFTLPADARDNHKPAVVKHDGSVSSFYFIGSSKSVLISGNSLWSNALYQVATPDRPNRKLFYANEHDPELKGLGPNDIEPLWVDGARTKIHSWIVKPTGFDKNKVYPLAFLIHGGPQGSWGDNWSTRWNPRVWADQGYVVVAPNPTGSTGFGQKLTDDITNDWGGAPYKDLVKIWEHVHDHIKYIDTDNGIAAGASFGGFMVNWIQGQDLGRKFKALVSHDGTFVGSSKIGTDELFFIEHDFNGTFFEARQNYDRWDCSKPELVAKWSTPQLVVHNDFDFRLSVAEGVGLFNVLQEKGVPSRFLNFPDETHWVTKPENSLVWHQQVLGWVNKWSGINKSNPKSIKLSDCPIEVVDHEAHSYFDY", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKIFFAILLILAVCSMAIWTVNGTPFEVRCATDADCSRKCPGNPPCRNGFCACT", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "DCASGPCCRDCKFLKEGTICKRARGDNMDDYCNGKTCDCPRNPHKGEHDP", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MATTIDQTSIKSLHFHQVIRLIITIIFLAFLFLIGPTSSMNHHLHESSSKNTMAPSKRFLLQPSTPSSSTMKMRPTAHPRRSGTSSSSARKRRREFRAEAHEVPSGPNPISN", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRSSLLLGLTVVVLLGVIVPPCMAGQALNKLMPKIVSAIIYMVGQPNAGVTFLGHQCLVESTRQPDGFYTAKMSCASWTHDNPIVGEGRSRVELEALKGSITNFVQTASNYKKFTIDEVEDWIASY", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "CAKKREWCAKTEDCCCPMKCIYAWYNEQSSCQTTFSGMFKKC", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MVIKIPNTFIKATSLLSLILYFLIIATSKSNSVLADEVVDQEDDPEYYILDETPSILSNVTISSKTRLLVSHYKKIKKGMRCHVESYNICNGVKANKGTSLLHCCKKHCRNVLGDRNNCGRCGHKCGFGQRCCGGVCTYVNFNPNHCGKCTRKCASGVKCEYGYCGYA", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "GVRDAYIADDKNCVYTCGANSYCNTECTKNGAESGYCQWFGKYGNACWCIKLPDKVPIRIPGKCR", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MKTLLLSLVVVTIVCLDLGYTRTCLISPSSTPQTCPNGQDICFRKAQCDNFCHSRGPVIEQGCVATCPQFRSNYRSLLCCRTDNCNH", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTKTCLKTPSSTPQTCPQGQDICFLKVSCEQFCPIRGPVIEQGCAATCPEFRSNDRSLLCCTTDNCNH", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "RTCLISPSSTSQTCPKGQDICFTKAFCDRWCSSRGPVIEQGCAATCPEFTSRYKSLLCCTTDNCNH", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MRPGTGQGGLEAPGEPGPNLRQRWPLLLLGLAVVTHGLLRPTAASQSRALGPGAPGGSSRSSLRSRWGRFLLQRGSWTGPRCWPRGFQSKHNSVTHVFGSGTQLTVLSQPKATPSVTLFPPSSEELQANKATLVCLMNDFYPGILTVTWKADGTPITQGVEMTTPSKQSNNKYAASSYLSLTPEQWRSRRSYSCQVMHEGSTVEKTVAPAECS", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MIILWSLIVHLQLTCLHLILQTPNLEALDALEIINYQTTKYTIPEVWKEQPVATIGEDVDDQDTEDEESYLKFGDDAEVRTSVSEGLHEGAFCRRSFDGRSGYCILAYQCLHVIREYRVHGTRIDICTHRNNVPVICCPLADKHVLAQRISATKCQEYNAAARRLHLTDTGRTFSGKQCVPSVPLIVGGTPTRHGLFPHMAALGWTQGSGSKDQDIKWGCGGALVSELYVLTAAHCATSGSKPPDMVRLGARQLNETSATQQDIKILIIVLHPKYRSSAYYHDIALLKLTRRVKFSEQVRPACLWQLPELQIPTVVAAGWGRTEFLGAKSNALRQVDLDVVPQMTCKQIYRKERRLPRGIIEGQFCAGYLPGGRDTCQGDSGGPIHALLPEYNCVAFVVGITSFGKFCAAPNAPGVYTRLYSYLDWIEKIAFKQH", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "GVRDAYIADDKNCVYTCASNGYCNTECTKNGAESGYCQWIGRYGNACWCIKLPDEVPIRIPGKCR", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MRNCRVLLVLVLSLVIVLNVVRASDESKVHIVYLGEKQHDDPEFVSESHHQMLSSLLGSKVDAHESMVYSYRHGFSGFAAKLTESQAKKLADSPEVVHVMADSFYELATTRTWDYLGLSVANPNNLLNDTNMGDQVIIGFIDTGVWPESESFNDNGVGPIPSHWKGGCESGEKFISTNCNRKLIGAKYFINGFLAENEGFNTTESRDYISARDFIGHGTHTASIAGGSFVPNISYKGLAGGNLRGGAPRARIAIYKACWYVDQLGAVACSSSDILKAMDESMHDGVDVLSLSLGAQIPLYPETDLRDRIATGAFHAVAKGIIVVCAGGNSGPAAQTVLNTAPWIITVAATTLDRSFPTPITLGNRKVILGQALYTGQELGFTSLVYPENAGFTNETFSGVCERLNLNPNRTMAGKVVLCFTTNTLFTAVSRAASYVKAAGGLGVIIARNPGYNLTPCRDDFPCVAIDYELGTDVLLYIRSTRSPVVKIQPSRTLVGQPVGTKVATFSSRGPNSISPAILKPDIGAPGVSILAATSPDSNSSVGGFDILAGTSMAAPVVAGVVALLKALHPNWSPAAFRSAIVTTAWRTDPFGEQIFAEGSSRKVADPFDYGGGIVNPEKAADPGLIYDMGPRDYILYLCSAGYNDSSITQLVGNVTVCSTPKTSVLDVNLPSITIPDLKDEVTLTRTVTNVGTVDSVYKVVVEPPLGIQVVVAPETLVFNSKTKNVSFTVRVSTTHKINTGFYFGNLIWTDSMHNVTIPVSVRTQILQNYYDEN", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "MRSFRSSILLVLLSLITVLNATRARSETESKVHIVYLGEKKHHDPEFVTESHHQMLASLLGSKKDADDSMVYSYRHGFSGFAAKLTKSQAKKIADLPEVVHVIPDGFHELATTRTWEYLGLSSANPKNLLNDTNMGDQVIIGVIDTGVWPESESFNDNGVGPIPRKWKGGCESGENFRSTDCNRKLIGAKYFINGFLAENKGFNTTESRDYISARDFDGHGTHVASIAGGSFVPNVSYKGLAGGTLRGGAPRARIAMYKACWFHEELKGVTCSDSDIMKAIDEAIHDGVDVLSISLVGQIPLNSETDIRDEFATGLFHAVAKGIVVVCAGGNDGPAAQTVVNIAPWILTVAATTLDRSFPTPITLGNNKVILGQATYTGPELGLTSLVYPENARNNNETFSGVCESLNLNPNYTMAMKVVLCFTASRTNAAISRAASFVKAAGGLGLIISRNPVYTLSPCNDDFPCVAVDYELGTDILSYIRSTRSPVVKIQRSRTLSGQPVGTKVVNFSSRGPNSMSPAILKPDIAAPGVRILAATSPNDTLNVGGFAMLSGTSMATPVISGVIALLKALHPEWSPAAFRSAIVTTAWRTDPFGEQIFAEGSSRKVSDPFDYGGGIVNPEKAAEPGLIYDMGPQDYILYLCSAGYNDSSISQLVGQITVCSNPKPSVLDVNLPSITIPNLKDEVTLTRTVTNVGLVDSVYKVSVEPPLGVRVVVTPETLVFNSKTISVSFTVRVSTTHKINTGYYFGSLTWTDSVHNVVIPLSVRTQILQNYYDEN", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MASVKLFFIAILVVALSLNTSAAVLNPSSTAKPRFETKDRKLSAGALQSLAG", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPVPALCLLWALAMVTRPASAAPMGGPELAQHEELTLLFHGTLQLGQALNGVYRTTEGRLTKARNSLGLYGRTIELLGQEVSRGRDAAQELRASLLETQMEEDILQLQAEATAEVLGEVAQAQKVLRDSVQRLEVQLRSAWLGPAYREFEVLKAHADKQSHILWALTGHVQRQRREMVAQQHRLRQIQERLHTAALPA", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MRFSNFLTVSALLTGALGAPAVRHKHEKRDVVTATVHAQVTVVVSGNSGETIVPVNENAVVATTSSTAVASQATTSTLEPTTSANVVTSQQQTSTLQSSEAASTVGSSTSSSPSSSSSTSSSASSSASSSISASGAKGITYSPYNDDGSCKSTAQVASDLEQLTGFDNIRLYGVDCSQVENVLQAKTSSQKLFLGIYYVDKIQDAVDTIKSAVESYGSWDDITTVSVGNELVNGGSATTTQVGEYVSTAKSALTSAGYTGSVVSVDTFIAVINNPDLCNYSDYMAVNAHAYFDENTAAQDAGPWVLEQIERVYTACGGKKDVVITETGWPSKGDTYGEAVPSKANQEAAISSIKSSCGSSAYLFTAFNDLWKDDGQYGVEKYWGILSSD", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MVSASSLLLAASAIAGVFSAPAAAPVSENLNVLQERALTSSATGTSGGYYYSFWTDGSGGVTYSNGDNGQYAVSWTGNKGNFVGGKGWAVGSERSISYTGSYKPNGNSYLSVYGWTTFPLIEYYIVEDFGTYDPSSAATEIGSVTSDGSTYKILETTRTNQPSIQGTATFKQYWSVRTSKRTSGTVTTANHFAAWKKLGLTLGSTYDYQIVAVEGYQSGSASITVS", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MSHSSTALLHPYVAARATEKFAPIYFFCHPLQSAETDVAERANKRPIWIMGHMVNANYQIDEFVNLGANSIETDVSFDSSANPEYTYHGVPCDCRGWCKKWEYFNNFLKALRKATTPGDSKYHEKLVLVVFDLKTGSLYDNQAYDAGKKLAKNLLQHYWNNGNNGGRAYIVLSIPNLAHYKLITGFKETLKTEGHPELMEKVGYDFSGNDNIDQVANAYKKAGVTGHVWQSDGITNCLLRGLDRVRKAVANRDSSNGYINKVYYWTVDKRQSTKNALDAGVDGIMPNYPDVIADVPNESAYKAKFRIASYDDNPWETFKN", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MKIFFAILLILAVCSMAIWTVNGTPFAIKCATDADCSRKCPGNPPCRNGFCACT", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MGGGRWAAAGALLALAAGLLAAGSASEYDYVSFQSDIGAYQSGRFYTKPPQCVDIPADLRLCHNVGYKRMVLPNLLEHETMAEVKQQASSWVPLLNKNCHIGTQVFLCSLFAPVCLDRPIYPCRWLCEAVRDSCEPVMQFFGFYWPEMLKCDKFPEGDVCIAMTPPNATEASKPQGTTVCPPCDNELKSEAIIEHLCASEFALRMKIKEVKKENGDKKIVPKKKKPLKLGPIKKKELKKLVLYLKNGADCPCHQLDNLSHHFLIMGRKVKSQYLLTAIHKWDKKNKEFKTFMKKMKNHECPTFQSVFK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MLNPKVAYMVWMTCLGLTLPSQAQSNDYRPSYHFTPDQYWMNEPNGLIKIGSTWHLFFQHNPTANVWGNICWGHATSTDLMHWAHKPTAIADENGVEAFTGTAYYDPNNTSGLGDSANPPYLAWFTGYTTSSQTQDQRLAFSVDNGATWTKFQGNPIISTSQEAPHDITGGLESRDPKVFFHRQSGNWIMVLAHGGQDKLSFWTSADTINWTWQSDLKSTSINGLSSDITGWEVPDMFELPVEGTEETTWVVMMTPAEGSPAGGNGVLAITGSFDGKSFTADPVDASTMWLDNGRDFDGALSWVNVPASDGRRIIAAVMNSYGSNPPTTTWKGMLSFPRTLSLKKVGTQQHFVQQPITELDTISTSLQILANQTITPGQTLLSSIRGTALDVRVAFYPDAGSVLSLAVRKGASEQTVIKYTQSDATLSVDRTESGDISYDPAAGGVHTAKLEEDGTGLVSIRVLVDTCSVEVFGGQGEAVISDLIFPSDSSDGLALEVTGGNAVLQSVDVRSVSLE", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MERTLVCLVVIFLGTVAHKSSPQGPDRLLIRLRHLIDIVEQLKIYENDLDPELLSAPQDVKGHCEHAAFACFQKAKLKPSNPGNNKTFIIDLVAQLRRRLPARRGGKKQKHIAKCPSCDSYEKRTPKEFLERLKWLLQKMIHQHLS", - "output": "Extracellular" - }, - { - "instruction": "Using the protein sequence as input, make predictions regarding the cellular localization within eukaryotic cells.", - "input": "DWECLPLHSSCDNDCVCCKNHHCHCPYSNVSKLEKWLPEWAKIPDALKRCSCQRNDKDGKINTCDKYKN", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MKLSKLSILTSALATSALAAPAVVTVTEHAHEAAVVTVQGVVYVENGQTRTTYETLAPASTATPTSTATALVAPPVAPSSASSNSDVVLSALKNLASVWGKTTDSTTTLTSSESTSQSLAQATTTSTPAAASTTSTPAATTTTSQAAATSSASSSDSDLSDFASSVLAEHNKKRALHKDTPALSWSDTLASYAQDYADNYDCSGTLTHSGGPYGENLALGYDGPAAVDAWYNEISNYDFSNPGFSSNTGHFTQVVWKSTTQVGCGIKTCGGAWGDYVICSYDPAGNYEGEYADNVEPLA", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "LECHNQQSSQAPTTKTCSGETNCYKKWWSDHRGTIIERGCGCPKVKPGVNLNCCRTDRCNN", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "LECHNQQSSQTPTTKTCSGETNCYKKWWSDHRGTIIERGCGCPKVKPGVNLNCCRRDRCNN", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "SLLEFGKMILEETGKLAIPSYSSYGCYCGWGGKGTPKDATDRCCFVHDCCYGNLPDCNPKSDRYKYKRVNGAIVCEKGTSCENRICECDKAAAICFRQNLNTYSKKYMLYPDFLCKGELKC", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MKLQGAGCVVAAVLGALFIVNVESHFHKPELQLCKAFGEPCISYDVRSTIGPRCWFKLEFPREKCCNENGKRQSPIDIPDVKSIYKVPQKLRYSSRKFVGHLENTGIQPAFKRKVGADKVYLEGIGSPVGKRYFIENVHFHVGVRHKERQTENTLNGRSFDGEAHIVHIREDFGDLKEAANHPQGLLVISIFLSTSKGERRRDGFDDLIEMIQDVQEFEEEDGPCANVKIPDIFKFKQLIPFHPVWPICKKTFPVADDSDNSGSGVVCNFYLPNGLCGEKKESKINPNELLADDPEYYVFNGGLTTPPCSESVLWLVAKQPRKVSVFYPYVVRNMETQREGEIIGDFGNLRPLQDLNDRPVFLVRFRLKRNWEHGDTAANDNDAMDSPFSVLGIN", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MLFSKSLVALVACFLPLIVSATELKLRNAAATNVAADSYIVVYKDIDDSTFESEMFNVHSFLSKRDSTFRGLGHKYKMPKFKGYQIESDMDTVNRISQSPHVAYVDKDVKVSAYDLSVRIGAPWGLDRISHRNGTSPGLEEYTYDSSAGGGTTIYIIDTGVYIEHVEFEGRATFGANFIPGSPDTDEDGHGTHVAGIAAGANFGVASKAKIIAVRVLDANGDGKGSNVLAGMQWAADDAGKKNQTAKSVINMSLGADYSEAFNKATEAIIAKGIVVVAAAGNEDANASGVSPASTVDAITVGATDRNDSRAAFSNWGVALDVFAPGVDILSAWIGGKDANKTISGTSMACPHVAGLAAYFIGLEKNGTSTPSKIATKIKGVATKNVVLHPKNSRDNLAYNDDGY", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MKTPAPGRIHSIVLVLLSLAVLQTSKAQKVQNDIDIYSLTVDSKVSSRFAHTVITSRVVNKADAVREATFQMELPKKAFITNFSMVIDGVTYPGNIKEKAAAQEQYSAAVARGESAGLVRATGRKTEQFQVSVSVAPAAKVTFELVYEELLARHLGAYELLLKVRPQQLVKHLQMDIHIFEPQGISFLETESTFMTNKLAEALTTSQNKTKAHVRFKPTLSQQQKYPEKQDTVIDGSFIVRYDVDRPLSGGSIQIENGYFVHYFAPDSLSTIPKNVIFVIDKSGSMMGRKIKQTREALIKILDDLSPHDQFDLISFSSEATTWKPLLVPASTENVNEAKSYATGIQAQGGTNINDAMLMAVQLLEKANQEELLPEGSITLIILLTDGDPTVGETNPLNIQKNVRKAINGQHSLFCLGFGFDVSYAFLEKMALENGGLARRIYEDSDSALQLQDFYQEVANPLMTSVAFEYPSNAVESVTQDTFRVFFKGSELVVAGKLREQSPDVLLAQIRGQLHRENITYMMMSHVAEQEEMFRSPKYIFHSFIERLWAYLTIQQLLEQMVSALDAEKQALEARALSLSLSYSFVTPLTSMVITKPEGQEQSQVAEKPVEDESRGSRVYLGPMRFGHSVGDRTSRKPGGGLKLLNGTPLFGPPGPPAAASPFHRMTSRLVLPELMSPLAPASAPSPTSGPGGASHDTDFRIKGTTPTALPFAPVQAPSVILPLPGQSVDRLCVDLRRPQELVNLLSDPDQGVEVTGHFETAKARFSWIEVTFENPQVQIHASPEHVVMTRNRRNSAYKWKETLYSVMPGLKVTMDKEGLLLLSRPDRVTIGLLFWDGPGKGLRLLLQNTDRFSSHVSGTLGQFYQDVLWGPLDTADDSKRTLKVQGRDYSATRELKLDYQESPPGKEISCWSVEL", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MGRFILVNLGLLVVAFSLRGSEACCPCGWSSYDKYCYKVFDKRKNWDDAERFCMEQGKGGHLAALGSLEEGKFVGKLAFKKLKEHPTYVWIGLRAQGQGQQCSSRWSDGSRILYENWHPLQSKKCIALSKWTEYLKWYNHICDFTLPFICKFLAEPDDPE", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MCPGALWVALPLLSLLAGSLQGKPLQSWGRGSAGGNAHSPLGVPGGGLPEHTFNLKMFLENVKVDFLRSLNLSGVPSQDKTRVEPPQYMIDLYNRYTSDKSTTPASNIVRSFSMEDAISITATEDFPFQKHILLFNISIPRHEQITRAELRLYVSCQNHVDPSHDLKGSVVIYDVLDGTDAWDSATETKTFLVSQDIQDEGWETLEVSSAVKRWVRSDSTKSKNKLEVTVESHRKGCDTLDISVPPGSRNLPFFVVFSNDHSSGTKETRLELREMISHEQESVLKKLSKDGSTEAGESSHEEDTDGHVAAGSTLARRKRSAGAGSHCQKTSLRVNFEDIGWDSWIIAPKEYEAYECKGGCFFPLADDVTPTKHAIVQTLVHLKFPTKVGKACCVPTKLSPISVLYKDDMGVPTLKYHYEGMSVAECGCR", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MSVSRKDWSALSSLARQRTLEDEEEQERERRRRHRNLSSTTDDESPKLTQNGAQRSVERLPSVEEAEVSKPSPPASKDEDEDFQAILRTRKERRQRRQVVEAVQAPVQERPEAEEERDSLGPEQTSSQPLVPKKKVEALPRRRLSREQRGPWAQDEERLKNRELAEGEKRLPEETVAQQKTLVSEKTPVSEKTPVPAKRLVSEKACPSEKGTATEKASLTEKRHSPEKLVPEKTSVTEKSPVPEKTLVSLKTAAPERRSPPVLEKAIVSEKMQERKLVSEKASIFEKSLVSEAKLTPKKAAVSEQPQTTGGSQATTREPRGRALPDKSPPSSAEQSTPAPPTKASRFPPITLQVKIPSKDEDADTPSPTLLTYSSSLKRSSPRTISFRMSPRKDNSETPLTRSASVRLPASTVKLGEKLERYHTAIQRSESVRSPGSSRTEVLVTPAGVASKRHLFEKELSGQNRTEPTSIRKENLRLSGVVTSRLNLWISKTQDSGDHGSQEVRKEASVTKRAQWGSKPSTSLDAEV", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MQILTWALAALAAIPAVTAAPVETVEASSMDELVERSPNVTLVARGTPSSTGTHNGFYYSHWTDNAGADVTYSMGGGGQFSYTWRNSGNFVGGKGWNPGNAGRVINYSGSYSPQGNSYLAVYGWTRNPLIEYYVVESFGSYNPSSGATNRGSFTSDGSTYDILVSTRYNQPSIDGTKTFQQFWSVRRNKRASGTVTFANHVNAWRNAGLNLGNQWNYQILAVEGYHSSGSASMTVR", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MHSKVTIICIRFLFWFLLLCMLIGKSHTEDDIIIATKNGKVRGMNLTVFGGTVTAFLGIPYAQPPLGRLRFKKPQSLTKWSDIWNATKYANSCCQNIDQSFPGFHGSEMWNPNTDLSEDCLYLNVWIPAPKPKNATVLIWIYGGGFQTGTSSLHVYDGKFLARVERVIVVSMNYRVGALGFLALPGNPEAPGNMGLFDQQLALQWVQKNIAAFGGNPKSVTLFGESAGAASVSLHLLSPGSHSLFTRAILQSGSFNAPWAVTSLYEARNRTLNLAKLTGCSRENETEIIKCLRNKDPQEILLNEAFVVPYGTPLSVNFGPTVDGDFLTDMPDILLELGQFKKTQILVGVNKDEGTAFLVYGAPGFSKDNNSIITRKEFQEGLKIFFPGVSEFGKESILFHYTDWVDDQRPENYREALGDVVGDYNFICPALEFTKKFSEWGNNAFFYYFEHRSSKLPWPEWMGVMHGYEIEFVFGLPLERRDNYTKAEEILSRSIVKRWANFAKYGNPNETQNNSTSWPVFKSTEQKYLTLNTESTRIMTKLRAQQCRFWTSFFPKVLEMTGNIDEAEWEWKAGFHRWNNYMMDWKNQFNDYTSKKESCVGL", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKASLITAFVLPLLALASPYLPTDPPANIEVTARHPGRENVDKLPMQAAGRAFWLGGSPATYCPEIVGDNCPPGNATVILGLNSMSVLVPGGQQMYVEPSGKLGFTQAHSAAIPPGSYVGGFAYKPLNKKSGSFYFGGWGATALMACPVPDSKYYQVFANIKNAMVPGGDVKKCVEFVGVAKEYKGTTPAAWQYT", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MQFKAIALTAIAAITPFTAAVGHAIVENHCDNNAYLWSVGGSVGPQQTITPGHTYSEQFRYDPVSGGIALKITRVKDGIYNGSPQTIFAYTLTDTNTFYDLSDVFGDAFAGTSGLLVSTSNPDCPDIWWPNGVPPTGQKFTSACQRDADITLTLCAQPPK", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "LTCCNQQSSQPKTTTDCADNSCYKKTWQDHRGTRIERGCGCPQVKPGIKLECCKTNECNN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MAGLSRGSARALLAALLASTLLALLVSPARGRGGRDHGDWDEASRLPPLPPREDAARVARFVTHVSDWGALATISTLEAVRGRPFADVLSLSDGPPGAGSGVPYFYLSPLQLSVSNLQENPYATLTMTLAQTNFCKKHGFDPQSPLCVHIMLSGTVTKVNETEMDIAKHSLFIRHPEMKTWPSSHNWFFAKLNITNIWVLDYFGGPKIVTPEEYYNVTVQ", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MHPGVLAAFLFLSWTHCRALPLPSGGDEDDLSEEDLQFAERYLRSYYHPTNLAGILKENAASSMTERLREMQSFFGLEVTGKLDDNTLDVMKKPRCGVPDVGEYNVFPRTLKWSKMNLTYRIVNYTPDMTHSEVEKAFKKAFKVWSDVTPLNFTRLHDGIADIMISFGIKEHGDFYPFDGPSGLLAHAFPPGPNYGGDAHFDDDETWTSSSKGYNLFLVAAHEFGHSLGLDHSKDPGALMFPIYTYTGKSHFMLPDDDVQGIQSLYGPGDEDPNPKHPKTPDKCDPSLSLDAITSLRGETMIFKDRFFWRLHPQQVDAELFLTKSFWPELPNRIDAAYEHPSHDLIFIFRGRKFWALNGYDILEGYPKKISELGLPKEVKKISAAVHFEDTGKTLLFSGNQVWRYDDTNHIMDKDYPRLIEEDFPGIGDKVDAVYEKNGYIYFFNGPIQFEYSIWSNRIVRVMPANSILWC", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MLSIKRTLLLLGAVLPAVFGAPVQETRRAAQKIPGKYIVTFKPGTDTATIESHTLWATDLHKRNLERRDTTSGEPPVGIEKSYKIKDFAAYAGSFDDATIEEIRKSADVAHVEEDQIWYLDALTTQKGAPWGLGSISHKGQASTDYIYDTSAGAGTYAYVVDSGINVNHVEFESRASLAYNAAGGSHVDSIGHGTHVAGTIGGKTYGVAKKTNLLSVKVFQGESSSTSIILDGFNWAVNDIVSKGRTKKAAINMSLGGGYSYAFNNAVENAFDEGVLSVVAAGNENSDASNTSPASAPNALTVAAINKSNARASFSNYGSVVDIFAPGQDILSAWIGSTTATNTISGTSMATPHIVGLSVYLMGLENLSGPAAVTARIKELATNGVVTNVKGSPNKLAYNGNA", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MAKRSRGPGRRCLLALVLFCAWGTLAVVAQKPGAGCPSRCLCFRTTVRCMHLLLEAVPAVAPQTSILDLRFNRIREIQPGAFRRLRNLNTLLLNNNQIKRIPSGAFEDLENLKYLYLYKNEIQSIDRQAFKGLASLEQLYLHFNQIETLDPDSFQHLPKLERLFLHNNRITHLVPGTFNHLESMKRLRLDSNTLHCDCEILWLADLLKTYAESGNAQAAAICEYPRRIQGRSVATITPEELNCERPRITSEPQDADVTSGNTVYFTCRAEGNPKPEIIWLRNNNELSMKTDSRLNLLDDGTLMIQNTQETDQGIYQCMAKNVAGEVKTQEVTLRYFGSPARPTFVIQPQNTEVLVGESVTLECSATGHPPPRISWTRGDRTPLPVDPRVNITPSGGLYIQNVVQGDSGEYACSATNNIDSVHATAFIIVQALPQFTVTPQDRVVIEGQTVDFQCEAKGNPPPVIAWTKGGSQLSVDRRHLVLSSGTLRISGVALHDQGQYECQAVNIIGSQKVVAHLTVQPRVTPVFASIPSDTTVEVGANVQLPCSSQGEPEPAITWNKDGVQVTESGKFHISPEGFLTINDVGPADAGRYECVARNTIGSASVSMVLSVNVPDVSRNGDPFVATSIVEAIATVDRAINSTRTHLFDSRPRSPNDLLALFRYPRDPYTVEQARAGEIFERTLQLIQEHVQHGLMVDLNGTSYHYNDLVSPQYLNLIANLSGCTAHRRVNNCSDMCFHQKYRTHDGTCNNLQHPMWGASLTAFERLLKSVYENGFNTPRGINPHRLYNGHALPMPRLVSTTLIGTETVTPDEQFTHMLMQWGQFLDHDLDSTVVALSQARFSDGQHCSNVCSNDPPCFSVMIPPNDSRARSGARCMFFVRSSPVCGSGMTSLLMNSVYPREQINQLTSYIDASNVYGSTEHEARSIRDLASHRGLLRQGIVQRSGKPLLPFATGPPTECMRDENESPIPCFLAGDHRANEQLGLTSMHTLWFREHNRIATELLKLNPHWDGDTIYYETRKIVGAEIQHITYQHWLPKILGEVGMRTLGEYHGYDPGINAGIFNAFATAAFRFGHTLVNPLLYRLDENFQPIAQDHLPLHKAFFSPFRIVNEGGIDPLLRGLFGVAGKMRVPSQLLNTELTERLFSMAHTVALDLAAINIQRGRDHGIPPYHDYRVYCNLSAAHTFEDLKNEIKNPEIREKLKRLYGSTLNIDLFPALVVEDLVPGSRLGPTLMCLLSTQFKRLRDGDRLWYENPGVFSPAQLTQIKQTSLARILCDNADNITRVQSDVFRVAEFPHGYGSCDEIPRVDLRVWQDCCEDCRTRGQFNAFSYHFRGRRSLEFSYQEDKPTKKTRPRKIPSVGRQGEHLSNSTSAFSTRSDASGTNDFREFVLEMQKTITDLRTQIKKLESRLSTTECVDAGGESHANNTKWKKDACTICECKDGQVTCFVEACPPATCAVPVNIPGACCPVCLQKRAEEKP", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MACGLVASNLNLKPGECLRVRGEVAPDAKSFVLNLGKDSNNLCLHFNPRFNAHGDANTIVCNSKDGGAWGTEQREAVFPFQPGSVAEVCITFDQANLTVKLPDGYEFKFPNRLNLEAINYMAADGDFKIKCVAFD", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MSDLGAVISLLLWGRQLFALYSGNDVTDISDDRFPKPPEIANGYVEHLFRYQCKNYYRLRTEGDGVYTLNDKKQWINKAVGDKLPECEAVCGKPKNPANPVQRILGGHLDAKGSFPWQAKMVSHHNLTTGATLINEQWLLTTAKNLFLNHSENATAKDIAPTLTLYVGKKQLVEIEKVVLHPNYHQVDIGLIKLKQKVLVNERVMPICLPSKNYAEVGRVGYVSGWGQSDNFKLTDHLKYVMLPVADQYDCITHYEGSTCPKWKAPKSPVGVQPILNEHTFCVGMSKYQEDTCYGDAGSAFAVHDLEEDTWYAAGILSFDKSCAVAEYGVYVKVTSIQHWVQKTIAEN", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "LTCFNCPEVYCNRFHTCRNGEKICFKRFNERKLLGKRYTRGCAATCPVAKPREIVECCSTDRCNH", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MKTLLLTLVVVTIVCLDLGYTRTCLISPSSTPQTCPNGQDICFLKAQCDKFCSIRGPVIEQGCVATCPQFRSNYRSLLCCTTDNCNH", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTRRCFNHPSSQPQTNKSCPPGENSCYNKQWRDHRGTITERGCGCPQVKSGIKLTCCQSDDCNN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "RTCYKTPSVKPETCPHGENICYTETWCDAWCSQRGKRVELGCAATCPKVKAGVGIKCCSTDNCNPFPVWNPRG", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "RTCYKTPSVKPETCPHGENICYTETWCDAWCSQRGKREELGCAATCPKVKAGVGIKCCSTDNCDPFPVKNPR", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MPRLSLLLPLLLLLLLPLLPPLSPSLGIRDVGGRRPKCGPCRPEGCPAPAPCPAPGISALDECGCCARCLGAEGASCGGRAGGRCGPGLVCASQAAGAAPEGTGLCVCAQRGTVCGSDGRSYPSVCALRLRARHTPRAHPGHLHKARDGPCEFAPVVVVPPRSVHNVTGAQVGLSCEVRAVPTPVITWRKVTKSPEGTQALEELPGDHVNIAVQVRGGPSDHEATAWILINPLRKEDEGVYQCHAANMVGEAESHSTVTVLDLSKYRSFHFPAPDDRM", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "RTCNKTFSDQSKICPPGENICYTKTWCDAWCSQRGKRVELGCAATCPKVKAGVEIKCCSTDDCDKFQFGKPR", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "IRCFITPDVTSQACPDGHVCYTKMWCDNFCGMRGKRVDLGCAATCPKVKPGVNIKCCSRDNCNPFPTRKRS", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "RTCYKTYSDKSKTCPRGEDICYTKTWCDGFCSQRGKRVELGCAATCPKVKTGVEIKCCSTDYCNPFPVWNPR", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MLSRTVFVPLILAFVGVSISAPVSNNNGTDNDESAADQRHIFTVQFNVGTPAPADGDSVTTDGKDSAEKNEAPGDSSDTTEKPGTTDGKDSAEQHGVTTDGKDEAEQHGVTTDGQDSAEKRGEADGAPDKPDTQNGTDDTDSDQETDASHHKTGDSDENKDKPSAEDHTDGNHAGKDSTDSKESPDTTDKPEGPDSDSAPDGDSASAEKTDSDHSPDEDANKSSTEADKDDTSDKDSSQTDEKHDSDASDKDEKHEDKDEKSDEKDSSKDSEDKSQEKSDKSDDGSNSEADEQKESVESKDHDSDSQDSDSAEKKEKHDDKDQDSSDSADSKDSDEDKDKDHSEQKDSEDHEHKEKHTKDKEEHKDSDEGKDDEDKSKSDEHDKDESESKEASKSDESEQEEKKDDKSDSDNSSRDSHSDSDSDSHSDSDSDSHSDSHSDSDSDSHSDSDSDSDSDSDSDSDSDSDSNSRDKDEKKDKSSESRDEDSSDSDSKSNSESSETAEEDTNDDKDSSVEKDKTDSSDSASVEANDSDDEHDDDSKDATPSSEDHTAEKTDEDSHDVSDDDDDIDAHDDEAGVEHGTDEASKPHQEPDHHDDTTHGSDDGRKTSMPIS", - "output": "Extracellular" - }, - { - "instruction": "For the eukaryotic protein subcellular localization task, its position in the cell is predicted based on the given protein sequence.", - "input": "MVSFTLRAIGACLIGLPALITAAPTSHVSNDFHVVEQLNGVPQGWVQEGSPAPSTQMKFKLALVQGKTAEFEQRVMDISNPKHADYGKFMSREELDAFLQPSSQVKDSVFNWLASEGISKRSVKANTDWLTFTTSIATAEKLFNTRFYTFKNTADGSQIIRTLKYSVAASAAPYVQMVQPTTKFSAPRPELSSVFTSDLEITSSANVDCNVTITPDCIRELYKMGNTFAKKDPRNRLGISGYLEQYARLDDFSTFIDMFVPSLKGTTFDFKSIEGAKNEQNSSLDSVEASLDVDYAIGLSGALSTYYGTAGRGKLIPDLDQPNITENNNEPYIEQLFYLLDLPDSELPAVLSTSYGENEQSVPPTYSSVVCSLFGRLGARGVSVIFSSGDTGVGSACQSNDGKNTTKFNPIFPAACPFVTSVGGTRQINPEVAIHFSSGGFSERFARPWYQELDVRHYLGHELEKGKWDGMYNPYGRGFPDVAAQSYKFATRDHGKTIGVSGTSASAPLFAGVVSILNSIRLAHNKPRMGFLNPWLYTIGRSGFTDIVHGGSDGCTGTDMYSHLPTPYVPGASWNATKGWDPVTGLGTPNFEKLSKLVLI", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, estimate the subcellular localization in eukaryotic cells.", - "input": "MRLSEILTVALVTGATAYNLPNNLKQIYDKHKGKCSKVLAKGFTNGDASQGKSFSYCGDIPGAIFISSSKGYTNMDIDCDGANNSAGKCANDPSGQGETAFKSDVKKFGISDLDANIHPYVVFGNEDHSPKFKPQSHGMQPLSVMAVVCNGQLHYGIWGDTNGGVSTGEASISLADLCFPNEHLDGNHGHDPNDVLFIGFTSKDAVPGATAKWKAKNAKEFEDSIKSIGDKLVAGLKA", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLKMGVVLFIFLVLFPLATLQLDADQPVERYAENKQLLNPDERREILLPALRKFCCDSNWCHISDCECCYG", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MRFSQATVLAFAALSLAAPAFEADNKNIKREDCDKTSFHGHHKHKRAVAYDYAYVTVTVDGNGNPITTVSPVLSIETIAKSEETSSTSTSISSTTTIVQNDSLTSDEPKTLSLPSGTIKPSSFATESQSQSQSSSTGGSGSGSTNGIEGDLAAFEDPTEEFQDGVLSCSDFPSGQGVIPLDHLGFGGWSGIENSDGSTGGNCKEGSYCSYACQSGMSKTQWPEDQPSNGVSIGGLLCKNGKLYKSSTRSNYLCEWGVKKANVVNKLSETVAICRTDYPGTENMVIPTVVGGGSTSVITVVDQSTYYTWRGGATSAQYYVNNAGVSWEDGCVWGTPGSGVGNWAPLNFGAGYANGIAYLSLIPNPNNRDSLNFKVKIVGESGSTVSGSCSYANGKFNGNSDDGCTVGVTSGEADFVLYN", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKTLLLTLVVVTIVCLDLGYTRICFNHQSSQPQTTKTCSPGESSCYHKQWSDFRGTIIERGCGCPTVKPGINLSCCESEVCNN", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MHGLLLAAGLLSLPLHVLAHPQPSTSTSLAGRAGAVDLNEFRVAHRSSYTSHDEMKKLPSIASFRQGTYLEVATELVKQTMPNMEFRLVDDHYVGDSGIGHVRFRQTMHGIDIDNSDFNVNVGKDGKVLSHGNSFYTGPAPSSNPMVKRDFIDPMQALHGVRKALNLPIKADGAHVEDMSEHKVMFKGTSGALSDPTAKLCYMAKEDGSLALTWRVETDIGDNWLLSYMDAKESSKVHNVVDYVAHATFQVYKWGLADPTEGKREILTNPWNLKTSPLTWLSDGQNNYTATRGNNAIAQYNPDGGSDYENNYRPSPKNLKFEYPYSPDMNPPKTYIDASVTELFYTSNVCHDLYYMLGFNEKAGNFQVNNRGQGGKGNDFVILNAQDGSGTNNANFATPPDGQPGRMRAYIWTRANPPRDASFEAGTIIHEYTHGLSNRLCGGPANSRCLNAIESGGMGEGWGDFYATAVRLKPNDTRKTNYVKGGWVNNSPKGVRMYPYSTDMNVNPLVYTSNNKLNEVHAIGTVWCTMLYEVLWNLIDKHGKNDGPVPIFENGVPNDGKYLAMKIVMDGMAIQPCNPNFVQARDAILDADMNLTKGANKCEIWKGFAKRGLGVGAKFDPKNRTGSTQVPNECK", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis, forecast the protein's subcellular positioning in eukaryotic cells.", - "input": "MVAYRLLALISLGLGSHCASALQYGYNQLSTHKDSAVVAGAFPAINGTHLQSPAFTSPGTVPRGFSDGTSGPTRDETMEGFMRRLARSNSWMAYHEADFKSEEGRKFPYMYLSASNSSVENPSSHKLRVWLQGGVHGNEPAGDQSMLALLGDLAANQKWAAKLLEKMDILVLPRYNPDGVFYFQRYLATNFDPNRDHVKLARQQTRDIKELFTRFSPHIATDMHEFTAGRTFGPKKDIIYAADALFSAAKNLNIDEGIRQLSEKLFAKRMGKDIEAAGLRWDPYITQGESSSSKLLLLEAGTDAKIGRNAMGLSQCVVFLCETRGIGIADQHFERRTLSGLVMAKSILQTAVDNFDEVYNTIERGIRRFTNSRNDIVLTDKSPIMERTFGMLNITDASLFDYPIDFATTTPAEAVLTRSRPRAYLIPPSWPDIVKRLEVFGVKADKLPYSYVGPVEALNVTSVTFDKEYYEGVVTTTVQTKLVERNIRLPAGSYLVKTNQKNAALAFVALEVRTKDLLPVLIMDVY", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MPTWLWGLLLTAGTLSAALSPGLPASADPCYDEAREPRSCIPGLVNAALGREVLASSTCGRSANRVCDSSDPQRAHSADLLTSAPGTASPLCWRSDLLQQAPFNVTLTVPLGKAFELVFVSLRFCSAPPTSVALLKSQDHGRSWVPLGFFSSSCTLDYGRLPAPADGPSGPGPEALCFPAPQAQPDGGGLLAFSVQDGSPQGLDLDNSPVLQDWVTATDIRIVLTRPAIQGDTRDGGVTVPYSYSATELQVGGRCKCNGHASRCLLDTHGHLVCDCQHGTEGPDCSRCKPFYCDRPWQRATGQEAHACLACSCNGHARRCRFNMELYRLSGRRSGGVCLNCRHNTAGRHCHYCREGFYRDPGRVLSDRRACRACDCHPVGAAGKTCNQTTGQCPCKDGVTGLTCNRCAPGFQQSRSPVAPCVKTPVPGPTEESSPVEPQDCESHCRPARGSYRISLKKFCRKDYAVQVAVGARGEARGSWTRFPVAVLAVFRSGEERARRGSSALWVPTLDAACGCPRLLPGRRYLLLGGGPGAAAGSTAGRGQGLSAARGSLVLPWRDAWTRRLRRLQRRERRGRCGTA", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MALTGYSWLLLSATFLNVGAEISITLEPAQPSEGDNVTLVVHGLSGELLAYSWYAGPTLSVSYLVASYIVSTGDETPGPAHTGREAVRPDGSLDIQGILPRHSGTYILQTFNRQLQTEVGYGHVQVHEILAQPTVLANSTALVERRDTLRLMCSSPSPTAEVRWFFNGGALPVALRLGLSPDGRVLARHGIRREEAGAYQCEVWNPVSVSRSEPINLTVYFGPERVAILQDSTTRTGCTIKVDFNTSLTLWCVSRSCPEPEYVWTFNGQALKNGQDHLNISSMTAAQEGTYTCIAKNTKTLLSGSASVVVKLSAAAVATMIVPVPTKPTEGQDVTLTVQGYPKDLLVYAWYRGPASEPNRLLSQLPSGTWIAGPAHTGREVGFPNCSLLVQKLNLTDTGRYTLKTVTVQGKTETLEVELQVAPLG", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFTLKKTLLLLFFLGTISISLCKQERDADEDDGRKMTEEEVKRSIITMTKEAKLPQLWKQIACRLYNTC", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MGSSSPLTRRNRAPPSSVSSVYLIFLCFFLYFLNFSNAQSSPVFACDVAANPSLAAYGFCNTVLKIEYRVADLVARLTLQEKIGFLVSKANGVTRLGIPTYEWWSEALHGVSYIGPGTHFSSQVPGATSFPQVILTAASFNVSLFQAIGKVVSTEARAMYNVGLAGLTYWSPNVNIFRDPRWGRGQETPGEDPLLASKYASGYVKGLQETDGGDSNRLKVAACCKHYTAYDVDNWKGVERYSFNAVVTQQDMDDTYQPPFKSCVVDGNVASVMCSYNQVNGKPTCADPDLLSGVIRGEWKLNGYIVSDCDSVDVLYKNQHYTKTPAEAAAISILAGLDLNCGSFLGQHTEEAVKSGLVNEAAIDKAISNNFLTLMRLGFFDGNPKNQIYGGLGPTDVCTSANQELAADAARQGIVLLKNTGCLPLSPKSIKTLAVIGPNANVTKTMIGNYEGTPCKYTTPLQGLAGTVSTTYLPGCSNVACAVADVAGATKLAATADVSVLVIGADQSIEAESRDRVDLHLPGQQQELVIQVAKAAKGPVLLVIMSGGGFDITFAKNDPKIAGILWVGYPGEAGGIAIADIIFGRYNPSGKLPMTWYPQSYVEKVPMTIMNMRPDKASGYPGRTYRFYTGETVYAFGDGLSYTKFSHTLVKAPSLVSLGLEENHVCRSSECQSLDAIGPHCENAVSGGGSAFEVHIKVRNGGDREGIHTVFLFTTPPAIHGSPRKHLVGFEKIRLGKREEAVVRFKVEICKDLSVVDEIGKRKIGLGKHLLHVGDLKHSLSIRI", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLLTLYACLLWLSTSGLWTSQAKDPDSDLSTRSRHRNLAPNNVDFAFALYKHLVASAPGKDVFLSPVSISTALAMLSLGASGYTREQLLQGLGFNLTETPEAEIHQDFQHLHSLLKGSNITSEMTMGNALFLDRSLELLESFSTGSKHYYGLEALAADFQDWAGASRQINEYIKNKTQGKIVDLFLEQDSSAMLILINYIFFKGTWTHSFPPESTREENFYVNETATVKVPMMFQSRAMKYLNDSLLPCQLVQLEYTGNETAFFILPVKGEMDTVIAGLSRDTIQRWSKSLIPSQVDLYVPKVSISGAYDLGSILGDMGIVDLLSHPTHFSGITQNALPKMSKVVHKAVLQFDEKGMEAAAPTTRGRSLHAAPKPVTVHFNRPFIVMVFDHFTWSSLFLGKIVNLT", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MRVWVFFVLCLAGKALAAPQQDALPEEEEVIEDVPAEETVGTNPVQVDVGEFDEAINEEEEEEPSENPCLNHHCKHGKVCEVDESNTPLCVCQDPSTCPTSVGEFEKICGTDNKTYDSSCHFFATKCTLEGTKKGHKLHLDYIGPCKYIAPCLDNELSEFPLRIGDWLKNVLVSLYERDENNNLLNEKQKLRVKKIHENEKRLESWRPHSELLVRDFEKNYNMYIFPVHWQFGQLDQHPIDGYLSHTELSPLRAPLIPMEHCTTRFFDECDIDDDKYIALEEWAKCFGIKEQDVDKDMIV", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MILQVVLLLACLSGAIVSTGACCPPSRFNAFQYVTIVNSTTRTRGLYYMVYDGPNERYLLTGDRLKNLYGTTRVIYDYKKGIAYNIDVQKRSCTTFPLHGKFEDQENVCVPRDAVYTGRSAYGFDQGALHSWSYEYNRTHPDGRHQNIETTVTKENCIPIVTTTISTDASGGNSLHILGYNDFYPGIRDISMLEIPSYCRA", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MASLYLLLLLPLFLALILAATITESKSFSTTVKAPYPGHKPDKLTHLHFYFHDIVSGDKPTSVQVANGPTTNSSATGFGLVAVVDDKLTVGPEITSEEVGRAQGMYASADQNKLGLLMAFNLVFTKGKFSDSTVAMYGRNPVLSKVREMPIIGGTGAFRFGRGYALAKTLVFNITSGDAVVEYNVYIWH", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MYHQLILMALIGVIMANVVPFSMSNIPEEYKEFIPEEVKNFYKNLTQEDRQILRELASKHATFTNEDAALEALKNKSDKLYQKAVELRNFVKAKIDSLKPDAKAFVDEIIAKVRSLRPEDGQKLDMEKLKQAARDIIAKYEALNEETKEELKATFPNTTKIITNEKFKRIANSFLQKN", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MYHQLILMALIGVIMANVVPFSMSNIPEEYKEFIPEEVKNFYKNLSIFLCQILRELASKHATFTNEDAALEALKNKSDKLYQKAVELRNFVKAKIDSLKPDAKAFVDEIIAKVRSLRPEDGQKLDMEKLKQAARDIIAKYEALNEETKEELKATFPNTTKIITNEKFKRIANSFLQKN", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MYHQLILMALIGVIMANVVPFSMSNIPEEYKEFIPEEVKNFYKNLTQEDRQILRELASKHATFTNEDAALEALKNKSDKLYQKAVELRNFVKAKIDSLKPDAKTFVDEIIAKVRSLRPEDGQKLDMEKIKQAARDIIAKYEALNEETKEELKATFPNTTKIITNEKFKRIANSFLQKN", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MPLHSLERDNMRRLIAPSILVTVFLVPALALTNTSDSYPLDGSVGTQTIHVDALRGVVSIRDNSVQSEWDGVMDYKNDLLAAKLFSKMACVLAKMDPAAFPSLDDITQALGKQASGHYPPTRGLTYTVLPSRIKNLAQYGVPIKDLCRAVPTYFARQQKEGTALTMDPDSCSELQLLSFMGLSICGEIPGL", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MGYFPYLAVFVCLLASGDAQWKGLRGSTKASWVRVVSPTLNVTQEAYIWDADSGISFISRSKLLTGTSINRNRAYTDYNQPKIAIKFRKDVGRTCILLDVASALIGTFNETSTNLQALTVLDTTEEKSYQSVGTVLSATSQSAFDLQHPFIQKKCSDRNYNYLATTELTAGAAPPPASDKFTVFTTWGKVHLYILQSTGLVITTTTEAP", - "output": "Extracellular" - }, - { - "instruction": "Employing sequence-based analysis, infer the likely cellular compartment where the protein is located in eukaryotic cells.", - "input": "MAAYCQAQGLDVVLAALLGAINQQPSRQQFQQQQQQQRQPQLQQQQQQQGIQQQPQGLQHQQQQFGLTQQHGQGRRQNIVQPNPASQNNNRMMLDMLLLNQIAQSNRMNTLAFIMAN", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MKYLVGCLCLAAICLSAGAQRKKVKCNLYMLKRSLVHYISRDRTGTRVMPCPPGTIFSEGHCGCVSLTNGCDMRPYSGRKYKHWIHGKWRTRYCPAGTTLNQSKCVCDHA", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MLYLLTIFSLLLPALAINARSNSNVAVYWGQNSGGSQQRLSYYCDSDAVDIVILSFMHQFPSPIQLNFANACEGTYTANGILQCQTIAEDIKYCQNKGKTILLSLGGAAGSYGFSDDATAKQFAHTLWDLFGNSKNLATNDRPFYDAVLDGFDFDIENNWSTGYPALATELRTLFQKDTSKNYYLGAAPQCPYPDASVGPLLKQSEIDFVFIQFYNNYCNLGSSSFNWDTWLNYAETDSPNKNIKLFVGVPASSRAAGSGYNDPSAVSQYLTSDILNSKYFGGISMWDVSAGWSNTNSNGNFVENMKAIVKKASPGEETTSSSTTTTTTTTSTTISSSSSSSKTSKTSTTSTTSSSISSTTSSTTSSTSSSSTSSSTSSTTSSSTTSSQISTTSTAPTSSTSLSSSTISTSASTSDTTSVTSSETTPVVTPSSLSSAITIPGDSTTTGISKSSSTKPATSTTSALSSSTTTVATIPDDKEIINTPTDTETTSKPPAIITESDATTITQNLTPSTTTKNVKTTSTNIVTEWVWAPTTLRTLTTTYQILTTRTHIETVFAEPSTVVIYN", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MKFSKVSLLAASASVALSAPVAVTVTQHVHQAATVVVQGIVRVENGQTLTTFITKGTQTASASPVATTSAPIVVANAQVDSIATSVIQESAVVAESATFEESSTETSEAFSTATATIQAVQTSASATQDDVTTTLTSSTQPTSTTTPTTTTTSPTTTTSPTTTASPTTTASPTTATTTQSTASSTQSSSSDFSTSMVNEHNTKRALHKDTGSLTWSDTLATYAQNYADSYDCSGNLVHSGGPYGENLALGYGTTGSVDAWYNEITSYDYSNPGFSESAGHFTQVVWKGTSEVGCGLKSCGGEWGDYIICSYKAAGNVIGEFADNVMPLA", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "IIFPGTLWCGNGNLANGTNQLGSWKETDSCCRTHDMCPDLIEAHGSKHGLTNAADYTRLSCECDEEFRRCLHNSGDTVSAGFVGRTYFTVLHTQCFRLDYPIVKCKVKSTILHRSKCYDFETFAPKKYQWFDVLQY", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MNFKYIIAVSFFIASAYARTEEKDVQSLSQRDVLEEESLREIRGIGGVLLSAGKAALKGLAKVLAEKYANGKRTAEDHEVMKRLEAVMRDLDSLDHPEEASERQTRGFNQEEIANLFTKKEKRILGPVLGLVGNALGGLIKKIG", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MQKATVLLLALLLLLPLSTAQDAEGSQEDAAQREVDIATRCGGTGDSCNEPAGELCCRRLKCVNSRCCPTTDGC", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGIKQWLLSLVVVAISATATQARVDDPAGKAAQYHKEYALFRSANMPSPDKLASGVGFHSFRIPAVVRTNTGRILAFAEGRRHNNRDYGDINLVYKRTKSPTNNGENPTDWESLREVVGTGPHTWGNPTPVVDGNTIYLFLSMNDGAYSQNGGNTLPDGTKTKTIDSTWVGRRHLYLTTSTDDGDTWTKPVDMTKTLTPDGQAWDAVGPGNGIKLSTGELVIPAQGRNIIGHGPSGNRTWSMQVLKGAGSEGTICQTPDGKLMRNDRPGPMGHRSVARGTLAGFGPFATDNGLPDPACQGSILSYNSDEPARTIFMNSASTDRRTAMRVRISYDKDAAKFNFGRELKDAPLGNVGNEGGYSSMTKTSDYKIGALVESDWYEDKGGEKSHRCIIWRRFNLSWIINGPNN", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MNCSAFSFWFVCKIIFFFLSFHIQISIANPRENFLKCFSKHIPNNVANPKLVYTQHDQLYMSILNSTIQNLRFISDTTPKPLVIVTPSNNSHIQATILCSKKVGLQIRTRSGGHDAEGMSYISQVPFVVVDLRNMHSIKIDVHSQTAWVEAGATLGEVYYWINEKNENLSFPGGYCPTVGVGGHFSGGGYGALMRNYGLAADNIIDAHLVNVDGKVLDRKSMGEDLFWAIRGGGGENFGIIAAWKIKLVAVPSKSTIFSVKKNMEIHGLVKLFNKWQNIAYKYDKDLVLMTHFITKNITDNHGKNKTTVHGYFSSIFHGGVDSLVDLMNKSFPELGIKKTDCKEFSWIDTTIFYSGVVNFNTANFKKEILLDRSAGKKTAFSIKLDYVKKPIPETAMVKILEKLYEEDVGAGMYVLYPYGGIMEEISESAIPFPHRAGIMYELWYTASWEKQEDNEKHINWVRSVYNFTTPYVSQNPRLAYLNYRDLDLGKTNHASPNNYTQARIWGEKYFGKNFNRLVKVKTKVDPNNFFRNEQSIPPLPPHHH", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MQSHAGGSRAPLGLLLICLCLPGLFARSTGAPEEKASPHSGQPSFTSLLNPGQPQPKPDPVNNELLGVLPRLSESPQDGALPEGGSEVPNGPPFWGPPPMESWPSEDPQQGMAAVAEDQLEQMLPEALPYLSRGGRLPEASSARLRQPSLAASYPQDSEAGLQPGSSSLETEAEAFARSPFWFLIHKLLPGSSGRILRPGTSWGSGGAGTGWGTRPMPYPSGIWGSNGLVSGTSLGGRGPYPVRIWGRNGWYPLRILGGNGRYPPVGTWGGYGQYPPVGTWGGYGQYPPVGPWGGYGQYPPVGTWGANCQYPAGSRRPNCRYPAGSWGTKGQNRLPPGAKRPGSSGITP", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKVSFSVTILFSVFNGAFSESLCSQMGGKCHSYYDVCWTRKYSYGHHLCTRYGEPPVCCFYDYFENDCIRRGGTCFDIRFSSCTNGRLVNDGCGGEYNYCCTPNHYRPYPYTQRSTYNGQYFNSQAKSYPYNRAHLYHRHTSFNRKPSYGNTPASRTTYTG", - "output": "Extracellular" - }, - { - "instruction": "Based on the protein's primary sequence, generate hypotheses regarding its subcellular localization within eukaryotic cells.", - "input": "MDLSRRLCSTALVAFIVLASIHDSQSRFPGLRQKRQYGANMYLPESSVTPGGEGNDPDEWTPWSSPSDCSRTCGGGVSYQTRECLRRDDRGEAVCSGGSRRYFSCNTQDCPEEESDFRAQQCSRFDRQQFDGVFYEWVPYTNAPNPCELNCMPKGERFYYRQREKVVDGTRCNDKDLDVCVNGECMPVGCDMMLGSDAKEDKCRKCGGDGSTCKTIRNTITTKDLAPGYNDLLLLPEGATNIRIEETVPSSNYLACRNHSGHYYLNGDWRIDFPRPMFFANSWWNYQRKPMGFAAPDQLTCSGPISESLFIVMLVQEKNISLDYEYSIPESLSHSQQDTHTWTHHQFNACSASCGGGSQSRKVTCNNRITLAEVNPSLCDQKSKPVEEQACGTEPCAPHWVEGEWSKCSKGCGSDGFQNRSITCERISSSGEHTVEEDAVCLKEVGNKPATKQECNRDVKNCPKYHLGPWTPCDKLCGDGKQTRKVTCFIEENGHKRVLPEEDCVEEKPETEKSCLLTPCEGVDWIISQWSGCNACGQNTETRTAICGNKEGKVYPEEFCEPEVPTLSRPCKSPKCEAQWFSSEWSKCSAPCGKGVKSRIVICGEFDGKTVTPADDDSKCNKETKPESEQDCEGEEKVCPGEWFTGPWGKCSKPCGGGERVREVLCLSNGTKSVNCDEEKVEPLSEKCNSEACTEDEILPLTSTDKPIEDDEEDCDEDGIELISDGLSDDEKSEDVIDLEGTAKTETTPEAEDLMQSDSPTPYDEFESTGTTFEGSGYDSESTTDSGISTEGSGDDEETSEASTDLSSSTDSGSTSSDSTSSDSSSSISSDATSEAPASSVSDSSDSTDASTETTGVSDDSTDVSSSTEASASESTDVSGASDSTGSTNASDSTPESSTEASSSTDDSTDSSDNSSNVSESSTEASSSSVSDSNDSSDGSTDGVSSTTENSSDSTSDATSDSTASSDSTDSTSDQTTETTPESSTDSTESSTLDASSTTDASSTSESSSESSTDGSSTTSNSASSETTGLSSDGSTTDATTAASDNTDITTDGSTDESTDGSSNASTEGSTEGASEDTTISTESSGSTESTDAIASDGSTTEGSTVEDLSSSTSSDVTSDSTITDSSPSTEVSGSTDSSSSTDGSSTDASSTEASSTDVTESTDSTVSGGTSDTTESGPTEESTTEGSTESTTEGSTDSTQSTDLDSTTSDIWSTSDKDDESESSTPYSFDSEVTKSKPRKCKPKKSTCAKSEYGCCPDGKSTPKGPFDEGCPIAKTCADTKYGCCLDGVSPAKGKNNKGCPKSQCAETLFGCCPDKFTAADGENDEGCPETTTVPPTTTTEETQPETTTEIEGSGQDSTTSEPDTKKSCSFSEFGCCPDAETSAKGPDFEGCGLASPVAKGCAESENGCCPDGQTPASGPNGEGCSGCTRERFGCCPDSQTPAHGPNKEGCCLDTQFGCCPDNILAARGPNNEGCECHYTPYGCCPDNKSAATGYNQEGCACETTQYGCCPDKITAAKGPKHEGCPCETTQFGCCPDGLTFAKGPHHHGCHCTQTEFKCCDDEKTPAKGPNGDGCTCVESKFGCCPDGVTKATDEKFGGCENVQEPPQKACGLPKETGTCNNYSVKYYFDTSYGGCARFWYGGCDGNDNRFESEAECKDTCQDYTGKHVCLLPKSAGPCTGFTKKWYFDVDRNRCEEFQYGGCYGTNNRFDSLEQCQGTCAASENLPTCEQPVESGPCAGNFERWYYDNETDICRPFTYGGCKGNKNNYPTEHACNYNCRQPGVLKDRCALPKQTGDCSEKLAKWHFSESEKRCVPFYYSGCGGNKNNFPTLESCEDHCPRQVAKDICEIPAEVGECANYVTSWYYDTQDQACRQFYYGGCGGNENRFPTEESCLARCDRKPEPTTTTPATRPQPSRQDVCDEEPAPGECSTWVLKWHFDRKIGACRQFYYGNCGGNGNRFETENDCQQRCLSQEPPAPTPPRAPAPTRQPDPAPTVAQCSQPADPGQCDKWALHWNYNETEGRCQSFYYGGCGGNDNRFATEEECSARCSVNIDIRIGADPVEHDTSKCFLAFEPGNCYNNVTRWFYNSAEGLCDEFVYTGCGGNANNYATEEECQNECNDAQTTCALPPVRGRCSDLSRRWYFDERSGECHEFEFTGCRGNRNNFVSQSDCLNFCIGEPVVEPSAPTYSVCAEPPEAGECDNRTTAWFYDSENMACTAFTYTGCGGNGNRFETRDQCERQCGEFKGVDVCNEPVTTGPCTDWQTKYYFNTASQACEPFTYGGCDGTGNRFSDLFECQTVCLAGREPRVGSAKEICLLPVATGRCNGPSVHERRWYYDDEAGNCVSFIYAGCSGNQNNFRSFEACTNQCRPEPNKQDNEIGQNPCDTFDAECQELRCPYGVRRVAARSQPECTQCICENPCEGYSCPEGQQCAIDVASSDDRQFAPVCRDIYKPGECPALSANASGCARECYTDADCRGDNKCCSDGCGQLCVHPARPTQPPRTQAPVVSYPGDARAALEPKEAHELDVQTAIGGIAVLRCFATGNPAPNITWSLKNLVINTNKGRYVLTANGDLTIVQVRQTDDGTYVCVASNGLGEPVRREVALQVTEPVSQPAYIYGDKNVTQIVELNRPAVIRCPAGGFPEPHVSWWRNGQMFGLKNNLMARDYSLVFNSIQLSDLGLYTCEVYNQRRPVSLRVTLKAVGPVRPLSPEEEQYMQYVLNPATRPVTQRPSYPYRPTRPAYVPEPTVNVHAVLALEPKNSYTPGSTIVMSCSVQGYPEPNVTWIKDDVPLYNNERVQITYQPHRLVLSDVTSADSGKYTCRASNAYTYANGEANVSIQSVVPVSPECVDNPYFANCKLIVKGRYCSNPYYTQFCCRSCTLAGQVASPPLHPNAV", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MKCLVALFLSLSLVACQYDDYDTERKNNNMLSSMNILDLLDSFGLNIKARIAHVRRVAGRIRLTLDIGLGNGDVERESEEAEGEGTDGRGGGEGEREGWGGEREGGEGEREGGEGEREGREGEREGKSSESNESPEDFIGPPVDMCAGESRRGSPSIGCIAAECCQHSFYINSLCPGSSVCCFSMDVCDRLPVPVIPPFPTDPGTLPPPPPIPDSQTTVSPNQPSSYMCHGDFMKLMPKGADQRTARQDNLAYAGVRASNKLVDNDLAELNKRKDCYVQAGKNHCIHPAVIAAIASRETRGGKLLYSTNGYGDGGRAYGIMQCDGGASGLGDICKKYPWDSCEHINQLTDIILLNYVNQMKTKHPSWPAHYQLKGGVSAYNAGVGNVQTIAGMDAGTTNDDYSNDVIARAQRLVNAHGW", - "output": "Extracellular" - }, - { - "instruction": "By analyzing the protein's amino acid composition and sequence features, predict its intracellular localization in eukaryotic cells.", - "input": "MEFKLLLVLCFNIGLICSQKTKPIGSKPIAVTTAAKTPATSTSPVTNGTGGPKFDLAALQKLLATFQMMQGAQGGNTAPSSSLPGVSSMPMPSANQISRKSNINANSLYQPELMNTNVPYMGNSLQQSRFQNQFLGGQFAPNVNVYRTNNHISSFEQMRLTRSYNLDEEQKFKILEEHNKFRSDVVQKRGTGAMNVLRWSEKLAAQASLEVMNCSYVNQGRGASLASVYEKYTGSSLVSEFMSRWSDEKNRFSLGENCSIQQTCRYSQAVWANTKQVGCAVQYCGDMSFIACSYSPVGNTVNQIAFSPSRGGICSACTTPPNMPVRCNSDHLCEWY", - "output": "Extracellular" - }, - { - "instruction": "Prediction of its position in eukaryotic cells based on protein sequence.", - "input": "MKLSIFFVLFFIAIAYCQPEFLDDEEDEVEETLPVAEEGREKSCITWRNSCMHNDKGCCFPWSCVCWSQTVSRNSSRKEKKCQCRLW", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MASNSAFSLFLILLIITQCLSVLNAAKDFDFFYFVQQWPGSYCDTKQSCCYPTTGKPAADFGIHGLWPNNNDGTYPSNCDPNSPYDQSQISDLISSMQQNWPTLACPSGSGSTFWSHEWEKHGTCAESVLTNQHAYFKKALDLKNQIDLLSILQGADIHPDGESYDLVNIRNAIKSAIGYTPWIQCNVDQSGNSQLYQVYICVDGSGSSLIECPIFPGGKCGTSIEFPTF", - "output": "Extracellular" - }, - { - "instruction": "By examining the protein's primary sequence, make educated assumptions about its localization within eukaryotic cells.", - "input": "MWVCLQLPVFLASVTLFEVAASDTIAQAASTTTISDAVSKVKIQVNKAFLDSRTRLKTTLSSEAPTTQQLSEYFKHAKGRTRTAIRNGQVWEESLKRLRRDTTLTNVTDPSLDLTALSWEVGCGAPVPLVKCDENSPYRTITGDCNNRRSPALGAANRALARWLPAEYEDGLALPFGWTQRKTRNGFRVPLAREVSNKIVGYLDEEGVLDQNRSLLFMQWGQIVDHDLDFAPETELGSNEHSKTQCEEYCIQGDNCFPIMFPKNDPKLKTQGKCMPFFRAGFVCPTPPYQSLAREQINAVTSFLDASLVYGSEPSLASRLRNLSSPLGLMAVNQEAWDHGLAYLPFNNKKPSPCEFINTTARVPCFLAGDFRASEQILLATAHTLLLREHNRLARELKKLNPHWNGEKLYQEARKILGAFIQIITFRDYLPIVLGSEMQKWIPPYQGYNNSVDPRISNVFTFAFRFGHMEVPSTVSRLDENYQPWGPEAELPLHTLFFNTWRIIKDGGIDPLVRGLLAKKSKLMNQDKMVTSELRNKLFQPTHKIHGFDLAAINLQRCRDHGMPGYNSWRGFCGLSQPKTLKGLQTVLKNKILAKKLMDLYKTPDNIDIWIGGNAEPMVERGRVGPLLACLLGRQFQQIRDGDRFWWENPGVFTEKQRDSLQKVSFSRLICDNTHITKVPLHAFQANNYPHDFVDCSTVDKLDLSPWASREN", - "output": "Extracellular" - }, - { - "instruction": "By scrutinizing the protein's amino acid composition and sequence motifs, forecast its intracellular localization in eukaryotic cells.", - "input": "MEVLVQLALLLVVHGSLVVLVAGKSVPRVIDQDLERYEPLEEEDHRGARVPFNLEETYDQSFRANSFNGTWKTDREILYSDNYVGDIRLFDVTTGSGTVLLDSSVTADFDKASVMFSFDNSHVAIGHDYVNGFRYSIHQKCTVYNIKSRTFTDIANGDRIPLFKWSPTRNALIYVHKNDIYYQVFFEGGSDTRRITNTGVPDIVFNGIPDWVYEEEVLGSPVAFWISPDGRHLAFATFNDTNVRDIVISKYGSPGNSRDQYPNEIRIKYPKAGTTNPFVSLSVIDLHDPSSKLIDLPPPVDVVGADNVLYTANWRRDGEIVATWTNRVQNKAQLVLYDTKGNANNIYYEEETEGWLRIQPPLYHDRYVIVAKLQDSGTKAGRFLHATRLEYRNGALVDETDLTPGTCEVISLLLVDHARARLYYLGTELGKPSHKNLYSVQLSGNEPPVCLSCDVLTPEGNRCTYAYAYFSTNGSHYALYCAGPDPVFIAIVNANHRQISIWEENRSLRRKLAARTQPIVKNFNVNANGYTNKVKLYLPPDFDETKKYPLLITVYAGPNTIRITEEATYGFESYIVTNRSVIYGRIDGRGSAYKGSKMLFEIYRRLGTVEIEDQIIITRTLQEKYSWIDSNRTGIWGWSYGGFSAAMVLATDAESVFKCGISVAPVTSWIYYDSLYTERFMGLPTPEDNQSGYNDTDVSRRVEGMRGKKYMLIHGTADDNVHYQQTMMLNKALVNSDIMFQQQTYTDEAHALGNVFPHLYHTTDRFWANCLGYSH", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MFLTSVVSLVVGAISCVSAAPAAASELMQMTPRNSCYGGGLYSSYWADYGNTRYSCGAGGHYDLSWGNGGNVVAGRGWKPASPRAVTYSGSWQCNGNCYLSVYGWTINPLVEYYIVENYGNYNPSAGAQRRGQVTADGSIYDIYISTQHNQPSILGTNTFHQYWSIRRNKRVGGTVSTGVHFNAWRSLGMPLGTYDYMIVATEGFRSSGSASITVS", - "output": "Extracellular" - }, - { - "instruction": "Utilize the protein sequence to make projections about the subcellular distribution within eukaryotic cells.", - "input": "MLYTSIFAAAMAASGAMAAPTTSHGASNCTTLDSFFKSHGKLYWGTAADKNTLMKPGVADFIAKEFGQVTPENSMKFDATEPSRGQFHFDAADYLVDYAEKHDLLIRGHTFLWWSQMPAWVKAIKDKDTLIDVIQTHISTVAGRYKGKIYAWDVVNEIFEQDGSFRKTVYYNLLGEDYVRIAFEAAHKADPKAKLYINDFNLDDPNAAKLKAMIKYVTKWRAAGWPVHGIGSQSHLFAGMGEKSAAAIKMLGAAADEVAITELDITGAPQADYEAVTKGCIDVKNCVGITSWGARDTDSWLASKSPLLFDGNFKPKAAVKAIMAI", - "output": "Extracellular" - }, - { - "instruction": "Predict where the protein will appear in eukaryotic cells directly from the following primary sequence.", - "input": "MVHLKSLAGILLYTSLCIASSQQAPASINNAFVAKGKKYFGTCADQGTLSDGTNSGIIKADFGQLTPENSMKWDATEPSQGKFSFSGADYLVNYAATNNKLIRGHTLVWHSQLPSWVQGITDKNTLTSVLKNHITTVMNRYKGKVYAWDVVNEIFNEDGTLRSSVFYNVLGEDFVRIAFETARAADPQAKLYINDYNLDSANYGKTTGLANHVKKWIAQGIPIDGIGSQTHLSAGGSSGVKGALNTLAASGVSEVAITELDIAGASSNDYVNVVEACLEVSKCVGITVWGVSDKNSWRSAESPLLFDGNYQPKSAYNAILNAL", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "MFPRVVRLNSRLVSFALLGLQIANGAITYQHPDDLPSNVNYDFIVAGGGTAGLVVASRLSENSDWNILVIEAGPSNKDTPETRVPGLADSLPGSRTDWNYTTIPQDALGGRSLNYSRAKVLGGCSTHNGMVYTRGSEDDWNYWAEVTGDQALSWDSVLPIMKKAEKFSQDFSDQSVDGHIDPAVHGRDGLLSVVASYTNVSFNDLLLQTTKELSDEFPFKLDLNDGKPHELAWTQYTIDHNAERSSSATSYLETTGDNVHVLVNTHVTRIVSAGNGTNFRSVEFAVDSNSPKKVLQAKKELILSAGVIASPQVLMNSGIGGREELQAIGVDTLIDNPSVGKNLSDQAATLLMFDTTLPNTDYDVAAALTEWDKSRSGPMAHGARLNHLTWVRLPDDKLNGSDPSSGKDSPHIEFQFRQISHQLPPADVPNQVQLPDPDSIGVVLQFSVVNLYSISPGSVILNDNDPFANPMIDLNMFGDQKDIAILREGVRSARRMFSSPAFKDVINGTVYPPADVTSDEDLDAFLRTSAESYWHGVGTLSMSPQNASWGVVNPDFRVKGTSGLRVVDASVIPRAPAGHTQVPVYTFAEHASVLIAASYH", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "SLLEFGMMILEETGKLAVPFYSSYGCYCGWGGKATPKDATDRCCFVHDCCYGNLPDCNPKSDRYKYKRVNGAIVCEQGTSCENRICECDKAAAICFRRNLNTYSKIYMLYPDFLCKGELKC", - "output": "Extracellular" - }, - { - "instruction": "Utilize sequence-based prediction models to discern the subcellular location of the protein within eukaryotic cells.", - "input": "TKCYKTGDRIISEACPPGQDLCYMKTWCDVFCGTRGRVIELGCTATCPTVKPHEQITCCSTDNCNPHPKMKQ", - "output": "Extracellular" - }, - { - "instruction": "Apply sequence-based prediction methods to estimate the position of the protein in the cell.", - "input": "MHGLLLAAAGLLSLPLHVVAHPQPSTSLAGRGVDLDAYRMADRSSYMSSDDMKLKQPAIASLSGGNYVDTATEVVKRMMPGMTFRMADDHYVGESGISHLYFRQTMHGMDIDNADFNVNIGKDGKVLSFGHSFYTGPAPDRAPVEKRDFSGPMRAFHGACKALNLPINADKATIQTMNEHEVMFVGTSGAMSDPQGKLCYMAKEDGTLALTWRVETDMGDNWLLSYVDAKETDKVHNVVDYVSHATYQVYRWPIPDPTEGKREIVENPWNLKTSPFTWISDGKTNYTTTRGNNAIAQANFDGGEDYLNNYRPNSKNLKFEYPYAPNMSPPKSYIDASVTQLFYSANIVHDLYYMLGFTEKAGNFQVNNHGQGGKGNDFVILNAQDGSGTNNANFATPPDGKPGRMRVYIWTKAKPARDSSFEAGTVIHEYTHGLSNRLCGGPANSGCLNGMESGGMGEGWGDFFATAIRLKPNDNRNANYVHGEWVNNSPKGNRLYPYSTNLQTNPLVYTSCNKYNEVHAIGTVWCSILYEVLWNLIDKHGKNDGPTPVFENGVPNDGKYLAMKLVLDGMAIQPCKPTFVQARDAIIDADMNLTKGSNKCELWKAFAKRGLGVGAKYDPKNRTGSKAVPKECQ", - "output": "Extracellular" - }, - { - "instruction": "Through sequence analysis and pattern recognition, infer the protein's cellular localization within eukaryotic cells.", - "input": "MGFITKAIPLALAAASVINGAEIMETRAGVQTLADKYIVVMNDGMTDKDFDSHRSWVNRTHRRRLIRRGAKAMGGMKHTYRFPTGLKGYSGHFDEQMINEISKRADVKYIERDARVQINAIEQQDNVPSWGLARVGSKEPGGTTYYYDGTAGEGSTAYVIDTGTDIQHEEFEGRATWGANFVDDMDMDCNGHGTHVSGTIGGKTFGVAKKSNVVAVKVLDCNGSGSNSGVIMGMEWATKDAQQKGADKAVANMSLGGAFSQASNDAAAAIAKGGVFLAVAAGNDNVDAADSSPASEPSICTVAASTEQDSKADFSNFGQVVDVYAPGDSITSAKPGGGSQVLSGTSMATPHVAGLGAYLIGLGKGGGPGLCDTIKQTAIDVIQNPGASTTSKLINNGSGIGFLSFPLNIYEEQWSKLFDL", - "output": "Extracellular" - } -] \ No newline at end of file